A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
Ka-Kc Kd-Kf Kg-Ki Kj-Kl Km-Ko Kp-Kr Ks-Ku Kv-Kx Ky-Kz
Inventor's name | Country | City/State | Last publication | Number of Patent applications |
---|---|---|---|---|
Shuk-Man Ka | TW | Xizhi City | 2012-05-10 / 20120114678 - TREATMENT FOR NEPHRITIS | 1 |
Ji-Hyun Ka | KR | Yongin-Si | 2016-02-04 / 20160037609 - FLEXIBLE DISPLAY PANEL AND DISPLAY APPARATUS INCLUDING THE SAME | 4 |
Hee Jin Ka | KR | Hwaseong-Si | 2015-04-30 / 20150119822 - MEDICAL TUBE CATHETER AND METHOD FOR MANUFACTURING SAME | 1 |
Du-Youn Ka | KR | Seoul | 2010-01-07 / 20100002489 - PASSIVE MATRIX-ADDRESSABLE MEMORY APPARATUS | 1 |
Kee Hwan Ka | KR | Seoul | 2014-03-06 / 20140061190 - TEMPERATURE MEASURING APPARATUS AND MICROWAVE OVEN HAVING THE SAME | 3 |
Jee-Hoon Ka | KR | Suwon-Si | 2016-05-05 / 20160127938 - USER TERMINAL APPARATUS, ELECTRONIC APPARATUS, SYSTEM AND CONTROLLING METHOD THEREOF | 6 |
Shuk-Man Ka | TW | Taipei City | 2013-12-05 / 20130323750 - Method for Analyzing Apoptosis Inducing Factor-2 | 6 |
Bok-Hyun Ka | KR | Yongin-Si | 2014-08-28 / 20140239239 - BINDER COMPOSITION FOR SECONDARY BATTERY, ANODE INCLUDING THE BINDER COMPOSITION, AND LITHIUM BATTERY INCLUDING THE ANODE | 11 |
Kyung-Ryun Ka | KR | Yuseong-Gu | 2015-08-27 / 20150243952 - SEPARATOR WITH IMPROVED THERMAL STABILITY AND SECONDARY BATTERY COMPRISING THE SAME | 1 |
Hokyuong Ka | KR | Seoul | 2014-07-10 / 20140195841 - PORTABLE DEVICE AND METHOD FOR PROVIDING VOICE RECOGNITION SERVICE | 2 |
Hokyung Ka | KR | Seoul | 2016-02-04 / 20160036966 - MOBILE TERMINAL CONTROLLED BY AT LEAST ONE TOUCH AND METHOD OF CONTROLLING THEREFOR | 3 |
Dong Yoon Ka | KR | Icheon-Si Gyeonggi-Do | 2016-03-10 / 20160071615 - SEMICONDUCTOR MEMORY APPARATUS | 1 |
Dong-Yoon Ka | KR | Gyeonggi-Do | 2015-08-27 / 20150244379 - SEMICONDUCTOR DEVICE AND OPERATING METHOD THEREOF | 2 |
You Ka | JP | Osaka-Shi | 2014-11-20 / 20140340075 - POWER MANAGEMENT DEVICE, METHOD OF CONTROLLING POWER MANAGEMENT DEVICE, AND PROGRAM FOR CONTROLLING POWER MANAGEMENT DEVICE | 1 |
Shuk-Man Ka | TW | Taipei | 2015-10-22 / 20150299242 - USE OF HYDROXYCINNAMALDEHYDE GLYCOSIDE DERIVATIVES FOR TREATING GLOMERULONEPHRITIS | 7 |
Kee-Hwan Ka | KR | Suwon-Si | 2016-05-05 / 20160121251 - CONTAMINATION SENSOR, AIR PURIFIER HAVING THE SAME AND CONTROL METHOD THEREOF | 1 |
Shisei Ka | JP | Tokyo | 2014-02-06 / 20140036199 - DISPLAY DEVICE | 1 |
Jyun-Han Ka | TW | Kaohsiung City | 2011-04-14 / 20110085987 - FOLIC ACID-MEDIATED MAGNETIC NANOPARTICLE CLUSTERS FOR COMBINED TARGETING, DIAGNOSIS, AND THERAPY APPLICATIONS | 1 |
Min Ho Ka | KR | Seoul | 2016-05-05 / 20160125230 - METHOD AND DEVICE FOR RECOGNIZING IMAGE | 1 |
Ji-Hyun Ka | KR | Suwon-Si | 2009-11-12 / 20090278835 - MOTHER SUBSTRATE OF ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHOD OF AGING THE SAME | 3 |
Ji-Hyun Ka | KR | Yongin-City | 2015-11-12 / 20150325160 - FLAT PANEL DISPLAY DEVICE, METHOD OF AGING THE SAME, AND METHOD OF TESTING LIGHTING OF THE SAME | 9 |
Jin-Seong Ka | KR | Seoul | 2016-05-05 / 20160122173 - AUTOMATIC EXTRACTION DEVICE AND METHOD FOR CONTROLLING AUTOMATIC EXTRACTION | 5 |
Kyung-Ryun Ka | KR | Daejeon | 2015-10-01 / 20150280192 - SEPARATOR FOR ELECTROCHEMICAL DEVICE AND METHOD FOR MANUFACTURING THE SAME | 4 |
Kenneth Ka | US | Bear | 2015-06-25 / 20150176900 - HYBRID OXY-COAL BURNER FOR EAF STEELMAKING | 1 |
Bok-Hyun Ka | KR | Yongin-Si | 2014-08-28 / 20140239239 - BINDER COMPOSITION FOR SECONDARY BATTERY, ANODE INCLUDING THE BINDER COMPOSITION, AND LITHIUM BATTERY INCLUDING THE ANODE | 11 |
Chong I. Ka | US | Bellevue | 2015-09-24 / 20150269606 - MULTI-SOURCE PERFORMANCE AND EXPOSURE FOR ANALYTICS | 1 |
Ji-Hyun Ka | KR | Yongin-City | 2015-11-12 / 20150325160 - FLAT PANEL DISPLAY DEVICE, METHOD OF AGING THE SAME, AND METHOD OF TESTING LIGHTING OF THE SAME | 9 |
Sung Hyun Ka | KR | Gunpo-Si | 2010-09-23 / 20100239242 - SAFEGUARD APPARATUS FOR COVERING CAMERA LENS | 1 |
Soramany Ka | US | San Jose | 2013-09-26 / 20130250455 - Measurement of Spacing Fluctuation by HDI Sensor | 1 |
Shuk-Man Ka | TW | Taiwan City | 2010-04-01 / 20100081142 - BIOMARKERS FOR KIDNEY DISEASES AND METHOD FOR USING SAME | 1 |
Majid Kaabouch | US | 2011-06-02 / 20110131345 - APPARATUS FOR DETECTING A USB HOST | 1 | |
Majid Kaabouch | FR | Rousset | 2010-03-25 / 20100077472 - Secure Communication Interface for Secure Multi-Processor System | 5 |
Anish Kaachra | IN | Palampur | 2014-05-15 / 20140137297 - EXPRESSION CONSTRUCT AND PROCESS FOR ENHANCING THE CARBON, NITROGEN, BIOMASS AND YIELD OF PLANTS | 1 |
Rolf Kaack | DE | Reutlingen | 2014-03-27 / 20140083190 - ACCELERATION SENSOR AND METHOD FOR OPERATING AN ACCELERATION SENSOR | 2 |
Torsten R. Kaack | US | Los Altos | 2015-01-29 / 20150029494 - Atmospheric Molecular Contamination Control with Local Purging | 3 |
Michael Kaack | DE | Bochum | 2015-02-19 / 20150048146 - METHOD FOR PRODUCING WELDED TUBES FROM STEEL | 5 |
Torsten Kaack | US | Los Altos | 2015-07-16 / 20150199463 - Semiconductor Device Models Including Re-Usable Sub-Structures | 4 |
Jeffrey Alan Kaady | US | San Jose | 2014-09-25 / 20140289686 - Single Event Upset Mitigation for Electronic Design Synthesis | 2 |
Bilal Kaafarani | LB | Beirut | 2009-03-12 / 20090065057 - Charge-Transport Materials, Methods of Fabrication Thereof, and Methods of Use Thereof | 1 |
Bjorn Christiaan Wouter Kaag | NL | Eindhoven | 2016-05-12 / 20160134124 - POWER SUPPLY SYSTEM USING A FUEL CELL, CONTROLLER FOR THE SAME AND CONTROL METHOD | 3 |
Bjoern C.w. Kaag | NL | Heerlen | 2009-03-19 / 20090077271 - AVOIDING TV MENU NEGOTIATION TO PRESET CONFIGURATION OPTIONS | 1 |
Matthew Steven Kaag | US | Peoria | 2009-02-05 / 20090037250 - System and method for automated processing of claims | 1 |
Francois Kaag | FR | Mouans Sartoux | 2008-12-25 / 20080316024 - SECURITY SYSTEM CONTROL MODULE | 1 |
Bjoern Kaag | NL | Heerlen | 2008-11-13 / 20080282284 - Method and Apparatus For Utilizing a Broadcast Flag | 1 |
Nils Kaageson-Loe | NO | Sandnes | 2011-01-27 / 20110021384 - DRILLING FLUID ADDITIVE AND METHODS OF STABILIZING KAOLINITE FINES MIGRATION | 1 |
Nils Kaageson-Loe | US | Houston | 2009-07-30 / 20090188718 - METHODS OF DETECTING, PREVENTING, AND REMEDIATING LOST CIRCULATION | 1 |
Michiro Kaai | JP | Aichi | 2010-03-18 / 20100069592 - PROCESS FOR PRODUCING POLYMER MICROPARTICLES | 1 |
Gene Kaaihue | US | Orlando | 2010-04-22 / 20100098384 - Methods And Devices For Tracing Fiber Optic Cable | 3 |
Jari-Jukka H. Kaaja | FI | Jarvenpaa | 2013-08-29 / 20130226754 - APPARATUS AND METHOD FOR REORIENTATION DURING SENSED DROP | 1 |
Harald Kaaja | FI | Helsinki | 2009-09-03 / 20090219900 - Method, System and Arrangements for Setting Up and Maintaining a WLAN Connection within predictably moving vehicles | 1 |
Jari-Jukka Harald Kaaja | FI | Jarvenpaa | 2015-12-24 / 20150372819 - METHOD AND APPARATUS FOR PROVIDING IDENTITY BASED ENCRYPTION IN DISTRIBUTED COMPUTATIONS | 45 |
Harald Kaaja | FI | Jarvenpaa | 2015-12-03 / 20150350901 - WIRELESS MEMORY DEVICE AUTHENTICATION | 15 |
Jari-Jukka Harald Kaaja | FI | Jarvenpaa | 2015-12-24 / 20150372819 - METHOD AND APPARATUS FOR PROVIDING IDENTITY BASED ENCRYPTION IN DISTRIBUTED COMPUTATIONS | 45 |
Harald Kaaja | FI | Jaarvenpaa | 2010-11-04 / 20100278077 - DEVICE DISCOVERY AND CONNECTION ESTABLISHMENT FOR AD HOC NETWORKS | 1 |
Jari-Jukka Kaaja | FI | Jarvenpaa | 2015-12-24 / 20150373486 - METHOD AND APPARATUS FOR PROVIDING MOBILE SERVICES OUTSIDE OF CELLULAR COVERAGE | 4 |
Ville Kaajakari | CA | Pasadena | 2012-04-19 / 20120091854 - MICROMECHANICAL RESONATOR | 2 |
Ville Kaajakari | US | Pasadena | 2014-11-20 / 20140339963 - MICROELECTROMECHANICAL RESONATOR | 1 |
Ville Kaajakari | US | Ruston | 2010-09-23 / 20100236940 - X-Ray Assisted Etching of Insulators | 2 |
Charles Kaake | US | San Francisco | 2010-06-10 / 20100145718 - System and Method for Establishing Electronic Business Systems for Supporting Communications Services Commerce | 1 |
Matthew D. Kaake | US | Burton | 2015-10-29 / 20150306718 - PLATE ASSEMBLY | 1 |
Bonita Louise Griffin Kaake | US | Lakewood | 2013-05-16 / 20130124185 - Collaborative Language Translation System | 2 |
Iikka Kaakkola | FI | Helsinki | 2012-06-21 / 20120154171 - Smartgrid Energy-Usage-Data Storage and Presentation Systems, Devices, Protocol, and Processes Including an Announcement Protocol | 1 |
Ilkka Kaakkola | FI | Helsinki | 2012-06-21 / 20120158329 - Smartgrid Energy-Usage-Data Storage and Presentation Systems, Devices, Protocol, and Processes Including a Storage Distribution Process and Protocol | 4 |
Eero Kaakkola | FI | Helsinki | 2013-08-29 / 20130220293 - PAINTBALL LOADER FOR PAINTBALL MARKER | 5 |
Eero Kaakkola | US | San Diego | 2012-05-03 / 20120103316 - PAINTBALL LOADER | 5 |
Eero K. Kaakkola | US | San Diego | 2012-08-23 / 20120210992 - PNEUMATIC PAINTBALL MARKER | 2 |
Joseph Hermes Kaal | AU | Raworth | 2015-05-21 / 20150141936 - RETRACTABLE SYRINGE WITH IMPROVED DELIVERY EFFICIENCY AND LOCKING SYSTEM | 9 |
Madis Kaal | ES | Tallinn | 2013-11-14 / 20130305175 - Displaying Graphical Representations of Contacts | 1 |
Madis Kaal | IE | Dublin | 2012-02-09 / 20120033797 - Communication System | 1 |
Joseph Hermes Kaal | AU | Raworth | 2015-05-21 / 20150141936 - RETRACTABLE SYRINGE WITH IMPROVED DELIVERY EFFICIENCY AND LOCKING SYSTEM | 9 |
Joseph Hermes Kaal | AU | New South Wales | 2009-09-03 / 20090221962 - controlled retraction syringe and plunger therefor | 2 |
Victor Kaal | NL | Ruurlo | 2009-10-08 / 20090250804 - LEADFRAME-BASED IC-PACKAGE WITH SUPPLY-REFERENCE COMB | 1 |
Joseph Hermes Kaal | AU | Morpeth | 2011-08-04 / 20110190699 - SYRINGE NEEDLE SHEATH | 4 |
Marcus Johannes Aloysius Kaal | NL | Amsterdam | 2014-07-17 / 20140198939 - HEARING AID | 4 |
Madis Kaal | EE | Tallinn | 2015-02-12 / 20150046466 - Matching Information Items | 22 |
William Kaal | DE | Darmstadt | 2014-01-16 / 20140014808 - DEVICE FOR TRANSMITTING OR DECOUPLING MECHANICAL VIBRATIONS | 3 |
Lindsey Kaalberg | US | San Francisco | 2014-11-27 / 20140345089 - YOGA MAT CLIP | 1 |
Srinivasulu Kaalva | IN | Uttar Pradesh | 2016-05-19 / 20160136603 - METHODS AND APPARATUS FOR THREE PHASE CONTACTING AND REACTIONS IN A CROSS FLOW REACTOR | 1 |
Wouter P. Kaandorp | NL | Eindhoven | 2011-03-31 / 20110075429 - COLLIMATOR | 1 |
Jozef Jacobus Kaandorp | BN | Lumut | 2015-06-11 / 20150158835 - RELATING TO PROPYLENE OXIDE PURIFICATION | 1 |
Ruud Kaandorp | NL | Castricum | 2009-09-03 / 20090217839 - Device Comprising a Guide, Rail System and Transport Mechanism for Use in Such a Device | 1 |
Wouter Petrus Kaandorp | NL | Best | 2014-12-18 / 20140369043 - CONTROL INTERFACE MODULE | 5 |
Wouter Petrus Kaandorp | NL | Eindhoven | 2015-12-24 / 20150369456 - RELEASABLE OPTICAL ELEMENT | 2 |
Jason M. Kaanta | US | Pine | 2016-04-28 / 20160114371 - Apparatus and Method for Forming a Cup with a Reformed Bottom | 3 |
Bradley C. Kaanta | US | Cambridge | 2014-07-10 / 20140191733 - Fuel Cell System Having a Safety Mode | 1 |
Bradley Kaanta | US | Cambridge | 2014-01-23 / 20140024073 - BIO-MEMS FOR DOWNHOLE FLUID ANALYSIS | 2 |
Lakshmi Sireesha Kaanumalle | US | Niskayuna | 2016-03-10 / 20160069782 - METHODS OF ANALYZING AN H&E STAINED BIOLOGICAL SAMPLE | 8 |
Lakshmi Sireesha Kaanumalle | US | Niskayuna | 2016-03-10 / 20160069782 - METHODS OF ANALYZING AN H&E STAINED BIOLOGICAL SAMPLE | 8 |
Lakshmi S. Kaanumalle | US | Schenectady | 2013-05-23 / 20130131324 - Novel Fluorescent Dyes and Uses Thereof | 2 |
Lakshmi Sireesha Kaanumalle | US | Schenectady | 2013-06-27 / 20130165330 - PHOTOACTIVATED CHEMICAL BLEACHING OF DYES | 1 |
Dustin L. Kaap | US | Rockford | 2013-10-31 / 20130288082 - WARMING FEATURE FOR AIRCRAFT FUEL CELLS | 4 |
Eero Kaappa | FI | Tampere | 2012-08-09 / 20120203858 - TRANSACTION CONTROL ARRANGEMENT FOR DEVICE MANAGEMENT SYSTEM | 1 |
Eero Kaappa | FI | Tempere | 2014-07-24 / 20140207972 - TRANSACTION CONTROL ARRANGEMENT FOR DEVICE MANAGEMENT SYSTEM | 1 |
Simon G. Kaar | IE | Cork | 2016-04-07 / 20160095991 - DOSE COUNTER FOR A METERED-DOSE INHALER | 6 |
Joel L. Kaar | US | Bryn Mawr | 2012-02-16 / 20120040429 - DEVICES, SYSTEMS AND METHODS FOR REDUCING THE CONCENTRATION OF A CHEMICAL ENTITY IN FLUIDS | 2 |
Waltraud Kaar | AU | Indooroopilly | 2010-10-28 / 20100273983 - METHOD OF PURIFYING PEPTIDES BY SELECTIVE PRECIPITATION | 3 |
Simon Kaar | IE | Co. Cork | 2015-09-03 / 20150246193 - DOSE COUNTERS FOR INHALERS, INHALERS AND METHODS OF ASSEMBLY THEREOF | 14 |
Waltraud Kaar | AT | Vienna | / - | 1 |
Kari Pentti Ilari Kaarela | FI | Oulu | 2009-10-01 / 20090249355 - METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT FOR PROVIDING AN INFORMATION MODEL-BASED USER INTERFACE | 3 |
Elina Kaarela | FI | Oulu | 2014-01-23 / 20140026047 - ENHANCED UPNP AV MEDIA RENDERER | 1 |
Kari Kaarela | FI | Oulu | 2015-08-06 / 20150217194 - GAMING VIA PEER-TO-PEER NETWORKS | 13 |
Nora Kaarela | FI | Helsinki | 2015-07-30 / 20150208919 - Gaze Guidance Arrangement | 2 |
Kari Kaarela | FI | Oulu | 2015-08-06 / 20150217194 - GAMING VIA PEER-TO-PEER NETWORKS | 13 |
Topi Kaaresoja | FI | Tuusula | 2009-06-18 / 20090156266 - Vibration Motor as a Transducer of Audio | 1 |
Thomas W. Kaaret | US | Alamo | 2014-10-09 / 20140302107 - OXIDIZING BLEACH COMPOSITION | 11 |
Susanna Kaariainen | FI | Espoo | 2014-09-18 / 20140271438 - EXTRACTION OF NITROGEN FROM ORGANIC MATERIALS THROUGH AMMONIFICATION BY MIXED BACTERIAL POPULATIONS | 2 |
Tommi Kaariainen | FI | Mikkeli | 2015-09-10 / 20150250731 - METHOD FOR COATING PHARMACEUTICAL SUBSTRATES | 1 |
Håvard Kaarigstad | NO | Sola | 2014-10-30 / 20140319080 - TEST EQUIPMENT SIMULATING MULTIPLE FLUID SEQUENCES | 1 |
Juha Kaario | FI | Tampere | 2011-03-31 / 20110078761 - METHOD AND APPARATUS FOR EMBEDDING REQUESTS FOR CONTENT IN FEEDS | 3 |
Niels C. Kaarsholm | DK | Vanlose | 2009-07-09 / 20090176700 - Derivatives Of GLP-1 Analogs | 1 |
Niels Christian Kaarsholm | DK | Vanlose | 2011-02-24 / 20110046049 - Soluble, Stable Insulin-Containing Formulations with a Protamine Salt | 1 |
Niels Christian Kaarsholm | DK | Vanloese | 2009-05-14 / 20090123563 - Pharmaceutical Preparations Comprising Insulin, Zinc Ions and Zinc-Binding Ligand | 1 |
Daniel Joseph Kaars Sijpesteijn | AU | Seven Hills | 2009-08-06 / 20090194111 - Elbow for mask system | 1 |
Vemund Kaarstad | NO | Oslo | 2012-10-18 / 20120262099 - JACK-UP PLATFORM | 2 |
Olav Kaarstein | NO | Tolsvsrod | 2012-03-22 / 20120066836 - Foldable stretcher and system for transporting a patient on said stretcher | 1 |
Sander Kaart | NL | The Hague | 2011-11-17 / 20110277498 - METHOD AND APPARATUS FOR CONTROLLING A REGRIGERANT COMPRESSOR, AND USE THEREOF IN A METHOD OF COOLING A HYDROCARBON STREAM | 9 |
Sander Kaart | NL | The Hague | 2011-11-17 / 20110277498 - METHOD AND APPARATUS FOR CONTROLLING A REGRIGERANT COMPRESSOR, AND USE THEREOF IN A METHOD OF COOLING A HYDROCARBON STREAM | 9 |
Raimo Kaartinen | FI | Hyvinkaa | 2012-07-05 / 20120168258 - METHOD AND ARRANGEMENT FOR PREVENTING THE UNINTENDED MOVEMENT OF AN ELEVATOR CAR | 1 |
Kalevi Kaartinen | DE | Erkrath | 2012-10-11 / 20120258745 - COMMUNICATION NETWORK TERMINAL SUPPORTING A PLURALITY OF APPLICATIONS | 2 |
Niilo Kaartinen | FI | Kuusisto | 2011-08-18 / 20110201121 - METHOD OF TESTING A LIQUID SAMPLE, A TEST UNIT, AND AN AUTOMATIZED SYSTEM OF A PLURALITY OF TEST UNITS | 1 |
John Kaarto | US | Missouri City | 2014-05-08 / 20140124405 - PROPYLENE/ETHYLENE COPOLYMER FILM FOR HEAT SEAL | 9 |
Timo Kaas | DE | Balingen | 2009-04-30 / 20090107371 - Gripper for a tufting machine | 3 |
Povl Kaas | DK | Herning | 2016-03-31 / 20160089548 - APPARATUS AND METHOD FOR PROMOTING D-VITAMIN PRODUCTION IN A LIVING ORGANISM | 2 |
Gerald E. Kaas | US | Castle Rock | 2010-02-25 / 20100049381 - System and Method for Simultaneously Processing Telemetry Data | 2 |
Tom Kaas | FI | Solf | 2014-10-02 / 20140292087 - Load Sharing Arrangement | 5 |
Randy Kaas | US | Phoenix | 2010-07-01 / 20100165785 - WHISK ATTACHMENT | 1 |
Markus J. Kaas | DE | Mannheim | 2010-07-15 / 20100179946 - SYSTEM AND METHOD FOR HANDLING PARALLEL UPDATES OF OBJECTS REQUIRING TIME SENSITIVE ACKNOWLEDGEMENT | 2 |
Markus Kaas | DE | Mannheim | 2014-06-26 / 20140180461 - REAL-TIME ACTIVITY PLANNING AND MONITORING OF ACTIVITY EXECUTION | 1 |
Raymond Kaas | FR | Chapelle Sur Erdre | 2012-01-26 / 20120021477 - Method for the Fixation of CO2 and for Treating Organic Waste by Coupling an Anaerobic Digestion System and a Phytoplankton Microorganism Production System | 1 |
Baard Kaasa | NO | Stavanger | 2014-11-27 / 20140346033 - REGENERATION OF KINETIC HYDRATE INHIBITOR | 2 |
Øyvind Kaasa | NO | Ulefoss | 2013-05-30 / 20130133845 - PRESSURE RELIEF PANEL | 2 |
Glenn-Ole Kaasa | NO | Stavanger | 2012-10-04 / 20120247831 - CONTROL METHOD AND APPARATUS FOR WELL OPERATIONS | 1 |
Baard Kaasa | NO | Ranheim | 2014-10-30 / 20140318737 - MULTI-PHASE DISTRIBUTION SYSTEM, SUB SEA HEAT EXCHANGER AND A METHOD OF TEMPERATURE CONTROL FOR HYDROCARBONS | 4 |
Janne Pekko Kaasalainen | FI | Espoo | 2014-08-07 / 20140223376 - METHOD AND APPARATUS FOR A SLIDER INTERFACE ELEMENT | 4 |
Hannu Kaasalainen | FI | Lohja | 2008-09-25 / 20080233325 - Multi-layered liner, a multi-layered product which includes the release liner and a method for making the release liner | 1 |
Janne P. Kaasalainen | FI | Espoo | 2010-08-26 / 20100217794 - METHOD AND APPARATUS FOR ADAPTIVE MEDIA TRANSFER | 1 |
Janne Kaasalainen | FI | Espoo | 2016-03-24 / 20160085947 - SHARING MEDIA CONTENT WITH MULTIPLE RECIPIENTS | 2 |
Janne Kaasalainen | FI | Helsinki | 2009-11-12 / 20090279682 - Method, system, and apparatus for access of network services using subsciber identities | 4 |
Janne Pekko Kaasalainen | FI | Helsinki | 2014-06-19 / 20140173106 - METHODS, APPARATUSES, AND COMPUTER PROGRAM PRODUCTS FOR PROVIDING FILTERED SERVICES AND CONTENT BASED ON USER CONTENT | 2 |
Svend Kaasgaard | DK | Skovlunde | 2014-07-24 / 20140206026 - Method for Screening Alpha-Amylases | 11 |
Svend Kaasgaard | DK | Skovlunde | 2014-07-24 / 20140206026 - Method for Screening Alpha-Amylases | 11 |
Kurt F. Kaashoek | US | Scottsdale | 2014-03-20 / 20140076774 - Automated Wafer Container with Equipment Interface | 1 |
Jouko Kaasila | FI | Oulu | 2015-11-05 / 20150319071 - SYSTEM FOR PROVIDING TEST ENVIRONMENTS FOR EXECUTING AND ANALYSING TEST ROUTINES | 2 |
Veli-Pekka Kaasila | FI | Oulu | 2009-10-15 / 20090258621 - Noise/signal estimation for wireless systems | 1 |
Jussi Kaasinen | FI | Espoo | 2011-10-20 / 20110257544 - Apparatus for Detecting Body Condition | 4 |
Shaun A. Kaasten | CA | Calgary | 2015-08-20 / 20150234893 - SYSTEM AND METHOD FOR FILTERING AND ORGANIZING ITEMS BASED ON COMMON ELEMENTS | 2 |
Shaun Kaasten | CA | Toronto | 2013-08-29 / 20130226993 - MEDIA ACQUISITION ENGINE AND METHOD | 1 |
Shaun A. Kaasten | US | Kirkland | 2013-10-03 / 20130263060 - VIRTUAL ADDRESS BAR USER INTERFACE CONTROL | 3 |
Simon Kaastra | NL | Domxperlo | 2009-12-10 / 20090302013 - DEVICE FOR HEATING LIQUIDS | 1 |
Froukje Kaastra | NL | Terband | 2015-01-15 / 20150018107 - Attraction for Amusement Rides Based on Motion Simulation | 1 |
Simon Kaastra | NL | Dinxperlo | 2009-09-03 / 20090218333 - HEATING ELEMENT FOR APPLICATION IN A DEVICE FOR HEATING LIQUIDS | 5 |
Tero Kaatrasalo | FI | Iisalmi | 2015-07-16 / 20150195999 - Stripping Blade for a Forestry Machine | 1 |
Tero Kaatrasalo | FI | Ilsalmi | 2016-05-05 / 20160121784 - System for Controlling Work Lights in a Forestry Machine | 1 |
Torsten Kaatz | DE | Bassum | / - | 1 |
Christian Kaatz | DE | Berlin | 2013-10-24 / 20130278594 - METHOD AND APPARATUS FOR PRESENTING GEO-TRACES USING A REDUCED SET OF POINTS BASED ON AN AVAILABLE DISPLAY AREA | 1 |
Richard A. Kaatz | US | Milford | 2015-06-11 / 20150159710 - FRICTION MATERIAL AND METHODS OF MAKING AND USING THE SAME | 1 |
Gary Frederick Kaatz | US | Barrington | 2016-03-24 / 20160087593 - Scalable Periphery Tunable Matching Power Amplifier | 3 |
Mohammad R. Kaazempur-Mofrad | US | Cambridge | 2014-08-21 / 20140234953 - FABRICATION OF VASCULARIZED TISSUE USING MICROFABRICATED TWO-DIMENSIONAL MOLDS | 1 |
Mohammad Reza Kaazempur-Mofrad | US | Cambridge | 2011-03-10 / 20110056882 - MICROMACHINED BILAYER UNIT OF ENGINEERED TISSUES | 3 |
Liridon Kaba | CH | Liebefeld | 2015-06-11 / 20150159427 - ANTI-INTRUSION SLIDING DOOR | 1 |
Naoto Kaba | JP | Hiratsuka-Shi | 2013-10-17 / 20130269848 - Pneumatic Tire | 2 |
James Kaba | US | Millstone | 2009-08-27 / 20090212995 - DISTRIBUTED ITERATIVE MULTIMODAL SENSOR FUSION METHOD FOR IMPROVED COLLABORATIVE LOCALIZATION AND NAVIGATION | 1 |
Ryotaro Kaba | JP | Osaka-Shi | 2016-03-17 / 20160075893 - OIL-BASED PIGMENT INK COMPOSITION AND OIL-BASED PIGMENT INK WRITING TOOL | 1 |
Sadayuki Kaba | JP | Gunma | 2009-09-17 / 20090231362 - Method of Reproducing Microscope Observation, Device of Reproducing Microscope Observation, Program for Reproducing Microscope Observation, and Recording Media Thereof | 1 |
Martin B. Kaback | US | Slingerlands | 2009-06-11 / 20090147218 - PUPILLARY REFLEX IMAGING | 1 |
Brinda Kabada | CA | Calgary | 2012-01-12 / 20120007804 - INTERACTIVE INPUT SYSTEM AND METHOD | 2 |
Mohan Kabadi | US | Marlboro | 2016-01-28 / 20160022592 - TARGETED GASTROINTESTINAL TRACT DELIVERY OF PROBIOTIC ORGANISMS AND/OR THERAPEUTIC AGENTS | 2 |
Ajay Kumar Kabadi | IN | Gadag | 2015-05-07 / 20150124699 - METHOD AND SYSTEM FOR HANDLING AUDIO PACKETS DURING A VOLTE CALL | 1 |
Ajay Kumar Kabadi | KR | Gyeonggi-Do | 2015-10-08 / 20150289224 - METHOD AND SYSTEM TO IMPROVE REGISTRATION FOR VoLTE DEVICES IN LTE NETWORKS | 1 |
Vinay V. Kabadi | IN | Navanagar | 2015-07-02 / 20150189092 - Audio Acoustic Echo Cancellation for Video Conferencing | 2 |
Ajay Kumar Kabadi | IN | Bangalore | 2015-10-01 / 20150281914 - METHOD AND APPARATUS FOR ENABLING CALL SHARING BETWEEN USER DEVICES | 3 |
Ashok N. Kabadi | US | Portland | 2015-05-07 / 20150127983 - TEST, VALIDATION, AND DEBUG ARCHITECTURE | 1 |
Ajaykumar Kabadi | IN | Bangalore | 2015-12-03 / 20150350965 - A METHOD AND SYSTEM TO MINIMIZE DELAY IN CIRCUIT-SWITCHED FALLBACK (CSFB) PROCEDURE | 1 |
Sandeep Nagaraj Kabadi | IN | Bangalore | 2013-05-30 / 20130134960 - ELECTRICAL HAZARD WARNING IN AUDIO SIGNAL PROBE | 1 |
Eiad Kabaha | DE | Bonn | 2015-01-15 / 20150017714 - CENTRIFUGATION CHAMBER WITH GAS PERMEABLE MEMBRANE LAYERS FOR CELL CULTIVATION | 6 |
Eiad Kabaha | DE | Bergisch Gladbach | 2013-11-21 / 20130310241 - CENTRIFUGATION CHAMBER WITH DEFLECTORS | 2 |
Takahito Kabai | JP | Shizuoka | 2015-09-17 / 20150261146 - IMAGE FORMING APPARATUS AND METHOD USING DECOLORABLE INK | 9 |
Takahito Kabai | JP | Shizuoka | 2015-09-17 / 20150261146 - IMAGE FORMING APPARATUS AND METHOD USING DECOLORABLE INK | 9 |
Tsuyoshi Kabai | JP | Osaka-Shi | 2015-08-27 / 20150240932 - SPROCKET AND CHAIN TRANSMISSION MECHANISM | 1 |
Takahito Kabai | JP | Shizuoka-Ken | 2015-09-24 / 20150268571 - ELECTROPHOTOGRAPHIC TONER AND METHOD FOR PRODUCING THE SAME | 16 |
Takahito Kabai | JP | Mishima-Shi | 2015-12-24 / 20150370191 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 1 |
Takahito Kabai | JP | Shizuoka-Ken | 2015-09-24 / 20150268571 - ELECTROPHOTOGRAPHIC TONER AND METHOD FOR PRODUCING THE SAME | 16 |
Tsuyoshi Kabai | JP | Osaka | 2010-12-02 / 20100304911 - VIBRATION-PROOF SILENT CHAIN | 3 |
Takahito Kabai | JP | Tokyo | 2010-08-05 / 20100194839 - IMAGE ERASING APPARATUS | 1 |
Márta Kabai Jánosné | HU | Budapest | 2013-06-06 / 20130140980 - FLUORESCENT LAMP OF IMPROVED LUMEN MAINTENANCE AND MERCURY CONSUMPTION | 1 |
Márta Kabai Jánosné | HU | Budapest | 2013-06-06 / 20130140980 - FLUORESCENT LAMP OF IMPROVED LUMEN MAINTENANCE AND MERCURY CONSUMPTION | 1 |
Márta Kabai Jánosné | HU | Budapest | 2013-06-06 / 20130140980 - FLUORESCENT LAMP OF IMPROVED LUMEN MAINTENANCE AND MERCURY CONSUMPTION | 1 |
Omer Kabak | IL | Haifa | 2009-06-18 / 20090155341 - Medical device and a method for applying a biochemically active material on one or more body parts | 1 |
Mustafa Kabakci | TR | Istanbul | 2012-10-18 / 20120261367 - FOLDABLE PRODUCT DISPLAY STAND | 1 |
Cengiz Kabakci | TR | Ankara | 2008-11-13 / 20080281199 - SYSTEM AND METHOD FOR A DUAL SHOCK SOURCE LITHOTRIPSY SYSTEM | 1 |
Mikio Kabaki | JP | Hyogo | 2008-10-30 / 20080269509 - Oxidation of Alcohol with Use of Hydrogen Peroxide and Tungsten Catalyst | 1 |
Edouard Kabakian | FR | Gaillac | 2014-03-06 / 20140065701 - METHOD FOR HARVESTING MICROALGAE AND DEVICE FOR IMPLEMENTING SAID METHOD | 2 |
Ayman Kabakibi | US | San Diego | 2009-02-05 / 20090035306 - QUINAZOLINONE MODULATORS OF TGR5 | 2 |
Vladimir M. Kabakov | US | Arlington | 2009-04-30 / 20090107602 - Self-inflating tire valve | 1 |
Serguei Kabakov | US | Savage | 2014-09-18 / 20140276070 - Method and Device for Fetal Heart Rate Monitoring with Maternal Contribution Detection | 6 |
Serguei Kabakov | US | Laurel | 2011-09-29 / 20110237906 - SYSTEM AND METHOD FOR GRAPHICAL DISPLAY OF MEDICAL INFORMATION | 4 |
Keeley M. Kabala | US | Elgin | 2015-01-22 / 20150020406 - DISHWASHERS HAVING PHASE CHANGE MATERIALS | 7 |
Keeley Michael Kabala | US | Elgin | 2010-12-23 / 20100320297 - RESTRICTIVE THROAT MECHANISM FOR PAPER SHREDDERS | 1 |
Anna Kabala | DE | Reilingen | 2013-06-20 / 20130159981 - EXTENSION MECHANISM FOR SCRIPTING LANGUAGE COMPILER | 2 |
Keeley Kabala | US | Elgin | 2012-11-01 / 20120275852 - BLENDER JAR INTERLOCK | 3 |
Maciej Kabala | DE | Reilingen | 2015-05-28 / 20150149745 - PARALLELIZATION WITH CONTROLLED DATA SHARING | 3 |
Maciej Kabala | DE | Walldorf | 2014-06-26 / 20140181024 - APPROACH FOR MODULARIZED SYCHRONIZATION AND MEMORY MANAGEMENT | 1 |
George Walter Kabalka | US | Knoxville | 2011-05-12 / 20110107634 - Window display material holder for vehicle windows | 1 |
Alexey Kabalnov | US | San Diego | 2013-12-05 / 20130323636 - ELECTROSTATIC INK COMPOSITION | 1 |
Alexey S. Kabalnov | US | San Diego | 2015-11-12 / 20150323879 - ELECTROSTATIC INK COMPOSITIONS, METHODS AND PRINT SUBSTRATES | 8 |
Alexey Kabalnov | US | Corvallis | 2010-12-30 / 20100329984 - RESPIRATORY DISPERSION FOR METERED DOSE INHALERS | 4 |
Alexey S. Kabalnov | US | Corvallis | / - | 1 |
Alexey S. Kabalnov | US | San Diego | 2015-11-12 / 20150323879 - ELECTROSTATIC INK COMPOSITIONS, METHODS AND PRINT SUBSTRATES | 8 |
Lioubov Kabalnova | US | San Diego | 2015-02-12 / 20150045451 - POLYMERIC BIOMATERIALS DERIVED FROM MONOMERS COMPRISING HYDROXYACIDS AND PHENOL COMPOUNDS AND THEIR MEDICAL USES | 5 |
Leonard B. Kaban | US | Charlestown | 2009-04-02 / 20090088766 - Distraction Osteogenesis Methods and Devices | 1 |
Amin Kabani | CA | Winnipeg | 2016-01-07 / 20160002321 - MONOCLONAL ANTIBODIES TO ANTHRAX PROTECTIVE ANTIGEN | 2 |
Vladimir Alexeevich Kabanov | RU | Moscow | 2009-08-13 / 20090200375 - METHOD AND SYSTEM FOR PREPARATION AND IMPLEMENTATION OF ELECTRONIC VOTING | 1 |
Alexander V. Kabanov | US | Omaha | 2014-06-19 / 20140170197 - POLYMERIC DELIVERY SYSTEMS FOR ACTIVE AGENTS | 13 |
Alexander Kabanov | US | Chapel Hill | 2015-06-04 / 20150151006 - Compositions and Methods for Gene Therapy | 1 |
Evgueni Kabanov | EE | Tartu | 2012-12-20 / 20120324433 - METHOD AND ARRANGEMENT FOR RE-LOADING A CLASS | 2 |
Alexander V. Kabanov | US | Omaha | 2014-06-19 / 20140170197 - POLYMERIC DELIVERY SYSTEMS FOR ACTIVE AGENTS | 13 |
Alexander V. Kabanov | US | Chapel Hill | 2015-10-29 / 20150306181 - DELIVERY OF BIOTHERAPEUTICS TO THE BRAIN | 8 |
Jevgeni Kabanov | EE | Tallinn | 2015-08-06 / 20150220421 - System and Method for Providing Runtime Diagnostics of Executing Applications | 1 |
Sergey Kabanov | US | Cranbury | 2008-10-09 / 20080247636 - Method and System for Interactive Virtual Inspection of Modeled Objects | 1 |
Alexander V. Kabanov | US | Chapel Hill | 2015-10-29 / 20150306181 - DELIVERY OF BIOTHERAPEUTICS TO THE BRAIN | 8 |
Andrei Kabanov | SE | Malmo | 2010-04-01 / 20100081402 - POWER CONTROL CIRCUITRY FOR A MOBILE TERMINAL APPLICATION | 1 |
Richard M. Kabara | US | Cromwell | 2010-06-24 / 20100159149 - APPARATUS FOR REDUCING STRESS WHEN APPLYING COATINGS, PROCESSES FOR APPLYING THE SAME AND THEIR COATED ARTICLES | 2 |
Hidetoshi Kabasawa | JP | Kanagawa | 2014-12-18 / 20140368088 - PIEZOELECTRIC DEVICE AND ELECTRONIC APPARATUS | 1 |
Takashi Kabasawa | JP | Takasaki-Shi | 2012-11-15 / 20120286722 - Charger | 2 |
Takashi Kabasawa | JP | Minato-Ku, Tokyo | 2016-04-14 / 20160105045 - POWER SUPPLY DEVICE | 1 |
Naoaki Kabasawa | JP | Tokyo | 2016-04-28 / 20160118591 - ORGANIC ELECTROLUMINESCENT DEVICE | 13 |
Yasunari Kabasawa | JP | Hachioji-Shi | 2014-09-04 / 20140248170 - MICRODIAPHRAGM PUMP | 2 |
Hidetoshi Kabasawa | JP | Tokyo | 2015-11-19 / 20150331499 - INFORMATION PROCESSING APPARATUS, INPUT APPARATUS, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND PROGRAM | 12 |
Mitsuaki Kabasawa | JP | Ehime | 2015-12-10 / 20150357160 - ION IMPLANTATION APPARATUS AND ION IMPLANTATION METHOD | 14 |
Shiho Kabasawa | JP | Tokyo | 2014-07-17 / 20140200513 - ENDOSCOPE | 1 |
Yasunari Kabasawa | JP | Hannou-Shi | 2009-11-05 / 20090274939 - FUEL CELL SYSTEM, FUEL CELL SYSTEM DRIVE METHOD AND FUEL CONTAINER FOR POWER GENERATION | 1 |
Takashi Kabasawa | JP | Maebashi-Shi | 2011-06-09 / 20110133560 - SERVER AND UNINTERRUPTABLE POWER SUPPLY HOUSED IN THAT SERVER | 2 |
Hitoshi Kabasawa | JP | Saitama-Ken | 2011-06-09 / 20110133053 - CASTING MOLD SURFACE TREATMENT METHOD AND CASTING MOLD USING SAID METHOD | 1 |
Nobuyuki Kabasawa | JP | Gunma-Ken | 2011-10-20 / 20110254410 - Electric water pump | 1 |
Takashi Kabasawa | JP | Tokyo | 2014-07-03 / 20140183959 - UNINTERRUPTIBLE POWER SUPPLY | 1 |
Hitoshi Kabasawa | JP | Annaka | 2016-03-10 / 20160067749 - ULTRASONIC CLEANING APPARATUS AND METHOD FOR CLEANING | 2 |
Hitoshi Kabasawa | JP | Omiya-Shi | 2012-11-15 / 20120288622 - CASTING MOLD SURFACE TREATMENT METHOD | 1 |
Naoaki Kabasawa | JP | Tokyo | 2016-04-28 / 20160118591 - ORGANIC ELECTROLUMINESCENT DEVICE | 13 |
Naoki Kabasawa | JP | Tokyo | 2012-05-24 / 20120126220 - COMPOUND HAVING SUBSTITUTED ANTHRACENE RING STRUCTURE AND PYRIDOINDOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE | 1 |
Yasunari Kabasawa | JP | Tokyo | 2010-01-21 / 20100012568 - FUEL CARTRIDGE | 3 |
Kenichi Kabasawa | JP | Saitama | 2015-04-09 / 20150097774 - OPERATION METHOD, CONTROL APPARATUS, AND PROGRAM | 33 |
Yasunari Kabasawa | JP | Hanno-Shi | 2010-07-15 / 20100178594 - POWER GENERATION DEVICE, FUEL PACKAGE, AND REMAINING FUEL AMOUNT MEASURING DEVICE | 4 |
Yasunari Kabasawa | JP | Saitama | 2010-09-16 / 20100230833 - Liquid Cartridge | 2 |
Hitoshi Kabasawa | JP | Nishishirakawa | 2014-02-20 / 20140048100 - METHOD FOR CLEANING SEMICONDUCTOR WAFER | 2 |
Hitoshi Kabasawa | JP | Nishishirakawa-Gun | 2013-09-12 / 20130233344 - METHOD FOR CLEANING SEMICONDUCTOR WAFER | 1 |
Mitsuaki Kabasawa | JP | Saijo-Shi | 2008-10-16 / 20080251713 - ION IMPLANTATION APPARATUS AND ION IMPLANTATION METHOD | 1 |
Kazuhiro Kabasawa | JP | Saitama-Shi | 2010-06-17 / 20100149903 - DISPERSING APPARATUS, DISPERSION METHOD, AND METHOD OF MANUFACTURING DISPERSION | 1 |
Takashi Kabasawa | JP | Yachiyo-Shi, Chiba | 2016-03-10 / 20160069350 - Stator Disk and Vacuum Pump | 1 |
Uki Kabasawa | JP | Hitachinaka | 2009-05-21 / 20090127458 - Methods for Sample Preparation and Observation, Charged Particle Apparatus | 1 |
Hidetoshi Kabasawa | JP | Saitami | 2011-07-28 / 20110181731 - IMAGE PICKUP APPARATUS | 1 |
Takashi Kabasawa | JP | Gunma | 2008-12-04 / 20080297118 - Battery charging circuit | 1 |
Tomoyuki Kabasawa | JP | Tokyo | 2012-09-27 / 20120244703 - TRAY FOR CVD AND METHOD FOR FORMING FILM USING SAME | 2 |
Junnichi Kabasawa | JP | Tokyo | 2014-10-16 / 20140308469 - MANUFACTURING METHOD OF GLASS INTEGRALLY MOLDED PRODUCT AND GLASS INTEGRALLY MOLDED PRODUCT | 1 |
Masayuki Kabasawa | JP | Tokyo | 2010-01-28 / 20100021085 - Image processing apparatus, image processing method and program | 1 |
Takashi Kabasawa | JP | Chiba-Shi, Chiba | 2014-02-20 / 20140050607 - Vacuum Pump and Rotor Thereof | 1 |
Hidetoshi Kabasawa | JP | Tokyo | 2015-11-19 / 20150331499 - INFORMATION PROCESSING APPARATUS, INPUT APPARATUS, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND PROGRAM | 12 |
Kazuhiro Kabasawa | JP | Tokyo | 2009-09-17 / 20090234045 - METHOD FOR MANUFACTURING POLYMER COMPOSITE | 1 |
Takashi Kabasawa | JP | Chiba | 2013-08-15 / 20130209272 - VACUUM PUMP CONTROL DEVICE AND VACUUM PUMP | 4 |
Hiroyuki Kabasawa | JP | Tokyo | 2014-02-06 / 20140035575 - SENSITIVITY DISTRIBUTION GENERATING APPARATUS, MAGNETIC RESONANCE SYSTEM, SENSITIVITY DISTRIBUTION GENERATING METHOD, AND PROGRAM | 7 |
Yasunari Kabasawa | JP | Saitama-Ken | 2009-06-04 / 20090140194 - VALVE DEVICE AND MULTI-LAYER SUBSTRATE | 1 |
Hiroaki Kabasawa | JP | Atsugi-Shi | 2016-05-12 / 20160131221 - BALANCER DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Mitsuaki Kabasawa | JP | Ehime | 2015-12-10 / 20150357160 - ION IMPLANTATION APPARATUS AND ION IMPLANTATION METHOD | 14 |
Takashi Kabasawa | JP | Chiba-Shi | 2015-07-02 / 20150184669 - VACUUM PUMP PART AND VACUUM PUMP | 2 |
Kenichi Kabasawa | JP | Saitama | 2015-04-09 / 20150097774 - OPERATION METHOD, CONTROL APPARATUS, AND PROGRAM | 33 |
Nobuyuki Kabasawa | JP | Kiryu-Shi | 2014-10-02 / 20140290598 - CONTROL APPARATUS OF ELECTRIC WATER PUMP | 1 |
Hitoshi Kabasawa | JP | Saitama | 2014-10-09 / 20140299582 - CURRENT DIFFUSION BONDING APPARATUS AND CURRENT DIFFUSION BONDING METHOD | 1 |
Katsunori Kabasawa | JP | Nagaoka-Shi | 2014-02-06 / 20140033490 - COMPOSITE PROCESSING MACHINE | 2 |
Nobuyuki Kabasawa | JP | Isesaki-Shi | 2014-05-29 / 20140145544 - STATOR AND ELECTRIC MOTOR HAVING THE SAME | 1 |
Naoaki Kabasawa | JP | Ibaraki | 2013-12-26 / 20130341604 - COMPOUND HAVING SUBSTITUTED ANTHRACENE RING STRUCTURE AND PYRIDOINDOLE RING STRUCTURE AND ORGANIC ELECTROLUMINESCENCE DEVICE | 3 |
Hidetoshi Kabasawa | JP | Saitama | 2015-10-22 / 20150301625 - IMAGE DISPLAY APPARATUS AND METHOD, IMAGE DISPLAY SYSTEM, AND PROGRAM | 29 |
Nobuyuki Kabasawa | JP | Gunma | 2013-03-21 / 20130069469 - ROTOR OF MOTOR, METHOD OF PRODUCING THE ROTOR, INNER ROTOR-TYPE BRUSHLESS MOTOR AND METHOD OF PRODUCING THE MOTOR | 1 |
Shuji Kabashima | JP | Tokyo | 2016-02-11 / 20160039702 - MOLTEN GLASS CONDUIT STRUCTURE, AND DEVICE AND METHOD USING CONDUIT STRUCTURE | 1 |
Nobusuke Kabashima | JP | Susono-Shi | 2014-05-22 / 20140141966 - EXHAUST GAS PURIFYING CATALYST AND PRODUCTION PROCESS THEREOF | 1 |
Nobusuke Kabashima | JP | Shizuoka | 2012-05-03 / 20120107188 - EXHAUST GAS PURIFYING CATALYST AND PRODUCTION PROCESS THEREOF | 1 |
Kenji Kabashima | JP | Kyoto | 2015-07-09 / 20150190416 - FILAGGRIN PRODUCTION PROMOTER, THERAPEUTIC AGENT FOR DISEASES ASSOCIATED WITH REDUCTION IN PRODUCTION OF FILAGGRIN, AND METHOD FOR SCREENING FOR SAID THERAPEUTIC AGENT | 1 |
Kenji Kabashima | JP | Kyoto-Shi | 2014-11-20 / 20140343034 - Skin barrier function improving agent | 1 |
Masaru Kabashima | JP | Kawasaki | 2014-02-06 / 20140036867 - APPARATUS AND METHOD FOR DETERMINING A FORMAT OF A WIRELESS COMMUNICATION CHANNEL BASED ON A HANDOVER STATE | 2 |
Shuji Kabashima | JP | Chiyoda-Ku | 2014-02-06 / 20140033766 - METHOD FOR VACUUM-DEGASSING MOLTEN GLASS, APPARATUS FOR VACUUM-DEGASSING MOLTEN GLASS, PROCESS FOR PRODUCING MOLTEN GLASS, APPARATUS FOR PRODUCING MOLTEN GLASS, PROCESS FOR PRODUCING GLASS PRODUCT, AND APPARATUS FOR PRODUCING GLASS PRODUCT | 1 |
Kazuo Kabashima | JP | Tokyo | 2015-02-19 / 20150051070 - THERMAL RECORDING MATERIAL | 1 |
Hajime Kabashima | JP | Saitama | 2010-10-21 / 20100268442 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 2 |
Toshiyuki Kabashima | JP | Saitama-Ken | / - | 1 |
Toru Kabashima | JP | Toride-Shi | 2008-12-04 / 20080298868 - IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF | 1 |
Hirotaka Kabashima | JP | Tokyo | 2009-11-26 / 20090290899 - IMAGE FORMING APPARATUS | 2 |
Nobumasa Kabashima | JP | Kawasaki | 2010-01-21 / 20100016013 - Method And Apparatus For Transmitting Data Between Radio Equipment And Radio Equipment Controls | 1 |
Nobusuke Kabashima | JP | Toyota-Shi | 2015-09-10 / 20150252744 - EXHAUST GAS PURIFICATION APPARATUS | 1 |
Toru Kabashima | JP | Moriya-Shi | 2011-09-15 / 20110222880 - IMAGE FORMING APPARATUS | 2 |
Kenji Kabashima | JP | Fukuoka | 2010-08-26 / 20100216689 - CYTOTOXIC T CELL ACTIVATOR COMPRISING EP4 AGONIST | 1 |
Shinichiro Kabashima | JP | Tokyo | 2011-08-18 / 20110198730 - HYPERBRANCHED POLYMER SYNTHESIZING METHOD, HYPERBRANCHED POLYMER, RESIST COMPOSITION, SEMICONDUCTOR INTEGRATED CIRCUIT, AND SEMICONDUCTOR INTEGRATED CIRCUIT FABRICATION METHOD | 2 |
Yohei Kabashima | JP | Kyoto | 2015-12-31 / 20150376377 - SEMIAROMATIC POLYAMIDE RESIN COMPOSITION AND MOLDED BODY FORMED BY MOLDING SAME | 7 |
Yohei Kabashima | JP | Kyoyo | 2009-02-26 / 20090054572 - Polyester Resin Composition and Product Molded or Formed Therefrom | 1 |
Kazuo Kabashima | JP | Yokohama-Shi | 2010-04-29 / 20100105941 - NOVEL COMPOUND FOR COLOR-PRODUCING COMPOSITION, AND RECORDING MATERIAL | 2 |
Andrei V. Kabashin | CA | Montreal | 2008-10-30 / 20080266567 - PLASMON EXCITATION BY THE GAUSSIAN-LIKE CORE MODE OF A PHOTONIC CRYSTAL WAVEGUIDE | 1 |
Vitaly Kabashnikov | BY | Minsk | 2013-09-19 / 20130246011 - SYSTEM AND METHOD FOR EVALUATION OF FLUID FLOW IN A PIPING SYSTEM | 3 |
Daniel Francis Kabasin | US | Rochester | 2011-11-10 / 20110276252 - Heated Fuel Injector System | 1 |
Daniel F. Kabasin | US | Rochester | 2015-09-24 / 20150267671 - METHOD FOR CONTROLLING A FUEL HEATER | 6 |
Daniel Michael Kabat | US | Oxford | 2009-08-20 / 20090205327 - Helical Exhaust Passage | 2 |
Jack Kabat | US | Sammamish | 2016-04-28 / 20160117517 - PROVIDING POLICY TIPS FOR DATA LOSS PREVENTION IN COLLABORATIVE ENVIRONMENTS | 7 |
Zbigniew Kabat | US | Schaumburg | 2009-12-31 / 20090321586 - Cable Retainer | 1 |
Daniel Kabat | US | Oxford | 2011-05-26 / 20110120984 - Method and Apparatus for Rapidly Thawing Frozen NOx Reductant | 3 |
Andrzej Kabat | FR | Brest | 2011-06-30 / 20110161785 - METHOD FOR TRANSMITTING A DIGITAL SIGNAL BETWEEN AT LEAST TWO TRANSMITTERS AND AT LEAST ONE RECEIVER, USING AT LEAST ONE RELAY, AND CORRESPONDING PROGRAM PRODUCT AND RELAY DEVICE | 1 |
Toshiyuki Kabata | JP | Kanagawa | 2015-12-03 / 20150345614 - DRIVE DEVICE, IMAGE FORMING APPARATUS, AND GREASE COMPOSITION | 12 |
Kohki Kabata | JP | Yokohama-Shi | 2014-05-01 / 20140120144 - Thin Film Supporting Hyaluronic Acid Or Derivative Thereof And Thin Film Cosmetic | 1 |
Yoshitaka Kabata | JP | Awara-Shi | 2013-10-31 / 20130283619 - METHOD FOR PRODUCING A HOUSING FOR A FLUID BEARING APPARATUS | 3 |
Toshiyuki Kabata | JP | Kanagawa-Ken | 2011-06-09 / 20110135361 - CLEANING BLADE, AND IMAGE FORMING APPARATUS AND PROCESS CARTRIDGE USING THE SAME | 2 |
Yasuo Kabata | JP | Yokohama-Shi | 2015-04-23 / 20150110605 - MARINE CURRENT POWER GENERATION DEVICE | 8 |
Yasuo Kabata | JP | Kanagawa | 2011-09-08 / 20110215661 - ROTATING ELECTRICAL MACHINE AND STATOR THEREOF | 2 |
Yasuo Kabata | JP | Yokohama-Shi | 2015-04-23 / 20150110605 - MARINE CURRENT POWER GENERATION DEVICE | 8 |
Tamon Kabata | JP | Ishikawa | 2012-09-06 / 20120226283 - PATIENT-SPECIFIC ACETABULAR GUIDES AND ASSOCIATED INSTRUMENTS | 1 |
Ayumi Kabata | JP | Kawasaki-Shi | 2015-11-19 / 20150334308 - SUBJECT INFORMATION OBTAINING APPARATUS, DISPLAY METHOD, PROGRAM, AND PROCESSING APPARATUS | 1 |
Toshiyuki Kabata | JP | Yokohama-Shi | 2011-04-14 / 20110085824 - IMAGE BEARING MEMBER AND IMAGE FORMING APPARATUS | 8 |
Yasuo Kabata | JP | Yokohama | 2015-03-05 / 20150061428 - AXIAL GAP-TYPE POWER GENERATOR | 1 |
Hiroyuki Kabata | JP | Kyoto-Shi | 2012-11-01 / 20120277407 - METHOD OF LIBERATING AND RECOVERING PEPTIDE | 2 |
Toshiyuki Kabata | JP | Yokohama City | 2009-01-15 / 20090016769 - PROTECTIVE LAYER SETTING UNIT, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS USING SAME | 3 |
Hiroyuki Kabata | JP | Kobe-Shi | 2016-02-04 / 20160033500 - DEVICE, NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM, AND METHOD FOR DETERMING TYPE OF TARGET PEPTIDE | 5 |
Hisashi Kabata | JP | Tokyo | 2008-08-21 / 20080197611 - Curtain airbag and curtain airbag apparatus | 1 |
Toshiyuki Kabata | JP | Kanagawa | 2015-12-03 / 20150345614 - DRIVE DEVICE, IMAGE FORMING APPARATUS, AND GREASE COMPOSITION | 12 |
Wolfgang Kabatzke | DE | Geesthacht | 2012-06-14 / 20120148402 - Method for Operating a Pitch-Controlled Wind Turbine | 9 |
Ernest Kabay | AU | Eaglemont | 2008-09-11 / 20080218076 - ELECTROLUMINESCENT LIGHT EMITTING DEVICE | 1 |
Akinori Kabaya | JP | Hino-Shi | 2014-06-26 / 20140180274 - SURGICAL OPERATION SYSTEM | 1 |
Akinori Kabaya | DE | Berlin | 2016-03-17 / 20160074093 - TREATMENT SYSTEM | 1 |
Yoshihisa Kabaya | JP | Yokohama-Shi | 2014-06-19 / 20140168492 - PHOTOELECTRIC CONVERSION DEVICE AND CAMERA | 2 |
Akinori Kabaya | JP | Tokyo | 2011-06-30 / 20110160725 - HIGH-FREQUENCY SURGICAL APPARATUS AND MEDICAL INSTRUMENT OPERATING METHOD | 3 |
Hidekazu Kabaya | JP | Tochigi | 2010-09-23 / 20100237536 - MOLD FOR IN-MOLD COATING AND IN-MOLD COATING METHOD | 1 |
Shinji Kabaya | JP | Tokyo | 2008-10-16 / 20080250642 - LIQUID EJECTION HEAD, LIQUID EJECTION APPARATUS, AND METHOD FOR FABRICATING LIQUID EJECTION HEAD | 1 |
Akinori Kabaya | JP | Hachioji-Shi | 2010-04-08 / 20100087894 - HIGH-FREQUENCY TREATMENT APPARATUS AND HIGH-FREQUENCY TREATMENT METHOD | 3 |
Shigeru Kabayama | JP | Osaka | 2009-02-19 / 20090045121 - Dialysis Solution Preparation Water, Dialysis Solution Using Such Water, Method of Producing Dialysis Solution, and Dialysis Equipment | 2 |
Hiroyuki Kabayama | JP | Saitama | 2013-10-31 / 20130288977 - METHOD OF REGULATING NEURONAL AXON ELONGATION | 1 |
Hidetoshi Kabayama | JP | Tokyo | 2011-07-07 / 20110163867 - VEHICULAR INSTRUMENT DEVICE AND VEHICLE WITH VEHICULAR INSTRUMENT DEVICE | 2 |
Nobuhiko Kabayashi | US | Sunnyvale | 2011-07-14 / 20110168256 - Photonic Device And Method Of Making Same Using Nanowires | 1 |
Naoki Kabayashi | JP | Itoigawa-Shi | 2013-09-19 / 20130245202 - SULFUR-MODIFIED CHLOROPRENE RUBBER, MOLDING THEREOF, AND PRODUCTION METHOD THEREFORE | 1 |
Shinichi Kabayashi | JP | Nagano-Shi | 2011-05-05 / 20110106287 - Wiring forming system and wiring forming method for forming wiring on wiring board | 1 |
Michio Kabayashi | JP | Tokyo | 2013-06-06 / 20130141005 - ELECTRONIC DEVICE AND CONTROL METHOD THEREFOR | 1 |
Samer Kabbani | US | San Marcos | 2015-12-24 / 20150369861 - GROUP VISION ALIGNMENT FOR DOUBLE SIDED IC DEVICE TESTING | 3 |
Samer Kabbani | US | Carlsbad | 2013-08-22 / 20130215256 - IMAGING SYSTEM WITH DEFOCUSED AND APERTURE-CROPPED LIGHT SOURCES FOR DETECTING SURFACE CHARACTERISTICS | 1 |
Mohamad A. Kabbani | US | Houston | 2015-10-15 / 20150291430 - AMBIENT SOLID-STATE MECHANO-CHEMICAL REACTIONS BETWEEN NANOSCALE SYSTEMS | 1 |
Ahmad Toufic Kabbani | US | Houston | 2015-10-15 / 20150291430 - AMBIENT SOLID-STATE MECHANO-CHEMICAL REACTIONS BETWEEN NANOSCALE SYSTEMS | 2 |
Mohammad A. Kabbani | US | Houston | 2015-10-01 / 20150275067 - CARBON PARTICLES AND THEIR USE IN THE CHEMICAL TREATMENT OF RESERVOIRS | 1 |
Robert Kabbani | AE | Abu Dhabi | 2013-09-05 / 20130230817 - MANIPULATOR TOOL FOR LOW-PROFILE BRACKET | 2 |
Issam Kabbani | US | Torrance | 2014-04-03 / 20140095191 - INTEGRATED LAB MANAGEMENT SYSTEM | 1 |
Hazem Kabbara | US | Boylston | 2012-09-13 / 20120228936 - INTELLIGENT POWER MANAGEMENT OF AN INTERMEDIATE NETWORK DEVICE SWITCHING CIRCUITRY AND POE DELIVERY | 5 |
Omar Kabbarah | US | Danville | 2011-10-27 / 20110262464 - Compositions, Kits, and Methods for the Diagnosis, Prognosis, and Monitoring of Cancer Using GOLPH3 | 1 |
Ryan L. Kabbes | US | Delaware | 2016-02-04 / 20160031312 - CO-INJECTED SEALING STRUCTURE OF AN AIR RECIRCULATION PREVENTION DEVICE | 4 |
Rajeev Kabbur | IT | Montebello Vicentino (vi) | 2012-07-05 / 20120167528 - PROCESS FOR PRODUCING AND ASSEMBLING A MEDICAL OPERATIONS SYRINGE | 1 |
Rajeev Kabbur | IT | Montebello Vicentino | 2012-07-12 / 20120179107 - PROCESS FOR PRODUCING MEDICAL INSTRUMENTS | 1 |
Alisa Kabcenell | US | Weston | 2009-08-13 / 20090203026 - Methods and compositions for targeting secretory lysosomes | 1 |
Masaaki Kabe | JP | Kanagawa | 2016-03-17 / 20160077394 - PIXEL ARRAY SUBSTRATE STRUCTURE, METHOD OF MANUFACTURING PIXEL ARRAY SUBSTRATE STRUCTURE, DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 22 |
Yusuke Kabe | JP | Kanagawa | 2014-05-08 / 20140124515 - STORAGE BOX | 1 |
Yoshiro Kabe | JP | Nirasaki City | 2014-09-25 / 20140283734 - MICROWAVE HEAT TREATMENT METHOD | 5 |
Eiichi Kabe | JP | Saitama | 2011-06-30 / 20110157727 - COVER MEMBER, LENS UNIT AND PRODUCTION METHOD | 1 |
Masaaki Kabe | JP | Kanagawa | 2016-03-17 / 20160077394 - PIXEL ARRAY SUBSTRATE STRUCTURE, METHOD OF MANUFACTURING PIXEL ARRAY SUBSTRATE STRUCTURE, DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 22 |
Arundhati Kabe | US | Sunnyvale | 2015-09-17 / 20150257883 - MITRAL VALVE FIXATION DEVICE REMOVAL DEVICES AND METHODS | 1 |
Kazuyuki Kabe | JP | Kanagawa | 2010-10-14 / 20100262407 - COMPUTATION METHOD OF MECHANICAL MATERIAL CONSTANT OF COMPOSITE MATERIAL AND VOLUME FRACTION OF MATERIAL COMPONENT IN COMPOSITE MATERIAL, AND RECORDING MEDIUM | 4 |
Yoshiro Kabe | JP | Hyogo | 2011-02-17 / 20110039418 - METHOD FOR INSULATING FILM FORMATION, STORAGE MEDIUM FROM WHICH INFORMATION IS READABLE WITH COMPUTER, AND TREATMENT SYSTEM | 8 |
Isao Kabe | JP | Tokyo | 2010-11-11 / 20100284128 - SOLID ELECTROLYTIC CAPACITOR ELEMENT AND SOLID ELECTROLYTIC CAPACITOR USING SAME | 2 |
Arundhati Kabe | US | San Jose | 2009-12-03 / 20090297582 - VASCULAR OCCLUSION DEVICES AND METHODS | 1 |
Masaaki Kabe | JP | Tokyo | 2016-03-03 / 20160063935 - DISPLAY DEVICE AND METHOD FOR DRIVING DISPLAY DEVICE | 18 |
Masaaki Kabe | JP | Tokyo | 2016-03-03 / 20160063935 - DISPLAY DEVICE AND METHOD FOR DRIVING DISPLAY DEVICE | 18 |
Yoshiro Kabe | JP | Amagasaki-Shi | 2011-07-14 / 20110171835 - METHOD AND APPARATUS FOR FORMING SILICON OXIDE FILM | 1 |
Tomoaki Kabe | JP | Nagoya-Shi | 2013-06-27 / 20130165296 - SHIFT CONTROL APPARATUS FOR CONTINUOUSLY VARIABLE TRANSMISSION | 3 |
Kazuyuki Kabe | JP | Hiratsuka-Shi | 2009-11-12 / 20090277557 - PNEUMATIC TIRE | 1 |
Isao Kabe | JP | Minato-Ku | 2013-09-12 / 20130236385 - METHOD FOR PRODUCING LITHIUM METAL PHOSPHATE | 1 |
Satoshi Kabe | JP | Tokyo | 2013-07-18 / 20130184922 - RUNNING MODE SWITCH CONTROL DEVICE, HYBRID AUTOMOBILE, RUNNING MODE SWITCH CONTROL METHOD, AND PROGRAM | 2 |
Tatsuya Kabe | JP | Toyama | 2016-02-11 / 20160043060 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 3 |
Toshio Kabe | JP | Yokohama-Shi, Kanagawa | 2010-12-02 / 20100303609 - SUBSTANCE TRANSFER DEVICE | 1 |
Yasuaki Kabe | JP | Tokyo | 2014-04-10 / 20140099385 - INHIBITION OF FATTY ACID AND CHOLESTEROL UPTAKE BY CARBON MONOXIDE (CO) | 1 |
Yoshiro Kabe | JP | Amagasaki-City | 2011-01-27 / 20110017586 - Method for forming silicon oxide film, storage medium, and plasma processing apparatus | 2 |
Yoshiro Kabe | JP | Hyogo-Ken | 2011-07-21 / 20110174776 - PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND END POINT DETECTION METHOD | 4 |
Yoshiro Kabe | JP | Yamanashi | 2015-04-02 / 20150090708 - MICROWAVE HEATING APPARATUS AND PROCESSING METHOD | 5 |
Isao Kabe | JP | Yokohama-Shi | 2014-07-24 / 20140203218 - PRODUCTION METHOD OF POSITIVE ELECTRODE ACTIVE MATERIAL FOR LITHIUM SECONDARY BATTERY | 4 |
Kazuyuki Kabe | JP | Hiratsuka City | 2008-10-02 / 20080243446 - TIRE MODEL DETERMINING METHOD, TIRE TRANSIENT RESPONSE DATA CALCULATING METHOD, TIRE EVALUATING METHOD, AND TIRE DESIGNING METHOD | 1 |
Naoki Kabe | JP | Fukuoka | 2009-02-05 / 20090031493 - WATER DISCHARGE SYSTEM | 1 |
Kazuyuki Kabe | JP | Kanagawa-Ken | 2009-08-27 / 20090211685 - Flat Heavy-Duty Pneumatic Radial Tire and Method of Manufacturing the Same | 1 |
Sanaz Kabehie | US | Portland | 2014-04-03 / 20140091308 - SELF-ALIGNED STRUCTURES AND METHODS FOR ASYMMETRIC GAN TRANSISTORS & ENHANCEMENT MODE OPERATION | 3 |
Sanaz Kabehie | US | Los Angeles | 2010-11-11 / 20100284924 - NANO-DEVICES HAVING IMPELLERS FOR CAPTURE AND RELEASE OF MOLECULES | 1 |
Sonja Kabel | US | Garden City | 2009-06-11 / 20090145804 - Shadow Box Frame and Insert | 1 |
Gregory M. Kabel | US | Danville | 2016-03-31 / 20160089573 - Devices, Systems, and Methods for Administering Therapy | 1 |
Scott Michael Kabel | US | Corning | 2013-05-30 / 20130136565 - DEVICE AND METHODS FOR PICKING AND PLACING HOT 3D GLASS | 1 |
Joseph A. Kabel | US | Batavia | 2012-07-26 / 20120186177 - VENTED NAIL BASE ROOF ASSEMBLY AND ASSOCIATED METHOD | 1 |
Darrin W. Kabel | US | Overland Park | 2015-02-05 / 20150039222 - MARINE NAVIGATION DEVICE WITH IMPROVED CONTOUR LINES | 4 |
Keith R. Kabel | US | Shelby Township | 2014-01-23 / 20140021384 - ELECTRONICALLY CONTROLLED VALVE ASSEMBLY | 5 |
Loïc Kabelaan | FR | Charenton-Le-Pont | 2013-04-04 / 20130084778 - Process for Controlling a Lens Manufacturing Process | 1 |
Loïc Kabelaan | FR | Charenton-Le-Pont | 2013-04-04 / 20130084778 - Process for Controlling a Lens Manufacturing Process | 1 |
Loïc Kabelaan | FR | Charenton-Le-Pont | 2013-04-04 / 20130084778 - Process for Controlling a Lens Manufacturing Process | 1 |
William John Kabelac | US | Morgan Hill | 2013-01-10 / 20130013968 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR ANALYZING MONITOR DATA INFORMATION FROM A PLURALITY OF MEMORY DEVICES HAVING FINITE ENDURANCE AND/OR RETENTION | 7 |
Nikolai Kabelev | US | Irvington | 2012-06-28 / 20120162487 - REDUCING NOISE IN DIGITAL IMAGES | 5 |
Jörg Kabelitz | DE | Altbach | 2009-12-10 / 20090304466 - CUTTING PLATE SECURED BY INTERLOCK ON A SUPPORT PLATE | 1 |
Thorsten Kabelitz | DE | Munich | 2015-06-11 / 20150163863 - ELECTRICAL HEATING SYSTEM FOR A MOTOR VEHICLE | 5 |
Jörg Kabelitz | DE | Altbach | 2009-12-10 / 20090304466 - CUTTING PLATE SECURED BY INTERLOCK ON A SUPPORT PLATE | 1 |
Darren Kaberna | US | Woodland Park | 2010-11-04 / 20100276427 - TRASH CAN SYSTEM WITH A FOLDED BAG DISPENSING SUPPLY | 2 |
Yutaka Kabeshita | US | Portland | 2016-03-03 / 20160059085 - MULTILAYER SOLID GOLF BALL | 14 |
Akira Kabeshita | JP | Osaka | 2009-01-08 / 20090008398 - LIQUID SUBSTANCE SUPPLYING DEVICE | 3 |
Yutaka Kabeshita | US | Portland | 2016-03-03 / 20160059085 - MULTILAYER SOLID GOLF BALL | 14 |
Akira Kabeshita | JP | Saga | 2009-06-25 / 20090159216 - PASTE TRANSFER DEVICE AND ELECTRONIC COMPONENT MOUNTING APPARATUS | 1 |
Akira Kabeshita | JP | Yamanashi | 2012-05-24 / 20120125536 - DEVICE FOR PRESSURE-BONDING COMPONENT AND METHOD FOR PRESSURE-BONDING COMPONENT | 4 |
Yukimasa Kabeya | JP | Nagoya | 2012-11-15 / 20120285212 - Method And Apparatus For Adjusting Spring Characteristics Of A Spring | 1 |
Takayoshi Kabeya | JP | Chiba | 2011-03-03 / 20110048588 - COLD-ROLLED STEEL SHEET AND METHOD FOR MANUFACTURING THE SAME | 1 |
Shozo Kabeya | JP | Gamagori-Shi | 2012-09-27 / 20120243040 - IMAGE COMMUNICATION APPARATUS | 2 |
Naoki Kabeya | JP | Tokyo | 2011-10-06 / 20110244485 - ANTI-TUNA VASA ANTIBODY | 2 |
Akifumi Kabeya | JP | Sagamihara-Shi | 2009-08-27 / 20090213445 - Optical scanning device and optical reading system provided with the optical scanning device | 2 |
Mototsugu Kabeya | JP | Tokyo | 2009-08-27 / 20090215703 - PAR-2 Agonist | 4 |
Yasuyuki Kabeya | JP | Komaki-Shi | 2012-09-06 / 20120225257 - MOLDED PRODUCT, CLOTHING, PRODUCTION PROCESS FOR LAMINATE, AND PRODUCTION PROCESS FOR MOLDED PRODUCT | 1 |
Takahiro Kabeya | JP | Tokyo | 2015-11-05 / 20150315172 - PYRIDONE COMPOUND | 1 |
Satoshi Kabeya | JP | Aichi | 2012-09-13 / 20120227219 - Clip | 1 |
Yukihiro Kabeya | JP | Saitama | 2011-09-22 / 20110231953 - TRANSFORMED PLANT WITH INCREASED CHLOROPLASTS PER CELL | 1 |
Masayuki Kabeya | JP | Mie | 2014-10-16 / 20140309350 - THERMOPLASTIC RESIN COMPOSITION AND TOILET COMPONENTS MADE THEREFROM | 1 |
Yasunori Kabeya | JP | Toyota-Shi | 2012-12-06 / 20120308168 - SLIDING BEARING | 1 |
Yasunori Kabeya | JP | Toyota | 2016-03-31 / 20160091022 - SLIDING MEMBER | 2 |
Lori Kabigting | US | South San Francisco | 2012-02-16 / 20120040951 - HETEROARYL COMPOUNDS USEFUL AS RAF KINASE INHIBITORS | 1 |
Alena Kabil | GB | Bedford | 2009-04-16 / 20090098662 - DETERMINING THE PRESENCE OR AMOUNT OF A METAL-LABELLED SPECIES | 1 |
Satyamoorthy Kabilan | GB | Cambridge | 2012-05-03 / 20120107944 - Holographic Sensor | 7 |
Satyamoorthy Kabilian | GB | Halstead | 2009-02-26 / 20090051986 - Security Holograms | 1 |
Igor Kabiljo | US | Palo Alto | 2016-03-24 / 20160087880 - ROUTING NETWORK TRAFFIC BASED ON SOCIAL INFORMATION | 2 |
Igor Kabiljo | RS | Belgrade | 2013-01-10 / 20130013601 - SYSTEM AND METHOD FOR GROUPING OF USERS INTO OVERLAPPING CLUSTERS IN SOCIAL NETWORKS | 1 |
Igor Kabiljo | RS | Beograd | 2011-05-05 / 20110106758 - DHT-BASED DISTRIBUTED FILE SYSTEM FOR SIMULTANEOUS USE BY MILLIONS OF FREQUENTLY DISCONNECTED, WORLD-WIDE USERS | 1 |
Zahd Kabir | US | Garland | 2015-03-19 / 20150075770 - WIRELESS ACTIVATION OF WELLBORE TOOLS | 1 |
Hocine Kabir | FR | Communay | 2011-09-08 / 20110218314 - CONTINUOUS OLIGOMERIZATION OF ISOCYANATES | 1 |
Mohammad Shaflqul Kabir | SE | Goteborg | 2011-08-11 / 20110195141 - TEMPLATE AND METHOD OF MAKING HIGH ASPECT RATIO TEMPLATE FOR LITHOGRAPHY AND USE OF THE TEMPLATE FOR PERFORATING A SUBSTRATE AT NANOSCALE | 1 |
Ahsan Kabir | US | Seattle | 2015-11-26 / 20150339176 - EXTENSIBLE SYSTEM ACTION FOR SHARING WHILE REMAINING IN CONTEXT | 2 |
Zakiul Kabir | US | Hillsboro | 2012-06-28 / 20120164551 - Decreasing Electrolyte Loss in PEM Fuel Cell | 8 |
Mohammad Nizam Kabir | US | Tempe | 2015-08-27 / 20150244393 - MULTIPLE BIT SIGMA-DELTA MODULATOR WITH A COMMON MODE COMPENSATED QUANTIZER | 2 |
Abuzar Kabir | BD | Dhaka | 2015-09-17 / 20150258542 - FIELD SAMPLING KIT FOR CHEMICAL RECOVERY, STORAGE, AND PROFILING, METHOD OF MAKING AND USING THE KIT, AND A DYNAMIC FABRIC PHASE SORPTIVE EXTRACTION (DFPSE) MEDIA | 2 |
Seule Kabir | US | Kettering | 2015-12-03 / 20150345406 - Internal Combustion Engine | 1 |
Khan Lutful Kabir | US | Fairport | 2010-12-30 / 20100328050 - SERVER COMPONENT FOR MONITORING MODULES OF PRINTING MACHINES UTILIZING RFID TAGS | 2 |
Mohammad Nizam U. Kabir | US | Chandler | 2010-08-05 / 20100194612 - SWITCHED-CAPACITOR CIRCUITS, INTEGRATION SYSTEMS, AND METHODS OF OPERATION THEREOF | 1 |
M. Shahjahan Kabir | US | Milwaukee | 2011-04-21 / 20110092578 - Broad Spectrum Gram-Positive Antimicrobials and Anthelmintics with Efficacy Against Drug-Resistant Strains and Mycobacterium Species | 1 |
Omar M. Kabir | US | Waller | 2016-02-04 / 20160032913 - Reciprocating Compressor Frame | 16 |
Ahsan S. Kabir | US | Seattle | 2014-03-13 / 20140075325 - CONTACT SIDEBAR TILE | 4 |
Zakiul Kabir | US | Hillsboro | 2012-06-28 / 20120164551 - Decreasing Electrolyte Loss in PEM Fuel Cell | 8 |
Nima Kabir | US | Orange | 2010-03-11 / 20100059454 - Method for clean-up of an underground plume contaminated with hydrocarbon leakage, and the like | 1 |
Yones Kabir | US | Orange | 2010-03-11 / 20100059454 - Method for clean-up of an underground plume contaminated with hydrocarbon leakage, and the like | 1 |
Ryan A. Kabir | US | Bellevue | 2015-01-15 / 20150016691 - Image Tagging User Interface | 2 |
Mohammed A. Kabir | US | Lakeland | 2015-10-15 / 20150290147 - PHENYLEPHRINE PHARMACEUTICAL FORMULATIONS AND COMPOSITIONS FOR COLONIC ABOSORPTION | 3 |
Zakiul Kabir | US | Glastonbury | 2009-07-09 / 20090176135 - Operating Fuel Cell During Down Time on Cryogenic Hydrogen Boil-Off | 1 |
Ryan Kabir | US | Bellevue | 2011-06-02 / 20110131254 - STRONGLY TYPED TAGS | 3 |
Mohammad Nizam U. Kabir | US | Tempe | 2014-07-17 / 20140197973 - DIGITAL TO ANALOG CONVERTER WITH CURRENT STEERING SOURCE FOR REDUCED GLITCH ENERGY ERROR | 8 |
Mohammed A. Kabir | US | Cary | 2015-12-03 / 20150342934 - RIFAXIMIN DERIVATIVE AND USES THEREOF | 6 |
Farhana Kabir | US | Camas | 2015-02-05 / 20150039890 - METHOD AND DEVICE FOR SECURE COMMUNICATIONS OVER A NETWORK USING A HARDWARE SECURITY ENGINE | 1 |
M. Anaul Kabir | IN | Karanataka | 2009-01-22 / 20090022709 - Control of sorbose utilization genes and uses thereof | 1 |
Syed Nazrul Kabir | IN | Kolkatta | / - | 1 |
Omar M. Kabir | US | Waller | 2016-02-04 / 20160032913 - Reciprocating Compressor Frame | 16 |
Mohammed N. Kabir | CA | Richmond Hill | 2011-02-17 / 20110039897 - LIQUID FORMULATION FOR DEFERIPRONE WITH PALATABLE TASTE | 1 |
Mohammad Shafiqul Kabir | SE | Goteborg | 2015-11-05 / 20150318085 - Deposition and Selective Removal of Conducting Helplayer for Nanostructure Processing | 6 |
Nowshade Kabir | CA | Woodbridge | 2014-04-24 / 20140115099 - Method and System for Integrating Information from Mobile Devices to a Semantic Knowledge Repository | 1 |
Muhammad Enamul Kabir | JP | Fukuoka | 2009-12-24 / 20090315414 - CANNED LINEAR MOTOR ARMATURE AND CANNED LINEAR MOTOR | 1 |
Md Hasanul Kabir | KR | Yongin-Si | 2010-09-23 / 20100239239 - METHOD AND APPARATUS FOR MOTION COMPENSATION | 1 |
Mohammad Shafiqul Kabir | SE | Gothenburg | 2014-12-11 / 20140360661 - Connecting and Bonding Adjacent Layers with Nanostructures | 7 |
Mohammad Nizam U. Kabir | US | Tempe | 2014-07-17 / 20140197973 - DIGITAL TO ANALOG CONVERTER WITH CURRENT STEERING SOURCE FOR REDUCED GLITCH ENERGY ERROR | 8 |
Mahmoud Kabir-Seraj | US | Apex | 2013-05-02 / 20130110100 - SYSTEMS AND METHODS FOR VARIABLE INJECTION FLOW | 3 |
Ziv Kabiry | IL | Kfar Saba | 2014-04-17 / 20140105230 - CONNECTOR AND INTERFACE CIRCUIT FOR SIMULTANEOUS CONTENT STREAMING AND USER DATA FROM HANDHELD DEVICES | 5 |
Gennadi Kabishcher | IL | Beer Sheva | 2014-07-17 / 20140196891 - SELF-CONTAINED GAS IMPULSE CREATION | 6 |
Gary A. Kabitzke | US | Mequon | 2012-11-22 / 20120293104 - MOTOR CONTROLLER HAVING INTEGRATED COMMUNICATIONS CONFIGURATIONS | 2 |
Eliane Kabkab | US | New York | 2015-01-15 / 20150019353 - SYSTEM FOR MANAGING THE UTILIZATION OF A PLURALITY OF OUTDOOR ADVERTISING UNITS | 2 |
Ayala Kabla | IL | Rosh Pina | 2015-04-16 / 20150104936 - CONDUCTIVE NANOWIRE FILMS | 1 |
Ronald Byron Kabler | US | Prairie Village | 2014-11-13 / 20140333324 - INDIRECT ELECTRICAL APPLIANCE POWER CONSUMPTION MONITORING AND MANAGEMENT | 3 |
Ronald Byron Kabler | US | Lawrence | 2015-11-12 / 20150326983 - Scene and State Augmented Signal Shaping and Separation | 6 |
Ronald Byron Kabler | US | Roselle | 2014-05-22 / 20140142878 - DENSITY FUNCTION CENTRIC SIGNAL PROCESSING | 3 |
J. Jeffrey Kablik | US | Tyngsboro | 2010-05-13 / 20100121261 - Anti-Adhesion Spraying | 1 |
Joshua A. Kablotsky | US | Carlisle | 2011-12-01 / 20110296145 - PIPELINED DIGITAL SIGNAL PROCESSOR | 7 |
Sergei Ivanovich Kablukov | RU | Novosibirsk | 2009-10-01 / 20090245294 - Fibre Laser with Intra-cavity Frequency Doubling | 1 |
Victoria Kabluyen | US | Las Vegas | 2014-12-04 / 20140353356 - Stapler for Use with Distinctively Shaped Staples | 1 |
J. Michael Kabo | US | Granada Hills | 2009-01-08 / 20090012539 - Surgical clamping instruments and methods | 1 |
Andrew M. Kaboff | US | Vernon Hills | 2014-01-09 / 20140012591 - Systems and Methods for a Destination-Based Care Services Model | 7 |
Barbara J. Kaboord | US | Oregon | 2012-10-04 / 20120252691 - MODIFIED NUCLEOTIDES METHODS AND KITS | 2 |
Jason C. Kabot | US | Huntertown | 2013-10-03 / 20130258678 - ILLUMINATED PANEL AND METHOD FOR MANUFACTURING AN ILLUMINATED PANEL | 2 |
Yassine Kabouzi | US | Fremont | 2016-04-21 / 20160111261 - System and Method for Detecting a Process Point in Multi-Mode Pulse Processes | 2 |
Atul Kabra | IN | New Delhi | 2014-10-16 / 20140310800 - SECURE DISK ACCESS CONTROL | 1 |
Mayank Kabra | IN | Maharastra | 2009-10-22 / 20090262926 - METHOD AND APPARATUS FOR GENERATING A CRYPTOGRAPHIC KEY | 1 |
Dinesh Kabra | IN | Mumbai | 2013-03-21 / 20130069043 - Electro Optic Devices | 1 |
Nitin Satishchandra Kabra | IN | Pune | 2014-04-24 / 20140115418 - METHODS AND APPARATUS FOR ZONE GROUP IDENTIFIER REPLACEMENT IN FAST CONTEXT SWITCHING ENHANCED SERIAL ATTACHED SCSI EXPANDERS | 1 |
Bhagwati P. Kabra | TX | Euless | 2013-05-30 / 20130137741 - Pharmaceutical Composition for Delivery of Receptor Tyrosine Kinase Inhibiting (RTKi) Compounds to the Eye | 2 |
Vidya Abhijit Kabra | IN | Bangalore | 2010-10-21 / 20100269087 - SOFTWARE TOOLS USAGE FRAMEWORK BASED ON TOOLS EFFECTIVE USAGE INDEX | 1 |
Bhagwati P. Kabra | US | Euless | 2015-08-13 / 20150224196 - AQUEOUS PHARMACEUTICAL COMPOSITIONS CONTAINING BORATE-POLYOL COMPLEXES | 23 |
Rakesh Kabra | US | North Brunswick | 2010-11-18 / 20100293149 - System and Method for Providing Simultaneous, Multiple Case Scenario Analysis | 1 |
Navin Kabra | IN | Pune | 2008-12-04 / 20080301081 - Method and apparatus for generating configuration rules for computing entities within a computing environment using association rule mining | 1 |
Nitin Kabra | IN | Maharashtra | 2012-11-15 / 20120290762 - ROUTE LOOKUP METHOD FOR REDUCING OVERALL CONNECTION LATENCIES IN SAS EXPANDERS | 1 |
Namit Kabra | IN | Hyderabad | 2016-03-31 / 20160092494 - DATA DE-DUPLICATION | 2 |
Bhagwati P. Kabra | US | Arlington | 2008-10-02 / 20080241224 - Non-Polymeric Lipophilic Pharmaceutical Implant Compositions For Intraocular Use | 1 |
Girish Rajkumar Kabra | NL | Amsterdam | 2009-04-23 / 20090101584 - Reverse flow reactor with integrated separation and process for the employing this reactor | 1 |
Nitin Kabra | SG | Singapore | 2011-04-28 / 20110099304 - Controller and a Method for Controlling the Communication Between a Processor and an External Peripheral Device | 1 |
Dinesh Kabra | GB | Cambridge | 2011-06-16 / 20110140091 - Electro-optic Diode Devices | 1 |
Rahul Kabra | US | Sunnyvale | 2016-03-03 / 20160063072 - SYSTEMS, METHODS, AND APPARATUSES FOR DETECTING ACTIVITY PATTERNS | 1 |
Lokesh Kabra | IN | Bangalore | 2013-10-03 / 20130262787 - SCALABLE MEMORY ARCHITECTURE FOR TURBO ENCODING | 1 |
Ravindra Kabre | US | Plano | 2013-12-19 / 20130336210 - Wholesale partner and video services enablement using a mobile virtual network enabler (MVNE) | 1 |
Todd R. Kabrich | US | Mapleton | 2010-10-21 / 20100268427 - CLUTCH HOLD LEVEL AS A FUNCTION OF TORQUE | 1 |
Keith D. Kabrich | US | Talala | 2015-07-30 / 20150216073 - Systems and Methods for Cooling Electric Drives | 1 |
Keith A. Kabrick | US | Springfield | 2015-09-10 / 20150252875 - DRIVE ASSEMBLY FOR MACHINE | 6 |
Kathrin Kabrodt | DE | Bernburg | 2009-05-28 / 20090136603 - ACTIVE COMPONENT FRACTION OF HIGH ANTIVIRAL ACTIVITY | 1 |
Gino Kabs | CH | Landikon | 2015-05-28 / 20150145362 - END PLATE FOR AN ELECTRIC MACHINE, ELECTRIC MACHINE AND METHOD FOR ASSEMBLING AN ELECTRIC MACHINE | 1 |
Kishor Kabse | US | East Hanover | 2014-08-21 / 20140234478 - SYSTEM AND METHOD FOR PRINTING ON CHEWING GUM | 1 |
Kishor Kabse | US | Morris Plains | 2016-04-07 / 20160095331 - COLORED WAX COMPOSITIONS | 15 |
Kishor Kabse | US | Whippany | 2015-08-13 / 20150223488 - FLAVOR PRE-BLEND OF INGREDIENTS FOR CHEWING GUM, METHODS OF MAKING PRE-BLEND INGREDIENTS AND CHEWING GUM COMPOSITIONS THEREOF | 4 |
Kiyoyuki Kabuki | JP | Himeji-Shi | 2013-05-23 / 20130126930 - LIGHT SOURCE DEVICE | 5 |
Kiyoyuki Kabuki | JP | Hyogo | 2016-03-03 / 20160061391 - FLUORESCENCE-EMITTING LIGHT SOURCE UNIT | 8 |
Kiyoyuki Kabuki | JP | Hyogo | 2016-03-03 / 20160061391 - FLUORESCENCE-EMITTING LIGHT SOURCE UNIT | 8 |
Masahiro Kabuki | JP | Tokyo | 2013-03-28 / 20130076100 - TUNNEL EXCAVATION APPARATUS AND TUNNEL EXCAVATION METHOD | 1 |
Takashi Kabuki | JP | Osaka-Shi | 2015-08-27 / 20150239855 - Method for Producing Oxazole Compound | 1 |
Kohei Kabuki | JP | Kanagawa | 2011-06-30 / 20110157562 - PROJECTOR AND METHOD FOR COOLING LAMPS INSTALLED IN PROJECTOR | 1 |
Miyako Kabumoto | JP | Kyoto-Fu | 2011-12-29 / 20110316353 - POWER TRANSMITTING APPARATUS, POWER RECEIVING APPARATUS, AND WIRELESS POWER TRANSMISSION SYSTEM | 1 |
Takashi Kabumoto | JP | Shiga | 2010-08-12 / 20100202694 - INSPECTION APPARATUS | 3 |
Hiroki Kabumoto | JP | Saitama-Shi | 2013-08-01 / 20130196244 - FUEL CELL AND FUEL CELL MODULE | 3 |
Hiroki Kabumoto | JP | Osaka | 2011-08-11 / 20110195336 - COMPOSITE MEMBRANE, FUEL CELL AND METHOD OF MAKING OF COMPOSITE MEMBRANE | 1 |
Hiroki Kabumoto | JP | Tokyo | 2012-02-16 / 20120040441 - TRANSFORMED STRAINS ORIGINATED FROM MULTIDRUG EFFLUX PROTEIN DEFECTIVE STRAINS AND A METHOD FOR MICROBIAL CONVERSION USING THEM | 1 |
Hiroki Kabumoto | JP | Shizuoka | 2012-07-12 / 20120178124 - STEROL SIDE CHAIN-CLEAVING ENZYME PROTEIN AND USE THEREOF | 1 |
Masaaki Kabumoto | JP | Chiba | 2011-12-08 / 20110298873 - COMPACT FRONT-OPERABLE IMAGE FORMING APPARATUS | 2 |
Takashi Kabumoto | JP | Ritto | 2010-03-04 / 20100057380 - X-RAY INSPECTION APPARATUS | 2 |
Hideki Kabune | JP | Nagoya-City | 2016-04-28 / 20160118923 - BRUSHLESS MOTOR AND MOTOR CONTROL DEVICE | 31 |
Hideki Kabune | JP | Nagoya-Shi | 2015-02-12 / 20150046039 - SENSOR DEVICE, MOTOR CONTROL DEVICE, AND ELECTRIC POWER STEERING APPARATUS | 1 |
Kouta Kabune | JP | Minami-Ku | 2013-01-03 / 20130004350 - FAN | 1 |
Hideki Kabune | JP | Nagoya | 2014-06-26 / 20140176027 - CONTROL SYSTEM FOR THREE-PHASE ROTARY MACHINE | 5 |
Kouta Kabune | JP | Kyoto | 2013-12-05 / 20130323093 - MOTOR AND FAN | 2 |
Chie Kabuo | JP | Kyoto | 2011-10-27 / 20110260333 - INTERCONNECT STRUCTURE OF SEMICONDUCTOR INTEGRATED CIRCUIT AND SEMICONDUCTOR DEVICE INCLUDING THE SAME | 1 |
Daiki Kaburagi | JP | Fukui | 2012-03-22 / 20120067710 - ON-VEHICLE LEVER SWITCH | 2 |
Toshiaki Kaburagi | JP | Meguro-Ku | 2011-04-28 / 20110099080 - CONTENT DELIVERY SYSTEM AND METHOD, CONTENT REPRODUCTION APPARATUS AND CONTENT-PROVIDING SERVER, AND PROGRAM FOR USE IN THE SAME | 1 |
Yosuke Kaburagi | JP | Ibaraki | 2008-10-02 / 20080242859 - Oxymethyl Boron Compounds | 1 |
Shingo Kaburagi | JP | Tokyo | 2010-11-04 / 20100278600 - SUPPORT BOARD FOR PERFORATION PROCESSING AND METHOD OF PERFORATION PROCESSING | 2 |
Tomohiro Kaburagi | JP | Kanagawa | 2010-11-18 / 20100288243 - HYDROGEN STORAGE MATERIAL, PRODUCTION METHOD OF THE HYDROGEN STORAGE MATERIAL, HYDROGEN SUPPLY SYSTEM, FUEL CELL, INTERNAL COMBUSTION ENGINE AND VEHICLE | 1 |
Takeshi Kaburagi | JP | Suntou-Gun | 2015-12-24 / 20150370189 - TONER | 6 |
Tomohiro Kaburagi | JP | Yokosuka-Shi | 2015-01-22 / 20150022158 - CONTROL DEVICE FOR SECONDARY BATTERY, AND SOC DETECTION METHOD | 1 |
Hiromitsu Kaburagi | JP | Saitama-Shi | 2016-03-17 / 20160077354 - SHAKE CORRECTION DEVICE AND OBSERVATION DEVICE | 1 |
Kenichi Kaburagi | JP | Sapporo | 2014-06-12 / 20140161448 - TRANSMISSION DEVICE, TRANSMISSION SYSTEM, AND METHOD FOR ADJUSTING PASSBAND | 1 |
Kenichi Kaburagi | JP | Kawasaki | 2012-01-26 / 20120020661 - Optical communication device and dispersion compensation method | 3 |
Yoshiaki Kaburagi | JP | Kawasaki-Shi | 2015-10-01 / 20150273896 - PRINTING APPARATUS, METHOD FOR CONTROLLING PRINTING APPARATUS, AND STORAGE MEDIUM | 1 |
Tomohiro Kaburagi | JP | Yokohama-Shi | 2015-01-29 / 20150028815 - CONTROL DEVICE FOR SECONDARY BATTERY, CHARGING CONTROL METHOD, AND SOC DETECTION METHOD | 6 |
Hiroshi Kaburagi | JP | Yokohama-Shi | 2013-08-01 / 20130198173 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 13 |
Satoshi Kaburaki | JP | Meguro | 2016-02-11 / 20160041871 - INFORMATION PROCESSING APPARATUS | 1 |
Makoto Kaburaki | US | Greensburg | 2011-12-29 / 20110314739 - VEHICLE DOOR-OPEN LIMITING DEVICE | 1 |
Satoshi Kaburaki | JP | Shinagawa-Ku | 2015-03-05 / 20150067237 - MEMORY CONTROLLER, SEMICONDUCTOR MEMORY SYSTEM, AND MEMORY CONTROL METHOD | 1 |
Satoshi Kaburaki | JP | Tokyo | 2015-02-05 / 20150039808 - MEMORY SYSTEM | 4 |
Jennifer L. Kaburick | US | Glen Carbon | 2013-06-13 / 20130151281 - METHODS AND SYSTEMS FOR MANAGING PRESCRIPTION LIABILITY | 1 |
Nikos Kaburlasos | US | Lincoln | 2016-03-31 / 20160093013 - Reconfigurable Graphics Processor for Performance Improvement | 18 |
Nikos Kaburlasos | US | Lincoln | 2016-03-31 / 20160093013 - Reconfigurable Graphics Processor for Performance Improvement | 18 |
Nikos Kaburlasos | US | Rancho Cordova | 2015-12-10 / 20150357025 - DEVICE, SYSTEM, AND METHOD OF MEMORY ALLOCATION | 7 |
Jan Kabus | DE | Chemnitz | 2008-12-25 / 20080314538 - Paper Machine With One or a Plurality of Valve Devices | 1 |
Sven Kabus | DE | Hamburg | 2015-11-05 / 20150317792 - COMPUTER-AIDED IDENTIFICATION OF A TISSUE OF INTEREST | 15 |
Sven Kabus | DE | Hamburg | 2015-11-05 / 20150317792 - COMPUTER-AIDED IDENTIFICATION OF A TISSUE OF INTEREST | 15 |
Sven Kabus | NL | Eindhoven | 2010-05-13 / 20100121655 - PATIENT DATA RECORD AND USER INTERFACE | 1 |
Kabushiki Kaisha Kobe Seiko Sho ( Kobe Steel, Ltd.) | JP | Kobe-Shi | 2013-08-01 / 20130192806 - MULTILAYER HEAT EXCHANGER AND HEAT EXCHANGE SYSTEM | 11 |
Kabushiki Kaisha Nihon Micronics | JP | Tokyo | 2013-06-27 / 20130161376 - METHOD FOR ALIGNING PLATE-LIKE MEMBERS AND METHOD FOR MANUFACTURING ELECTRICAL CONNECTING APPARATUS | 4 |
Kabushiki Kaisha Nihon Micronics | US | 2013-08-15 / 20130207683 - ELECTRICAL CONNECTING APPARATUS AND METHOD FOR ASSEMBLING THE SAME | 1 | |
Kabushiki Kaisha Nihon Micronics | JP | Musashino-Shi | 2013-06-06 / 20130141132 - INSPECTION APPARATUS FOR SEMICONDUCTOR DEVICES AND CHUCK STAGE USED FOR THE INSPECTIONAPPARATUS | 2 |
Kabushiki Kaisha Tokai-Rika-Denki-Seisakusho | US | 2013-11-14 / 20130301834 - ELECTRONIC KEY REGISTRATION SYSTEM | 8 | |
Kabushiki Kaisha Topcon | JP | Tokyo-To | 2013-06-20 / 20130155397 - Rotation Angle Detecting Apparatus And Surveying Instrument | 4 |
Kabushiki Kaisha Topcon | JP | Itabashi-Ku | 2013-06-20 / 20130155225 - Surveying Apparatus | 1 |
Kabushiki Kaisha Topcon | JP | Tokyo | 2013-07-18 / 20130181983 - POINT CLOUD DATA PROCESSING DEVICE, POINT CLOUD DATA PROCESSING SYSTEM, POINT CLOUD DATA PROCESSING METHOD, AND POINT CLOUD DATA PROCESSING PROGRAM | 4 |
Kabushiki Kaisha Toshiba | US | 2015-06-11 / 20150162771 - SECONDARY BATTERY CONTROL APPARATUS | 124 | |
Kabushiki Kaisha Toshiba | JP | Tokyo | 2013-08-29 / 20130221474 - IMAGE SENSOR AND MANUFACTURING METHOD THEREOF | 186 |
Kabushiki Kaisha Toshiba | JP | Minato-Ku | 2013-08-08 / 20130204452 - INFORMATION COMMUNICATION DEVICE AND INFORMATION COMMUNICATION METHOD | 58 |
Kabushiki Kaisha Toyota Jidoshokki | US | 2013-08-29 / 20130221793 - STATOR OF ROTARY ELECTRIC MACHINE | 2 | |
Kabushiki Kaisha Toyota Jidoshokki | JP | Kairya-Shi | 2013-04-04 / 20130085646 - FORKLIFT TRUCK | 1 |
Kabushiki Kaisha Toyota Jidoshokki | JP | Aichi-Ken | 2013-07-25 / 20130189121 - SWASH PLATE TYPE VARIABLE DISPLACEMENT COMPRESSOR AND METHOD OF CONTROLLING SOLENOID THEREOF | 10 |
Kabushiki Kaisha Toyota Jidoshokki | JP | Kariya-Shi | 2013-08-08 / 20130202463 - MOTOR-DRIVEN COMPRESSOR | 14 |
Kabushiki Kaisha Toyota Jidoshokki | JP | Aichi-Ken | 2013-07-25 / 20130189121 - SWASH PLATE TYPE VARIABLE DISPLACEMENT COMPRESSOR AND METHOD OF CONTROLLING SOLENOID THEREOF | 10 |
Kabushiki Kaisha Toyota Jidoshokki | JP | Kariya-Shi | 2013-08-08 / 20130202463 - MOTOR-DRIVEN COMPRESSOR | 14 |
Kabushiki Kaisha Yaskawa Denki | JP | Kitakyushu-Shi | 2013-08-08 / 20130204481 - ROBOT SYSTEM | 29 |
Kabushiki Kaisha Yaskawa Denki | US | 2013-08-29 / 20130221791 - ROTARY ELECTRIC MACHINE SYSTEM | 12 | |
Kabushiki Kaisha Yaskawa Denki | JP | Fukuoka | 2013-08-01 / 20130195600 - ROBOT | 9 |
Emi Kabuta | JP | Aikoh-Gun | 2010-04-08 / 20100085663 - HEAD SUSPENSION AND METHOD OF MANUFACTURING HEAD SUSPENSION | 1 |
Nobuaki Kabuto | JP | Kunitachi | 2016-03-31 / 20160094871 - SYSTEM AND METHOD FOR TRANSMITTING A VIDEO SIGNAL FROM A TRANSMITTER TO A RECEIVER AND READING AND WRITING EDID | 39 |
Akio Kabuto | JP | Tokyo | 2011-07-28 / 20110182954 - ORALLY-ADMINISTERED AGENT | 5 |
Nobuaki Kabuto | JP | Tokyo | 2016-02-04 / 20160034048 - VIDEO DISPLAY SYSTEM, THREE-DIMENSIONAL VIDEO POINTING DEVICE AND VIDEO DISPLAY DEVICE | 7 |
Toshiki Kabutomori | JP | Tokyo | 2010-04-08 / 20100083839 - HYDROGEN PERMEABLE MODULE AND USAGE THEREOF | 1 |
Toshiki Kabutomori | JP | Muroran-Shi | 2009-10-29 / 20090269275 - PRODUCING METHOD OF HYDROGEN STORAGE ALLOY | 1 |
Ryuuichi Kabutoya | JP | Osaka | 2015-12-24 / 20150369365 - GASKET | 14 |
Ryuuichi Kabutoya | JP | Osaka | 2015-12-24 / 20150369365 - GASKET | 14 |
Ryuuichi Kabutoya | JP | Ibaraki-Shi | 2015-03-19 / 20150079385 - PRESSURE-SENSITIVE ADHESIVE SHEET | 2 |
Marten Kabutz | DE | Villingen-Schwennigen | 2009-02-26 / 20090052592 - Method and Arrangement for Recovering a Binary DC-Free Code From a Frequency Modulated Signal | 1 |
Bradley Kabuyama | US | New York | 2012-02-16 / 20120042080 - SYNCHRONIZED PROCESSING OF DATA BY NETWORKED COMPUTING RESOURCES | 1 |
Malgorzata Kac | PL | Krakow | 2013-02-28 / 20130049145 - RADIATION DETECTOR AND A METHOD FOR PRODUCING A METALCARBON JUNCTION FOR A RADIATION DETECTOR | 1 |
Omer Kaçar | TR | Gebze | 2014-07-17 / 20140199301 - RECOMBINANT ANTIBODY STRUCTURES BINDING TO AND BLOCKING THE ACTIVITY OF VASCULAR ENDOTHELIAL GROWTH FACTOR 2 (VEGFR- 2/KDR) | 1 |
Milenko Kacavenda | IT | Pieve Di Cadore (bl) | 2008-09-04 / 20080209740 - Jack-Knife | 1 |
Milan Kacavenda | IT | Pieve Di Cadore (bl) | 2008-09-04 / 20080209740 - Jack-Knife | 1 |
Stacey Kacek | US | Streamwood | 2016-02-18 / 20160048512 - User Interface Operation Based on Token Frequency of Use in Text | 2 |
Najib Kacem | FR | Annemasse | 2011-09-15 / 20110221301 - METHOD AND DEVICE FOR SUPPRESSING HYSTERESIS OF RESONATORS THROUGH SIMULTANEOUS RESONANCE | 1 |
Melissa A. Kacena | US | Zionsville | 2013-06-13 / 20130149349 - USE OF COMPOUNDS WITH THROMBOPOIETIC ACTIVITY TO PROMOTE BONE GROWTH AND HEALING | 3 |
Steve T. Kacenjar | US | Glenmoore | 2013-07-25 / 20130188878 - IMAGE ANALYSIS SYSTEMS HAVING IMAGE SHARPENING CAPABILITIES AND METHODS USING SAME | 1 |
Petr Kacer | CZ | Praha | 2012-06-14 / 20120149907 - PROCESS FOR PREPARING TRICHLOROAMMINEPLATINATE SALT AND THE PRODUCTS OBTAINED THEREIN | 3 |
John Michael Kacewicz | US | Riverview | 2011-12-01 / 20110290000 - METHOD AND SYSTEM FOR FUEL VAPOR CONTROL | 2 |
Marek Kacewicz | US | Sugarland | 2015-03-05 / 20150066461 - METHODS AND SYSTEMS FOR HISTORICAL, GEOLOGICAL MODELING TO PRODUCE AN ESTIMATED DISTRIBUTION OF HYDROCARBONS TRAPPED IN SUBSURFACE CLATHRATES | 3 |
Jeffrey F. Kach | US | Ardsley | 2014-08-21 / 20140236826 - APPARATUS AND METHODS FOR PROVIDING A PAYMENT SYSTEM OVER A NETWORK | 6 |
Miro Kach | CH | Winterthur | 2015-03-19 / 20150078620 - Aircraft, Methods for Providing Optical Information, Method for Transmission of Acoustic Information and Method for Observing or Tracking an Object | 1 |
Shraddha Kacha | US | Woodland Hills | 2014-04-24 / 20140114776 - System and Method for Obtaining Services at a Service Point Using a Mobile Device | 1 |
Bashar Kachachi | US | Kirkland | 2014-05-29 / 20140149230 - PROGRAMMATIC BUYING OF ONLINE DISPLAY ADVERTISEMENTS | 4 |
Remy Kachadourian | US | Denver | 2011-05-05 / 20110104305 - COMPOUNDS AND METHODS FOR THIOL-CONTAINING COMPOUND EFFLUX AND CANCER TREATMENT | 1 |
Alexander Kachanov | US | Sunnyvale | 2011-09-08 / 20110214479 - Method and apparatus for the photo-acoustic identification and quantification of analyte species in a gaseous or liquid medium | 1 |
Alexander Kachanov | US | San Jose | 2015-10-01 / 20150276590 - CAVITY ENHANCED LASER BASED ISOTOPIC GAS ANALYZER | 11 |
Alexander Kachanov | US | San Jose | 2015-10-01 / 20150276590 - CAVITY ENHANCED LASER BASED ISOTOPIC GAS ANALYZER | 11 |
Kritika Kachapati | US | Cincinnati | 2014-06-05 / 20140154277 - Pharmaceutical Compositions Comprising Soluble CD137 | 2 |
Jignesh Kacharia | US | Redmond | 2014-12-18 / 20140373175 - ON-DEMAND CUSTOM ENTITLEMENT CARDS FOR PRODUCTS AND SERVICES | 3 |
Hayk Kachatryan | KR | Hwaseong-Si | 2015-07-02 / 20150187849 - METHODS OF MANUFACTURING FLEXIBLE SUBSTRATES, FLEXIBLE DISPLAY DEVICES AND METHODS OF MANUFACTURING FLEXIBLE DISPLAY DEVICES | 1 |
Hayk Kachatryan | KR | Yongin-City | 2016-04-21 / 20160111683 - FLEXIBLE DISPLAY AND METHOD OF MANUFACTURING THE SAME | 2 |
John N. Kachaylo | US | Moon Twp | 2010-01-14 / 20100011073 - USER-DEPLOYABLE DATA TRANSFORMATION AND EXCHANGE PLATFORM INCLUDING ON-DEMAND ITEM SYNCHRONIZATION AND USER-DEPLOYABLE ORDER MANAGEMENT SYSTEM | 1 |
Holger Kache | DE | Munich | 2015-12-24 / 20150370890 - PROVIDING A VISUAL AND CONVERSATIONAL EXPERIENCE IN SUPPORT OF RECOMMENDATIONS | 1 |
Rajashaker Kache | US | Cary | 2016-02-11 / 20160041167 - METHODS AND KITS FOR THE DIAGNOSIS OF INFLUENZA | 3 |
Guido Kache | DE | Beckum | 2015-01-15 / 20150014452 - ROLLER MILL AND METHOD FOR OPERATING A ROLLER MILL | 1 |
Holger Kache | US | San Jose | 2014-02-06 / 20140040185 - INTENT BASED AUTOMATION OF DATA MANAGEMENT OPERATIONS BY A DATA MANAGEMENT ENGINE | 6 |
Ted Kachel | US | Purchase | 2008-10-30 / 20080270271 - Method and Computer-Readable Medium for Managing Order Entry | 2 |
Guenther Kachel | DE | Goeppingen | 2011-12-15 / 20110303345 - Method for ultrasound welding of two bag foils with a spout | 1 |
Theodore V. Kachel | US | Purchase | 2012-03-22 / 20120071288 - METHOD FOR MODIFYING AN AUTOMATIC TRANSMISSION AND GEAR TRAIN | 3 |
Sibylle Kachel | DE | Karlsruhe | 2015-06-25 / 20150177178 - Matrix Stability Compositions, Test Elements, Test Systems and Methods of Use Thereof | 1 |
Amar Kachenoura | FR | Rennes | 2013-12-26 / 20130345580 - RECONSTRUCTION OF A SURFACE ELECTROCARDIOGRAM FROM AN ENDOCARDIAL ELECTROGRAM USING NON-LINEAR FILTERING | 1 |
Joshua P. Kacher | US | Champaign | 2010-06-24 / 20100158392 - Systems and Methods for Determining Crystallographic Characteristics of a Material | 1 |
Donald Kacher | US | Waltham | 2014-03-13 / 20140074801 - DATA DE-DUPLICATION SYSTEM | 1 |
Olga Kacher | RU | Moscow | 2014-10-16 / 20140307967 - STRAIGHTENING OUT DISTORTED PERSPECTIVE ON IMAGES | 3 |
Azedine Kacher | FR | Paris | 2012-07-12 / 20120179390 - DISTRIBUTED FIBRE OPTIC DIAGNOSIS OF RISER INTEGRITY | 2 |
Olga Kacher | RU | Moscow Region | 2012-04-12 / 20120087587 - Binarizing an Image | 3 |
Mark Leslie Kacher | US | Mason | 2015-05-28 / 20150147369 - Personal Care Compositions That Deposit Solid Hydrophilic Benefit Agents | 1 |
Jennifer M. Kachergus | US | Atlantic Beach | 2012-02-09 / 20120035072 - KASPP (LRRK2) GENE, ITS PRODUCTION AND USE FOR THE DETECTION AND TREATMENT OF NEURODEGENERATIVE DISORDERS | 2 |
Vadim Kacherov | US | Boca Raton | 2012-11-22 / 20120297444 - SYSTEM AND METHOD FOR ENSURING COMPLIANCE WITH ORGANIZATIONAL POLICIES | 8 |
Matthew M. Kachevas | US | Vancouver | 2012-12-20 / 20120321287 - PHOTOGRAPHIC CONTROL SYSTEM, DEVICES AND METHODS | 2 |
Virendra Kachhadia | IN | Chennai | 2011-09-01 / 20110212943 - NOVEL BRIDGED CYCLIC COMPOUNDS AS HISTONE DEACETYLASE INHIBITORS | 6 |
Virendra Kachhadia | IN | Tamildnadu | 2010-12-30 / 20100329998 - NOVEL HETEROCYCLES | 1 |
Sushant Kachhap | US | Baltimore | 2015-03-12 / 20150072988 - USE OF N-(4-((3-(2-AMINO-4-PYRIMIDINYL)-2-PYRIDINYL)OXY)PHENYL)-4-(4-METHY- L-2-THIENYL)-1-PHTHALAZINAMINE IN COMBINATION WITH HISTONE DEACETYLASE INHIBITORS FOR TREATMENT OF CANCER | 1 |
Setsuo Kachi | JP | Nishitokyo-Shi | 2010-07-01 / 20100164818 - Wireless Function Watch | 1 |
Takashi Kachi | JP | Nagoya-Shi | 2011-05-26 / 20110121089 - Guard Rail Apparatus for Guiding Derailed Wheels | 3 |
Totsu Kachi | JP | Aichi-Gun | 2010-02-18 / 20100038681 - TRANSISTOR | 1 |
Hisanori Kachi | JP | Funabashi-Shi | 2010-04-22 / 20100099646 - W/O/W EMULSION COMPOSITION | 1 |
Tetsu Kachi | JP | Aichi-Ken | 2010-05-13 / 20100117119 - SEMICONDUCTOR DEVICE HAVING HETERO JUNCTION | 2 |
Yoshihiro Kachi | JP | Minokamo-Shi | 2011-07-28 / 20110180511 - Polishing Composition and Polishing Method Using the Same | 1 |
Naoyoshi Kachi | JP | Sakai-Shi | 2016-01-07 / 20160006020 - COMPOSITE ACTIVE MATERIAL FOR LITHIUM SECONDARY BATTERIES AND METHOD FOR PRODUCING SAME | 1 |
Takahiro Kachi | JP | Ichinomiya-Shi | 2016-03-17 / 20160079886 - VIBRATION POWER GENERATION DEVICE | 1 |
Yasuhiko Kachi | JP | Kanagawa | 2014-03-06 / 20140062076 - INKJET RECORDING METHOD AND PRINTED MATERIAL | 7 |
Yasushi Kachi | JP | Saitama-Shi | 2015-04-02 / 20150095400 - INFORMATION PROCESSING APPARATUS THAT UPLOADS IMAGES HELD BY A PLURALITY OF DEVICES TO SERVERS SET ON A DEVICE-BY-DEVICE BASIS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 3 |
Hisanori Kachi | JP | Yokohama-Shi | 2013-01-31 / 20130029932 - COMPOSITION FOR EXTERNAL USE ON SKIN, COSMETIC, AND CLEANING AGENT | 2 |
Yasushi Kachi | JP | Kawasaki-Shi | 2013-02-14 / 20130042178 - DISPLAY CONTROLLING APPARATUS, CONTROL METHOD THEREOF AND RECORDING MEDIUM | 2 |
Yoshihiro Kachi | JP | Kiyosu-Shi, Aichi | 2015-11-05 / 20150315418 - POLISHING COMPOSITION | 1 |
Tetsu Kachi | JP | Nisshin-City | 2014-08-21 / 20140231874 - SEMICONDUCTOR DEVICE | 1 |
Tetsu Kachi | JP | Nagakute-Shi | 2015-08-06 / 20150221759 - SEMICONDUCTOR DEVICE | 1 |
Kyosuke Kachi | JP | Kawasaki-Shi | 2015-01-29 / 20150031321 - TRIAGE TAG MANAGEMENT SYSTEM AND SMARTPHONE FOR SAME, AND TRIAGE TAG MANAGEMENT METHOD | 1 |
Yasuhiko Kachi | JP | Ashigara-Kami-Gun | 2009-10-29 / 20090267987 - LIQUID EJECTION APPARATUS AND IMAGE FORMING APPARATUS | 2 |
Tadayoshi Kachi | JP | Obu-Shi | 2010-09-30 / 20100242481 - DRIVE APPARATUS, AND DRIVE-FORCE OUTPUT SYSTEM HAVING DRIVE APPARATUS, AND METHOD FOR CONTROLLING THE DRIVE APPARATUS | 2 |
Yasuhiko Kachi | JP | Ashigarakami-Gun | 2015-08-20 / 20150231892 - INKJET RECORDING APPARATUS AND INKJET RECORDING METHOD | 5 |
Takao Kachi | JP | Tokyo | 2015-10-15 / 20150294871 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Masahiro Kachi | JP | Susono-Shi | 2012-10-25 / 20120271534 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 5 |
Yasuhiko Kachi | JP | Kanagawa-Ken | 2011-01-06 / 20110001785 - Image forming system | 6 |
Tsuyoshi Kachi | JP | Tokyo | 2014-05-29 / 20140145260 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 11 |
Tetsu Kachi | JP | Nagoya-Shi | 2009-10-01 / 20090242917 - Light-emitting device including light-emitting diode | 1 |
Naoki Kachi | JP | Kawasaki-Shi | 2012-05-31 / 20120131911 - EXHAUST GAS PURIFYING CATALYST AND METHOD FOR MANUFACTURING THE SAME | 1 |
Tetsu Kachi | JP | Nisshin-Shi | 2014-06-26 / 20140175518 - III-V HEMT DEVICES | 3 |
Tadayoshi Kachi | JP | Kariya-Shi | 2014-06-05 / 20140151106 - WIRING BOARD AND METHOD FOR MANUFACTURING WIRING BOARD | 2 |
Tsuyoshi Kachi | JP | Kanagawa | 2016-03-17 / 20160079352 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 9 |
Masamichi Kachi | JP | Aichi | 2013-10-03 / 20130255661 - EXTRACTOR HOOD | 1 |
Takashi Kachi | JP | Aichi | 2009-08-13 / 20090200389 - Safety Device for a Train | 1 |
Testsu Kachi | JP | Nisshin-Shi | 2010-01-21 / 20100013006 - SEMICONDUCTOR DEVICE | 1 |
Tsuyoshi Kachi | JP | Kanagawa | 2016-03-17 / 20160079352 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 9 |
Setsuo Kachi | JP | Tokyo | 2009-12-24 / 20090316536 - Clock with Wireless Function | 1 |
Masaaki Kachi | JP | Tokyo | 2012-03-29 / 20120078520 - EARTHQUAKE DETERMINATION SYSTEM AND SEISMIC ANALYSIS METHOD | 1 |
Tsuyoshi Kachi | JP | Tokyo | 2014-05-29 / 20140145260 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 11 |
Yoshihiro Kachi | JP | Kiyosu-Shi | 2015-08-20 / 20150232705 - POLISHING COMPOSITION | 3 |
Hideki Kachi | JP | Tokyo | 2015-03-05 / 20150062809 - SHIELD CASE AND ELECTRONIC APPARATUS | 1 |
Naoyoshi Kachi | JP | Osaka | 2014-07-03 / 20140184159 - HYBRID STORAGE CELL, VEHICLE AND POWER STORAGE UNIT EMPLOYING SAME, SMART GRID VEHICLE SYSTEM EMPLOYING VEHICLE, AND POWER SUPPLY NETWORK SYSTEM EMPLOYING POWER STORAGE UNIT | 2 |
Junichi Kachi | JP | Otawara-Shi | 2014-10-02 / 20140292335 - MAGNETIC RESONANCE IMAGING APPARATUS AND MAGNETIC RESONANCE IMAGING METHOD | 2 |
Shinji Kachi | JP | Okazaki | 2012-10-18 / 20120265428 - VEHICLE MOTION ESTIMATING DEVICE, VEHICLE MOTION ESTIMATING METHOD, AND VEHICLE MOTION ESTIMATING PROGRAM | 3 |
Jessica Sevanne Kachian | US | Sunnyvale | 2016-04-28 / 20160118268 - SELECTIVE ETCH FOR METAL-CONTAINING MATERIALS | 3 |
Jessica S. Kachian | US | Portland | 2016-03-17 / 20160079422 - NON-PLANAR GATE ALL-AROUND DEVICE AND METHOD OF FABRICATION THEREOF | 8 |
Jessica Kachian | US | Portland | 2014-06-26 / 20140175378 - EPITAXIAL FILM GROWTH ON PATTERNED SUBSTRATE | 1 |
Jessica S. Kachian | US | Sunnyvale | 2015-10-22 / 20150303058 - CVD SILICON MONOLAYER FORMATION METHOD AND GATE OXIDE ALD FORMATION ON III-V MATERIALS | 1 |
Jessica S. Kachian | US | Portland | 2016-03-17 / 20160079422 - NON-PLANAR GATE ALL-AROUND DEVICE AND METHOD OF FABRICATION THEREOF | 8 |
Norihide Kachikawa | JP | Seto-Shi | 2015-11-26 / 20150340843 - SPARK PLUG | 3 |
Vladimir S. Kachinsky | UA | Kiev | 2010-09-16 / 20100230470 - MACHINE FOR BUTT WELDING OF PIPES | 1 |
Elazar Kachir | IL | Haifa | 2016-03-10 / 20160071617 - VOLTAGE COMPARATOR CIRCUIT AND USAGE THEREOF | 4 |
Elazar Kachir | IL | Tel-Aviv | 2012-08-23 / 20120213023 - SYSTEMS AND METHODS FOR MEMORY DEVICE PRECHARGING | 2 |
Yoshito Kachita | JP | Kawasaki | 2016-03-31 / 20160094307 - OPTICAL TRANSMISSION DEVICE AND OPTICAL TRANSMISSION SYSTEM | 5 |
Igor Kachko | RU | Saint-Petersburg | 2014-09-18 / 20140263156 - Container Neck Ring | 2 |
Igor Kachko | RU | St. Petersburg | 2015-10-29 / 20150305324 - Platelet Concentrate Preservation Method | 5 |
Alla Kachko | US | Rockville | 2015-10-15 / 20150291684 - HEPATITIS C VIRUS NEUTRALIZING EPITOPES, ANTIBODIES, AND METHODS | 1 |
Alla Kachko | US | Silver Spring | 2015-10-15 / 20150291684 - HEPATITIS C VIRUS NEUTRALIZING EPITOPES, ANTIBODIES, AND METHODS | 1 |
Ilana Kachko-Chernetsky | IL | Beer Sheba | 2011-09-01 / 20110212040 - STABILIZED SUNSCREEN COMPOSITIONS | 1 |
Ilana Kachko-Chernetsky | IL | Rehovot | 2014-10-16 / 20140308372 - JOJOBA EXTRACT USEFUL IN IMPROVING SKIN BARRIER FUNCTIONS | 1 |
Oliver Kachkovsky | US | Jacksonville | 2012-11-15 / 20120290573 - INFORMATION CAPTURING METHODS AND COMPONENTS | 1 |
Oliver Kachkovsky | US | Ormond Beach | 2011-03-10 / 20110056393 - Waste Compaction and Lift Gate Mechanism | 1 |
Alexander Kachkovsky | US | Maple Grove | 2012-11-15 / 20120290573 - INFORMATION CAPTURING METHODS AND COMPONENTS | 1 |
Oliver B. Kachkovsky | US | Jacksonville | 2014-12-18 / 20140370767 - Portable Water Cycle | 1 |
Alexander Kachkovsky | US | Plymouth | 2009-12-24 / 20090314169 - TRASH COMPACTOR | 1 |
Scott C. Kachlany | US | Bridgewater | 2012-10-18 / 20120263644 - TREATMENT AND DIAGNOSIS OF INFLAMMATORY DISORDERS AND HIV | 2 |
Scott Charles Kachlany | US | Bridgewater | 2014-03-13 / 20140073586 - Compositions for the treatment of cancer, and methods for testing and using the same | 2 |
Charles S. Kachlany | US | Bridgewater | 2012-01-19 / 20120016111 - Compositions for the treatment of cancer, and methods for testing and using the same | 2 |
Thomas Stephen Kachler | US | Sunnyvale | 2015-04-23 / 20150107312 - Tire-Encircling Judderbarred Cable Loop | 1 |
Robert Somers Kachler | US | Huntington Beach | 2015-04-23 / 20150107312 - Tire-Encircling Judderbarred Cable Loop | 1 |
Peter Kachler | DE | Pullach | 2010-01-21 / 20100013166 - MECHANICAL SEAL ASSEMBLY | 1 |
Jerry D. Kachlic | US | Glen Ellyn | 2015-03-26 / 20150087164 - GANGED CONNECTOR SYSTEM | 3 |
Jerry D. Kachlic | US | Glen Elyn | 2013-12-12 / 20130330969 - SOCKET WITH INSERT-MOLDED TERMINAL | 1 |
Jeffrey L Kachline | US | Highland Heights | 2016-03-17 / 20160074973 - ELECTRIC ARC TORCH WITH COOLING CONDUIT | 9 |
Jeffrey L Kachline | US | Highland Heights | 2016-03-17 / 20160074973 - ELECTRIC ARC TORCH WITH COOLING CONDUIT | 9 |
Jeff Kachline | US | Highland Heights | 2014-09-18 / 20140263253 - WELDING DIFFUSER INSERT | 5 |
John Kachline | US | Wooster | 2016-05-12 / 20160131238 - METHOD OF FORMING A TORQUE CONVERTER IMPELLER INCLUDING MACHINING A WELD ROOT | 1 |
Jeffrey Kachline | US | Highland Heights | 2016-02-11 / 20160039037 - WELDING DIFFUSER INSERT | 5 |
Wayne M. Kachmar | US | North Bennington | 2016-04-28 / 20160116674 - FIELD TERMINABLE FIBER OPTIC CONNECTOR ASSEMBLY | 64 |
Wayne M. Kachmar | US | Bennington | 2008-11-20 / 20080285922 - Field Termination Kit | 1 |
David Joseph Kachmarik | US | Strongsville | 2012-05-03 / 20120104947 - COMPACT FLUORESCENT LAMP AND LED LIGHT SOURCE WITH ELECTRONIC COMPONENTS IN BASE | 3 |
Michael David Kachner | US | Akron | 2009-05-14 / 20090120553 - TIRE BEAD GEOMETRY | 1 |
Stan Kachnowski | US | Tarrytown | 2013-06-06 / 20130144637 - NOTIFICATION SERVICES FOR PATIENTS | 4 |
Stan Kachnowski | US | New York | 2012-08-09 / 20120203565 - METHOD AND APPARATUS FOR PROVIDING IMPROVED PATIENT MEDICATION ADHERENCE | 2 |
Stan W. Kachnowski | US | Tarrytown | 2015-11-19 / 20150332405 - SYSTEM AND METHOD FOR EVALUATING AN IDEA WITH EXPERT REVIEW OVER A COLLABORATIVE PLATFORM | 1 |
Varun Kacholia | US | Mountain View | 2009-03-19 / 20090076927 - DISTINGUISHING ACCESSORIES FROM PRODUCTS FOR RANKING SEARCH RESULTS | 1 |
Varun Kacholia | US | Sunnyvale | 2015-10-29 / 20150310528 - DISTINGUISHING ACCESSORIES FROM PRODUCTS FOR RANKING SEARCH RESULTS | 2 |
Jason P. Kachorek | US | Saint Joseph | 2012-04-26 / 20120098521 - LAUNDRY TREATING APPLIANCE WITH VOLTAGE DETECTION | 1 |
Jason P. Kachorek | US | St. Joseph | 2015-09-17 / 20150258512 - BLENDER JAR SCRAPING UTENSIL | 1 |
Ajay Kumar Kachottil | IN | Kochi | 2012-06-14 / 20120150820 - SYSTEM AND METHOD FOR TESTING DATA AT A DATA WAREHOUSE | 1 |
Rishi Kachrani | US | Nashua | 2015-10-01 / 20150281776 - SYSTEM AND METHOD FOR TARGETED ADVERTISING | 2 |
Christoforos Kachris | GR | Athens | 2009-11-05 / 20090276599 - CONFIGURABLE TRANSACTIONAL MEMORY FOR SYNCHRONIZING TRANSACTIONS | 1 |
Vinod K. Kachroo | US | Edison | 2016-02-04 / 20160035039 - SYSTEM AND METHOD FOR RECOMMENDING SERVICES TO CUSTOMERS | 1 |
Naveen Kachroo | US | Redmond | 2009-01-22 / 20090024522 - SYSTEM AND METHOD PROVIDING RULES DRIVEN SUBSCRIPTION EVENT PROCESSING | 1 |
Gautam Kachroo | US | San Francisco | 2009-04-16 / 20090100420 - Automatic Acquisition and Installation of Software Upgrades for Collections of Virtual Machines | 1 |
Sofiene Kachroudi | FR | Paris | 2015-12-24 / 20150369129 - FACILITY WITH A GAS TURBINE AND METHOD FOR REGULATING SAID FACILITY | 2 |
Ravinder Kachru | US | Los Altos Hills | 2015-10-01 / 20150277068 - MOLDED GLASS LID FOR WAFER LEVEL PACKAGING OF OPTO-ELECTRONIC ASSEMBLIES | 12 |
Ravinder Kachru | US | Los Altos Hills | 2015-10-01 / 20150277068 - MOLDED GLASS LID FOR WAFER LEVEL PACKAGING OF OPTO-ELECTRONIC ASSEMBLIES | 12 |
Ravinder Kachru | US | San Jose | 2015-10-22 / 20150304053 - Compact Multiple Channel Optical Receiver Assembly Package | 4 |
Komal Kachru | IN | Bangalore | 2013-02-07 / 20130036062 - SYSTEM AND METHOD FOR IMPROVING CUSTOMER SERVICE EFFICIENCY | 1 |
Yoash Kachtan | IL | Kibbutz Dafna | 2012-02-23 / 20120042474 - DOOR MECHANISM | 4 |
Yoash Kachtan | IL | Kubbutz Dafna | 2012-02-16 / 20120036989 - Gunner platform protector | 1 |
Ryotaro Kachu | JP | Aichi-Ken | 2010-02-18 / 20100038922 - VEHICLE BUMPER STRUCTURE | 2 |
Ryotaro Kachu | JP | Nishikamo-Gun | 2009-02-26 / 20090050395 - Colliding object determination device and collision information detector for vehicle | 2 |
Ryotaro Kachu | JP | Aichi | 2012-01-19 / 20120014637 - Shock detection optical fiber sensor | 1 |
Bradley A. Kachuik | CA | Cambridge | 2012-11-29 / 20120298582 - Layered Tubular Membranes for Chromatography, and Methods of Use Thereof | 1 |
Xenia M. Kachur | US | Tucson | 2014-05-08 / 20140127746 - SYSTEM FOR HANDLING BIOLOGICAL SAMPLES | 1 |
Alexander Victor Kachur | US | Media | 2014-12-18 / 20140369933 - FLUORINE-18 LABELED HYDROGEN ION PROBES | 1 |
Ken Kachur | CA | Calgary | 2011-03-31 / 20110073274 - MODULAR CLIMATE CHANGE TARP SYSTEM | 2 |
Sergey Kachur | US | Monterey Park | 2014-09-04 / 20140248630 - METHODS AND KITS USED IN THE DETECTION OF FUNGUS | 2 |
Anatoly Kachurin | US | Orlando | 2015-04-23 / 20150111766 - FLUORESCENT NEUTRALIZATION AND ADHERENCE INHIBITION ASSAYS | 10 |
Anatoly Kachurin | US | Orlando | 2015-04-23 / 20150111766 - FLUORESCENT NEUTRALIZATION AND ADHERENCE INHIBITION ASSAYS | 10 |
Aliaksandr Kachynski | US | Amherst | 2009-05-07 / 20090114859 - Use of ZnO Nanocrystals For Imaging and Therapy | 1 |
Daniel L. Kacian | US | San Diego | 2015-04-02 / 20150093753 - METHODS FOR QUANTITATIVE AMPLIFICATION AND DETECTION OVER A WIDE DYNAMIC RANGE | 19 |
Mark S. Kacik | US | Lakewood | 2015-07-09 / 20150191901 - PLUMBING FIXTURE FITTING | 3 |
Mark S. Kacik | US | Strongsville | 2013-08-29 / 20130220444 - VALVE CARTRIDGE WITH LOW POINT OF CONTACT FOR INSTALLATION | 4 |
Martin Kacin | US | Palo Alto | 2014-01-30 / 20140032449 - Automated Remediation with an Appliance | 10 |
Martin Kacin | US | Palo Alto | 2014-01-30 / 20140032449 - Automated Remediation with an Appliance | 10 |
Martin Kacin | US | 2011-07-14 / 20110173430 - IT Automation Appliance Imaging System and Method | 2 | |
Martin Kacin | US | Los Altos Hills | 2013-08-01 / 20130198764 - DEPLOYMENT AND MANAGEMENT OF VIRTUAL CONTAINERS | 1 |
Jeffery J. Kacines | US | Allen | 2014-03-27 / 20140082893 - Rotational Adjuster for Item With a Hanging Loop | 2 |
Murat Kacira | US | Tucson | / - | 1 |
Raymond E. Kacirek | US | St. Charles | 2014-09-18 / 20140274718 - Method of Increasing the Taste of Edible Produce | 1 |
Martina Kacirkova | CZ | Roztoky | 2010-07-29 / 20100189786 - CRYSTALLINE TOLTERODINE TARTARATE AND A PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 1 |
Himanshu Kacker | IN | Bangalore | 2008-10-23 / 20080263034 - METHOD AND APPARATUS FOR QUERYING BETWEEN SOFTWARE OBJECTS | 1 |
Karan Kacker | US | Atlanta | 2012-11-29 / 20120299195 - CONSTRUCTION OF RELIABLE STACKED VIA IN ELECTRONIC SUBSTRATES - VERTICAL STIFFNESS CONTROL METHOD | 9 |
Smita Kacker | US | Annandale | 2014-12-25 / 20140378017 - Method for Making Polypropylene Nonwoven Fibers and Fabrics | 4 |
Smita Kacker | US | Katy | 2011-08-25 / 20110207637 - Vicinally Disubstituted Internal Olefins as Constituents of Olefin Copolymers for Lubricating Oil Rheology Modifiers | 1 |
Ashutosh Kacker | US | New York | 2010-03-25 / 20100076526 - CONTROL OF HALITOSIS-GENERATING AND OTHER MICROORGANISMS IN THE NON-DENTAL UPPER RESPIRATORY TRACT | 2 |
Smita Kacker | US | Houston | 2011-04-21 / 20110092658 - Late Transition Metal Catalysts for Olefin Oligomerizations | 3 |
Rishi R. Kacker | US | Woodside | 2010-06-24 / 20100161984 - SECURE MESSAGE SYSTEM WITH REMOTE DECRYPTION SERVICE | 1 |
Rishi R. Kacker | US | Menlo Park | 2009-12-10 / 20090307497 - IDENTITY-BASED-ENCRYPTION MESSAGING SYSTEM | 2 |
Karan Kacker | US | Atlanta | 2012-11-29 / 20120299195 - CONSTRUCTION OF RELIABLE STACKED VIA IN ELECTRONIC SUBSTRATES - VERTICAL STIFFNESS CONTROL METHOD | 9 |
Dhiraj Kacker | US | Burlingame | 2009-02-26 / 20090052736 - IMAGE RANKING FOR IMAGING PRODUCTS AND SERVICES | 2 |
Scott Kackman | US | New Hope | 2014-10-16 / 20140308408 - REGRIGERATED CHEMICALLY LEAVENED DOUGH IN PRESSURIZED CONTAINER | 9 |
James Kacmar | US | Visalia | 2015-12-03 / 20150344921 - ADVANCED AUGER AND FILTRATION SYSTEM FOR THE SACCHARIFICATION OF BIOMASS | 3 |
Jim Kacmar | US | Apex | 2015-08-20 / 20150231532 - PORTABLE FILTRATION UNIT | 2 |
Marian Kacmar | DE | Ostfildern | 2016-04-07 / 20160097388 - PUMP UNIT | 1 |
Miroslav Kacmar | CA | Mississauga | 2011-07-07 / 20110166964 - SYSTEMS AND METHODS FOR SEARCHING FOR ENTERTAINMENT TICKETS VIA INTERNET | 1 |
James A. Kacmar | US | Apex | 2015-07-02 / 20150183815 - OPTIMIZATION OF SEPARATION FOR VISCOUS SUSPENSIONS | 3 |
James A. Kacmar | US | Lauderdale | 2009-03-26 / 20090081770 - HIGH THROUGHPUT BIOREACTOR | 1 |
Marian Kacmar | DE | Stuttgart | 2015-05-07 / 20150122115 - Hydrostatic Axial Piston Machine | 5 |
James Kacmar | US | Apex | 2014-02-13 / 20140045236 - METHOD AND SYSTEMS FOR ISOLATION AND/OR SEPARATION OF PRODUCTS FROM PRODUCTION PROCESSES | 2 |
Steve R. Kacmarcik | US | Winchester Center | 2011-12-01 / 20110290206 - ROCKER SHAFT PEDESTAL INCORPORATING AN ENGINE VALVE ACTUATION SYSTEM OR ENGINE BRAKE | 1 |
Mert Kacmaz | US | Cincinnati | 2016-04-14 / 20160104092 - SYSTEMS AND METHODS FOR MANAGING BUSINESS AWARD WORKFLOW | 1 |
Erhan Kacmaz | TR | Istanbul | 2015-12-10 / 20150354860 - A COOLING DEVICE | 1 |
Erhan Kacmaz | US | 2015-12-10 / 20150354860 - A COOLING DEVICE | 1 | |
Karol Kacprzak | US | 2016-01-28 / 20160022668 - APPLICATION OF CINCHONA ALKALOID DERIVATIVES AS CYTOTOXIC COMPOUNDS | 1 | |
Karol Kacprzak | PL | Pecna | 2016-01-28 / 20160024133 - 2,3'-ANHYDRO-2'-DEOXY-5-FLUOROURIDINE DERIVATIVES WITH CYTOTOXIC ACTIVITY, A MANUFACTURING PROCESS AND APPLICATION | 2 |
Dariusz Kacprzak | NZ | Auckland | 2010-11-18 / 20100289340 - INDUCTIVELY COUPLED POWER TRANSFER SYSTEM | 1 |
Imre Kacskovics | HU | Budakeszi | 2013-05-02 / 20130109087 - Transgenic Animal with Enhanced Immune Response and Method for the Preparation Thereof | 2 |
Seth Kacur | US | Beacon | 2013-07-25 / 20130189908 - Edger Resurfacing Machine | 4 |
Aileen Kacvinsky | US | Blanchard | 2015-04-23 / 20150110920 - PROCESS FOR THE PREPARATION OF CHEESE | 1 |
Isaiah Kacyvenski | US | Weston | 2015-02-05 / 20150035680 - FLEXIBLE TEMPERATURE SENSOR INCLUDING CONFORMABLE ELECTRONICS | 4 |
Pawel Kaczanowski | PL | Lodz | 2012-10-25 / 20120269456 - METHOD FOR CREATING A MOSAIC IMAGE USING MASKS | 1 |
Matthew John Kaczanowski | US | Cincinnati | 2015-04-23 / 20150110673 - Psyllium Fumigated with Methyl Bromide | 1 |
Matthew John Kaczanowski | US | Norwich | 2010-08-19 / 20100210605 - Compositions Comprising 5-Amino-2-Hydroxybenzoic Acid and a Reducing Sugar | 1 |
Peter John Kaczdowski | US | Seattle | 2009-12-31 / 20090326379 - HIGH FRAME RATE QUANTITATIVE DOPPLER FLOW IMAGING USING UNFOCUSED TRANSMIT BEAMS | 1 |
Edward F. Kaczkowski | US | Pittsburgh | 2008-11-20 / 20080286478 - Apparatus and process for treating an article to impart color and/or enhance the properties of that article | 1 |
Peter J. Kaczkowski | US | Seattle | 2013-11-14 / 20130301383 - PORTABLE ACOUSTIC HOLOGRAPHY SYSTEMS FOR THERAPEUTIC ULTRASOUND SOURCES AND ASSOCIATED DEVICES AND METHODS | 4 |
Michael J. Kaczkowski | US | Manitowoc | 2011-08-11 / 20110192872 - MOTORCYCLE SADDLEBAG | 2 |
Peter Kaczkowski | US | Seattle | 2011-10-27 / 20110263967 - ULTRASOUND BASED METHOD AND APPARATUS FOR STONE DETECTION AND TO FACILITATE CLEARANCE THEREOF | 2 |
Daniel Lee Kaczman | US | Newbury Park | 2015-12-17 / 20150365057 - APPARATUS AND METHODS FOR POWER AMPLIFIER OUTPUT MATCHING | 6 |
Daniel L. Kaczman | US | Gurnee | 2013-11-14 / 20130303099 - RECEIVER CONFIGURABLE IN A PLURALITY OF MODES | 6 |
Joseph Michael Kaczmar | US | Mattawan | 2009-03-05 / 20090056354 - Refrigeration power system for a storage compartment in a vehicle | 1 |
Wendy L. Kaczmar | US | Catharpin | 2013-11-21 / 20130308761 - METHOD AND SYSTEM FOR VALIDATING CHANNEL DISCREPANCIES | 1 |
John M. Kaczmarczyk | US | Angola | 2015-03-26 / 20150082705 - TRAILER DOOR SEAL | 3 |
Pawel Kaczmarczyk | DE | Munchen | 2015-02-05 / 20150036885 - METHOD AND DEVICE FOR ONLINE CALIBRATION OF VEHICLE CAMERAS | 1 |
Casimer Kaczmarczyk | US | Plano | 2009-06-11 / 20090147926 - AUTOMATED E911 ROUTE VERIFICATION | 1 |
Alex Kaczmarczyk | CA | Aurora | 2010-01-21 / 20100013246 - Double Lock Override Mechanism for Vehicular Passive Entry Door Latch | 1 |
Matthew Louis Kaczmarczyk | US | Bay City | 2015-10-08 / 20150285022 - MULTI-PIECE MOLDED COMPOSITE MANDREL AND METHODS OF MANUFACTURING | 2 |
Stefan Kaczmarczyk | GB | Northampton | 2015-09-03 / 20150246791 - Elevator System | 4 |
Stanislaw J. Kaczmarczyk | US | Frederick | 2015-02-19 / 20150050243 - DELIVERY OF PACKAGED RNA TO MAMMALIAN CELLS | 1 |
Jeffrey Kaczmarczyk | US | Newark | 2016-04-28 / 20160115293 - GRAPHENE DISPERSIONS | 1 |
Michal Kaczmarczyk | PL | Warsaw | 2014-01-23 / 20140025917 - STORAGE SYSTEM | 1 |
Roman Kaczmarczyk | DE | Sarstedt | 2014-10-02 / 20140290893 - VACUUM DIE-CASTING SYSTEM AND METHOD FOR OPERATION OF SUCH A VACUUM DIE-CASTING SYSTEM | 2 |
Anna M. Kaczmarczyk | US | Racine | 2013-03-07 / 20130055834 - POWERED DISPENSING TOOL | 1 |
Christian Kaczmarczyk | DE | Velbert | 2009-10-22 / 20090260186 - Hinge arrangement for a tailgate of a motor vehicle | 1 |
Joseph Martin Kaczmarek | US | Chicago | 2015-04-09 / 20150100966 - ADJUSTING EXECUTION OF TASKS IN A DISPERSED STORAGE NETWORK | 4 |
Adam Kaczmarek | PL | Warszawa | 2014-09-25 / 20140289697 - Systems and Methods for Software Development | 1 |
Krzysztof Kaczmarek | PL | Lodz | 2013-08-29 / 20130224232 - CYCLIC TETRAPEPTIDES AND THERAPEUTIC APPLICATIONS THEREOF | 2 |
Leszek Kaczmarek | PL | Warszawa | 2014-06-19 / 20140169647 - METHOD AND A SYSTEM FOR PROCESSING AN IMAGE COMPRISING DENDRITIC SPINES | 1 |
Lukasz Kaczmarek | PL | Warszawa | 2011-05-26 / 20110124866 - PROCESS FOR PREPARATION OF TADALAFIL | 1 |
Edward Robert Kaczmarek | US | Spokane | 2016-03-10 / 20160069648 - Encapsulated Arrays With Barrier Layer Covered Tiles | 11 |
Piotr Kaczmarek | US | Cambridge | 2012-04-19 / 20120094933 - DERIVATIVES OF APF AND METHODS OF USE | 1 |
Wieslaw Aleksander Kaczmarek | AU | Garran | 2013-08-29 / 20130221249 - RADIATION STORAGE PHOSPHOR & APPLICATIONS | 1 |
Fabrice Kaczmarek | FR | Saverne | 2010-10-28 / 20100270006 - HOLDER FOR A HEAT EXCHANGER | 1 |
Renata Kaczmarek | PL | Lodz | 2010-06-03 / 20100137576 - 5' O [(N ACYL)AMIDOPHOSPHATE] AND 5' O [(N ACYL)AMIDOTHIOPHOSPHATE] AND 5' O [(N ACYL)AMIDODITHIOPHOSPHATE] AND 5' O [(N ACYL)AMIDOSELENOPHOSPHATE] DERIVATIVES OF NUCLEOSIDES AND PROCESSES FOR THE MANUFACTURE THEREOF | 1 |
Stefan Kaczmarek | DE | Idstein | 2010-12-23 / 20100325699 - SYSTEM FOR PROVIDING AND MANAGING AN ONLINE COMMUNITY CONTAINING A WILL INFORMATION MANAGEMENT AND DISCLOSURE SYSTEM | 3 |
Ulrich Kaczmarek | DE | Emmendingen | 2015-02-19 / 20150048550 - INJECTION MOLDING MACHINE WITH IMPROVED OUTPUT | 3 |
Reinhard Kaczmarek | DE | Hassloch | 2010-06-17 / 20100152481 - METHOD FOR PRODUCING VINYL ESTERS OF CARBOXYLIC ACIDS | 1 |
Malgorzata Kaczmarek | GB | Southampton | 2009-06-18 / 20090154869 - Tunable Filter Device Comprising a Waveguide Containing Bragg Grating, and a Planer Substrate | 1 |
Joseph A. Kaczmarek | US | Sunriver | 2009-12-10 / 20090301026 - METHOD AND APPARATUS FOR CONNECTING PERPENDICULARLY ORIENTED STRUCTURAL BUILDING MEMBERS | 1 |
Lukasz Kaczmarek | PL | Lodz | 2015-05-07 / 20150125694 - NANOCOMPOSITE BASED ON GRAPHENE FOR REVERSIBLE STORAGE OF HYDROGEN | 2 |
Wieslaw Alex Kaczmarek | AU | Australian Capital Territory | 2009-05-21 / 20090129542 - RADIATION STORAGE PHOSPHOR & APPLICATIONS | 1 |
Michal Kaczmarek | CH | Waedenswil | 2012-11-01 / 20120278194 - USING FEEDBACK REPORTS TO DETERMINE PERFORMANCE OF AN APPLICATION IN A GEOGRAPHIC LOCATION | 1 |
Wolfgang Kaczmarek | DE | Schwindegg | 2014-06-26 / 20140174486 - WASHWARE CARRIER FOR ACCOMMODATING WASHWARE IN A VARIABLE MANNER | 2 |
Edward Robert Kaczmarek | US | Spokane | 2016-03-10 / 20160069648 - Encapsulated Arrays With Barrier Layer Covered Tiles | 11 |
Dirk Kaczmarek | DE | Weiden | 2013-03-21 / 20130071667 - SEAL LAQUER | 2 |
Kevin Kaczmarek | US | Linden | 2010-03-25 / 20100075565 - COMBINATION PLAY SET AND EXCERCISING STATION | 1 |
Daniel Steven Kaczmarek | US | Saint Paul | 2008-11-06 / 20080272146 - Portable liquid-dispensing bag | 1 |
Didier Kaczmarek | US | Rocky Mount | 2009-02-26 / 20090050233 - Dripless nozzle | 2 |
Michael Kaczmarek | US | Redmond | 2009-12-03 / 20090299797 - INFRASTRUCTURE PLANNING AND DESIGN SERIES ARCHITECTURE EDUCATION FRAMEWORK | 1 |
Chase Kaczmarek | US | Edgewater | 2014-01-16 / 20140015216 - SKATEBOARD WHEEL COVER | 1 |
Martin J. Kaczmarek | US | Bend | 2009-12-10 / 20090301026 - METHOD AND APPARATUS FOR CONNECTING PERPENDICULARLY ORIENTED STRUCTURAL BUILDING MEMBERS | 1 |
Thomas Kaczmarek | US | Schaumburg | 2010-03-25 / 20100076245 - Pyromatic resource recovery system | 1 |
Malgorzata Kaczmarska-Wojtania | US | Plano | 2016-04-28 / 20160119777 - LOCALIZING A MOBILE DATA PATH IN A RADIO ACCESS NETWORK UNDER CONTROL OF A MOBILE PACKET CORE IN A NETWORK ENVIRONMENT | 3 |
Katerina Kaczmarski | US | Raleigh | 2015-10-22 / 20150297452 - Fluid Transfer Device | 1 |
Michael Allen Kaczmarski | US | Tucson | 2011-06-30 / 20110161412 - PROCESSING REQUESTS TRANSMITTED USING A FIRST COMMUNICATION DIRECTED TO AN APPLICATION THAT USES A SECOND COMMUNICATION PROTOCOL | 8 |
Michael Kaczmarski | US | Tucson | 2010-10-14 / 20100263030 - METHOD FOR ESTABLISHING AN AGENCY RELATIONSHIP TO PERFORM DELEGATED COMPUTING TASKS | 1 |
Michael Allen Kaczmarski | US | Tucson | 2011-06-30 / 20110161412 - PROCESSING REQUESTS TRANSMITTED USING A FIRST COMMUNICATION DIRECTED TO AN APPLICATION THAT USES A SECOND COMMUNICATION PROTOCOL | 8 |
Michael A. Kaczmarski | US | Tucson | 2008-11-27 / 20080294611 - HIERARCHICAL STORAGE MANAGEMENT USING DYNAMIC TABLES OF CONTENTS AND SETS OF TABLES OF CONTENTS | 1 |
Wally L. Kaczmarski | US | Lisbon | 2010-03-11 / 20100059305 - SPLIT CHAINCASE WITH FIXED AXLES | 1 |
Kenneth A. Kaczmarz | US | Lagrange Park | 2015-07-09 / 20150194002 - HUB-BASED ELECTRONIC LOCK SYSTEMS AND DEVICES | 7 |
Marek Kaczor | PL | Krakow | 2013-01-31 / 20130026109 - DIFFUSER FOR SATURATION OF WATER WITH GAS | 2 |
Andrew Kaczorek | US | Carmel | 2015-12-17 / 20150363281 - METHOD AND SYSTEM FOR AUTOMATICALLY DETECTING AND RESOLVING INFRASTRUCTURE FAULTS IN CLOUD INFRASTRUCTURE | 4 |
Alain Kaczorek | FR | Saint Etienne | 2011-06-16 / 20110138871 - Circular rolling mill with shaping roller | 1 |
Andrew Kaczorek | US | 2015-10-08 / 20150286508 - TRANSPARENTLY ROUTING JOB SUBMISSIONS BETWEEN DISPARATE ENVIRONMENTS | 1 | |
Katarzyna Kaczorowska | PL | Krakow | 2009-05-28 / 20090137811 - PROCESS FOR THE PREPARATION OF DONEPEZIL AND INTERMEDIATE COMPOUNDS THEREOF AS WELL AS HYDRATES OF DONEPEZIL | 1 |
Katarzyna Kaczorowska | HR | Zagreb | 2009-12-03 / 20090298879 - Impurities of Donepezil | 1 |
Kamil Bazyli Kaczorowski | PL | Warszawa | 2015-02-26 / 20150052903 - ALIGNMENT AND MEASURING TOOL FOR A TURBOMACHINE | 1 |
Kamil Bazyli Kaczorowski | PL | Warsaw | 2015-10-15 / 20150292740 - METHODS OF REINFORCING COMBUSTOR APERTURE AND RELATED COMBUSTOR | 1 |
Heiko Kaczorowski | DE | Bad Liebenzell | 2009-08-13 / 20090200188 - HOLDING AND PACKAGING DEVICE FOR A TOOTH IMPLANT | 1 |
Deron Kaczorowski | US | South Bend | 2009-12-03 / 20090294712 - HIGH FLOW PROPORTIONAL VALVE | 1 |
Jeffrey Thomas Kaczperski | US | China Township | 2014-05-01 / 20140121696 - NASAL SPLINT | 1 |
Jürgen Kaczun | DE | Wacheheim | 2009-11-19 / 20090285976 - METHOD FOR PRODUCING ELECTRICALLY CONDUCTIVE SURFACES ON A SUPPORT | 1 |
Jürgen Kaczun | DE | Wacheheim | 2009-11-19 / 20090285976 - METHOD FOR PRODUCING ELECTRICALLY CONDUCTIVE SURFACES ON A SUPPORT | 1 |
Jürgen Kaczun | DE | Wachenheim | 2011-04-14 / 20110086231 - DISPERSION FOR APPLYING A METAL LAYER | 12 |
Jürgen Kaczun | DE | Wachenheim | 2012-02-09 / 20120033002 - PRINTING METHOD FOR PRODUCING THERMOMAGNETIC FORM BODIES FOR HEAT EXCHANGERS | 17 |
Juergen Kaczun | DE | Wachenheim | 2015-09-03 / 20150247043 - POLYURETHANES, DISPERSIONS THEREOF, THEIR PREPARATION AND USE | 10 |
Juergen Kaczun | DE | Niederkirchen | 2009-06-11 / 20090149575 - LIQUID PRINTING INKS FOR FLEXOGRAPHIC AND/OR GRAVURE PRINTING USING HYPERBRANCHED POLYMERS AS BINDERS | 1 |
Juergen Kaczun | DE | Wachenheim | 2015-09-03 / 20150247043 - POLYURETHANES, DISPERSIONS THEREOF, THEIR PREPARATION AND USE | 10 |
Jürgen Kaczun | DE | Wachenheim | 2012-02-09 / 20120033002 - PRINTING METHOD FOR PRODUCING THERMOMAGNETIC FORM BODIES FOR HEAT EXCHANGERS | 17 |
Jerry J. Kaczur | US | North Miami Beach | 2015-09-24 / 20150267309 - High Pressure Electrochemical Cell and Process for the Electrochemical Reduction of Carbon Dioxide | 26 |
Jerry J. Kaczur | US | North Miami Beach | 2015-09-24 / 20150267309 - High Pressure Electrochemical Cell and Process for the Electrochemical Reduction of Carbon Dioxide | 26 |
Brian J. Kaczynski | US | San Francisco | 2011-12-08 / 20110299704 - Frequency-tracked synthesizer employing selective harmonic amplification and/or frequency scaling | 3 |
Mark Kaczynski | US | Canton | 2009-02-26 / 20090055310 - Computer System for Financing Ownership of a Vehicle | 1 |
Adam Kaczynski | AU | Quakers Hill | 2009-08-13 / 20090204843 - MEDIA DATA SYNCHRONIZATION IN A WIRELESS NETWORK | 1 |
Zbigniew Kaczynski | PL | Gdansk | 2012-11-08 / 20120282268 - Novel Cell Wall Components of Enterococci and Uses Thereof | 2 |
David Kaczynski | US | South Bend | 2010-07-22 / 20100181151 - Hybrid piston bushing sleeve assembly | 1 |
Timothy D. Kaczynski | US | Poughkeepsie | 2014-06-19 / 20140173583 - DYNAMICALLY UPDATING CODE WITHOUT REQUIRING PROCESSES TO RESTART | 5 |
Geoffrey Paul Kaczynski | US | Westlake Village | 2013-09-12 / 20130236234 - DUAL TUBE FRANGIBLE JOINT | 1 |
Brian James Kaczynski | PL | Krakow | 2016-05-12 / 20160134312 - HIGH-VOLTAGE RADIO-FREQUENCY ATTENUATOR | 2 |
Brian Kaczynski | PL | Krakow | 2014-07-03 / 20140184342 - SYSTEMS AND METHODS FOR FREQUENCY SYNTHESIS TO IMPROVE COEXISTENCE | 2 |
Brian J. Kaczynski | PL | Krakow | 2012-06-21 / 20120155347 - POWER MANAGEMENT OF WIRELESS PROTOCOL CIRCUITRY BASED ON CURRENT STATE | 1 |
Kyohei Kada | JP | Hikone-Shi | 2009-12-10 / 20090303752 - Switching Power Supply | 2 |
Kyohei Kada | JP | Kyoto | 2012-12-27 / 20120326662 - NON-CONTACT POWER SUPPLY DEVICE, NON-CONTACT POWER RECEIVING DEVICE, AND NON-CONTACT POWER CHARGING SYSTEM | 4 |
Kyohei Kada | JP | Shiga-Ken | 2012-08-09 / 20120201054 - NON-CONTACT POWER TRANSMISSION APPARATUS | 1 |
Takeshi Kada | JP | Tokyo | 2014-03-27 / 20140087816 - GAMING MACHINE AND GAMING METHOD | 1 |
Gerald Kada | AT | Linz | 2009-10-01 / 20090241648 - Reducing Noise In Atomic Force Microscopy Measurements | 1 |
Tomoyasu Kada | JP | Osaka | 2010-07-01 / 20100168964 - MOTOR VEHICLE STEERING APPARATUS | 1 |
Kyohei Kada | JP | Shiga | 2013-01-31 / 20130026849 - POWER RECEPTION DEVICE AND POWER TRANSMISSION SYSTEM | 4 |
Tomoyasu Kada | JP | Kaizuka-Shi | 2014-10-30 / 20140318264 - VEHICLE TEST APPARATUS AND VEHICLE TEST SYSTEM | 4 |
Takeshi Kada | JP | Hiratsuka-Shi | 2012-12-27 / 20120328798 - INTER-LOW-PERMITTIVITY LAYER INSULATING FILM, AND METHOD FOR FORMING INTER-LOW-PERMITTIVITY LAYER INSULATING FILM | 1 |
Takeshi Kada | JP | Uenohara | 2012-04-05 / 20120080805 - Semiconductor device and method of manufacturing the same | 1 |
Rajashekhar Kada | IN | Chennai | 2010-04-01 / 20100083177 - Using tags with operator interface panels | 1 |
Takeshi Kada | JP | Uenohara-Shi | 2011-12-22 / 20110313184 - INSULATING FILM MATERIAL, AND FILM FORMATION METHOD UTILIZING THE MATERIAL, AND INSULATING FILM | 1 |
Osamu Kada | JP | Tokyo | 2013-12-26 / 20130340895 - CARBURIZED STEEL PART HAVING EXCELLENT LOW CYCLE BENDING FATIGUE STRENGTH | 2 |
Kyohei Kada | JP | Hikone | 2010-07-08 / 20100171367 - CONTACTLESS POWER TRANSMISSION CIRCUIT | 1 |
Toyofumi Kada | JP | Nagaokakyo-Shi | 2015-07-16 / 20150200043 - TEMPERATURE SENSOR AND MANUFACTURING METHOD FOR TEMPERATURE SENSOR | 1 |
Srinivas Kadaba | US | Fremont | 2014-09-18 / 20140280938 - Network Assisted UPnP Remote Access | 1 |
Srinivas Kadaba | DE | Herzogenrath | 2014-08-07 / 20140219094 - Centralized Control of Data Plane Applications | 1 |
Ganesh Kadaba | US | Cupertino | 2011-06-30 / 20110157181 - METHODS AND SYSTEM FOR ARTIFICALLY AND DYNAMICALLY LIMITING THE DISPLAY RESOLUTION OF AN APPLICATION | 1 |
Srinivas R. Kadaba | US | Murray Hill | 2014-09-18 / 20140269640 - ARCHITECTURE TO SUPPORT NETWORK-WIDE MULTIPLE-IN-MULTIPLE-OUT WIRELESS COMMUNICATION OVER A DOWNLINK | 1 |
Srinivas Kadaba | IN | Bangalore | 2014-09-18 / 20140280938 - Network Assisted UPnP Remote Access | 1 |
Neena Sujata Kadaba | US | Capistrano Beach | 2008-10-02 / 20080243281 - Portable device and associated software to enable voice-controlled navigation of a digital audio player | 1 |
Srinivas R. Kadaba | US | Chatham | 2010-03-18 / 20100069010 - Distributed multi-cell successive interference cancellation for uplink cellular networks | 3 |
Murali Kadaba | US | San Carlos | 2013-10-03 / 20130261748 - Hydraulically Actuated Expanding Spine Cage with Extendable Locking Anchor | 1 |
Nagesh Kadaba | US | Roswell | 2016-03-31 / 20160091350 - SYSTEM AND METHOD FOR GENERATING A TRANSPORTING INSTRUCTION USING AN ENVIRONMENTAL SENSOR | 27 |
Rajkumar Kadaba | CA | Ottawa | 2013-03-07 / 20130058940 - Novel Gene And Protein Associated With Angiogenesis And Endothelial Cell Specific Apoptosis | 2 |
Murali Kadaba | US | Emerald Hills | 2015-10-15 / 20150289988 - ADJUSTABLE DISTRACTION CAGE WITH LINKED LOCKING MECHANISMS | 4 |
Murali P. Kadaba | US | Foster City | 2013-07-04 / 20130172933 - INTERSPINOUS SPACER | 4 |
Bharath Kadaba | US | Santa Monica | 2013-12-19 / 20130339870 - SOFTWARE LOCALIZATION THROUGH USER CONTRIBUTION | 1 |
Rajasekhar Kadaboina | IN | Secunderabad | 2010-05-27 / 20100130734 - PROCESS FOR PREPARING CAPECITABINE | 1 |
Rajasekhar Kadaboina | IN | Habsiguda | 2013-06-20 / 20130158273 - PREPARATION OF BENDAMUSTINE AND ITS SALTS | 1 |
Rajasekhar Kadaboina | IN | Hyderabad | 2013-08-08 / 20130203990 - PROCESS FOR THE PREPARATION OF IMATINIB MESYLATE | 11 |
Rajasekhar Kadaboina | IN | Hyderabad | 2013-08-08 / 20130203990 - PROCESS FOR THE PREPARATION OF IMATINIB MESYLATE | 11 |
Ilia Kadachevitch | DE | Hermsdorf | 2015-11-19 / 20150331078 - Device and method for calibrating tracking systems in imaging systems | 1 |
Vijay Kumar Kadagala | US | San Diego | 2011-10-13 / 20110249555 - SCHEME AND APPARATUS FOR MULTI-RESOURCE FLOW CONTROL | 2 |
Srinidhi Kadagattur | IN | Bangalore | / - | 1 |
Andrew S. Kadah | US | Manlius | 2015-12-24 / 20150370270 - DC Thermostat with Latching Relay Repulsing | 4 |
Andrew S Kadah | US | Monlias | 2009-09-17 / 20090230914 - Motor Start Circuit with Capacitive Discharge Protection | 1 |
Hassan B. Kadah | US | Hortonville | 2011-05-26 / 20110120688 - Aluminum Tube - Aluminum Fin Baseboard Radiator | 1 |
Meelis Kadaja | EE | Tartumaa | 2010-11-04 / 20100279416 - METHOD FOR INTRODUCING CHANGES INTO A EUKARYOTIC GENOME IN VIVO AND A KIT | 1 |
Thomas Peter Kadaja | US | Eugene | 2010-09-09 / 20100226809 - Pivoting vane pump/motor | 1 |
Leena Kadakia | US | Foster City | 2014-09-18 / 20140276394 - INPUT DEVICE FOR CONTROLLING A CATHETER | 2 |
Nirav Kadakia | US | Edison | 2009-01-01 / 20090003556 - Method And Apparatus For Management Of Electronic Greetings Using A Telecommunication Service | 1 |
Karan Sandeep Kadakia | US | Pittsburgh | 2014-02-13 / 20140045678 - NON-NOBLE METAL BASED ELECTRO-CATALYST COMPOSITIONS FOR PROTON EXCHANGE MEMBRANE BASED WATER ELECTROLYSIS AND METHODS OF MAKING | 1 |
Nirav J. Kadakia | US | Parlin | 2015-01-29 / 20150029899 - Method and Apparatus for Providing Bridgeless Conferencing Services | 4 |
Palak Kadakia | US | Redmond | 2016-02-18 / 20160048791 - INTELLIGENT PROCESS FLOWS | 3 |
Nirav Kadakia | US | Parlin | 2015-04-02 / 20150092567 - SYSTEMS AND METHODS FOR INTEGRATING ROUTE AND RANK INFORMATION INTO CALL DETAILE RECORDS | 6 |
Nirav Kadakia | US | Old Bridge | 2012-08-02 / 20120196614 - METHOD AND SYSTEM FOR UNIFIED MANAGEMENT OF COMMUNICATION EVENTS | 2 |
Nirag Kadakia | US | San Antonio | 2012-04-26 / 20120097209 - FABRICATION OF SURFACE TEXTURES BY ION IMPLANTATION FOR ANTIREFLECTION OF SILICON CRYSTALS | 1 |
Samit Kadakia | US | Corona | 2014-03-06 / 20140059834 - STENT CRIMPING METHODS | 2 |
Arpita Kadakia | US | Chicago | 2010-04-01 / 20100080840 - Hybrid superporous hydrogel scaffold for cornea regeneration | 1 |
Dixit B. Kadakia | US | Tulsa | 2010-01-21 / 20100012215 - Composite Load Transferring Technique | 1 |
Suresh D. Kadakia | US | Hopewell Junction | 2014-02-20 / 20140051211 - MULTICHIP ELECTRONIC PACKAGES AND METHODS OF MANUFACTURE | 3 |
Jatin Kadakia | US | San Diego | 2016-01-28 / 20160029420 - TELEHEALTH WIRELESS COMMUNICATION HUB DEVICE AND SERVICE PLATFORM SYSTEM | 4 |
Nirav Kadakia | US | Holmdel | 2015-03-05 / 20150063347 - SYSTEMS AND METHODS OF IMPROVING THE QUALITY OF VOIP COMMUNICATIONS | 2 |
Paulomi Kadakia | US | Bridgeville | 2009-05-21 / 20090132628 - Method for Performing Decimal Division | 1 |
Navin Kadakia | US | Bridgeville | 2012-02-02 / 20120024784 - Fluid Gasification/Degasification Apparatuses, Systems, and Processes | 3 |
Jatin C. Kadakia | US | San Diego | 2012-07-19 / 20120182939 - TELEHEALTH WIRELESS COMMUNICATION HUB AND SERVICE PLATFORM SYSTEM | 2 |
Suresh D. Kadakia | US | Poughkeepsie | 2009-06-11 / 20090145973 - STRUCTURE FOR IMPLEMENTING SECURE MULTICHIP MODULES FOR ENCRYPTION APPLICATIONS | 1 |
Jatinkumar Chandrakant Kadakia | US | San Diego | 2012-06-21 / 20120158829 - METHODS AND APPARATUS FOR PROVIDING OR RECEIVING DATA CONNECTIVITY | 1 |
Kokesh P. Kadakia | US | Goodlettsville | 2010-04-08 / 20100088127 - System and Method for Processing Vehicle Transactions | 3 |
Chakib Kada Kloucha | FR | Suresnes | 2014-11-27 / 20140350902 - Method for exploiting a fractured medium on the basis of a matched reservoir model for wells chosen by means of an equivalent transmissivity model | 1 |
Mridula Kadalbajoo | US | Farmingdale | 2014-10-23 / 20140315911 - DIHYDROPTERIDINONES | 2 |
Mridula Kadalbajoo | US | College Park | 2009-03-19 / 20090075396 - Biosensors | 1 |
Jyothi Kadali | US | Atlanta | 2016-02-11 / 20160040947 - ALUMINUM ALLOY FOR HEAT EXCHANGER FINS | 3 |
Satyasagar Kadali | CA | Burlington | 2013-12-19 / 20130338234 - METHOD FOR DIRECT EXTRACTION AND CONCENTRATION OF NATURALLY-DERIVED ACTIVE COMPOUNDS | 2 |
Ramesh Kadali | CA | Fort Mcmurray | 2014-07-17 / 20140197316 - MEASUREMENT AND CONTROL OF BITUMEN-CONTAINING PROCESS STREAMS | 2 |
Uday Bhaskar Kadali | IN | Bangalore | 2014-11-20 / 20140344589 - MULTI-MODE VOLTAGE REGULATION WITH FEEDBACK | 2 |
Uday Rao Kadam | IN | Gurgoan | 2009-07-02 / 20090171820 - System and Method for Automated Comprehensive Reconciliation Processing | 1 |
Madhur Kadam | US | Lake Forest | 2016-02-11 / 20160038151 - ELECTROLYTIC AND MECHANICAL DETACHMENT FOR IMPLANT DELIVERY SYSTEMS | 2 |
Chandrasekhar Kadam | IN | Hyderabad | 2015-04-30 / 20150119386 - PROCESS FOR PREPARING OPTHALMIC SUSPENSION OF BRINZOLAMIDE | 1 |
Kiran Kadam | US | Golden | 2015-07-16 / 20150197824 - COMPOSITIONS COMPRISING C5 AND C6 MONOSACCHARIDES | 13 |
Ratish Suhas Kadam | IN | Pune | 2016-01-28 / 20160024912 - BOTTOM HOLE ASSEMBLY FIBER OPTIC SHAPE SENSING | 3 |
Ankur Kadam | IN | Mumbai | 2015-10-01 / 20150275375 - GENERATION OF COMPACT ALUMINA PASSIVATION LAYERS ON ALUMINUM PLASMA EQUIPMENT COMPONENTS | 1 |
Subhash Rajaram Kadam | IN | Pune | 2015-04-23 / 20150112063 - PROCESS OF PREPARATION OF AZIMSULFURON | 1 |
Suresh Mahadev Kadam | IN | Thane (west) | 2016-03-24 / 20160083334 - PROCESS FOR THE PREPARATION OF ARFORMOTEROL OR SALT THEREOF | 3 |
Santosh Vishnu Kadam | IN | Mumbai | 2015-05-21 / 20150141682 - Method to Produce N-Acyl Amino Acid Surfactants Using N-Acyl Amino Acid Surfactants or the Corresponding Anhydrides as Catalysts | 1 |
Vishalsinh Kadam | US | Sanford | 2012-04-26 / 20120097129 - Integrated Throttle Body for Electronic Fuel Injection System and Method of Manufacture | 1 |
Prasad Ramchandra Kadam | IN | Pune | 2014-08-28 / 20140244875 - Priority Based Connection Arbitration in a SAS Topology to Facilitate Quality of Service (QoS) in SAS Transport | 4 |
Suresh M. Kadam | IN | Thane(w) Mumbai | 2010-07-01 / 20100168151 - NOVEL PROCESSES FOR PREPARING 6-(DIFLUOROMETHOXY)[1]BENZOFURO[3,2-C]PYRIDINE-9-CARBALDEHYDE, A NOVEL INTERMEDIATE FOR THE SYNTHESIS OF PDE IV INHIBITORS | 1 |
Kiran L. Kadam | US | Golden | 2016-04-21 / 20160108182 - Compositions Comprising Lignin | 5 |
Shahuraj Hanamantrao Kadam | IN | Maharashtra | 2013-08-15 / 20130211149 - Process for the preparation of hydroquinones | 1 |
Rushikesh S. Kadam | IN | Bangalore | 2015-04-30 / 20150121114 - FRAMEWORK FOR RUNTIME POWER MONITORING AND MANAGEMENT | 2 |
Kiran Kadam | US | Golden | 2015-07-16 / 20150197824 - COMPOSITIONS COMPRISING C5 AND C6 MONOSACCHARIDES | 13 |
Sunil Shankar Kadam | US | Redmond | 2013-03-14 / 20130067601 - GENERATING DEVELOPER LICENSE TO EXECUTE DEVELOPER APPLICATION | 2 |
Kiran L. Kadam | US | Ann Arbor | 2014-08-28 / 20140243540 - ALGAE EXTRACTION PROCESS | 2 |
Viraj Kadam | CN | Shanghai | 2015-03-26 / 20150086783 - RESIN COMPOSITIONS FOR COATING SUBSTRATES TO IMPROVE SEALING PERFORMANCE | 1 |
Ratish Kadam | IN | Pune | 2016-03-31 / 20160090789 - DYNAMIC GEO-STATIONARY ACTUATION FOR A FULLY-ROTATING ROTARY STEERABLE SYSTEM | 1 |
Akshay Kadam | IN | Bangalore | 2012-06-21 / 20120159041 - STORAGE DRIVE BASED ANTIMALWARE METHODS AND APPARATUSES | 1 |
Rajeev Anand Kadam | US | San Jose | 2014-09-18 / 20140280313 - PROCESSING UNSTRUCTURED DATA STREAMS USING CONTINUOUS QUERIES | 5 |
Uday Rao Kadam | IN | Uttar Pradesh | 2014-06-19 / 20140172655 - SYSTEM AND METHOD FOR RECONCILING ONE OR MORE FINANCIAL TRANSACTIONS | 2 |
Shashikant Vithal Kadam | IN | Pune | 2013-09-26 / 20130253061 - METHOD OF DROXIDOPA SYNTHESIS | 1 |
Dipesh M. Kadam | IN | Pune | 2013-12-19 / 20130333363 - REDUCTANT DECOMPOSITION AND MIXING SYSTEM | 1 |
Anil Hari Kadam | IN | Ahmednager | 2014-04-17 / 20140107113 - PHARMACEUTICAL COMPOSITION COMPRISING A TRPA1 ANTAGONIST AND A BETA-2 AGONIST | 1 |
Dharma Reddy Kadam | US | Jamestown | 2014-12-04 / 20140354340 - FAST SETTLING CHARGE PUMP WITH FREQUENCY HOPPING | 2 |
Rajendra Kadam | US | Aurora | 2013-07-25 / 20130190324 - TOPICAL OCULAR DRUG DELIVERY | 1 |
Swati Atul Kadam | IN | Thane Maharashtra | 2015-07-16 / 20150197523 - PROCESS FOR THE PREPARATION OF SITAGLIPTIN AND INTERMEDIATE COMPOUNDS | 1 |
Manoj Kadam | US | Bellevue | 2012-07-26 / 20120191769 - SITE-AWARE DISTRIBUTED FILE SYSTEM ACCESS FROM OUTSIDE ENTERPRISE NETWORK | 1 |
Manoj Kadam | US | Redmond | 2016-03-17 / 20160077917 - DATA RECOVERY USING BITMAP DATA STRUCTURE | 1 |
Abhijit Kadam | IN | Vadodara | 2013-02-28 / 20130053569 - PROCESS FOR THE PREPARATION OF PRASUGREL HCL SALT | 1 |
Kishorkumar Shivajirao Kadam | IN | Mumbai | 2013-06-20 / 20130158075 - HETEROCYCLIC COMPOUNDS AS DGAT1 INHIBITORS | 3 |
Gajendra Vijaykumar Kadam | IN | Hyderabad | 2016-05-19 / 20160141137 - MAGNETIC TRIP MECHANISM FOR CIRCUIT BREAKER | 1 |
Anil Hari Kadam | IN | Ahmednagar | 2014-05-29 / 20140148423 - PHARMACEUTICAL COMPOSITION COMPRISING A TRPA1 ANTAGONIST AND A STEROID | 1 |
Rahul Suryakant Kadam | US | Corning | 2016-04-07 / 20160099115 - ELECTROLYTES FOR HIGH TEMPERATURE EDLC | 3 |
Sunil Kadam | US | Redmond | 2015-12-03 / 20150347723 - SOFTWARE APPLICATION LICENSE ROAMING | 5 |
Shilpa Kadam | US | Cambridge | 2010-12-16 / 20100317699 - USE OF HEDGEHOG AGONISTS IN THE TREATMENT OF MUSCULOSKELETAL-RELATED DISORDERS | 1 |
Kiran L. Kadam | US | Marietta | 2010-02-25 / 20100043782 - SOLVO-THERMAL FRACTIONATION OF BIOMASS | 1 |
Subhash Rajaram Kadam | IN | Maharashtra | 2008-09-04 / 20080214843 - Process for Manufacture of Simvastatin | 1 |
Chetan R. Kadam | US | San Francisco | 2009-03-26 / 20090083306 - Autopropagation of business intelligence metadata | 1 |
Ankur Kadam | US | Santa Clara | 2012-01-05 / 20120000773 - REACTIVE SPUTTERING ZINC OXIDE TRANSPARENT CONDUCTIVE OXIDES ONTO LARGE AREA SUBSTRATES | 2 |
Vishalsinh V. Kadam | US | Sanford | 2009-01-15 / 20090018750 - FUEL INJECTION FOR SMALL ENGINES | 1 |
Kavita Kadam | IN | Maharashtra | 2009-04-23 / 20090104297 - HERBAL COMPOSITION FOR TREATMENT OF INFECTIONS CAUSED BY DERMATOPHYTES | 1 |
Akshay R. Kadam | IN | Bangalore | 2013-04-18 / 20130097655 - METHODS AND APPARATUS FOR A SAFE AND SECURE SOFTWARE UPDATE SOLUTION AGAINST ATTACKS FROM MALICIOUS OR UNAUTHORIZED PROGRAMS TO UPDATE PROTECTED SECONDARY STORAGE | 3 |
Sunil S. Kadam | US | Redmond | 2012-10-04 / 20120255033 - LICENSING SOFTWARE ON A SINGLE-USER BASIS | 4 |
Suresh Mahadev Kadam | IN | Thane | 2012-11-01 / 20120277259 - SUBSTITUTED BENZOFUSED DERIVATIVES AND THEIR USE AS VANILLOID RECEPTOR LIGANDS | 2 |
Suresh Mahadev Kadam | IN | Maharashtra | 2009-08-20 / 20090209764 - Process for Preparation of 4-amino-1-isobutyl-1H-Imidazo[4,5-C]-quinoline (Imiquimod) | 1 |
Madhur Arunrao Kadam | US | Lake Forest | 2014-05-15 / 20140135812 - OCCLUSIVE DEVICES | 3 |
Mangesh Kadam | US | Auburn Hills | 2014-03-20 / 20140077516 - ASYMMETRICAL GRAB HANDLE FOR VEHICLE | 1 |
Vinayak Dinkar Kadam | IN | Maharashtra | 2009-08-20 / 20090208570 - Extended Release Dosage forms of Metoprolol | 1 |
Priya Kadam | SG | Singapore | 2015-05-21 / 20150140555 - METHOD OF IDENTIFYING FOETAL ERYTHROBLAST | 2 |
Nitn H. Kadam | IN | Mumbai | 2009-12-03 / 20090299057 - MEROPENEM INTERMEDIATE IN NOVEL CRYSTALLINE FORM AND A METHOD OF MANUFACTURE OF MEROPENEM | 1 |
Vishal P. Kadam | IN | Karnataka | 2011-12-29 / 20110317590 - System and Method for Dynamic Maintenance of Fabric Subsets in a Network | 2 |
Suresh Mahdadev Kadam | IN | Navi Mumbai | 2010-06-17 / 20100152192 - FUSED IMIDAZOLE CARBOXAMIDES AS TRPV3 MODULATORS | 1 |
Rushikesh S. Kadam | IN | Banglore | 2012-06-28 / 20120167109 - FRAMEWORK FOR RUNTIME POWER MONITORING AND MANAGEMENT | 1 |
Vinayak Dinkar Kadam | IN | Ahmednagar | 2011-03-24 / 20110070300 - EXTENDED RELEASE DOSAGE FORMS OF METOPROLOL | 1 |
Chandrashekar Kadam | IN | Bangalore | 2012-09-13 / 20120232140 - PHARMACEUTICAL COMBINATION OF PROSTAGLANDIN COMPOUND AND NSAID FOR THE TREATMENT OF GLAUCOMA AND OCULAR HYPERTENSION | 2 |
Vijay Shivaji Kadam | IN | Maharashtra | 2015-12-03 / 20150344593 - Heterogeneous Ziegler-Natta Catalyst System And A Process For Olefin Polymerization Using The Same | 2 |
Sitaram Anant Kadam | IN | Mumbai | 2014-12-25 / 20140377191 - Toothpaste Compositions with Reduced Abrasivity | 1 |
Prasad Shankar Kadam | IN | Mumbai | / - | 1 |
Suresh Kadam | IN | Thane | 2010-07-08 / 20100173964 - PHARMACEUTICAL COMPOSITION THAT INCLUDES A DIPEPTIDYL PEPTIDASE-IV INHIBITOR | 1 |
Ashok Bhausaheb Kadam | IN | Navi Mumbai | 2014-05-08 / 20140128603 - FUSED PYRIMIDINE-DIONE DERIVATIVES AS TRPA1 MODULATORS | 4 |
Toshimitsu Kadama | JP | Osaka | 2010-04-15 / 20100089557 - FINNED TUBE HEAT EXCHANGER | 1 |
Yukiko Kadama | JP | Osaka | 2009-05-21 / 20090130255 - Catalase Gene and Use Thereof | 1 |
Jayant Kadambi | US | Palo Alto | 2015-07-09 / 20150193833 - Platform Independent System for Context-Related Advertisement Delivery and Display | 5 |
Jayant Kadambi | US | Mountain View | 2012-02-23 / 20120047027 - System and method of information fulfillment | 7 |
Achuta Kadambi | US | Cambridge | 2015-10-22 / 20150304534 - Methods and Apparatus for Demultiplexing Illumination | 3 |
Shiri Kadambi | US | Los Altos Hill | 2009-05-28 / 20090138644 - Switch architecture independent of media | 1 |
Pooja Kadambi | US | Cincinnati | 2010-10-21 / 20100268330 - Methods and Devices for Implants with Calcium Phosphate | 1 |
Govind Rangaswamy Kadambi | IN | Bangalore | 2014-02-13 / 20140043179 - METHOD AND APPARATUS FOR DIRECT DIGITAL SYNTHESIS OF SIGNALS USING TAYLOR SERIES EXPANSION | 3 |
Ramprabhu Thirukandalam Kadambi | IN | Chennai - Tamilnadu State | 2012-09-06 / 20120226529 - RESOURCE AVAILABILITY AND APPLICABILITY MECHANISM | 1 |
Sudarshan Kadambi | US | Sunnyvale | 2013-02-14 / 20130042074 - Prefetch Unit | 11 |
Shiri Kadambi | US | Los Altos | 2008-10-09 / 20080247394 - Cluster switching architecture | 1 |
Aditya Kadambi | IN | Tirupati | 2014-07-24 / 20140205259 - Screen recording for creating contents in mobile devices | 1 |
Shiri Kadambi | US | Los Altos Hills | 2013-11-14 / 20130301410 - Multiple Logical Channels for Use in Network Devices | 4 |
Sudarshan V. Kadambi | US | Sunnyvale | 2011-09-15 / 20110225121 - SYSTEM FOR MAINTAINING A DISTRIBUTED DATABASE USING CONSTRAINTS | 2 |
Ananth Kadambi | US | San Mateo | 2010-05-13 / 20100120050 - Biomarkers For Assessing Altherosclerotic Potential | 3 |
Sudarshan Kadambi | US | Sunnyvale | 2013-02-14 / 20130042074 - Prefetch Unit | 11 |
Christopher James Kadamus | US | Jamaica Plain | 2015-02-12 / 20150045825 - TISSUE EXPANSION DEVICES, SYSTEMS AND METHODS | 3 |
Chris Kadamus | US | Chestnut Hill | 2014-06-19 / 20140166511 - Reconstitution Device | 2 |
Christopher Kadamus | US | Jamaica Plain | 2013-01-03 / 20130006381 - PYLORIC VALVE DEVICES AND METHODS | 5 |
Christopher James Kadamus | US | Jamaica Plains | 2014-03-20 / 20140076323 - PATIENT INTERFACE DEVICE INCLUDING A PNEUMATICALLY ADJUSTING FOREHREAD SUPPORT | 1 |
Christopher J. Kadamus | US | Jamaica Plain | 2014-10-23 / 20140316375 - Insulin Pump Dermal Infusion Set Having Partially Integrated Mechanized Cannula Insertion With Disposable Activation Portion | 4 |
Michael Joseph Kadan | US | Adams Town | 2008-12-25 / 20080318839 - Ligand activated transcriptional regulator proteins | 1 |
Katsuyoshi Kadan | JP | Anan-Shi | 2015-09-24 / 20150270443 - SAPPHIRE SUBSTRATE AND SEMICONDUCTOR LIGHT EMITTING DEVICE | 7 |
Petr Kadanka | CZ | Valasska Bystrice | 2013-11-21 / 20130307501 - METHOD OF FORMING A POWER SUPPLY CONTROLLER AND STRUCTURE THEREFOR | 4 |
Avraham Kadar | US | New York | 2015-04-02 / 20150093736 - SYSTEM AND METHOD FOR MANAGING PEDAGOGICAL CONTENT | 1 |
Ofer Kadar | US | Sunnyvale | 2014-05-15 / 20140132299 - Electrical Inspection of Electronic Devices Using Electron-Beam Induced Plasma Probes | 2 |
Kamal Kadar | GB | Southampton | 2008-12-25 / 20080316494 - CONTROLLING A DYNAMIC SIGNAL RANGE IN AN OPTICAL TIME DOMAIN REFLECTOMETRY | 1 |
Adil Kadar | GB | London | 2016-04-14 / 20160101881 - THERAPEUTIC FOAM | 3 |
Istvan B. Kadar | US | Glendale | 2014-04-10 / 20140098971 - System and Method for Directional Sound Transmission with a Linear Array of Exponentially Spaced Loudspeakers | 3 |
Michael Shannon Kadar | US | Pittsburgh | 2014-06-12 / 20140162853 - EXERCISE APPARATUS | 4 |
Avraham Kadar | US | Bedford | 2011-03-10 / 20110059423 - SYSTEM AND METHOD FOR PROVIDING SCALABLE EDUCATIONAL CONTENT | 1 |
Nadav Kadar | US | Bedford | 2011-03-10 / 20110059423 - SYSTEM AND METHOD FOR PROVIDING SCALABLE EDUCATIONAL CONTENT | 1 |
Adil Kadar | GB | Middlesex | 2013-03-07 / 20130059923 - Therapeutic Foam | 2 |
Naomi Kadar | US | Bedford | 2011-03-10 / 20110059423 - SYSTEM AND METHOD FOR PROVIDING SCALABLE EDUCATIONAL CONTENT | 1 |
Joseph Antal Kadar | CA | Victoria | 2015-10-08 / 20150284966 - Concrete form snap tie breaker | 1 |
Michael Aaron Kadar-Kallen | US | Harrisburg | 2015-02-12 / 20150043872 - FERRULE WITH PROTRUDING FIBERS | 20 |
Michael Aaron Kadar-Kallen | US | Harrisburg | 2015-02-12 / 20150043872 - FERRULE WITH PROTRUDING FIBERS | 20 |
Suresh Babu Kadaru | US | Northfield | 2010-10-21 / 20100269216 - NETWORK POPULATION MAPPING | 1 |
Jon F. Kadas | US | Knoxville | 2009-12-17 / 20090307878 - PERSONAL GEAR ATTACHMENT SYSTEM AND APPARATUS | 1 |
Janos Kadas | HU | Debrecen | 2010-09-09 / 20100227410 - MULTI-IMMUNOAFFINITY BASED ANTIGEN IDENTIFICATION | 1 |
A. Julie Kadashevich | US | Tyngsboro | 2016-05-12 / 20160134657 - IDENTIFYING AN IMPOSTER ACCOUNT IN A SOCIAL NETWORK | 11 |
A. Julie Kadashevich | US | Tyngsboro | 2016-05-12 / 20160134657 - IDENTIFYING AN IMPOSTER ACCOUNT IN A SOCIAL NETWORK | 11 |
A. Julie Kadashevich | US | 2011-06-30 / 20110161429 - AUTOMATED RELATIONSHIP CLASSIFICATION | 1 | |
Ali G. Kadaster | US | The Woodlands | 2013-03-21 / 20130067732 - Method for Installing a Pipeline While Minimizing Associated Ground Disturbance | 11 |
All G. Kadaster | US | The Woodlands | 2010-01-07 / 20100003084 - Arctic Platform | 1 |
Ali G. Kadaster | US | The Woodlands | 2013-03-21 / 20130067732 - Method for Installing a Pipeline While Minimizing Associated Ground Disturbance | 11 |
Andrew Kadatch | US | Redmond | 2016-05-12 / 20160132263 - STORING DATA ACROSS A PLURALITY OF STORAGE NODES | 13 |
Andrew V. Kadatch | US | Redmond | 2008-12-18 / 20080313197 - DATA STRUCTURE FOR SUPPORTING A SINGLE ACCESS OPERATION | 1 |
Srinivasan Kadathur | US | Austin | 2008-10-30 / 20080265905 - SYSTEM AND METHOD FOR DETECTION OF ENVIRONMENTALLY-INDUCED DAMAGE OF CONDUCTIVE ELEMENTS IN A CIRCUIT BOARD | 1 |
Srinivasan Kadathur | US | Pflugerville | 2011-03-03 / 20110050438 - POWER SUPPLY DETECTION AND INDICATION | 2 |
Anthony D. Kadatz | CA | New Sarepta | 2010-12-02 / 20100301623 - PICK UP SUB | 1 |
Curtis Kadau | US | St. Petersburg | 2010-08-26 / 20100212486 - BALLISTIC ARMOR PANEL SYSTEM | 1 |
Asim Kadav | US | Madison | 2015-02-19 / 20150052392 - Disconnected Operation for Systems Utilizing Cloud Storage | 2 |
Asim Kadav | US | Franklin Park | 2016-05-05 / 20160125316 - MALT: Distributed Data-Parallelism for Existing ML Applications | 2 |
Vikorn Kadavanich | DE | Bayerisch Gmain | 2009-01-08 / 20090007768 - SYSTEM FOR PROTECTION IN PARTICULAR OF LARGE FLYING PLATFORMS AGAINST INFRARED AND/OR RADAR-GUIDED THREATS | 1 |
Vikorn Martin Kadavanich | DE | Bayerisch Gmain | 2012-07-12 / 20120174812 - ACTIVE BODY | 2 |
Andreas Kadavanich | US | Fremont | 2013-08-01 / 20130196510 - SLOTTED ELECTROSTATIC SHIELD MODIFICATION FOR IMPROVED ETCH AND CVD PROCESS UNIFORMITY | 2 |
Navin Kadavil | IN | Bangalore | 2013-11-14 / 20130304284 - METHODS AND SYSTEMS FOR REPRESENTING MISSED APPROACH INFORMATION IN PERSPECTIVE VIEW ON A COCKPIT DISPLAY | 1 |
Thomas Daniel Kadavy | US | Bellevue | 2015-08-06 / 20150217056 - THERAPY SYSTEMS AND METHODS UTILIZING TISSUE OXYGENATION DETECTION | 1 |
Dale Robert Kadavy | US | Overland Park | 2015-10-08 / 20150284988 - WEATHER SEAL SYSTEM FOR DOUBLE HUNG WINDOWS | 2 |
Harihara S. Kadayam | US | Fremont | 2014-07-31 / 20140214772 - Coalescing Metadata for Mirroring to a Remote Storage Node in a Cluster Storage System | 2 |
Harihara Kadayam | US | Freemont | 2016-03-24 / 20160085647 - SYSTEM AND METHOD FOR HANDLING MULTI-NODE FAILURES IN A DISASTER RECOVERY CLUSTER | 2 |
Rajiv S. Kadayam | US | Fairfax | 2012-07-05 / 20120173724 - Monitoring Information Assets and Information Asset Topologies | 2 |
Sundar Kadayam | US | Blue Ash | 2009-10-22 / 20090265346 - System and Method for Retrieving and Organizing Information from Disparate Computer Network Information Sources | 1 |
Harihara Kadayam | US | Fremont | 2016-03-24 / 20160085650 - SYSTEMS AND METHODS FOR RESYNCHRONIZING MIRRORING PARTNERS IN A STORAGE SYSTEM | 7 |
Harihara Kadayam | US | 2014-02-13 / 20140047263 - SYNCHRONOUS LOCAL AND CROSS-SITE FAILOVER IN CLUSTERED STORAGE SYSTEMS | 1 | |
Hassan Kaddouh | US | Grosse Pointe Farms | 2012-11-01 / 20120272780 - MULTI-PIECE SHIFTER CABLE SYSTEM | 1 |
Karim Kaddoura | US | San Francisco | 2014-03-06 / 20140068738 - ADAPTIVE DEVICE AUTHENTICATION | 3 |
Maher N. Kaddoura | US | Maple Grove | 2013-07-04 / 20130170499 - BORDER GATEWAY BROKER, NETWORK AND METHOD | 2 |
Maher Kaddoura | US | Eden Prairie | 2016-01-07 / 20160006573 - ADAPTIVE MULTICAST NETWORK COMMUNICATIONS | 2 |
Tariq Kaddoura | DE | Berlin | 2012-10-25 / 20120267325 - WASTEWATER TREATMENT PLANT AND METHOD FOR TREATING WASTEWATER, AND WASTEWATER TREATMENT SYSTEM | 1 |
Rima Kaddurah-Daouk | US | Belmont | 2014-09-25 / 20140287936 - METHODS FOR DRUG DISCOVERY, DISEASE TREATMENT, AND DIAGNOSIS USING METABOLOMICS | 12 |
Alexander Kade | US | Grosse Pointe Woods | 2011-05-26 / 20110125457 - TRAILER ARTICULATION ANGLE ESTIMATION | 4 |
Matthew J. Kade | US | San Francisco | 2015-02-26 / 20150056451 - PROTON-BINDING POLYMERS FOR ORAL ADMINISTRATION | 1 |
Ruth Anne Kade | US | Grosse Pointe Woods | 2010-01-28 / 20100019570 - Auxiliary electrical power system for vehicular fuel economy improvement | 1 |
Matthew J. Kade | US | Berkeley | 2016-03-17 / 20160074430 - PROTON-BINDING POLYMERS FOR ORAL ADMINISTRATION | 1 |
Christopher E. Kade | US | N. Attleboro | 2015-09-17 / 20150260624 - SNAP GRIP INDENTER MOUNT USED ON A HARDNESS TESTER | 1 |
Dietmar Kade | DE | Konstanz | 2010-12-16 / 20100318215 - DEVICE AND METHOD FOR CONTROLLING THE TRANSPORTATION OF AN OBJECT TO A RECEIVING UNIT | 1 |
Parameshwar Ananth Kadekodi | US | Norcross | 2014-02-06 / 20140040465 - SYSTEMS AND METHODS FOR TAG INFORMATION VALIDATION IN WIDE PORT SAS CONNECTIONS | 1 |
Parameshwar Ananth Kadekodi | US | Colorado Springs | 2013-09-12 / 20130238821 - METHODS AND APPARATUS FOR PACKING RECEIVED FRAMES IN BUFFERS IN A SERIAL ATTACHED SCSI (SAS) DEVICE | 1 |
Parameshwar A. Kadekodi | US | Colorado Springs | 2010-05-06 / 20100115152 - Sending large command descriptor block (CDB)Structures in serial attached SCSI(SAS) controller | 1 |
Bryan Kadel | US | Carol Stream | 2008-10-02 / 20080243277 - Digital voice enhancement | 2 |
Klaus Kadel | DE | Witten | 2013-10-10 / 20130263847 - METHOD FOR PRODUCING TRENCH-LIKE DEPRESSIONS IN THE SURFACE OF A WAFER | 3 |
Brian R. Kadel | US | Dayton | 2010-08-19 / 20100208548 - BOWL FOR MIXING MACHINE | 1 |
Brian Kadel | US | Dayton | 2008-08-21 / 20080198692 - MIXING MACHINE AND ASSOCIATED BOWL GUARD SYSTEM | 1 |
Rajesh Kadel | US | Manhattan | 2013-08-08 / 20130202006 - GAS FILLED HOLLOW FIBER LASER | 1 |
Bryan F. Kadel | US | Carol Stream | 2009-01-01 / 20090003310 - Dynamic allocation of VOIP service resources | 1 |
Gerhard Kadel | DE | Darmstadt | 2015-11-12 / 20150327082 - A METHOD TO GUIDE THE PLACEMENT OF NEW SMALL CELL | 6 |
Gert Kaden | DE | Halstenbek | 2008-11-13 / 20080277461 - Cuboid Collapsible Box Having a Center Web | 1 |
Thomas Kaden | DE | Schwieberdingen | 2013-10-24 / 20130277812 - ELECTRONIC ASSEMBLY WITH IMPROVED THERMAL MANAGEMENT | 1 |
Uwe Kaden | DE | Himmelpfort | 2009-08-20 / 20090208344 - Process For The Electrolytic Treatment Of A Component, And A Component With Through-Hole | 1 |
Silvia Kaden | DE | Heidelberg | 2015-02-26 / 20150057221 - PRODRUG COMPRISING A DRUG LINKER CONJUGATE | 2 |
Arnold Kaden | DE | Remshalden | 2015-10-22 / 20150300240 - Internal Combustion Engine, In Particular for a Motor Vehicle, and a Method for Operating Such an Internal Combustion Engine | 2 |
Olaf Kaden | DE | Nieder-Hilbersheim | 2011-05-26 / 20110119892 - CONDENSATE DRAINING PIPE FOR A HEATING, VENTILATING AND/OR AIR CONDITIONING SYSTEM AND METHOD FOR ASSEMBLING A CONDENSATE DRAINING PIPE | 3 |
Dirk Kaden | DE | Elmshorn | 2014-12-04 / 20140355092 - APPARATUS COMPRISING A SPRING AND AN OPTICAL ELEMENT SUSPENDED THEREON | 1 |
Rolf Kaden | DE | Villingen-Schwenningen | 2008-09-04 / 20080210116 - Safety and Arming Unit for the Fuze of a Projectile | 1 |
Murali Kadeppagari | IN | Mysore | 2011-12-29 / 20110320086 - METHODS AND APPARATUS FOR GENERATING AND ACCESSING INFORMATION IN BINARY FILES | 1 |
Murali Kadeppagari | IN | Bangalore | 2013-04-25 / 20130103250 - DYNAMICALLY RECONFIGURABLE CODE EXECUTION ARCHITECTURE FOR BUILDING DIAGNOSTIC ALGORITHMS | 1 |
Steven Earl Kader | CA | Cote-Saint-Luc | 2013-11-07 / 20130295898 - METHOD AND SYSTEM FOR RAPID CALLER IDENTIFICATION | 1 |
Steven Earl Kader | US | Chicago | 2013-11-28 / 20130316763 - METHOD OF DISPLAYING IMAGES WHILE CHARGING A SMARTPHONE | 1 |
Murat Kader | DE | Muenchen | 2015-04-02 / 20150091992 - ARRANGEMENT TO SUPPLY A PRINT HEAD UNIT HAVING AT LEAST ONE PRINT HEAD WITH INK IN AN INK PRINTING APPARATUS | 3 |
Sabir Kader | US | Coppell | 2013-10-10 / 20130266228 - AUTOMATIC PART IDENTIFICATION AND WORKFLOW GENERATION | 1 |
Kamal Kader | JP | Minato-Ku | 2016-03-03 / 20160061743 - Method and Apparatus for In-Situ Fluid Evaluation | 3 |
Kamal Kader | JP | Tokyo | 2012-03-22 / 20120067118 - DISTRIBUTED FIBER OPTIC SENSOR SYSTEM WITH IMPROVED LINEARITY | 1 |
Andrew Karim Kader | US | San Diego | 2016-05-19 / 20160136454 - TETHERED AND/OR VISUALLY CODED BRACHYTHERAPY DEVICES AND RELATED METHODS | 3 |
Kamal Kader | JP | Akasaka | 2010-05-06 / 20100107754 - DISTRIBUTED ACOUSTIC WAVE DETECTION | 1 |
Kamal Kader | GB | Southamption | 2009-12-10 / 20090304322 - DISTRIBUTED VIBRATION SENSING SYSTEM USING MULTIMODE FIBER | 1 |
Amr Farouk Abdel Kader | US | Cleveland | 2010-06-17 / 20100151570 - SYSTEMS AND METHODS FOR VITRIFYING TISSUE | 1 |
Petr Kadera | CZ | Praha | 2010-06-03 / 20100138017 - Ontology-Based System and Method for Industrial Control | 1 |
Dieter Kadereit | DE | Frankfurt Am Main | 2015-11-12 / 20150322128 - DUAL GLP-1/GLUCAGON RECEPTOR AGONISTS DERIVED FROM EXENDIN-4 | 18 |
Dieter Kadereit | DE | Offenbach | 2011-10-06 / 20110245248 - ISOQUINOLINE DERIVATIVES | 6 |
Dieter Kadereit | DE | Frankfurt | 2013-01-10 / 20130012479 - POLYMORPHS OF 6-(PIPERIDIN-4-YLOXY)-2H-ISOQUINOLIN-1-ONE HYDROCHLORIDE | 5 |
Dieter Kadereit | DE | Frankfurt Am Main | 2015-11-12 / 20150322128 - DUAL GLP-1/GLUCAGON RECEPTOR AGONISTS DERIVED FROM EXENDIN-4 | 18 |
Sema Gwendolyn Kaderli | CH | Bernex | 2015-10-29 / 20150306237 - HYALURONIC ACID-ANTIOXIDANT CONJUGATES AND THEIR USES | 1 |
Sema G. Kaderli | CH | Bernex | 2015-07-23 / 20150202295 - HYBRID HYDROGELS | 1 |
Mark Kaderli | US | Austin | 2014-09-18 / 20140261016 - Grilltop Smoker Box | 1 |
Vijaykumar Kadgi | US | Portland | 2016-05-12 / 20160132337 - Method, apparatus, and system for speculative abort control mechanisms | 6 |
Prakash Kadhati | IN | Chittoor | 2014-06-26 / 20140180938 - LICENSE APPLICATION PROCESSOR FOR TELECOMMUNICATIONS EQUIPMENT | 1 |
Salam Kadhim | CA | Kirkland | 2010-09-23 / 20100239653 - PHARMACEUTICAL PREPARATIONS AND METHODS FOR INHIBITING TUMORS | 1 |
Hussein Kadhum | NZ | Auckland | 2015-11-26 / 20150335832 - APPARATUS USED FOR THE HUMIDIFICATION OF GASES IN MEDICAL PROCEDURES | 2 |
Shirin Kadi | FR | Grenoble | 2008-12-11 / 20080306023 - Derivatives of Hyaluronic Acid, Their Preparation Process and Their Uses | 1 |
Linda Kadi | FR | Prevessin Moens | 2008-10-16 / 20080253996 - Use of Sdf-1 for the Treatment and/or Prevention of Neurological Diseases | 1 |
Serkan Kadi | DE | Osnabruck | 2010-06-24 / 20100154888 - Solar Module and Solar Device | 1 |
Adnan Ahmed Kadi | SA | Riyadh | 2012-10-04 / 20120252860 - Novel N, N'-Hydrazino-bis-isatin Derivatives with Selective Activity Against Multidrug-Resistant Cancer Cells | 1 |
Sergio Kadi | US | Panama City Beach | 2016-01-07 / 20160007175 - REDUCING PROVIDER COSTS RELATED TO E911 SERVICE | 1 |
Viresh Veerasangappa Kadi | IN | Bijapur | 2016-02-18 / 20160048460 - REMOTE LOAD AND UPDATE CARD EMULATION SUPPORT | 1 |
Viresh V. Kadi | IN | Bijapur | 2014-09-18 / 20140279558 - Two-Way, Token-Based Validation for NFC-Enabled Transactions | 1 |
Tapan M. Kadia | US | Houston | 2013-08-22 / 20130217710 - METHODS FOR TREATING CANCER | 1 |
Vipal Kadia | US | Tampa | 2015-06-25 / 20150177001 - SYSTEM AND METHOD FOR PROVIDING A DYNAMIC TELEMATICS DASHBOARD | 1 |
Hemlata S. Kadia | US | Voorhees | 2012-02-16 / 20120041853 - FACILITATION OF A NETWORK COMMUNICATION SERVICE FOR WHICH PAYMENT MAY BE MADE BY ANY OF A PLURALITY OF PAYMENT MODES | 1 |
Michael Kadie | US | San Diego | 2015-10-29 / 20150311734 - Dynamic Chargers Network and the Method of Producing the Same | 3 |
Carl Myers Kadie | US | Bellevue | 2011-03-03 / 20110055128 - PREDICTING PHENOTYPES USING A PROBABILISTIC PREDICTOR | 1 |
Carl M. Kadie | US | Bellevue | 2014-03-06 / 20140066320 - IDENTIFYING CAUSAL GENETIC MARKERS FOR A SPECIFIED PHENOTYPE | 8 |
Carl M. Kadie | US | Bellevue | 2014-03-06 / 20140066320 - IDENTIFYING CAUSAL GENETIC MARKERS FOR A SPECIFIED PHENOTYPE | 8 |
Michael Kadie | US | San Delego | 2013-07-25 / 20130187604 - Method of establishing a Battery Charging Network | 1 |
Dusko Kadijevic | DE | Dresden | 2012-08-23 / 20120215029 - PROCESS FOR PREPARING AROMATIC AMINES | 1 |
Simon Eme Kadijk | NL | Veldhoven | 2016-04-07 / 20160097490 - ILLUMINATION DEVICE AND METHOD OF MANUFACTURING AN ILLUMINATION DEVICE | 9 |
Simon Eme Kadijk | NL | Eindhoven | 2010-01-28 / 20100020251 - VISUAL DISPLAY SYSTEM WITH VARYING ILLUMINATION | 1 |
Simon Eme Kadijk | NL | Veldhoven | 2016-04-07 / 20160097490 - ILLUMINATION DEVICE AND METHOD OF MANUFACTURING AN ILLUMINATION DEVICE | 9 |
Edwin Cornelis Kadijk | NL | Eindhoven | 2011-11-10 / 20110273678 - LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 5 |
Rajesh Kadikar | CA | Windsor | 2011-02-17 / 20110038729 - WIND TURBINES | 1 |
Fedor Kadiks | NL | Hillegrom | 2011-01-27 / 20110017778 - Automatic Dispenser | 1 |
Michael A. Kadile | US | Redondo Beach | 2015-05-21 / 20150140892 - PLAY SET FOR LAUNCHING AN ACTION FIGURINE | 2 |
Michael Kadile | US | Redondo Beach | 2014-08-28 / 20140239591 - System to Launch A Toy Entity And Methods of Play | 1 |
Michael Kadile | US | Chicago | 2013-11-21 / 20130310956 - Interactive Application Platform For A Motorized Toy Entity And Display | 1 |
Azriel Kadim | IL | Elkana | 2008-11-27 / 20080292053 - IRRADIATION TREATMENT APPARATUS AND METHOD | 1 |
Alan M. Kadin | US | Princeton Junction | 2012-07-19 / 20120184445 - Superconducting Devices with Ferromagnetic Barrier Junctions | 1 |
Bastian Kading | IT | Sesto Calende | / - | 1 |
Stefan Kading | DE | Zerrentin | 2009-12-03 / 20090297898 - REFORMING SYSTEM, METHOD FOR OPERATING A REFORMING SYSTEM AND FUEL CELL SYSTEM | 1 |
Stefan Kading | DE | Zerrinthin | 2010-02-18 / 20100040920 - METHOD FOR DETERMINING A STATE OF A REFORMER IN A FUEL CELL SYSTEM | 1 |
Amy Lynn Kading | US | Freedom | 2013-02-14 / 20130037200 - Absorbent Articles With Garment-Like Refastenable Seams | 1 |
Lev Kadinski | DE | Burghausen | 2010-12-02 / 20100300359 - MULTI-GAS DISTRIBUTION INJECTOR FOR CHEMICAL VAPOR DEPOSITION REACTORS | 1 |
Yavuz Kadioglu | US | Houston | 2013-03-21 / 20130068538 - CUTTING ELEMENTS FOR EARTH-BORING TOOLS, EARTH-BORING TOOLS INCLUDING SUCH CUTTING ELEMENTS, AND RELATED METHODS | 1 |
Yavuz Kadioglu | US | Spring | 2016-05-12 / 20160130882 - CUTTING ELEMENTS FOR EARTH-BORING TOOLS, EARTH-BORING TOOLS INCLUDING SUCH CUTTING ELEMENTS, AND RELATED METHODS | 5 |
Abdul Rahman Kadir | HK | North Point | 2012-06-07 / 20120137454 - TOOTHBRUSH | 1 |
Faisal Abdul Kadir | BD | Dhaka | 2008-11-20 / 20080289039 - METHOD AND SYSTEM FOR PROTECTING A MESSAGE FROM AN XML ATTACK WHEN BEING EXCHANGED IN A DISTRIBUTED AND DECENTRALIZED NETWORK SYSTEM | 1 |
Muhammad Zamsuri Bin Abdul Kadir | MY | Selangor Darul Ehsan | 2013-01-10 / 20130010819 - ALL-OPTICAL GENERATION OF 60 GHZ MILLIMETER WAVE USING MULTIPLE WAVELENGTH BRILLOUIN-ERBIUM FIBER LASER | 1 |
Michelle Kadir | SE | Stockholm | 2014-07-31 / 20140215334 - SYSTEMS AND METHODS FOR MULTI-CONTEXT MEDIA CONTROL AND PLAYBACK | 4 |
Timor Kadir | GB | Oxfordshire | 2014-09-04 / 20140247284 - METHOD AND SYSTEM OF DEFINING A REGION OF INTEREST ON MEDICAL SCAN IMAGES | 1 |
Yazid Sheik Kadir | US | Frisco | 2015-06-11 / 20150161543 - PREDICTING RENTAL CAR AVAILABILITY | 1 |
Mohammad Abdul Kadir | KR | Busan | 2016-05-05 / 20160120814 - Method for Preparing Protein Cage, and In Situ Method for Preparing Hydrophobic Additive-supported Core-shell Structured Polymer-protein Particles | 1 |
Murat Kadir | US | Brecksville | 2015-12-31 / 20150374604 - SEMI-PERMANENT HAIR STRAIGHTENING COMPOSITION AND METHOD | 3 |
Timor Kadir | GB | Oxford | 2016-05-19 / 20160140716 - METHOD AND APPARTUS FOR GENERATING IMAGE ALIGNMENT DATA | 16 |
Mostafa Kadiri | FR | Le Touquet | 2013-03-21 / 20130069471 - ROTARY ELECTRICAL MACHINE ROTOR HAVING INTERPOLAR STRUCTURES | 1 |
Alen Kadiric | CH | Orpund | 2015-04-23 / 20150107608 - SMOKING ARTICLE MOUTHPIECE WITH COOLING AGENT INCLUSION COMPLEX | 1 |
Alen Kadiric | CH | Yverdon-Les-Baines | 2014-10-30 / 20140318562 - SMOKING ARTICLE WITH A VENTILATED MOUTHPIECE COMPRISING FIRST AND SECOND AIRFLOW PATHWAYS | 2 |
Alen Kadiric | CH | Biel | 2015-09-17 / 20150257439 - SMOKING ARTICLE WITH REDUCED MOUTH END STAINING | 3 |
Alen Kadiric | CH | Yverdon-Les-Bains | 2016-05-12 / 20160128378 - RADIALLY FIRM SMOKING ARTICLE FILTER | 2 |
Maha Kadirkamanathan | GB | Cambridge | 2016-05-12 / 20160133251 - PROCESSING OF AUDIO DATA | 2 |
Mahapathy Kadirkamanathan | GB | Cambridge | 2013-08-29 / 20130226583 - AUTOMATIC SPOKEN LANGUAGE IDENTIFICATION BASED ON PHONEME SEQUENCE PATTERNS | 7 |
Karthik Kadirvel | US | Melbourne | 2013-09-19 / 20130241637 - Auto-Zeroed Amplifier with Low Input Leakage | 7 |
Selvi Kadirvel | US | Gainesville | 2014-03-20 / 20140082201 - RESOURCE ALLOCATION DIAGNOSIS ON DISTRIBUTED COMPUTER SYSTEMS BASED ON RESOURCE HIERARCHY | 1 |
Karthik Kadirvel | US | Gainesville | 2010-07-08 / 20100173183 - Systems and Methods of Battery Cell Anomaly Detection | 1 |
Karthik Kadirvel, Iii | US | Melbourne | 2014-01-30 / 20140029147 - Systems and Methods of Direct Cell Attachment for Batteries | 1 |
Charles Kadish | US | Buffalo Grove | 2012-04-19 / 20120093612 - FASTENERS FOR COMPOSITE MATERIAL | 1 |
Aram Kadish | US | Santa Monica | 2015-12-17 / 20150360118 - FLEXIBLE PROTECTIVE SPORTS BOARD SLEEVE | 2 |
Donald J. Kadish | US | San Jose | 2009-08-13 / 20090204744 - METHODS AND SYSTEMS FOR RECONFIGURING DATA MEMORY OF EMBEDDED CONTROLLER MANAGED FLASH MEMORY DEVICES | 1 |
Don Kadish | US | San Jose | 2014-09-04 / 20140249901 - SYSTEM AND METHOD FOR CIRCLE OF FAMILY AND FRIENDS MARKETPLACE | 1 |
Alan Kadish | US | Bergenfield | 2011-06-09 / 20110137284 - DEVICES FOR MATERIAL DELIVERY, ELECTROPORATION, AND MONITORING ELECTROPHYSIOLOGICAL ACTIVITY | 1 |
Alan Kadish | US | Teaneck | 2011-10-06 / 20110245756 - DEVICES FOR MATERIAL DELIVERY, ELECTROPORATION, SONOPORATION, AND/OR MONITORING ELECTROPHYSIOLOGICAL ACTIVITY | 1 |
Alan Kadish | US | Evanston | 2009-11-12 / 20090281019 - COMPOSITIONS AND METHODS FOR TREATING ATRIAL FIBRILLATION | 1 |
Yotam Kadishay | IL | Adanim | 2015-02-26 / 20150058675 - SOFTWARE UNIT TEST IMMUNITY INDEX | 2 |
Yotam Kadishay | IL | Tel-Aviv | 2015-11-12 / 20150324358 - SYSTEMS AND METHODS TO AUTOMATICALLY SUGGEST ELEMENTS FOR A CONTENT AGGREGATION SYSTEM | 4 |
Avery Kadison | US | Cupertino | 2014-06-12 / 20140165174 - COMPUTER SYSTEM AUTHENTICATION USING SECURITY INDICATOR | 1 |
Avery Kadison | US | Austin | 2015-11-26 / 20150339766 - INFORMATION PROTECTION SYSTEM | 1 |
Sanjay N. Kadiwala | US | Schaumburg | 2014-09-18 / 20140274218 - Apparatus with Adaptive Acoustic Echo Control for Speakerphone Mode | 1 |
Kumar Venkata Kadiyala | US | Boulder | 2015-12-17 / 20150363150 - Printer Roll Management Mechanism | 2 |
Sudhakar Kadiyala | US | South Easton | 2008-09-04 / 20080213261 - Transdiscal administration of specific inhibitors of pro-inflammatory cytokines | 1 |
Srinivas Kadiyala | US | Sarasota | 2008-10-02 / 20080237771 - IMAGING SYSTEM | 1 |
Kumar V. Kadiyala | US | Boulder | 2014-10-09 / 20140300916 - PRINT RECOVERY FOR PRINTING SYSTEMS | 11 |
Sudhakar Kadiyala | US | Newton | 2016-03-17 / 20160074526 - SSTR-TARGETED CONJUGATES ENCAPSULATED IN PARTICLES AND FORMULATIONS THEREOF | 6 |
Irina Nikolaevna Kadiyala | US | Newton | 2016-03-10 / 20160067239 - PHARMACEUTICAL COMPOSITIONS AND ADMINISTRATIONS THEREOF | 19 |
Irina Kadiyala | US | Newton | 2011-03-10 / 20110059987 - Co-Crystals and Pharmaceutical Compositions Comprising the Same | 2 |
Kumar V. Kadiyala | US | Boulder | 2014-10-09 / 20140300916 - PRINT RECOVERY FOR PRINTING SYSTEMS | 11 |
Suresh Kadiyala | US | Cupertino | 2014-03-20 / 20140082325 - INTELLIGENT ARCHITECTURE CREATOR | 13 |
Vamshi Kadiyala | IN | Bangalore | 2013-06-27 / 20130166052 - TECHNIQUES FOR IMPROVING PLAYBACK OF AN AUDIO STREAM | 1 |
Chandra Sekhar Rao Kadiyala | US | Cleveland | 2013-07-04 / 20130172539 - METHODS AND COMPOSITIONS OF PREPARATION FOR PROTEOME ANALYSIS | 1 |
Murali Kadiyala | US | Escondido | 2008-08-28 / 20080207126 - Method and System for Dynamically Changing Poll Timing Based on Bluetooth Activity | 1 |
Irina Nikolaevna Kadiyala | US | Newton | 2016-03-10 / 20160067239 - PHARMACEUTICAL COMPOSITIONS AND ADMINISTRATIONS THEREOF | 19 |
Kumar Kadiyala | US | Boulder | 2015-12-17 / 20150363152 - Print Scheduling Mechanism | 3 |
Anirudh Kadiyala | US | Austin | 2014-12-04 / 20140359386 - SCAN OR JTAG CONTROLLABLE CAPTURE CLOCK GENERATION | 4 |
Pavan Kumar Kadiyala | IN | Hyderabad | 2015-11-19 / 20150334641 - ENHANCED CALL RECORDING FOR DUAL-SIM DUAL-ACTIVE WIRELESS COMMUNICATION DEVICES | 3 |
Suresh Kadiyala | US | Cupertino | 2014-03-20 / 20140082325 - INTELLIGENT ARCHITECTURE CREATOR | 13 |
Sudhakar Kadiyala | US | Raynham | 2011-10-20 / 20110253583 - Methods and Kits for Aseptic Filing of Products | 1 |
Madhavi Kadiyala | US | Cupertino | 2012-07-19 / 20120185820 - TOOL GENERATOR | 1 |
Kiran Kumar Kadiyala | IN | Bangalore | 2016-03-10 / 20160069362 - AIRCRAFT HYDRAULIC FLUID HEATING SYSTEM AND METHOD | 1 |
Abbas Kadkhodayan | US | Collinsville | 2013-09-12 / 20130232860 - ALKANOLAMIDES AND THEIR USE AS FUEL ADDITIVES | 1 |
Babak Kadkhodayan | US | Oakland | 2008-11-06 / 20080271849 - HOLLOW ANODE PLASMA REACTOR AND METHOD | 1 |
Bobby Kadkhodayan | US | Pleasanton | 2014-03-06 / 20140065835 - PROTECTIVE COATING FOR A PLASMA PROCESSING CHAMBER PART AND A METHOD OF USE | 3 |
Babak Kadkhodayan | US | Pleasanton | 2012-07-05 / 20120171872 - CLAMPED SHOWERHEAD ELECTRODE ASSEMBLY | 5 |
Babak Kadkhodayan | US | Hayward | 2013-11-07 / 20130292056 - EDGE RING ASSEMBLY WITH DIELECTRIC SPACER RING | 4 |
Babak Kadkhodayan | US | Fremont | 2011-05-12 / 20110108524 - LOCAL PLASMA CONFINEMENT AND PRESSURE CONTROL ARRANGEMENT AND METHODS THEREOF | 1 |
Veronika Kadkina | RU | Kazan | 2011-10-06 / 20110239953 - COLLECTION STATION FOR ACCELERATED COLLECTION OF THE SPECIMENS FROM LABORATORY ANIMALS | 1 |
Aniket Kadkol | US | Mountain View | 2012-02-16 / 20120039142 - SCALEABLE LOOK-UP TABLE BASED MEMORY | 1 |
Otto Kadlac | DE | Ebern | 2008-09-04 / 20080215219 - Hydraulic Actuating Device for an Automotive Friction Clutch | 1 |
Prasad Shripad Kadle | US | Williamsville | 2015-05-07 / 20150121950 - EVAPORATOR HAVING A HYBRID EXPANSION DEVICE FOR IMPROVED ALIQUOTING OF REFRIGERANT | 11 |
Prasad S. Kadle | US | Wiliamsville | 2016-03-03 / 20160061497 - TWO-PASS EVAPORATOR | 1 |
Prasad S. Kadle | US | Williamsville | 2016-02-18 / 20160046171 - UN-PARTITIONED HVAC MODULE CONTROL FOR MULTI-ZONE AND HIGH PERFORMANCE OPERATION | 29 |
Donald Anthony Kadlec | US | Midland | 2010-06-03 / 20100137470 - Stabilized Elastomer Dispersions | 1 |
Benjamin James Kadlec | US | Boulder | 2015-12-17 / 20150362587 - LIDAR SENSOR CALIBRATION USING SURFACE PATTERN DETECTION | 2 |
Ron J. Kadlec | US | Longmont | 2016-05-19 / 20160140995 - Servo Processor Receiving Photodetector Signals | 9 |
Gary F. Kadlec | US | Columbus | 2015-11-05 / 20150317884 - ALPHANUMERIC SLOT GAME SYSTEM AND METHOD | 4 |
Ron J. Kadlec | US | Arvada | 2014-08-07 / 20140221851 - SYSTEMS AND METHODS FOR DETERMINING RESPIRATION INFORMATION FROM A PHYSIOLOGICAL SIGNAL USING AMPLITUDE DEMODULATION | 1 |
Mark Steven Kadlec | US | Shiocton | 2016-04-14 / 20160101480 - ELECTRODE DIAMETER SENSING CONSUMABLES | 5 |
Benjamin J. Kadlec | US | Boulder | 2011-05-19 / 20110115787 - VISULATION OF GEOLOGIC FEATURES USING DATA REPRESENTATIONS THEREOF | 1 |
Ronald Kadlec | US | Longmont | 2014-08-28 / 20140244205 - SYSTEMS AND METHODS FOR GENERATING AN ARTIFICIAL PHOTOPLETHYSMOGRAPH SIGNAL | 1 |
Ryan Kadlec | US | Oak Park | 2008-08-28 / 20080202177 - LATCH SYSTEM FOR AN ADJUSTABLE VEHICLE SEAT | 1 |
Jiri Kadlec | CZ | Ceske Budejovice | 2010-12-23 / 20100319793 - SUCTION JET PUMP | 1 |
Ron J. Kadlec | US | Longmont | 2016-05-19 / 20160140995 - Servo Processor Receiving Photodetector Signals | 9 |
Ron Kadlec | US | Longmont | 2011-11-03 / 20110270058 - Method For Respiration Rate And Blood Pressure Alarm Management | 1 |
Charles W. Kadlec | US | Summit | 2011-04-14 / 20110087615 - Rules-Based Risk Management | 1 |
Leonard John Kadlec | US | Woodbury | 2016-05-05 / 20160123950 - SENSOR SYSTEM AND METHOD FOR SENSING CHLORINE CONCENTRATION | 1 |
Stanislav Kadlec | CZ | Praha 5 | 2013-08-29 / 20130220802 - RF SUBSTRATE BIAS WITH HIGH POWER IMPULSE MAGNETRON SPUTTERING (HIPIMS) | 2 |
Steven Alan Kadlec | US | Lafayette | 2009-06-04 / 20090141775 - MITIGATING INTERFERENCE IN A SIGNAL | 1 |
Stanislav Kadlec | CZ | Praha | 2012-11-08 / 20120279851 - METHOD OF MAGNETRON SPUTTERING AND A METHOD FOR DETERMINING A POWER MODULATION COMPENSATION FUNCTION FOR A POWER SUPPLY APPLIED TO A MAGNETRON SPUTTERING SOURCE | 5 |
Leonard J. Kadlec | US | Woodbury | 2014-02-27 / 20140053611 - USE OF INGREDIENT WATER IN CLEANING APPLICATIONS | 3 |
Mary Jo Kadleck | US | Lake Forest | 2014-02-06 / 20140034210 - CUSTOMIZABLE GIFT WRAP | 2 |
Matthias Kadler | DE | Gross-Gerau | 2015-11-26 / 20150338264 - DOUBLE-SIDE THICK FILM NETWORK ON CERAMIC CARD | 9 |
George Kadlicko | US | Rockford | 2015-11-19 / 20150330414 - VELOCITY CONTROL FOR HYDRAULIC CONTROL SYSTEM | 8 |
Bryan Michael Kadlubowski | US | Lebanon | 2015-07-09 / 20150190314 - Mascara System With Thickening Benefits | 4 |
Bryan Michael Kadlubowski | US | Manchester | 2012-03-15 / 20120060859 - MASCARA SYSTEM WITH THICKENING BENEFITS | 2 |
Marcin M. Kadluczka | US | San Jose | 2010-05-27 / 20100131488 - Digital Images of Web Pages | 3 |
Younes Kadmiri | FR | Morre | 2016-04-21 / 20160109857 - TIMEPIECE WITH IMPROVED SOUND LEVEL | 9 |
Younes Kadmiri | FR | Morre | 2016-04-21 / 20160109857 - TIMEPIECE WITH IMPROVED SOUND LEVEL | 9 |
Zohar Kadmon | IL | Kibbutz Shamir | 2014-10-30 / 20140319748 - ASSEMBLY OF A SEMI-FINISHED LENS WITH A PRISMATIC HOLDING BLOCK AND METHOD FOR PRODUCING SAME | 1 |
Steven P. Kadner | US | Albuquerque | 2009-12-31 / 20090321650 - Radiation Security Blanket | 1 |
Martin Kadner | DE | Maintal | 2011-11-24 / 20110285040 - METHOD AND ARRANGEMENT FOR PRODUCING FUEL CORES | 2 |
Martin Kadner | DE | Hamburg | 2009-07-09 / 20090174392 - CIRCUIT ARRANGEMENT AND CORRESPONDING METHOD FOR VOLTAGE REFERENCE AND/OR FOR CURRENT REFERENCE | 1 |
Evgeny Kadner | IL | Gedera | 2010-08-05 / 20100193053 - METHODS AND SYSTEMS FOR PUMPING FLUIDS | 1 |
Aleksej Kadnikov | DE | Leonberg | 2015-12-31 / 20150375585 - Trailer Coupling and Load Carrier Arrangement For A Trailer Coupling | 3 |
Takashi Kado | JP | Tokyo | 2014-09-11 / 20140255567 - Multi-Component Food Packaging For Microwave Oven | 2 |
Yoshifumi Kado | JP | Chiyoda-Ku | 2012-09-20 / 20120234674 - CATION EXCHANGE MEMBRANE, ELECTROLYSIS VESSEL USING THE SAME AND METHOD FOR PRODUCING CATION EXCHANGE MEMBRANE | 1 |
Takashi Kado | JP | Izunokuni-Shi | 2011-11-17 / 20110279512 - INKJET RECORDING DEVICE | 13 |
Richard Kado | CA | Oakville | 2013-02-14 / 20130041694 - Computer Based Method of Pricing Equity Indexed Annuity Product with Guaranteed Lifetime Income Benefits | 3 |
Motohisa Kado | JP | Gotenba-Shi, Shizuoka | 2016-05-05 / 20160122900 - APPARATUS FOR PRODUCING SiC SINGLE CRYSTALS AND METHOD OF PRODUCING SiC SINGLE CRYSTALS USING SAID PRODUCTION APPARATUS | 2 |
Motohisa Kado | JP | Toyota-Shi Aichi-Ken | 2015-03-19 / 20150075419 - METHOD FOR PRODUCING SiC SINGLE CRYSTAL | 1 |
Masaki Kado | JP | Kamakura-Shi | 2014-11-13 / 20140334041 - MAGNETORESISTIVE ELEMENT, MAGNETIC HEAD, MAGNETIC RECORDING AND REPRODUCING APPARATUS, AND METHOD OF MANUFACTURING MAGNETORESISTIVE ELEMENT | 2 |
Kenichiro Kado | JP | Kanagawa | 2015-04-30 / 20150120193 - WEATHER PREDICTING METHOD, WATER PREDICTING APPARATUS, AND AIR UTILIZING APPARATUS | 2 |
Taiki Kado | JP | Kobe-Shi | 2013-11-14 / 20130300363 - VEHICLE AND VEHICLE CONTROL METHOD | 1 |
Motohia Kado | JP | Susono-Shi | 2015-06-04 / 20150152569 - APPARATUS FOR PRODUCING SiC SINGLE CRYSTAL AND METHOD FOR PRODUCING SiC SINGLE CRYSTAL | 1 |
Takahiro Kado | JP | Kanagawa | 2016-04-21 / 20160109221 - ILLUMINATION APPARATUS, PATTERN IRRADIATION DEVICE, AND SYSTEM | 6 |
Mark Kado | US | Grosse Pointe Park | 2008-10-09 / 20080246488 - Method and configuration for monitoring a vehicle battery | 1 |
Masaki Kado | JP | Saitama-Shi | 2010-12-09 / 20100311238 - METHOD OF FORMING COPPER WIRING LAYER | 3 |
Hiroyuki Kado | JP | Osaka | 2015-11-19 / 20150331531 - INPUT DEVICE | 26 |
Kotaro Kado | JP | Kyoto | 2011-02-17 / 20110036712 - ANALYZING SYSTEM | 1 |
Yoshiyuki Kado | JP | Kodaira | 2015-04-23 / 20150108639 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 7 |
Masaki Kado | JP | Kamakura | 2016-03-10 / 20160072038 - THERMOELECTRIC CONVERSION ELEMENT | 2 |
Yoshifumi Kado | JP | Tokyo | 2014-12-18 / 20140367355 - CATION EXCHANGE MEMBRANE, ELECTROLYSIS VESSEL USING THE SAME AND METHOD FOR PRODUCING CATION EXCHANGE MEMBRANE | 1 |
Hiroyuki Kado | JP | Osaka | 2015-11-19 / 20150331531 - INPUT DEVICE | 26 |
Naofumi Kado | JP | Ishikawa | 2012-12-20 / 20120320430 - OVERHEAD IMAGE-READING APPARATUS IMAGE PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Hideo Kado | JP | Osaka | 2012-10-18 / 20120261595 - UV IRRADIATION APPARATUS | 1 |
Minoru Kado | JP | Hachioji-Shi | 2013-05-30 / 20130134892 - ISOLATED POWER SUPPLY DEVICE AND ILLUMINATION DEVICE | 1 |
Hiroyasu Kado | JP | Seto-Shi | 2015-12-17 / 20150360320 - LASER WELDING APPARATUS AND LASER WELDING METHOD | 3 |
Hiroyasu Kado | JP | Aichi | 2012-05-10 / 20120115022 - METHOD OF MANUFACTURING BATTERY, PRESSING TOOL FOR USE THEREIN AND BATTERY | 2 |
Yuya Kado | JP | Tokyo | 2015-05-07 / 20150127140 - NUMERICAL CONTROL DEVICE AND NUMERICAL CONTROL SYSTEM | 1 |
Hirotaka Kado | JP | Isesaki-Shi | 2013-05-09 / 20130111945 - Heat Exchanger and Heat Pump Using Same | 1 |
Yuji Kado | JP | Ikeda-Shi | 2014-05-08 / 20140127759 - MUTANT B-GLUCOSIDASE, ENZYME COMPOSITION FOR DECOMPOSING BIOMASS, AND METHOD OF PRODUCING SUGAR SOLUTION | 2 |
Yoshikazu Kado | JP | Osaka | 2014-03-13 / 20140070713 - LED LIGHTING DEVICE | 1 |
Takeshi Kado | JP | Shizuoka | 2013-09-26 / 20130250025 - IMAGE FORMING APPARATUS RESPONDING TO REQUEST DURING USE OF ERASABLE INK | 1 |
Takehiro Kado | JP | Osaka-Shi | 2013-07-11 / 20130178524 - PROSTAGLANDIN-CONTAINING PRODUCT | 1 |
Motohisa Kado | JP | Gotemba-Shi | 2015-08-13 / 20150225871 - SiC SINGLE CRYSTAL PRODUCTION APPARATUS AND METHOD OF PRODUCING SiC SINGLE CRYSTALS | 1 |
Delano K. Kado | US | Sterling Heights | 2012-11-15 / 20120289166 - AUTOMATING DIAL ATTEMPTS TO A TELEMATICS OR CELLULAR DEVICE | 2 |
Motohisa Kado | JP | Gotenba-Shi | 2015-10-22 / 20150299900 - METHOD FOR PRODUCING SIC SINGLE CRYSTAL | 3 |
Masaki Kado | JP | Kanagawa-Ken | 2015-04-09 / 20150096605 - THERMOELECTRIC CONVERTING THIN LINE, AND THERMOELECTRIC CONVERTING CLOTH FORMED USING THE SAME | 2 |
Mark S. Kado | US | Grosse Pointe | 2012-11-15 / 20120285263 - SECONDARY AIR SYSTEM DIAGNOSTIC TEST | 2 |
Haruhiko Kado | JP | Osaka | 2013-03-28 / 20130076195 - MOTOR AND ELECTRICAL APPARATUS HOUSING SAME | 8 |
Takashi Kado | JP | Izunokuni-Shi | 2011-11-17 / 20110279512 - INKJET RECORDING DEVICE | 13 |
Takashi Kado | JP | Shizuoka-Ken | 2011-12-01 / 20110292108 - INK JET HEAD AND METHOD OF DRIVING THE SAME | 6 |
Takashi Kado | JP | Shizuoka | 2013-08-22 / 20130215172 - INKJET HEAD AND INKJET RECORDER | 3 |
Motohisa Kado | JP | Susono-Shi | 2015-07-09 / 20150191848 - APPARATUS FOR PRODUCING SIC SINGLE CRYSTAL BY SOLUTION GROWTH METHOD, AND METHOD FOR PRODUCING SIC SINGLE CRYSTAL BY USING THE PRODUCTION APPARATUS AND CRUCIBLE USED IN THE PRODUCTION APPARATUS | 6 |
Yuji Kado | US | Fairfax | 2013-09-12 / 20130232875 - RADIANT HEAT FLUX ENHANCED ORGANIC MATERIAL GASIFICATION SYSTEM | 2 |
Hirotaka Kado | JP | Gunma | 2011-06-09 / 20110132020 - HEAT EXCHANGER AND HEAT PUMP DEVICE USING THE SAME | 1 |
Motohisa Kado | US | 2015-10-22 / 20150299900 - METHOD FOR PRODUCING SIC SINGLE CRYSTAL | 1 | |
Masaki Kado | JP | Yokohama-Shi | 2014-07-31 / 20140211338 - MAGNETORESISTIVE MAGNETIC HEAD AND MAGNETIC RECORDING AND REPRODUCING APPARATUS | 1 |
Yosikazu Kado | JP | Hyogo | 2012-10-25 / 20120268028 - POWER SUPPLY | 1 |
Masataka Kado | JP | Kanagawa | 2015-12-24 / 20150366618 - STERILE DRAPE | 1 |
Haruhiko Kado | JP | Osaka | 2013-03-28 / 20130076195 - MOTOR AND ELECTRICAL APPARATUS HOUSING SAME | 8 |
Motohisa Kado | JP | Toyota-Shi | 2015-01-15 / 20150013590 - SEED CRYSTAL HOLDING SHAFT FOR USE IN SINGLE CRYSTAL PRODUCTION DEVICE, AND METHOD FOR PRODUCING SINGLE CRYSTAL | 1 |
Yuichi Kado | JP | Tokyo | 2008-08-21 / 20080199124 - OPTICAL DEVICE FOR GENERATING AND MODULATING THz AND OTHER HIGH FREQUENCY SIGNALS | 1 |
Hiroyuki Kado | JP | Ibaraki-Shi | 2008-09-25 / 20080233828 - PLASMA DISPLAY PANEL | 1 |
Yousuke Kado | JP | Fuchu-Shi | 2015-10-15 / 20150290708 - TILTING GRAVITY CASTING APPARATUS AND TILTING GRAVITY CASTING METHOD | 2 |
Masaki Kado | JP | Yokohama | 2015-09-24 / 20150270469 - Thermoelectric Converting Element | 1 |
Hidemi Kado | JP | Gifu | 2008-10-16 / 20080251756 - COOLANT COMPOSITION FOR FUEL CELL | 1 |
Mariko Kado | JP | Kanagawa | 2008-10-23 / 20080259487 - Media drive, processing method for recording data onto a medium, processing method for data read from a medium, and method for controlling a process for reading data from a medium | 1 |
Hirofumi Kado | JP | Ebina-Shi | 2008-11-27 / 20080292416 - Printed circuit board machinging apparatus | 1 |
Hiroyuki Kado | JP | Kanagawa | 2009-02-05 / 20090036314 - METHOD OF FORMING OXIDE SUPERCONDUCTOR THICK FILM | 1 |
Hideo Kado | JP | Hirakata-Shi | 2009-02-12 / 20090039502 - HEATSINK AND SEMICONDUCTOR DEVICE WITH HEATSINK | 1 |
Tatsuki Kado | JP | Kawasaki-Shi | 2015-09-24 / 20150266629 - CORK STOPPER WITH RESIN COATING LAYER | 1 |
Hideo Kado | JP | Hirataka-Shi | 2009-02-12 / 20090039380 - PACKAGE AND SEMICONDUCTOR DEVICE | 1 |
Katsuyoshi Kado | JP | Hyogo | 2009-02-12 / 20090039179 - MEDICINE SPRAYING DEVICE | 1 |
Takeshi Kado | JP | Nara | 2009-02-19 / 20090046785 - INTERFACE CIRCUIT | 1 |
Takuya Kado | JP | Shizuoka | 2014-08-28 / 20140238339 - ENGINE AND OUTBOARD MOTOR | 5 |
Hiroyuki Kado | JP | Kariya-City | 2013-07-11 / 20130174807 - ELECTRIC ACTUATOR FOR VEHICLE | 4 |
Tomoyuki Kado | JP | Shizuoka | 2009-05-28 / 20090136367 - Air compressor | 1 |
Youiti Kado | JP | Kyoto | 2009-10-01 / 20090245247 - COMMUNICATION TERMINAL AND COMMUNICATION NETWORK | 1 |
Kazunori Kado | JP | Osaka | 2009-12-03 / 20090300339 - LSI FOR IC CARD | 1 |
Yoshiyasu Kado | JP | Nara | 2013-07-18 / 20130182145 - IMAGING DEVICE | 4 |
Hiroyasu Kado | JP | Toyota-Shi | 2013-01-10 / 20130011724 - METHOD FOR PRODUCING BATTERY, AND BATTERY | 4 |
Yuichi Kado | JP | Atsugi-Shi | 2010-04-29 / 20100105323 - Electric-Field Communication Device | 1 |
Youiti Kado | JP | Tokyo | 2010-08-19 / 20100208782 - COMMUNICATION DEVICE AND TWO-DIMENSIONAL COMMUNICATION SYSTEM USING THE SAME | 3 |
Yoshikazu Kado | JP | Kyoto | 2010-09-09 / 20100224219 - SUBSTRATE TREATING APPARATUS AND METHOD | 1 |
Yosikazu Kado | JP | Suita | 2010-10-07 / 20100253233 - DISCHARGE LAMP LIGHTING DEVICE AND LIGHT FIXTURE | 1 |
Yusei Kadobayashi | JP | Kyoto | 2016-02-04 / 20160030298 - COMPOSITE MATERIAL HAVING REDUCED DEGRADATION OF PASTY PROPERTY | 15 |
Mizue Kadobayashi | JP | Osaka | 2011-01-27 / 20110020015 - ELECTRONIC APPARATUS, IMAGE FORMING APPARATUS, AND CONNECTION CONFIRMATION METHOD FOR CONNECTORS | 1 |
Yusei Kadobayashi | JP | Kyoto-Shi | 2014-11-20 / 20140343866 - OCCLUSAL WEAR EVALUATION APPARATUS AND OCCLUSAL WEAR EVALUATION METHOD | 18 |
Yoshiyuki Kadobayashi | JP | Shizuoka | 2016-02-11 / 20160039512 - BOAT PROPULSION DEVICE | 11 |
Masako Kadobayashi | JP | Tokyo | 2013-12-12 / 20130332530 - INFORMATION PROCESSING APPARATUS, COMPUTER PROGRAM, AND TERMINAL APPARATUS | 1 |
Atsushi Kadobayashi | JP | Suita-Shi | 2011-06-09 / 20110135846 - ANTIBACTERIAL TREATMENT METHOD FOR FIBER, METHOD FOR PRODUCING ANTIBACTERIAL FIBER AND ANTIBACTERIAL FIBER | 1 |
Rieko Kadobayashi | JP | Kizugawa-Shi | 2016-05-19 / 20160140869 - FOOD INTAKE CONTROLLING DEVICES AND METHODS | 3 |
Izumi Kadobayashi | JP | Osaka | 2015-06-25 / 20150178600 - JOB MANAGEMENT DEVICE | 3 |
Yusei Kadobayashi | JP | Kyoto | 2016-02-04 / 20160030298 - COMPOSITE MATERIAL HAVING REDUCED DEGRADATION OF PASTY PROPERTY | 15 |
Yoshiyuki Kadobayashi | JP | Shizuoka | 2016-02-11 / 20160039512 - BOAT PROPULSION DEVICE | 11 |
Daniel Kadoch | FR | La Varenne | 2010-10-21 / 20100265319 - METHOD OF DISPLAYING AN IMAGE IN THREE DIMENSIONS AND PANEL THUS PRODUCED | 1 |
Christopher Allen Kadoch | US | Leesburg | 2013-04-11 / 20130088597 - MULTIPLE RESOLUTION CAMERA SYSTEM FOR AUTOMATED LICENSE PLATE RECOGNITION AND EVENT RECORDING | 1 |
Cigall Kadoch | US | Tiburon | 2015-07-02 / 20150185221 - METHODS FOR IDENTIFYING AND TREATING CANCER PATIENTS | 1 |
Cigall Kadoch | US | Stanford | 2014-09-25 / 20140288162 - METHODS, COMPOSITIONS AND SCREENS FOR THERAPEUTICS FOR THE TREATMENT OF SYNOVIAL SARCOMA | 1 |
Shogo Kadogawa | JP | Fukuoka | 2010-09-09 / 20100225765 - MONITORING SUPPORT APPARATUS, MONITORING SUPPORT METHOD, AND RECORDING MEDIUM | 1 |
Takuya Kadoguchi | JP | Toyota-Shi | 2016-05-05 / 20160126207 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 18 |
Akimichi Kadoguchi | JP | Musashino-Shi | 2011-11-17 / 20110277559 - CORIOLIS FLOW METER | 1 |
Sohichi Kadoguchi | JP | Oita | 2008-10-16 / 20080251918 - Wire Bonds Having Pressure-Absorbing Balls | 1 |
Takuya Kadoguchi | JP | Osaka | 2008-11-06 / 20080272488 - Semiconductor Device | 1 |
Korehito Kadoguchi | JP | Hyogo | 2011-06-30 / 20110156326 - IRON BATH-TYPE MELTING FURNACE | 1 |
Sohichi Kadoguchi | JP | Oita-Ken | 2010-06-10 / 20100140327 - Spot Heat Wirebonding | 1 |
Takuya Kadoguchi | JP | Toyota-Shi | 2016-05-05 / 20160126207 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 18 |
Akimichi Kadoguchi | JP | Tokyo | 2011-05-12 / 20110107849 - CORIOLIS MASS FLOWMETER | 1 |
Terutake Kadohara | JP | Kanagawa | 2012-06-21 / 20120154644 - IMAGE SENSING APPARATUS | 1 |
Terutake Kadohara | JP | Yokohama-Shi | 2013-10-31 / 20130286235 - IMAGE CAPTURE APPARATUS, LENS UNIT, COMMUNICATION CONTROLLING METHOD, AND DIAPHRAGM CONTROLLING METHOD | 3 |
Tetsuo Kadohata | JP | Moriguchi-City | 2012-04-05 / 20120079712 - METHOD OF PRODUCING AN ELECTRODE FOR A LITHIUM SECONDARY BATTERY, AND METHOD OF PRODUCING A LITHIUM SECONDARY BATTERY | 2 |
Akihiro Kadohata | JP | Tokyo | 2014-04-17 / 20140108894 - TRANSMISSION SYSTEM AND TRANSMISSION METHOD | 1 |
Akihiro Kadohata | JP | Yokosuka-Shi | 2015-12-03 / 20150350025 - HIGHLY RELIABLE PATH ACCOMMODATION DESIGN APPARATUS AND METHOD | 3 |
Ryo Kadoi | JP | Fujisawa | 2013-02-07 / 20130033327 - HIGH FREQUENCY CIRCUIT AND HIGH FREQUENCY MODULE | 3 |
Kiyoaki Kadoi | JP | Chiba-Shi | 2009-01-29 / 20090026595 - SEMICONDUCTOR DEVICE PACKAGE | 1 |
Masaru Kadoi | JP | Anjo-Shi | 2009-08-06 / 20090197166 - BATTERY MODULE CASE | 1 |
Akihiro Kadoi | JP | Tokyo | 2009-08-13 / 20090200118 - ELEVATOR CAR PANEL CONNECTION STRUCTURE | 1 |
Yasunori Kadoi | JP | Sodegaura-Shi | 2010-10-14 / 20100258767 - COMPOSITE ORGANIC ELECTROLUMINESCENT MATERIAL AND PRODUCTION METHOD THEREOF | 1 |
Hirokazu Kadoi | JP | Tokyo | 2010-07-29 / 20100187908 - Maintenance Bypass Device | 1 |
Kazunori Kadoi | JP | Osaka | 2010-02-18 / 20100039383 - DISPLAY CONTROL DEVICE, PROGRAM FOR IMPLEMENTING THE DISPLAY CONTROL DEVICE, AND RECORDING MEDIUM CONTAINING THE PROGRAM | 1 |
Masaru Kadoi | JP | Tokyo | 2010-05-13 / 20100116568 - ELECTRIC VEHICLE | 1 |
Masaru Kadoi | JP | Anjyo-Shi | 2010-04-15 / 20100089564 - AIR-CONDITIONING SYSTEM FOR ELECTRIC CAR AND METHOD OF CONTROLLING THE AIR-CONDITIONING SYSTEM | 1 |
Ryo Kadoi | JP | Tokyo | 2016-03-03 / 20160064182 - CHARGED PARTICLE BEAM APPARATUS AND IMAGE GENERATION METHOD | 3 |
Ryo Kadoi | JP | Kyoto | 2014-12-04 / 20140354360 - HIGH FREQUENCY MODULE AND PORTABLE TERMINAL USING SAME | 1 |
Hideki Kadoi | JP | Tokyo | 2016-01-07 / 20160001549 - CONTROL APPARATUS AND CONTROL METHOD FOR CONTROLLING FORMING APPARATUS WHICH FORMS CONCAVO-CONVEX STRUCTURE | 2 |
Masafumi Kadoi | JP | Chita-Shi | 2013-12-05 / 20130320774 - RESONANCE COIL, ELECTRIC POWER TRANSMISSION DEVICE, ELECTRIC POWER RECEIVING DEVICE, AND ELECTRONIC POWER TRANSMISSION SYSTEM | 2 |
Yasunori Kadoi | JP | Chiba | 2011-07-21 / 20110175031 - COMPOSITE ORGANIC ELECTROLUMINESCENT MATERIAL | 1 |
Shinsuke Kadoi | JP | Suita-Shi | 2014-12-25 / 20140376286 - POWER CONVERSION APPARATUS | 1 |
Hirokazu Kadoi | JP | Inashiki-Gun | 2015-06-25 / 20150180233 - UNINTERRUPTIBLE POWER SUPPLY APPARATUS | 1 |
Yurika Kadoi | JP | Kanagawa | 2008-11-06 / 20080273215 - PRINTING SYSTEM, PRINTING SYSTEM CONTROL METHOD, PROGRAM AND STORAGE MEDIUM | 1 |
Atsushi Kadoi | JP | Moriyama-Shi | 2013-08-15 / 20130205586 - ELASTIC WAVE DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Yuuta Kadoike | JP | Kariya-City | 2016-02-04 / 20160036303 - DRIVE DEVICE AND ELECTRIC POWER STEERING DEVICE INCLUDING THE DRIVE DEVICE | 2 |
Takao Kadoishi | JP | Hiroshima-City | 2013-07-18 / 20130180507 - INTAKE DEVICE OF ENGINE | 1 |
Takao Kadoishi | JP | Hiroshima-Shi | 2015-07-02 / 20150184581 - INTAKE SYSTEM FOR ENGINE | 1 |
Yumiko Kadoishi | JP | Yokohama-Shi | 2014-10-23 / 20140310927 - DRAWSTRING FASTENER | 1 |
Satoshi Kadokawa | JP | Kanagawa | 2009-02-26 / 20090050091 - CAM FOLLOWER APPARATUS | 2 |
Junichi Kadokawa | JP | Kagoshima | 2012-05-31 / 20120135063 - GLUCURONIC ACID-CONTAINING GLUCAN, PROCESS FOR PRODUCTION OF SAME, AND USE OF SAME | 1 |
Toru Kadokawa | JP | Kanagawa, | 2010-04-15 / 20100093868 - WATER-SOLUBLE METAL-PROCESSING AGENT, COOLANT, METHOD FOR PREPARATION OF THE COOLANT, METHOD FOR PREVENTION OF MICROBIAL DETERIORATION OF WATER-SOLUBLE METAL-PROCESSING AGENT, AND METAL PROCESSING | 1 |
Tomonori Kadokawa | JP | Tokyo | 2010-07-01 / 20100169425 - Electronic Mail Delivery System And Electronic Mail Delivery Program | 1 |
Toshifumi Kadokawa | JP | Akashi-Shi | 2009-06-25 / 20090159453 - METHOD FOR SILVER PLATING | 1 |
Masanori Kadokawa | JP | Toyota-Shi | 2014-11-13 / 20140335981 - PULLEY MECHANISM OF VEHICULAR BELT-TYPE CONTINUOUSLY VARIABLE TRANSMISSION | 5 |
Toshifumi Kadokawa | JP | Akashi City | 2015-07-02 / 20150184307 - SILVER ELECTROPLATING SOLUTION | 1 |
Kazutomo Kadokura | JP | Kyoto-Shi | 2015-03-19 / 20150078407 - SEMICONDUCTOR LASER EXCITED SOLID STATE LASER DEVICE AND LASER LIGHT OUTPUT METHOD | 2 |
Grant Kadokura | US | Palo Alto | 2015-10-15 / 20150294461 - METHOD FOR ESTIMATING A QUANTITY OF A BLOOD COMPONENT IN A FLUID CANISTER | 1 |
Hokuto Kadokura | JP | Tokyo | 2015-10-15 / 20150291467 - METHOD FOR MANUFACTURING GLASS PLATE WITH WHICH WARPING DURING CHEMICAL STRENGTHENING IS REDUCED AND GLASS PLATE | 1 |
Yoshiyuki Kadokura | JP | Susono-Shi | 2015-08-06 / 20150221552 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 2 |
Hidekimi Kadokura | JP | Sakado-Shi | 2009-01-01 / 20090004383 - PROCESS FOR FORMING THE STRONTIUM-CONTAINING THIN FILM | 2 |
Noboru Kadokura | JP | Yokosuka-Shi | 2009-08-13 / 20090200840 - VEHICLE SEAT | 1 |
Michinori Kadokura | JP | Kyoto | 2009-12-31 / 20090325288 - METHOD FOR INDUCING DIFFERENTIATION OF PLURIPOTENT STEM CELLS INTO CARDIOMYOCYTES | 1 |
Kazuyuki Kadokura | JP | Atsugi City | 2010-04-08 / 20100086317 - IMAGE FORMING APPARATUS HAVING IMPROVED SERVICEABILITY | 1 |
Masahiko Kadokura | JP | Toon-Shi | 2010-07-22 / 20100185090 - ULTRASONOGRAPHIC DEVICE | 1 |
Junichi Kadokura | JP | Tokyo | 2012-03-22 / 20120072155 - CORRECTION METHOD OF GEOMAGNETIC SENSOR IN MOBILE DEVICE, MOBILE DEVICE, AND PROGRAM | 1 |
Takeshi Kadokura | JP | Tokyo | 2009-05-28 / 20090137498 - SUBSTITUTED BENZENE DERIVATIVES OR SALTS THEREOF | 1 |
Takanori Kadokura | JP | Toyama-Shi | 2015-02-26 / 20150056408 - HEAT-RESISTANT MOLYBDENUM ALLOY | 4 |
Nobuyuki Kadokura | JP | Shiga | 2012-01-19 / 20120014748 - NOVEL SOIL DIAGNOSIS METHOD | 1 |
Yasuo Kadokura | JP | Kanagawa | 2014-10-02 / 20140295339 - ELECTROSTATIC CHARGE IMAGE DEVELOPING TONER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, AND TONER CARTRIDGE | 19 |
Masashi Kadokura | JP | Kanagawa | 2010-08-05 / 20100195120 - PUNCHING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Masahiko Kadokura | JP | Kanagawa | 2013-09-19 / 20130245448 - ULTRASONIC DIAGNOSIS DEVICE AND ULTRASONIC PROBE FOR USE IN ULTRASONIC DIAGNOSIS DEVICE | 3 |
Yasuo Kadokura | JP | Kanagawa | 2014-10-02 / 20140295339 - ELECTROSTATIC CHARGE IMAGE DEVELOPING TONER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, AND TONER CARTRIDGE | 19 |
Masahiko Kadokura | JP | Sagamihara-Shi | 2016-05-05 / 20160120505 - ULTRASONIC PROBE | 1 |
Yusuke Kadokura | JP | Sagamihara-Shi | 2015-10-01 / 20150273896 - PRINTING APPARATUS, METHOD FOR CONTROLLING PRINTING APPARATUS, AND STORAGE MEDIUM | 1 |
Kazunari Kadokura | JP | Tokyo | 2014-09-18 / 20140271703 - THERAPEUTIC AGENT FOR DEMENTIA | 1 |
Keith Kadokura | US | Cupertino | 2010-01-28 / 20100018855 - INLINE CO-SPUTTER APPARATUS | 1 |
Stephen L. Kadolph | US | Westchester | 2011-06-23 / 20110146622 - CONTROL SYSTEM AND METHOD FOR LIMITING ENGINE TORQUE BASED ON ENGINE OIL PRESSURE AND ENGINE OIL TEMPERATURE DATA | 1 |
Takanori Kadoma | JP | Hatsukaichi-City | 2015-02-12 / 20150046054 - BRAKING DEVICE FOR VEHICLE | 1 |
Yoshiaki Kadoma | JP | Okazaki-Shi | 2014-09-18 / 20140261840 - MANUFACTURE METHOD OF TUBULAR MEMBER AND TUBULAR MEMBER MANUFACTURED BY THE SAME | 1 |
Yoshihiro Kadoma | JP | Morioka | 2014-09-04 / 20140248537 - SLURRY COMPOSITION FOR FORMING LITHIUM SECONDARY BATTERY ELECTRODE CONTAINING CELLULOSE FIBER AS BINDER, AND LITHIUM SECONDARY BATTERY ELECTRODE | 1 |
Hiroshi Kadoma | JP | Sagamihara | 2016-05-05 / 20160126463 - Benzo[a] Anthracene Compound, Light-Emitting Element, Display Device, Electronic Device, and Lighting Device | 46 |
Hiroshi Kadoma | JP | Samigahara | 2013-01-10 / 20130009139 - Light-Emitting Element, Light-Emitting Device, Display Device, Lighting Device, and Electonic Device | 1 |
Yoshiaki Kadoma | JP | Toyota-Shi | 2011-05-26 / 20110121639 - PRESS-FORMING METHOD OF TUBULAR PART HAVING CROSS SECTION OF IRREGULAR SHAPE, AND TUBULAR PART HAVING CROSS SECTION OF IRREGULAR SHAPE FORMED BY THE PRESS-FORMING METHOD | 1 |
Yoshihiro Kadoma | JP | Iwate | 2011-10-27 / 20110262809 - NON-STOICHIOMETRIC TITANIUM COMPOUND, CARBON COMPOSITE OF THE SAME, MANUFACTURING METHOD OF THE COMPOUND, ACTIVE MATERIAL OF NEGATIVE ELECTRODE FOR LITHIUM-ION SECONDARY BATTERY CONTAINING THE COMPOUND, AND LITHIUM-ION SECONDARY BATTERY USING THE ACTIVE MATERIAL OF NEGATIVE ELECTRODE | 1 |
Ignatius A. Kadoma | US | Cottage Grove | 2014-05-22 / 20140141676 - ARTICLE INCLUDING MULTI-COMPONENT FIBERS AND HOLLOW CERAMIC MICROSPHERES AND METHODS OF MAKING AND USING THE SAME | 16 |
Kenji Kadoma | JP | Gunma | 2014-06-26 / 20140178794 - FUEL ELECTRODE CATALYST FOR FUEL CELL, ELECTRODE/MEMBRANE ASSEMBLY, AND FUEL CELL AND FUEL CELL SYSTEM PROVIDED WITH THE ELECTRODE/MEMBRANE ASSEMBLY | 2 |
Yoshiaki Kadoma | JP | Aichi | 2010-03-11 / 20100059961 - TORSION BEAM SUSPENSION | 2 |
Ignatius A. Kadoma | US | Cottage Grove | 2014-05-22 / 20140141676 - ARTICLE INCLUDING MULTI-COMPONENT FIBERS AND HOLLOW CERAMIC MICROSPHERES AND METHODS OF MAKING AND USING THE SAME | 16 |
Tetsuzo Kadomatsu | JP | Kanagawa-Ken | 2011-04-07 / 20110080456 - INKJET RECORDING APPARATUS | 3 |
Tetsuzo Kadomatsu | JP | Kanagawa | 2011-03-31 / 20110074892 - LIQUID DROPLET EJECTING APPARATUS | 7 |
Keijirou Kadomatsu | JP | Tokyo | 2011-05-05 / 20110104910 - HIGH-FREQUENCY MODULE AND WIRELESS DEVICE | 2 |
Kiyoshi Kadomatsu | JP | Odawara-Shi | 2011-03-24 / 20110069393 - OPTICAL ELEMENT, METHOD OF PRODUCING SAME, AND OPTICAL APPARATUS | 1 |
Kenji Kadomatsu | JP | Nagoya-Shi | 2015-07-23 / 20150203573 - MONOCLONAL ANTIBODY AGAINST HUMAN MIDKINE | 6 |
Kenji Kadomatsu | JP | Aichi | 2015-12-10 / 20150353933 - APTAMER AGAINST MIDKINE AND APPLICATIONS THEREOF | 8 |
Kenji Kadomatsu | JP | Aichi | 2015-12-10 / 20150353933 - APTAMER AGAINST MIDKINE AND APPLICATIONS THEREOF | 8 |
Yasuki Kadomatsu | JP | Tokyo | 2015-12-03 / 20150347006 - INPUT DISPLAY CONTROL DEVICE, THIN CLIENT SYSTEM, INPUT DISPLAY CONTROL METHOD, AND RECORDING MEDIUM | 3 |
Tetsuzo Kadomatsu | JP | Ashigarakami-Gun | 2010-04-01 / 20100078087 - LIQUID EJECTION APPARATUS | 1 |
Masaaki Kadomi | JP | Otsu-Shi | 2015-09-24 / 20150268387 - LIQUID CRYSTAL LENS AND CELL FOR LIQUID CRYSTAL LENS | 6 |
Masaaki Kadomi | JP | Otsu-City | 2010-02-04 / 20100027128 - Lens array | 2 |
Yuzo Kadomukai | JP | Ishioka | 2009-09-24 / 20090236903 - BRAKE CONTROL SYSTEM | 1 |
Takayuki Kadomura | JP | Chiba | 2010-08-26 / 20100215225 - Image display apparatus and program | 1 |
Takeshi Kadomura | JP | Iwata | 2011-09-15 / 20110223408 - GRANULATED POWDER AND METHOD FOR PRODUCING GRANULATED POWDER | 3 |
Shingo Kadomura | JP | Yokohama-Shi | 2009-12-31 / 20090325328 - Plasma processing apparatus and plasma processing method | 1 |
Kazunobu Kadomura | JP | Tokyo | 2009-02-19 / 20090048114 - ALLOY SUPERCONDUCTOR AND METHODS OF MAKING THE SAME | 1 |
Shingo Kadomura | JP | Atsugi-Shi | 2012-07-26 / 20120190212 - LOW DIELECTRIC CONSTANT INSULATING FILM AND METHOD FOR FORMING THE SAME | 1 |
Takayuki Kadomura | JP | Tokyo | 2015-01-15 / 20150015572 - MEDICAL IMAGE DISPLAY APPARATUS AND MEDICAL IMAGE DISPLAY METHOD | 10 |
Takayuki Kadomura | JP | Tokyo | 2015-01-15 / 20150015572 - MEDICAL IMAGE DISPLAY APPARATUS AND MEDICAL IMAGE DISPLAY METHOD | 10 |
Kenji Kadonaga | JP | Himeji-Shi, Hyogo | 2015-11-12 / 20150322180 - METHOD FOR PRODUCING POLYACRYLIC ACID (SALT)-BASED WATER ABSORBENT RESIN | 1 |
Yasuo Kadonaga | JP | Kaizuka | 2008-09-04 / 20080210288 - SOLAR CELL UNIT AND SOLAR CELL MODULE | 1 |
Yasuo Kadonaga | JP | Kaizuka City | 2014-04-17 / 20140106497 - SOLAR CELL MODULE WITH SEALING MEMBERS | 2 |
Kentaro Kadonaga | JP | Nirasaki-Shi | 2009-10-22 / 20090263975 - FILM FORMATION METHOD AND APPARATUS FOR FORMING SILICON-CONTAINING INSULATING FILM DOPED WITH METAL | 1 |
Kenji Kadonaga | JP | Hyogo | 2011-12-29 / 20110319518 - Method for producing water absorbent resin | 3 |
Yasuo Kadonaga | JP | Kishiwada City | 2010-09-23 / 20100236623 - SOLAR CELL MODULE | 1 |
James T Kadonaga | US | Del Mar | 2010-05-27 / 20100129863 - Optimized core promoters and uses thereof | 1 |
Yasuo Kadonaga | JP | Kishiwada-Shi | 2012-11-15 / 20120285535 - SOLAR CELL MODULE | 1 |
Kentaro Kadonaga | JP | Nirasaki City | 2015-09-17 / 20150259796 - FILM FORMING METHOD, FILM FORMING APPARATUS AND STORAGE MEDIUM | 7 |
Kenji Kadonaga | JP | Himeji-Shi | 2015-08-13 / 20150225514 - METHOD FOR PRODUCING POLYACRYLIC ACID (SALT)-BASED WATER ABSORBING AGENT, AND WATER ABSORBING AGENT | 3 |
Ryota Kadonishi | JP | Kanagawa | 2011-04-14 / 20110084504 - DOOR LOCK DEVICE | 1 |
Kohei Kadono | JP | Osaka | 2010-08-26 / 20100214788 - Glass Substrate With Light Directivity and Illuminator Employing the Same | 6 |
Kohei Kadono | JP | Kyoto | 2010-11-11 / 20100285946 - INFRARED TRANSMITTING GLASS FOR MOLD FORMING | 2 |
Takeshi Kadono | JP | Tochigi | 2014-07-10 / 20140193306 - EXHAUST GAS OXIDATION CATALYST | 1 |
Takeshi Kadono | JP | Kawasaki-Shi | 2012-07-26 / 20120190749 - STERILIZATION METHOD | 1 |
Shinya Kadono | JP | Hyogo | 2014-06-26 / 20140177705 - PICTURE CODING AND DECODING APPARATUSES AND METHODS PERFORMING VARIABLE LENGTH CODING AND DECODING ON A SLICE HEADER STREAM AND ARITHMETIC CODING AND DECODING ON A SLICE DATA STREAM | 55 |
Koji Kadono | JP | Kanagawa | 2015-05-21 / 20150140212 - FILM-FORMING APPARATUS AND FILM-FORMING METHOD | 3 |
Yuzuru Kadono | JP | Osaka-Shi | 2016-02-18 / 20160045962 - HELICAL BLADE CUTTER | 1 |
Junya Kadono | JP | Kyoto-Shi | 2012-12-06 / 20120306855 - STORAGE MEDIUM HAVING STORED THEREIN DISPLAY CONTROL PROGRAM, DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, AND DISPLAY CONTROL SYSTEM | 1 |
Shinya Kadono | JP | Fukuoka | 2016-04-28 / 20160119640 - MOTION VECTOR DERIVATION METHOD, MOVING PICTURE CODING METHOD AND MOVING PICTURE DECODING METHOD | 47 |
Ryoji Kadono | JP | Kawasak-Shi | 2013-01-10 / 20130013160 - CONTINUOUSLY VARIABLE TRANSMISSION AND CONTROL METHOD THEREOF | 1 |
Hideya Kadono | JP | Aichi-Ken | 2015-12-31 / 20150380694 - RUBBER GASKET FOR FUEL CELL | 3 |
Shinya Kadono | JP | Nishinomiya-Shi | 2015-07-16 / 20150201194 - CODING DISTORTION REMOVAL METHOD, VIDEO ENCODING METHOD, VIDEO DECODING METHOD, AND APPARATUS AND PROGRAM FOR THE SAME | 75 |
Toshiko Kadono | JP | Chiyoda-Ku | 2015-07-09 / 20150191090 - TRAIN-INFORMATION MANAGEMENT DEVICE AND DEVICE CONTROL METHOD | 1 |
Ryoji Kadono | JP | Kawasaki-Shi | 2010-09-30 / 20100248895 - CONTINUOUSLY VARIABLE TRANSMISSION AND CONTROL METHOD THEREOF | 9 |
Hideya Kadono | JP | Kasugai-Shi | 2016-02-18 / 20160049670 - MANUFACTURING METHOD OF FUEL CELL MODULE AND MANUFACTURING METHOD OF FUEL CELL | 1 |
Koji Kadono | JP | Amagasaki | 2012-10-25 / 20120269690 - CARBON DIOXIDE RECOVERY SYSTEM | 1 |
Tohru Kadono | JP | Tokyo | 2015-08-27 / 20150244879 - INFORMATION PROCESSING APPARATUS, DATA GENERATING APPARATUS, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING SYSTEM | 1 |
Kenichi Kadono | JP | Yamato | 2016-04-21 / 20160111802 - CARD HOLDING MEMBER AND CARD CONNECTOR SET | 1 |
Shinya Kadono | JP | Fukuoka | 2016-04-28 / 20160119640 - MOTION VECTOR DERIVATION METHOD, MOVING PICTURE CODING METHOD AND MOVING PICTURE DECODING METHOD | 47 |
Shinya Kadono | JP | Osaka | 2015-11-05 / 20150316814 - LIQUID-CRYSTAL DISPLAY PANEL, LIQUID-CRYSTAL DISPLAY, AND METHOD FOR MANUFACTURING LIQUID-CRYSTAL DISPLAY PANELS | 1 |
Hideya Kadono | JP | Toyota-Shi | 2016-05-19 / 20160141636 - SEPARATOR FOR FUEL CELL, FUEL CELL, AND MANUFACTURING METHOD OF SEPARATOR | 1 |
Taichi Kadono | JP | Osaka | 2013-06-06 / 20130143481 - CEILING-EMBEDDED VENTILATION FAN | 2 |
Koji Kadono | JP | Hyogo | 2013-12-26 / 20130340623 - CO2 RECOVERY DEVICE | 1 |
Toshiaki Kadono | JP | Shinagawa-Ku | 2013-10-03 / 20130255419 - SEAL MEMBER AND LINEAR MOTION GUIDE DEVICE USING SAME | 1 |
Takeshi Kadono | JP | Minato-Ku | 2014-05-15 / 20140134780 - METHOD OF PRODUCING EPITAXIAL SILICON WAFER, EPITAXIAL SILICON WAFER, AND METHOD OF PRODUCING SOLID-STATE IMAGE SENSING DEVICE | 3 |
Shinji Kadono | JP | Isesaki-Shi | 2011-04-21 / 20110090657 - PRINTED WIRING BOARD WITH BUILT-IN SEMICONDUCTOR ELEMENT, AND PROCESS FOR PRODUCING THE SAME | 1 |
Koji Kadono | JP | Tokyo | 2013-02-14 / 20130040146 - GRAPHENE STRUCTURE AND RODUCTION METHOD THEREOF | 7 |
Shojiro Kadono | JP | Kanagawa | 2016-02-18 / 20160046693 - Antigen-Binding Molecule for Promoting Disappearance of Antigen via Fc gamma RIIB | 5 |
Toshiaki Kadono | JP | Tokyo | 2015-11-26 / 20150337899 - MOTION-GUIDING DEVICE | 3 |
Shojiro Kadono | JP | Gotenba-Shi | 2009-11-05 / 20090275623 - ALPHA-AMINO ACID DERIVATIVES AND MEDICAMENTS CONTAINING THE SAME AS AN ACTIVE INGREDIENT | 1 |
Yasuyuki Kadono | JP | Tokyo | 2011-05-12 / 20110111869 - SPACER NUT MANUFACTURING METHOD | 1 |
Shoujiro Kadono | JP | Shizuoka | 2009-01-29 / 20090028854 - sc(Fv)2 SITE-DIRECTED MUTANT | 1 |
Masaya Kadono | JP | Kanagawa | 2015-09-10 / 20150255524 - Semiconductor Device and a Method of Manufacturing the Same | 6 |
Hiroshi Kadono | JP | Nishinomiya-Shi | 2011-03-03 / 20110054171 - PROCESS FOR PRODUCTION OF OPTICALLY ACTIVE AMINES | 2 |
Hideya Kadono | JP | Komaki-Shi | 2013-06-20 / 20130157173 - ADHESIVE SEAL MEMBER FOR FUEL CELL | 2 |
Keiko Kadono | JP | Tsukuba-Shi | 2011-11-17 / 20110281349 - Insect Desiccation Resistance Genes and Uses Thereof | 2 |
Toshiko Kadono | JP | Tokyo | 2015-12-10 / 20150358199 - TRAIN-INFORMATION MANAGEMENT DEVICE AND TRAIN-INFORMATION MANAGEMENT METHOD | 6 |
Shinjiro Kadono | JP | Ushiku-Shi | 2013-10-10 / 20130264606 - GROUP III NITRIDE SEMICONDUCTOR SUBSTRATE AND METHOD FOR PRODUCING THE SAME, AND SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR PRODUCING THE SAME | 1 |
Masayuki Kadono | JP | Tokyo | 2013-07-11 / 20130179508 - DATA SEND/RECEPTION DEVICE | 3 |
Michael Jordan Kadonoff | CA | Toronto | 2015-04-23 / 20150108966 - ELECTRICAL CURRENT MEASURING APPARATUS AND METHOD | 1 |
Futoshi Kadonome | JP | Tokyo | 2015-11-05 / 20150316889 - IMAGE FORMING PROCESS | 6 |
Akito Kadontani | JP | Ibaraki | 2009-01-15 / 20090017468 - METHOD OF SCREENING COMPOUND DIRECTLY ACTIVATING GLYCOGEN SYNTHASE | 1 |
Yukio Kadooka | JP | Hokkaido | 2012-04-19 / 20120094915 - ANTI-MENTAL FATIGUE DRUG | 1 |
Yoshimasa Kadooka | JP | Kawasaki | 2015-12-31 / 20150379755 - APPARATUS AND METHOD FOR VISUALIZATION | 16 |
Yukio Kadooka | JP | Kawagoe-Shi | 2010-01-28 / 20100021445 - AGENT FOR REDUCING VISCERAL FAT | 1 |
Yoshimasa Kadooka | JP | Tokyo | 2013-12-12 / 20130332126 - COMPUTER PRODUCT, RENDERING APPARATUS, AND RENDERING METHOD | 1 |
Yoshimasa Kadooka | JP | Kawasaki | 2015-12-31 / 20150379755 - APPARATUS AND METHOD FOR VISUALIZATION | 16 |
Takashi Kadooka | JP | Hakusan-Shi | 2009-11-05 / 20090273410 - NONRECIPROCAL CIRCUIT DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Yukio Kadooka | JP | Saitama | 2010-11-04 / 20100279985 - LIVER FUNCTION-PROTECTING AGENT | 2 |
Shunsuke Kadooka | JP | Osaka | 2015-05-07 / 20150122421 - PLASMA PROCESSING APPARATUS | 1 |
Seiichi Kadooka | JP | Osaka-Shi | 2014-09-11 / 20140251185 - COMPLEX INORGANIC COLORED PIGMENT WITH REDUCED ELUTION OF HEXAVALENT CHROMIUM THEREFROM | 1 |
Peter F. Kador | US | Omaha | 2014-08-21 / 20140235858 - NEUROPROTECTIVE MULTIFUNCTIONAL ANTIOXIDANTS AND THEIR MONOFUNCTIONAL ANALOGS | 4 |
Daniel P. Kador | US | San Francisco | 2011-10-06 / 20110246540 - Method and system for automatically updating a software QA Test repository | 1 |
Katsuji Kadosawa | JP | Tokyo | 2011-03-10 / 20110058655 - TARGET FOR X-RAY GENERATION, X-RAY GENERATOR, AND METHOD FOR PRODUCING TARGET FOR X-RAY GENERATION | 1 |
Daniel Kadosh | US | Austin | 2008-10-23 / 20080262769 - USING MULTIVARIATE HEALTH METRICS TO DETERMINE MARKET SEGMENT AND TESTING REQUIREMENTS | 2 |
Igal Kadosh | IL | Tivon | 2015-07-23 / 20150204674 - Inertial Navigation System and Method | 1 |
Aviran Kadosh | IL | Misgav | 2014-09-25 / 20140286352 - CUT-THROUGH PROCESSING FOR SLOW AND FAST PORTS | 6 |
Yoav Kadosh | IL | Haifa | 2009-03-26 / 20090077880 - METHOD FOR PLANTING AND TRANSPORTING PRE-GROWN HEDGES TO PROVIDE AN INSTANT FENCE | 1 |
Mali Kadosh | IL | Kfar Saba | 2012-02-23 / 20120045488 - COMPRESSED SOLID DOSAGE FORM MANUFACTURING PROCESS WELL-SUITED FOR USE WITH DRUGS OF LOW AQUEOUS SOLUBILITY AND COMPRESSED SOLID DOSAGE FORMS MADE THEREBY | 1 |
Mali Kadosh | IL | Moshav Sday Hemed | 2009-10-22 / 20090263432 - STABLE COMBINATIONS OF AMLODIPINE BESYLATE AND BENAZEPRIL HYDROCHLORIDE | 1 |
Idan Kadosh | US | San Diego | 2015-12-17 / 20150363721 - VOICE OVER INTERNET PROTOCOL RELAY INTEGRATION FOR FIELD SERVICE MANAGEMENT | 1 |
Mali Kadosh | IL | Sede Hemed | 2012-07-12 / 20120177706 - STABLE COMBINATIONS OF AMLODIPINE BESYLATE AND BENAZEPRIL HYDROCHLORIDE | 2 |
Igal Kadosh | IL | Kiryat Tivon | 2013-04-11 / 20130090848 - NORTH FINDER | 1 |
Aviran Kadosh | IL | D.n. Misgav | 2015-03-12 / 20150071079 - MULTI-STAGE INTERCONNECT NETWORK IN A PARALLEL PROCESSING NETWORK DEVICE | 1 |
Shimon Kadosh | IL | Nahariya | 2011-04-28 / 20110097166 - Milling Cutter | 2 |
Albert Kadosh | US | Prescott | 2012-08-02 / 20120197679 - Method and System for Translation Workflow Management Across the Internet | 4 |
Nadav Kadosh | IL | Givatayim | 2014-03-13 / 20140074998 - SYSTEM AND METHOD FOR EXTENDING IMS SCIM / SERVICE BROKER TO ENABLE APPLICATION SERVERS USING MSCML TO EXECUTE ON CDMA WIN NETWORKS | 8 |
Nadav Kadosh | IL | Givatayim | 2014-03-13 / 20140074998 - SYSTEM AND METHOD FOR EXTENDING IMS SCIM / SERVICE BROKER TO ENABLE APPLICATION SERVERS USING MSCML TO EXECUTE ON CDMA WIN NETWORKS | 8 |
Nadav Kadosh | IL | Givaataim | 2015-02-05 / 20150036547 - SYSTEM AND METHOD TO SUPPORT SMART OFFLOADING OF AN ONLINE CHARGING SYSTEM USING A SERVICE CAPABILITY INTERACTION MANAGER | 4 |
Yariv Kadosh | IL | Tel Aviv | 2012-12-06 / 20120304899 - Table System for Serving and Displaying Food and Beverages | 1 |
Aviran Kadosh | IL | Moreshet Dn | 2012-09-06 / 20120223742 - METHOD AND APPARATUS FOR REDUCING POWER CONSUMPTION IN A DIGITAL CIRCUIT BY CONTROLLING THE CLOCK | 1 |
Shinji Kadoshima | JP | Hiroshima-Shi | 2016-01-28 / 20160025035 - HEAT-INSULATING LAYER ON SURFACE OF COMPONENT AND METHOD FOR FABRICATING SAME | 1 |
Kumiko Kadoshima | JP | Hyogo | 2014-10-09 / 20140302040 - Novel Antibodies and Uses Thereof | 2 |
Masaru Kadoshima | JP | Kanagawa | 2015-11-26 / 20150340479 - SEMICONDUCTOR DEVICE | 8 |
Shinji Kadoshima | JP | Hiroshima | 2012-04-05 / 20120082841 - HEAT-INSULATING STRUCTURE | 2 |
Hirotaka Kadoshima | JP | Ome-Shi | 2010-04-01 / 20100079133 - HEAT SINK MOUNTING STRUCTURE AND ELECTRONIC APPARATUS | 1 |
Masaru Kadoshima | JP | Tokyo | 2010-11-04 / 20100279496 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 3 |
Masaru Kadoshima | JP | Kanagawa | 2015-11-26 / 20150340479 - SEMICONDUCTOR DEVICE | 8 |
Kiyoshi Kadoshima | JP | Tokyo | 2011-04-28 / 20110095091 - THERMOSTAT DEVICE | 1 |
Shinji Kadoshima | JP | Kaita-Chou | 2015-07-23 / 20150204233 - HEAT-INSULATING STRUCTURE OF MEMBER FACING ENGINE COMBUSTION CHAMBER, AND PROCESS FOR PRODUCING SAME | 1 |
Hiroshi Kadota | JP | Osaka | 2014-07-10 / 20140196045 - PROCESSOR AND PROGRAM EXECUTION METHOD CAPABLE OF EFFICIENT PROGRAM EXECUTION | 1 |
Masatoshi Kadota | JP | Takahama | 2008-12-11 / 20080307417 - Document registration system, information processing apparatus, and computer usable medium therefor | 6 |
Masatoshi Kadota | JP | Takahama-Shi | 2015-10-01 / 20150281473 - Scanner and Non-Transitory Storage Medium Storing Instructions Executable by the Scanner | 12 |
Daisuke Kadota | JP | Yokohama-Shi | 2014-12-25 / 20140375617 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND SIGNAL LOADING METHOD | 1 |
Eiji Kadota | JP | Kawasaki-Shi | 2015-11-19 / 20150331649 - COMMUNICATION APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 2 |
Russell Kadota | US | Huntington Beach | 2013-09-12 / 20130236194 - POISSON-BASED COMMUNICATION SYSTEM AND METHODS | 1 |
Syozo Kadota | JP | Yamanashi | 2016-04-28 / 20160120037 - COMPONENT MOUNTING APPARATUS | 7 |
Kenji Kadota | JP | Gunma | 2010-03-04 / 20100050765 - MUSCLE TRAINING DEVICE WITH MUSCULAR FORCE MEASUREMENT FUNCTION FOR CONTROLLING THE AXIAL TORQUE OF A JOINT AXLE | 3 |
Takumi Kadota | JP | Ube-Shi | 2010-12-16 / 20100317189 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Takanori Kadota | JP | Toyota-Shi, Aichi-Ken | 2016-02-11 / 20160043677 - CONTROL SYSTEM FOR ROTARY ELECTRIC MACHINE AND METHOD FOR CONTROLLING THE SAME | 1 |
Takumi Kadota | JP | Yamaguchi | 2010-03-04 / 20100055313 - ORGANORUTHENIUM COMPLEX, AND METHOD FOR PRODUCTION OF RUTHENIUM THIN FILM USING THE RUTHENIUM COMPLEX | 2 |
Ichiro Kadota | JP | Kanagawa | 2016-03-03 / 20160062274 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS AND PROCESS CARTRIDGE INCORPORATING SAME | 15 |
Syozo Kadota | JP | Osaka | / - | 1 |
Michio Kadota | JP | Kyoto-Fu | 2010-02-25 / 20100043191 - METHOD FOR MANUFACTURING SURFACE ACOUSTIC WAVE DEVICE AND SURFACE ACOUSTIC WAVE DEVICE | 1 |
Ichiro Kadota | JP | Tokyo | 2010-01-28 / 20100021195 - APPARATUS AND METHOD FOR FIXING AN IMAGE | 1 |
Takuya Kadota | JP | Hyogo | 2014-12-04 / 20140356774 - LATENT ELECTROSTATIC IMAGE DEVELOPING TONER | 19 |
Shuichi Kadota | JP | Nagano-Ken | 2008-11-27 / 20080291252 - INK CARTRIDGE ATTACHMENT/DETACHMENT DEVICE, RECORDING APPARATUS, LIQUID EJECTION APPARATUS, AND LIQUID CONTAINER | 1 |
Norihiro Kadota | JP | Kanagawa | 2009-11-05 / 20090272322 - FILM DEPOSITING APPARATUS | 1 |
Kenichi Kadota | JP | Yokohama-Shi | 2009-11-05 / 20090272901 - Semiconductor substrate, substrate inspection method, semiconductor device manufacturing method, and inspection apparatus | 3 |
Toshiaki Kadota | JP | Minato-Ku | 2014-06-05 / 20140154610 - AROMATIC COPOLYMER HAVING PROTON CONDUCTIVE GROUP AND USES THEREOF | 2 |
Shigeru Kadota | JP | Obu-City | 2011-03-10 / 20110056384 - Humidity control and ventilation system | 1 |
Hisatsune Kadota | JP | Hachioji-Shi | 2013-05-30 / 20130135597 - EXPOSURE APPARATUS, METHOD FOR PRODUCING DEVICE, AND METHOD FOR CONTROLLING EXPOSURE APPARATUS | 1 |
Daisuke Kadota | JP | Tokyo | 2013-10-03 / 20130257830 - OUTPUT DRIVER, ELECTRICAL DEVICE HAVING THE OUTPUT DRIVER, AND METHOD OF EVALUATING THE OUTPUT DRIVER | 1 |
Shigetoshi Kadota | JP | Toyama-Shi | 2015-07-16 / 20150196576 - Anti-Cancer Agent | 1 |
Yoshiharu Kadota | JP | Osaka | 2008-12-11 / 20080306079 - External preparation for allergic diseases | 1 |
Dai Kadota | JP | Nagoya | 2015-12-31 / 20150378550 - DISPLAY CONTROLLER, AND METHOD AND COMPUTER-READABLE MEDIUM FOR THE SAME | 1 |
Yasushi Kadota | JP | Kitakatsuragi-Gun | 2014-12-04 / 20140352274 - ASSEMBLY METHOD AND ASSEMBLY EQUIPMENT FOR POWER TRANSMISSION CHAIN | 3 |
Norihiro Kadota | JP | Odawara-Shi | 2009-08-06 / 20090196998 - METHOD FOR PRODUCING FUNCTIONAL FILM | 2 |
Takahiro Kadota | JP | Numazu-Shi | 2008-12-18 / 20080311504 - METHOD OF MANUFACTURING TONER AND TONER | 1 |
Dai Kadota | JP | Nagoya-Shi | 2014-08-21 / 20140237428 - DISPLAY APPARATUS AND NON-TRANSITORY STORAGE MEDIUM STORING INSTRUCTIONS EXECUTABLE BY THE SAME | 1 |
Ichiroh Kadota | JP | Kawasaki-Shi | 2009-01-15 / 20090016781 - DEVELOPING DEVICE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 1 |
Masatoshi Kadota | JP | Takahama-Shi | 2015-10-01 / 20150281473 - Scanner and Non-Transitory Storage Medium Storing Instructions Executable by the Scanner | 12 |
Masayuki Kadota | JP | Osaka | 2011-03-31 / 20110076435 - Shield plate and Vehicle Structure Provided With The Shield Plate | 1 |
Yoshiharu Kadota | JP | Osaka-Shi | 2015-05-28 / 20150148350 - DRUG FOR PREVENTING/TREATING OCULAR DISEASE | 1 |
Ichiro Kadota | JP | Kawasaki City | 2009-01-22 / 20090022523 - DEVELOPMENT UNIT, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS USING SAME | 1 |
Yukihiro Kadota | JP | Tokyo | 2014-04-24 / 20140112416 - RECEIVING APPARATUS AND RECEIVING METHOD | 2 |
Hisashi Kadota | JP | Kanagawa | 2014-10-02 / 20140291709 - DISPLAY DEVICE | 2 |
Akihiko Kadota | JP | Sodegaura-Shi | 2009-06-18 / 20090155417 - ADDITIVE FOR ANIMAL FEED | 1 |
Sohei Kadota | JP | Tokyo | 2011-06-23 / 20110149216 - Color filter, coloring composition for color filter and liquid crystal display device | 2 |
Masaki Kadota | JP | Osaka | 2015-08-20 / 20150234340 - PHOTOSENSITIVE MEMBER REFRESHING DEVICE AND IMAGE FORMING APPARATUS | 8 |
Shigetoshi Kadota | JP | Toyama | 2014-02-06 / 20140037770 - Burdock Fruit Extract Containing Arctigenin and Method for Producing Same | 3 |
Kimie Kadota | JP | Obihiro-Shi | 2010-08-05 / 20100196407 - TICK GALECTIN | 1 |
Daisuke Kadota | JP | Miyazaki | 2011-09-08 / 20110215853 - DATA TRANSFER CIRCUIT | 2 |
Hidetoshi Kadota | JP | Kanagawa | 2011-12-08 / 20110300427 - VEHICLE BATTERY MOUNTING STRUCTURE | 3 |
Naoki Kadota | JP | Otsu-Shi | 2012-12-20 / 20120319111 - THIN-FILM PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR PRODUCTION THEREOF | 2 |
Atsushi Kadota | JP | Osaka | 2015-06-11 / 20150159894 - AIR CONDITIONING MANAGEMENT DEVICE AND AIR CONDITIONING MANAGEMENT SYSTEM | 1 |
Michio Kadota | JP | Nagaokakyo-Shi | 2016-03-03 / 20160065165 - TUNABLE FILTER | 17 |
Masaki Kadota | JP | Osaka-Shi | 2016-05-05 / 20160124372 - IMAGE FORMING APPARATUS | 8 |
Akinori Kadota | JP | Kanagawa | 2015-08-20 / 20150235359 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, PROGRAM, AND MEASURING SYSTEM | 1 |
Toshiaki Kadota | JP | Tokyo | 2010-07-01 / 20100167161 - ELECTRODE ELECTROLYTE FOR POLYMER-TYPE FUEL CELL, AND USE THEREOF | 2 |
Keisuke Kadota | JP | Toyota-Shi | 2016-03-03 / 20160060720 - STAINLESS STEEL AND METHOD OF MANUFACTURING THE SAME | 5 |
Tadafumi Kadota | JP | Osaka | 2011-10-27 / 20110260333 - INTERCONNECT STRUCTURE OF SEMICONDUCTOR INTEGRATED CIRCUIT AND SEMICONDUCTOR DEVICE INCLUDING THE SAME | 1 |
Yasushi Kadota | JP | Kitakatsuragi-Gu Nara | 2010-06-03 / 20100135605 - SENSOR DEVICE AND SENSOR-INTEGRATED ROLLING BEARING DEVICE | 1 |
Michio Kadota | JP | Kyoto-Shi | 2011-06-09 / 20110133599 - SURFACE ACOUSTIC WAVE SENSOR | 25 |
Masanori Kadota | JP | Tokyo | 2015-09-17 / 20150259509 - TRANSPARENT MOLDED ARTICLE, OPTICAL MEMBER, PLASTIC LENS, AND METHODS OF MANUFACTURING SAME | 2 |
Takako Kadota | JP | Osaka-Shi | 2015-03-19 / 20150082237 - MOBILE INFORMATION TERMINAL | 1 |
Akihiko Kadota | JP | Chiryu-Shi | 2013-03-14 / 20130064616 - TOOL RADIUS ADJUSTING METHOD IN MACHINE TOOL AND MACHINE TOOL FOR PRACTICING THE METHOD | 3 |
Kyohei Kadota | JP | Susono-Shi | 2014-10-30 / 20140318696 - METHOD FOR PRODUCING MEMBRANE ELECTRODE ASSEMBLY | 1 |
Takuya Kadota | JP | Hyogo | 2014-12-04 / 20140356774 - LATENT ELECTROSTATIC IMAGE DEVELOPING TONER | 19 |
Takahiro Kadota | JP | Shizuoka | 2015-01-29 / 20150030976 - BLACK TONER FOR DEVELOPING LATENT ELECTROSTATIC IMAGE AND METHOD FOR PRODUCING THE SAME | 2 |
Mitsuo Kadota | JP | Wako-Shi | 2012-09-20 / 20120234417 - LIQUID FLOW RATE CONTROL VALVE | 1 |
Keiji Kadota | JP | Chiba | 2010-05-06 / 20100114442 - METHOD OF ADJUSTING CHARACTERISTICS OF A CLUTCH IN A HYBRID ELECTRIC VEHICLE | 3 |
Masakazu Kadota | JP | Wako | 2010-09-09 / 20100223967 - STEERING LOCK STRUCTURE FOR VEHICLE WITH SADDLE | 1 |
Ichiro Kadota | JP | Kawasaki-Shi | 2010-11-04 / 20100278558 - ELECTROPHOTOGRAPHIC IMAGE FORMING METHOD AND APPARATUS | 3 |
Norihiro Kadota | JP | Ashigarakami-Gun | 2011-10-06 / 20110240790 - WEB WINDING METHOD | 1 |
Yasuhiro Kadota | JP | Numazu-Shi | 2010-03-18 / 20100068426 - RECORDING MEDIUM | 1 |
Masaki Kadota | JP | Osaka | 2015-08-20 / 20150234340 - PHOTOSENSITIVE MEMBER REFRESHING DEVICE AND IMAGE FORMING APPARATUS | 8 |
Daisuke Kadota | JP | Yokohama | 2015-08-27 / 20150244380 - SYNCHRONOUS PROCESSING SYSTEM AND SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Masaki Kadota | JP | Osaka-Shi | 2016-05-05 / 20160124372 - IMAGE FORMING APPARATUS | 8 |
Kenji Kadota | JP | Tokyo | 2015-10-29 / 20150307306 - PAPER SHEET HANDLING DEVICE | 1 |
Hiroshi Kadota | JP | Toyonaka-Shi | 2011-11-17 / 20110283288 - PROCESSOR AND PROGRAM EXECUTION METHOD CAPABLE OF EFFICIENT PROGRAM EXECUTION | 4 |
Hironori Kadota | JP | Fukuoka | 2008-09-18 / 20080226304 - OPTICAL TRANSMISSION APPARATUS | 1 |
Seiji Kadota | JP | Kanagawa | 2010-03-11 / 20100060442 - DISPLAY DEVICE FOR VEHICLE | 1 |
Kenichi Kadota | JP | Yokkaichi-Shi | 2014-08-21 / 20140236337 - PATTERN INSPECTION METHOD AND MANUFACTURING CONTROL SYSTEM | 1 |
Yoichi Kadota | JP | Kariya-Shi | 2016-03-10 / 20160067676 - HONEYCOMB STRUCTURE BODY AND METHOD OF PRODUCING THE SAME | 2 |
Hironori Kadota | JP | Fukuoka-Shi | 2008-09-18 / 20080226299 - OPTICAL TRANSMISSION APPARATUS | 1 |
Michio Kadota | JP | Nagaokakyo-Shi | 2016-03-03 / 20160065165 - TUNABLE FILTER | 17 |
Takuya Kadota | JP | Kobe-Shi | 2011-03-03 / 20110053063 - TONER, DEVELOPER, DEVELOPING DEVICE, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND METHOD OF MANUFACTURING TONER | 16 |
Norikazu Kadotani | JP | Hachioji-Shi | 2009-04-30 / 20090108283 - ILLUMINATION DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Satoshi Kadotani | JP | Owariasahi-Shi | 2010-03-11 / 20100062305 - ELECTRODE CATALYST LAYER FOR FUEL CELL AND METHOD OF PRODUCING THE SAME | 3 |
Kazuyoshi Kadotani | JP | Osaka | 2015-04-02 / 20150091472 - LIGHTING DEVICE | 1 |
Satoshi Kadotani | JP | Aichi-Ken | 2009-09-24 / 20090239116 - ASSEMBLY FOR FUEL CELL, FUEL CELL, AND METHOD FOR MANUFACTURING FUEL CELL | 1 |
Norikazu Kadotani | JP | Tsu-Shi | 2011-01-27 / 20110019420 - LIGHT-EMITTING DIODE APPARATUS | 4 |
Yoshimi Kadotani | JP | Kawasaki | 2015-04-23 / 20150109731 - ELECTRONIC DEVICE | 2 |
Kazuyoshi Kadotani | JP | Hirakata | 2010-09-09 / 20100225241 - ILLUMINATION DEVICE AND METHOD FOR CONTROLLING A COLOR TEMPERATURE OF IRRADIATED LIGHT | 1 |
Naoki Kadotani | JP | Kawasaki-Shi | 2014-03-20 / 20140080185 - Method for Producing a Target Substance by Fermentation | 2 |
Tsutomu Kadotani | JP | Kanagawa | 2012-05-10 / 20120113343 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Masataka Kadotani | JP | Kawasaki | 2016-02-25 / 20160055249 - INFORMATION PROCESSING METHOD, INFORMATION PROCESSING APPARATUS AND STORAGE MEDIUM | 2 |
Junji Kadotani | JP | Shiga | 2010-02-18 / 20100041555 - 3-Phenoxy-4-pyridazinol derivatives and herbicidal composition containing the same | 1 |
Norikazu Kadotani | JP | Matsumoto-Shi | 2015-11-26 / 20150338726 - COOLING APPARATUS AND PROJECTOR | 9 |
Masato Kadotani | JP | Matsumoto-Shi | 2015-11-26 / 20150338726 - COOLING APPARATUS AND PROJECTOR | 6 |
Naomi Kadotani | JP | Hiroshima | 2010-06-17 / 20100151527 - FINE FIBROUS CELLULOSIC MATERIAL AND PROCESS FOR PRODUCING THE SAME | 1 |
Hiroshi Kadotani | JP | Kyoto-Shi | 2008-10-09 / 20080250512 - Restless Legs Syndrome and Periodic Limb Movement Disorder Model Animal | 1 |
Masanori Kadotani | JP | Kudamatsu | 2009-07-23 / 20090183835 - ETCHING PROCESS APPARATUS AND MEMBER FOR ETCHING PROCESS CHAMBER | 1 |
Naoki Kadotani | JP | Kanagawa | 2014-08-07 / 20140219993 - PLANT VIRUS INFECTION INHIBITOR AND A METHOD FOR INHIBITING PLANT VIRUS INFECTION USING THE SAME | 1 |
Masanori Kadotani | JP | Kudamatsu-Shi | 2008-12-25 / 20080314321 - PLASMA PROCESSING APPARATUS | 1 |
Shige Kadotani | JP | Chita-Gun | 2010-06-10 / 20100140379 - STACKED PIEZOELECTRIC DEVICE | 2 |
Naomi Kadotani | JP | Kure-Shi | / - | 1 |
Norikazu Kadotani | JP | Matsumoto-Shi | 2015-11-26 / 20150338726 - COOLING APPARATUS AND PROJECTOR | 9 |
Tadakazu Kadoto | JP | Kodaira | 2012-10-18 / 20120263240 - VIDEO ENCODING AND DECODING DEVICE | 1 |
Tadakazu Kadoto | JP | Tokyo | 2013-11-21 / 20130308702 - MOTION PICTURE ENCODING APPARATUS | 2 |
Michael Joseph Kadour | GB | Oxford | 2010-07-15 / 20100179413 - DETERMINATION AND DISPLAY OF MATERIAL PROPERTIES | 1 |
Ishai Kadouri | IL | Tel Aviv | 2009-12-03 / 20090299822 - SYSTEM AND METHOD FOR OPTIMIZED SHOPPING TRANSACTIONS | 1 |
Avinoam Kadouri | IL | Petach-Tikva | 2015-03-26 / 20150086517 - MESENCHYMAL STEM CELLS FOR THE TREATMENT OF CNS DISEASES | 5 |
Tamer A. Kadous | US | San Diego | 2013-07-11 / 20130177059 - CHANNEL ESTIMATION FOR AN OFDM COMMUNICAITON SYSTEM WITH INACTIVE SUBBANDS | 21 |
Mohammed Waleed Kadous | US | Sunnyvale | 2015-06-04 / 20150153183 - AUTOMATED IDENTIFICATION OF ANOMALOUS MAP DATA | 10 |
Tamer Adel Kadous | US | San Diego | 2016-05-05 / 20160128130 - MIXED-MODE MEDIUM ACCESS CONTROL (MAC) ON A SHARED COMMUNICATION MEDIUM | 53 |
Mohammed Waleed Kadous | US | Santa Clara | 2016-01-07 / 20160003626 - SYSTEM AND METHOD FOR MAPPING AN INDOOR ENVIRONMENT | 11 |
Tamer Adel Kadous | US | San Diego | 2016-05-05 / 20160128130 - MIXED-MODE MEDIUM ACCESS CONTROL (MAC) ON A SHARED COMMUNICATION MEDIUM | 53 |
Tamer Adei Kadous | US | San Diego | 2010-12-30 / 20100328127 - INTERFERENCE REDUCTION USING VARIABLE DIGITAL-TO-ANALOG CONVERTER (DAC) SAMPLING RATES | 1 |
Tamer Kadous | US | San Diego | 2014-08-07 / 20140219189 - PRECODING FOR SEGMENT SENSITIVE SCHEDULING IN WIRELESS COMMUNICATION SYSTEMS | 15 |
Tamer Kadous | US | San Diego | 2014-08-07 / 20140219189 - PRECODING FOR SEGMENT SENSITIVE SCHEDULING IN WIRELESS COMMUNICATION SYSTEMS | 15 |
Mohammed Waleed Kadous | US | Santa Clara | 2016-01-07 / 20160003626 - SYSTEM AND METHOD FOR MAPPING AN INDOOR ENVIRONMENT | 11 |
Waleed Kadous | US | Santa Clara | 2014-03-27 / 20140085135 - Balloon-Based Positioning System and Method | 1 |
Mohammed Waleed Kadous | US | Sunnyvale | 2015-06-04 / 20150153183 - AUTOMATED IDENTIFICATION OF ANOMALOUS MAP DATA | 10 |
Christoph Kadow | DE | Gauting | 2015-12-17 / 20150364524 - Power Semiconductor Device, Manufacturing Method Therefor, and Method for Operating the Power Semiconductor Device | 7 |
John F. Kadow | US | Wallingford | 2016-03-17 / 20160075703 - SUBSTITUTED AZAINDOLEOXOACETIC PIPERAZINE DERIVATIVES | 78 |
Christoph Kadow | DE | Munchen | 2009-02-26 / 20090051405 - ADAPTIVE CAPACITANCE FOR TRANSISTOR | 1 |
Peter Kadow | DE | Berlin | 2015-07-23 / 20150202471 - PROTECTIVE HOOD | 4 |
Christoph Kadow | DE | Neuried | 2015-10-29 / 20150311195 - Integrated Transistor Structure Having a Power Transistor and a Bipolar Transistor | 15 |
Jhon F. Kadow | US | Wallingford | 2016-02-25 / 20160052924 - 2-KETO AMIDE DERIVATIVES AS HIV ATTACHMENT INHIBITORS | 1 |
Mark Kadow | US | Pewaukee | 2010-06-24 / 20100155991 - METHOD OF MANUFACTURING A BUSHING | 2 |
Christoph Kadow | DE | Neuried | 2015-10-29 / 20150311195 - Integrated Transistor Structure Having a Power Transistor and a Bipolar Transistor | 15 |
Mark Clifford Kadow | US | Pewaukee | 2012-02-09 / 20120030944 - Method of Manufacturing a Dual Interface Separable Insulated Connector with Overmolded Faraday Cage | 4 |
Shinichiro Kadowaki | JP | Tokyo | 2014-07-17 / 20140198296 - PHOTOCHROMIC LENS FOR EYE GLASSES | 2 |
Yoshitaka Kadowaki | JP | Tokyo | 2015-10-15 / 20150295129 - LUMINESCENT DEVICE AND MANUFACTURING METHOD FOR LUMINESCENT DEVICE AND SEMICONDUCTOR DEVICE | 8 |
Yoshinori Kadowaki | JP | Aichi | 2012-05-17 / 20120123659 - VEHICLE CONTROLLER, CONTROL METHOD FOR VEHICLE AND CONTROL SYSTEM FOR VEHICLE | 2 |
Hirokazu Kadowaki | JP | Fujisawa | 2015-06-11 / 20150160678 - REFERENCE VOLTAGE GENERATING CIRCUIT | 1 |
Makoto Kadowaki | JP | Tokyo | 2014-07-31 / 20140211528 - POWER CONVERSION APPARATUS AND HIGH-VOLTAGE DC TRANSMISSION SYSTEM | 1 |
Norio Kadowaki | JP | Shimane | 2015-11-26 / 20150335190 - RETORT STERILIZATION DEVICE, HEATING DEVICE, HEAT STERILIZATION METHOD AND HEAT TREATMENT METHOD | 2 |
Satoru Kadowaki | JP | Shimane | 2015-11-26 / 20150335190 - RETORT STERILIZATION DEVICE, HEATING DEVICE, HEAT STERILIZATION METHOD AND HEAT TREATMENT METHOD | 2 |
Jun Kadowaki | JP | Kariya-Shi | 2015-04-09 / 20150097956 - PARKING ASSISTANCE DEVICE | 14 |
Takashi Kadowaki | JP | Tokyo | 2013-09-12 / 20130236893 - METHOD OF DETECTING TYPE II DIABETES | 9 |
Takashi Kadowaki | JP | Kanagawa | 2012-02-02 / 20120027760 - ADIPONECTIN RECEPTOR AND GENE ENCODING THE SAME | 4 |
Yoshinori Kadowaki | JP | Toyota-Shi | 2013-01-17 / 20130016007 - GNSS RECEIVER AND POSITIONING METHODAANM Yamamoto; TakuyaAACI Nisshin-shiAACO JPAAGP Yamamoto; Takuya Nisshin-shi JPAANM Kadowaki; YoshinoriAACI Toyota-shiAACO JPAAGP Kadowaki; Yoshinori Toyota-shi JPAANM Yanai; AkihiroAACI Toyota-shiAACO JPAAGP Yanai; Akihiro Toyota-shi JPAANM Satoh; YohAACI Miyoshi-shiAACO JPAAGP Satoh; Yoh Miyoshi-shi JP | 1 |
Kazuo Kadowaki | JP | Chiyoda-Ku | 2010-02-11 / 20100035165 - REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY | 4 |
Kazuo Kadowaki | JP | Tokyo | 2011-09-22 / 20110225983 - COOLING DEVICE | 7 |
Yoshitaka Kadowaki | JP | Akita | 2014-08-07 / 20140217457 - LIGHT-EMITTING ELEMENT CHIP AND MANUFACTURING METHOD THEREFOR | 1 |
Takuya Kadowaki | JP | Chuo-Ku | 2012-11-01 / 20120275256 - SEMICONDUCTOR DEVICE | 1 |
Shiro Kadowaki | US | Cupertino | 2015-12-31 / 20150377630 - Dynamic Highlighting of Geographic Entities on Electronic Maps | 2 |
Takahiro Kadowaki | JP | Shizuoka | 2012-03-29 / 20120075819 - ELECTRONIC PART AND CONNECTION STRUCTURE OF THE ELECTRONIC PART | 1 |
Minoru Kadowaki | JP | Shinagawa-Ku | 2014-07-10 / 20140190787 - BILL STORAGE BOX AND BILL HANDLING DEVICE | 1 |
Shinichi Kadowaki | JP | Fukuoka | 2013-02-07 / 20130032581 - LASER SOURCE AND LASER BEAM MACHINE | 8 |
Tadashi Kadowaki | JP | Tsukuba-Shi | 2014-07-03 / 20140187577 - BIOMARKERS FOR PREDICTING AND ASSESSING RESPONSIVENESS OF THYROID AND KIDNEY CANCER SUBJECTS TO LENVATINIB COMPOUNDS | 2 |
Kenichi Kadowaki | JP | Chuo-Ku | 2014-10-23 / 20140315094 - CURRENT COLLECTOR, ELECTRODE STRUCTURE, NONAQUEOUS ELECTROLYTE BATTERY, ELECTRICAL STORAGE DEVICE, AND NITROCELLULOSE RESIN MATERIAL | 5 |
Minoru Kadowaki | JP | Tokyo | 2015-08-20 / 20150231604 - COMPOSITE OXIDE CATALYST, METHOD FOR PRODUCING THE SAME, AND METHOD FOR PRODUCING UNSATURATED NITRILE | 3 |
Yuki Kadowaki | JP | Yokohama-Shi | 2013-03-14 / 20130062268 - SOLID-LIQUID SEPARATION DEVICE | 1 |
Shinya Kadowaki | JP | Osaka | 2016-03-17 / 20160077382 - LIGHTING DEVICE | 9 |
Jun Kadowaki | JP | Aichi | 2011-11-10 / 20110273310 - PARKING ASSIST APPARATUS | 3 |
Jun Kadowaki | JP | Anjo-Shi | 2010-08-19 / 20100211265 - PARKING ASSIST APPARATUS | 5 |
Jun Kadowaki | JP | Chiba-Shi | 2012-08-02 / 20120194495 - STEREOSCOPIC IMAGE DISPLAY DEVICE USING A BARRIER LIQUID CRYSTAL DEVICE | 2 |
Jun Kadowaki | JP | Tokyo | 2010-04-08 / 20100086348 - METHOD OF JOINING CABLE RACKS, AND A SPLICE PLATE | 1 |
Jun Kadowaki | JP | Aichi-Ken | 2010-09-16 / 20100235050 - PARKING ASSIST APPARATUS | 2 |
Jun Kadowaki | JP | Kariya-Shi | 2015-04-09 / 20150097956 - PARKING ASSISTANCE DEVICE | 14 |
Yutaka Kadowaki | JP | Tomisato-Shi | 2015-07-16 / 20150200082 - METHOD OF MANUFACTURING METAL HYDROXIDES AND METHOD OF MANUFACTURING ITO SPUTTERING TARGET | 1 |
Yoshitaka Kadowaki | JP | Akita-Shi | 2015-09-17 / 20150263234 - III NITRIDE SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 5 |
Yuu Kadowaki | JP | Settsu-Shi | 2015-01-29 / 20150031822 - FLUORORUBBER COMPOSITION | 3 |
Shinichi Kadowaki | JP | Hyogo | 2011-09-22 / 20110228195 - LIQUID CRYSTAL DISPLAY BACKLIGHT AND LIQUID CRYSTAL DISPLAY DEVICE USING SAME | 31 |
Shinichi Kadowaki | JP | Kumamoto | 2012-01-19 / 20120013720 - DISPLAY DEVICE | 3 |
Norio Kadowaki | JP | Yasugi City | 2013-03-21 / 20130071546 - RETORT STERILIZATION DEVICE, HEATING DEVICE, HEAT STERILIZATION METHOD, AND HEAT TREATMENT METHOD | 1 |
Satoru Kadowaki | JP | Yasugi City | 2013-03-21 / 20130071546 - RETORT STERILIZATION DEVICE, HEATING DEVICE, HEAT STERILIZATION METHOD, AND HEAT TREATMENT METHOD | 1 |
Kazuyuki Kadowaki | JP | Wako | 2015-12-10 / 20150352974 - FUEL-CELL VEHICLE | 1 |
Tadashi Kadowaki | JP | Tsukuba | 2016-03-31 / 20160089366 - BIOMARKERS FOR PREDICTING AND ASSESSING RESPONSIVENESS OF ENDOMETRIAL CANCER SUBJECTS TO LENVATINIB COMPOUNDS | 1 |
Koji Kadowaki | JP | Otsu | 2016-03-10 / 20160067066 - ANTITHROMBOTIC ARTIFICIAL BLOOD VESSEL | 3 |
Hiroyuki Kadowaki | JP | Shinjuku-Ku, Tokyo | 2015-12-31 / 20150376423 - WATER-BASED INK COMPOSITION AND WATER-BASED BALLPOINT PEN | 1 |
Ryota Kadowaki | JP | Saitama-Shi | 2016-03-03 / 20160065922 - LIGHT SOURCE UNIT, CONTROL METHOD THEREOF, AND PROJECTION-TYPE DISPLAY APPARATUS | 1 |
Takayuki Kadowaki | JP | Tokyo | 2014-03-27 / 20140089741 - DATA TRANSITION TRACING APPARATUS, DATA TRANSITION TRACING METHOD AND STORAGE MEDIUM STORING DATA TRANSITION TRACING PROGRAM | 2 |
Takashi Kadowaki | JP | Tokyo | 2013-09-12 / 20130236893 - METHOD OF DETECTING TYPE II DIABETES | 9 |
Yutaka Kadowaki | JP | Chiba | 2013-07-25 / 20130186752 - TARGET DEVICE, SPUTTERING APPARATUS AND METHOD FOR MANUFACTURING A TARGET DEVICE | 2 |
Yutaka Kadowaki | JP | Chiba-Ken | 2011-08-18 / 20110200481 - WATER-COLLAPSIBLE Al COMPOSITE MATERIAL, Al FILM AND Al POWDER CONSISTING OF THIS MATERIAL, AND METHODS FOR PREPARATION THEREOF, AS WELL AS COMPONENT MEMBERS FOR CONSTITUTING FILM-FORMING CHAMBERS AND METHOD FOR THE RECOVERY OF FILM-FORMING MATERIALS | 2 |
Kojiro Kadowaki | JP | Hiratsuka-Shi | 2009-04-02 / 20090087667 - Multilayer coating film-forming method | 2 |
Yukio Kadowaki | JP | Nara | 2008-09-04 / 20080212884 - IMAGE CODER AND IMAGE DECODER CAPABLE OF POWER-SAVING CONTROL IN IMAGE COMPRESSION AND DECOMPRESSION | 1 |
Hirokazu Kadowaki | JP | Kanagawa-Ken | 2014-03-20 / 20140077780 - VOLTAGE REGULATOR | 2 |
Noriyuki Kadowaki | JP | Shibata-Gun | 2011-08-11 / 20110193285 - Feeding device and image forming apparatus | 2 |
Yuji Kadowaki | JP | Yokkaichi-Shi | 2011-12-22 / 20110311742 - MULTILAYER PROPYLENE RESIN SHEET AND HEAT-TREATABLE PACKAGING MATERIAL USING SAME | 1 |
Takeshi Kadowaki | JP | Saitama | 2008-11-13 / 20080282271 - Recording Medium Drive Device | 1 |
Hirokazu Kadowaki | JP | Fujimino-Shi | 2016-03-24 / 20160084340 - VIBRATION ISOLATING BUSHING | 4 |
Tomoyuki Kadowaki | JP | Tokyo | 2009-01-01 / 20090002858 - COLOR FILTER AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Ryota Kadowaki | JP | Utsunomiya-Shi | 2009-01-01 / 20090002634 - ILLUMINATION OPTICAL SYSTEM AND PROJECTION-TYPE IMAGE DISPLAY APPARATUS USING THE SAME | 1 |
Masataka Kadowaki | JP | Osaka | 2009-01-08 / 20090011298 - Hydrogen Production Apparatus, Fuel Cell System and Operation Method Thereof | 1 |
Toshio Kadowaki | JP | Osaka | 2009-01-29 / 20090026142 - Method of Purifying Liquor | 2 |
Soichi Kadowaki | JP | Kawasaki-Shi | 2009-02-19 / 20090048799 - Roundness measuring device, method and program for measuring roundness | 1 |
Daichi Kadowaki | JP | Tottori-Ken | 2011-11-24 / 20110285228 - VIBRATION MOTOR HOLDING STRUCTURE AND VIBRATION MOTOR | 3 |
Naoto Kadowaki | JP | Kyoto | 2009-04-09 / 20090092083 - MULTI-HOP WIRELESS NETWORK SYSTEM | 1 |
Takako Kadowaki | JP | Shizuoka | 2009-04-23 / 20090105277 - AGENT FOR PREVENTING AND/OR TREATING MOVEMENT DISORDER | 1 |
Shusaku Kadowaki | JP | Kagoshima | / - | 1 |
Chika Kadowaki | JP | Nagoya-City | / - | 1 |
Hideaki Kadowaki | JP | Soraku-Gun | 2009-10-08 / 20090252526 - IMAGE FORMING APPARATUS | 3 |
Daichi Kadowaki | JP | Tottori | 2009-10-29 / 20090269525 - Weight for vibration motor | 1 |
Yasuhito Kadowaki | JP | Tokyo | 2009-11-19 / 20090284545 - DISPLAY APPARATUS, DISPLAY CONTROL METHOD, AND DISPLAY CONTROL PROGRAM | 1 |
Yasushi Kadowaki | JP | Oita | 2009-12-31 / 20090324922 - TRANSPARENT COMPOSITE MATERIAL | 2 |
Seijiro Kadowaki | JP | Nagoya-Shi | 2009-12-31 / 20090322848 - Image Forming Apparatus and Manufacturing Method Thereof | 5 |
Hidaeki Kadowaki | JP | Osaka-Shi | 2010-01-28 / 20100021204 - TONER RECOVERY UNIT AND IMAGE FORMING DEVICE | 1 |
Toshinori Kadowaki | JP | Miyagi | 2010-02-04 / 20100026648 - TOUCH PANEL AND DISPLAY UNIT | 1 |
Yoshinobu Kadowaki | JP | Kamisu-City | 2010-02-18 / 20100041864 - DOUBLE METAL CYANIDE COMPLEX CATALYST HAVING ORGANIC LIGAND, PROCESS FOR ITS PRODUCTION AND METHOD FOR PRODUCING POLYETHER POLYOL | 1 |
Atsushi Kadowaki | JP | Kyoto | 2010-02-25 / 20100047927 - KIT FOR MEASUREMENT OF TERMITE INSECTICIDE ACTIVE INGREDIENT BY IMMUNOASSAY METHOD | 1 |
Hideaki Kadowaki | JP | Osaka | 2015-11-19 / 20150331388 - IMAGE FORMING APPARATUS | 3 |
Yasuyuki Kadowaki | JP | Saitama | 2010-03-11 / 20100061058 - ELECTRICAL UNIT COOLING STRUCTURE FOR MOTORCYCLES | 1 |
Tadashi Kadowaki | JP | Ibaraki-Ken | 2010-04-08 / 20100086931 - METHOD FOR ASSAYING ACTION OF ANTITUMOR AGENT USING DECREASE IN GENE EXPRESSION LEVEL AS INDEX | 2 |
Keisuke Kadowaki | JP | Kyoto-Shi | 2010-05-06 / 20100109622 - MULTIPHASE DC/DC CONVERTER | 1 |
Nobuo Kadowaki | JP | Aichi | 2010-05-27 / 20100126796 - CHROMATE-FREE RESIN-COMPOSITE VIBRATION DEADENING MATERIAL | 1 |
Tomoko Kadowaki | JP | Kanagawa | 2015-10-08 / 20150284581 - AZO COMPOUND, INK CONTAINING AZO COMPOUND, DISPLAY INCLUDING SAID INK AND ELECTRONIC PAPER | 1 |
Shinichi Kadowaki | JP | Fukuoka | 2013-02-07 / 20130032581 - LASER SOURCE AND LASER BEAM MACHINE | 8 |
Munehiro Kadowaki | JP | Yamagata | 2010-06-03 / 20100132308 - DEVICE FOR STACKING SUCCESSIVE SEPARATOR AND SHEET ELECTRODE | 1 |
Koichi Kadowaki | JP | Ibaraki | 2014-08-21 / 20140234849 - OLIGONUCLEOTIDE SEQUENCES THAT IDENTIFY SPECIES OF ANIMAL | 3 |
Takuya Kadowaki | JP | Tokyo | 2012-02-16 / 20120039133 - SEMICONDUCTOR DEVICE PERFORMING REFRESH OPERATION | 2 |
Shinya Kadowaki | JP | Osaka-Shi | 2012-06-07 / 20120140148 - LIQUID CRYSTAL DISPLAY PANEL, METHOD FOR MANUFACTURING LIQUID CRYSTAL DISPLAY PANEL, AND LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Masakazu Kadowaki | JP | Kobe | 2015-10-01 / 20150275176 - METHOD FOR OBTAINING DIFFERENTIATED CELLS AND /OR DIFFERENTIATED CELL PRODUCTS FROM UNDIFFERENTIATED CELL AND A METHOD OF PERFUSION CULTURE | 2 |
Nobuo Kadowaki | JP | Tokyo | 2013-01-31 / 20130029176 - PROCESS FOR PRODUCING ENVIRONMENTALLY-FRIENDLY STEEL SHEET FOR CONTAINER MATERIAL, ENVIRONMENTALLY-FRIENDLY STEEL SHEET FOR CONTAINER MATERIAL, AND LAMINATED AND PRE-COATED STEEL SHEET FOR CONTAINER MATERIAL USING THE SAME | 2 |
Toshihiro Kadowaki | JP | Kawasaki-Shi | 2013-09-19 / 20130242358 - IMAGE READING DEVICE AND IMAGE PROCESSING METHOD UTILIZING THE SAME | 7 |
Koji Kadowaki | JP | Osaka | 2010-10-14 / 20100259126 - MOTOR AND ELECTRONIC DEVICE COMPRISING THE SAME | 3 |
Shin-Etsu Kadowaki | JP | Murayama-Shi | 2012-09-13 / 20120232128 - TREATMENT OF INFLUENZA | 2 |
Isao Kadowaki | JP | Yokohama-Shi | 2012-11-01 / 20120278707 - ASSISTING DOCUMENT CREATION | 2 |
Satoru Kadowaki | JP | Mie-Gun | 2013-01-10 / 20130009536 - SPARK PLUG OF INTERNAL COMBUSTION ENGINE | 4 |
Keisuke Kadowaki | JP | Ninomiya | 2010-12-02 / 20100303073 - NETWORK RELAY APPARATUS AND INTER-NETWORK RELAY METHOD | 1 |
Yoshitaka Kadowaki | JP | Tokyo | 2015-10-15 / 20150295129 - LUMINESCENT DEVICE AND MANUFACTURING METHOD FOR LUMINESCENT DEVICE AND SEMICONDUCTOR DEVICE | 8 |
Takeshi Kadowaki | JP | Kagawa | 2015-04-02 / 20150093366 - GALECTIN-9-SECRETING CELL, AND PRODUCTION METHOD AND USE OF THE SAME | 1 |
Etsuko Kadowaki | JP | Oita-Shi | 2011-01-06 / 20110003239 - CURABLE COMPOSITION, CURED PRODUCT THEREOF, MOLDED PRODUCT THEREOF AND USE AS FUEL CELL SEPARATOR | 2 |
Masakazu Kadowaki | JP | Kobe-Shi | 2013-10-10 / 20130267435 - MARKER AND REAGENT FOR DETECTION OF HUMAN IL-17-PRODUCING HELPER T CELLS, AND METHOD FOR DETECTION OF HUMAN IL-17-PRODUCING HELPER T CELLS | 3 |
Koji Kadowaki | JP | Tottori | 2013-05-16 / 20130119835 - MOTOR AND ELECTRONIC DEVICE COMPRISING THE SAME | 4 |
Hirokazu Kadowaki | JP | Fujisawa Kanagawa | 2015-10-15 / 20150293547 - VOLTAGE-CURRENT CONVERSION CIRCUIT AND POWER SUPPLY CIRCUIT | 1 |
Tetsuji Kadowaki | JP | Kasugai | 2011-01-20 / 20110011514 - APPARATUS FOR MANUFACTURING BONDED SUBSTRATE | 1 |
Yasushi Kadowaki | JP | Kawasaki-Shi | 2011-02-17 / 20110040015 - TRANSPARENT COMPOSITE MATERIAL AND PROCESS FOR PRODUCING THE SAME | 2 |
Motoni Kadowaki | JP | Niigata | 2014-10-23 / 20140315830 - RICE-PROTEIN COMPOSITION AND METHOD FOR MANUFACTURING SAME | 2 |
Toshihiro Kadowaki | JP | Kanagawa-Ken | 2011-03-31 / 20110075224 - IMAGE READING APPARATUS, PERSONALIZING METHOD, PROGRAM, AND STORAGE MEDIUM | 1 |
Masataka Kadowaki | JP | Yokohama | 2011-03-24 / 20110067303 - REFORMING DEVICE | 1 |
Minoru Kadowaki | JP | Toyota | 2011-03-31 / 20110074098 - PAPER SHEETS STORAGE AND PAPER SHEETS HANDLING APPARATUS | 7 |
Hideaki Kadowaki | JP | Osaka-Shi | 2015-09-24 / 20150268585 - DEVELOPER CONVEYANCE DEVICE AND IMAGE FORMING DEVICE | 3 |
Shin-Ichi Kadowaki | JP | Sanda-Shi | 2011-04-14 / 20110085430 - OPTICAL DISC DRIVE, OPTICAL STORAGE MEDIUM, OPTICAL STORAGE MEDIUM INSPECTION APPARATUS, AND OPTICAL STORAGE MEDIUM INSPECTION METHOD | 5 |
Hisashi Kadowaki | JP | Chita-Gun | 2011-04-14 / 20110083423 - ATMOSPHERE LEARNING DEVICE FOR OXYGEN CONCENTRATION SENSOR | 1 |
Tetsuji Kadowaki | JP | Hyogo | 2010-07-29 / 20100189625 - GRANULATED PRODUCT OF CARBON NANOTUBE, AND METHOD FOR PRODUCTION THEREOF | 1 |
Yuji Kadowaki | JP | Mie | 2013-07-11 / 20130177721 - PROPYLENE RESIN SHEET AND HEAT PROCESSING PACKAGING BODY USING SAME | 2 |
Takahiro Kadowaki | JP | Shizuoka-Shi | 2015-03-12 / 20150070924 - PRINTED CIRCUIT BOARD AND VEHICULAR LAMP | 3 |
Masami Kadowaki | JP | Kanagawa | 2015-07-23 / 20150205149 - IMAGE DISPLAY DEVICE | 10 |
Hirokazu Kadowaki | JP | Fujimino-Shi, Saitama | 2015-11-05 / 20150316121 - LIQUID SEALED VIBRATION ISOLATING DEVICE | 1 |
Kazunori Kadowaki | JP | Nagoya-City | 2009-02-19 / 20090048755 - Vehicle speed control device and method | 3 |
Kazunori Kadowaki | JP | Nagoya | 2009-03-05 / 20090062068 - APPARATUS FOR CONTROLLING AUTOMATIC TRAVEL OF VEHICLE | 3 |
Kazunori Kadowaki | JP | Nagoya-Shi | 2009-03-19 / 20090076699 - VEHICLE AUTOMATIC OPERATION CONTROL DEVICE | 2 |
Motoki Kadowaki | JP | Yokkaichi-Shi | 2013-09-19 / 20130245989 - SIGNAL PROCESSING METHOD AND SIGNAL PROCESSING APPARATUS | 1 |
Hiroyuki Kadowaki | JP | Yokohama-Shi | 2016-04-21 / 20160109834 - FIXING APPARATUS | 5 |
Wataru Kadowaki | JP | Kariya-Shi | 2013-11-07 / 20130291921 - THERMOELECTRIC DEVICE | 1 |
Takashi Kadowaki | JP | Bunkyo-Ku | 2013-10-17 / 20130274185 - Pharmaceutical for Pseudo-Exercise Therapy | 5 |
Masataka Kadowaki | JP | Gunma | 2012-09-20 / 20120237839 - REFORMING APPARATUS FOR FUEL CELL | 2 |
Yoshinobu Kadowaki | JP | Tokyo | 2013-11-21 / 20130306236 - CURABLE RESIN COMPOSITION FOR FORMING SEAL PART, LAMINATE AND PROCESS FOR ITS PRODUCTION | 3 |
Yuu Kadowaki | JP | Settsu-Shi, Osaka | 2015-11-19 / 20150330537 - FLUORORUBBER COMPOSITION | 1 |
Hirokazu Kadowaki | JP | Hyogo | 2011-10-27 / 20110259854 - CLAD WELDING METHOD | 1 |
Issei Kadowaki | JP | Kyoto | 2014-03-27 / 20140084835 - LOAD DRIVING DEVICE AND ELECTRONIC DEVICE USING SAME | 1 |
Takeshi Kadowaki | JP | Takamatsu-Shi | 2013-12-05 / 20130323176 - GALECTIN-9-SECRETING CELL, AND PRODUCTION METHOD AND USE OF THE SAME | 1 |
Daichi Kadowaki | JP | Meguro-Ku | 2011-10-06 / 20110241464 - Vibration Motor Surface Mounting Structure and Vibration Motor | 1 |
Hiroyuki Kadowaki | JP | Mishima-Shi | 2011-10-06 / 20110243599 - IMAGE FORMING APPARATUS | 1 |
Hirokazu Kadowaki | JP | Tokyo | 2015-10-22 / 20150298235 - WELDING METHOD AND STEAM GENERATOR CHANNEL HEAD | 3 |
Keita Kadowaki | JP | Osaka | 2014-12-04 / 20140355141 - LENS BARREL AND IMAGING DEVICE | 1 |
Naoki Kadowaki | JP | Tokyo | 2014-12-04 / 20140357126 - CONNECTOR AND CONNECTOR ASSEMBLY | 1 |
Yutaka Kadowaki | JP | Kanagawa | 2011-02-24 / 20110041763 - WATER-REACTIVE AL COMPOSITE MATERIAL, WATER-REACTIVE AL FILM, PROCESS FOR THE PRODUCTION OF THE AL FILM, AND CONSTITUENT MEMBER FOR FILM-FORMING CHAMBER | 6 |
Shin-Ichi Kadowaki | JP | Hyogo | 2011-04-07 / 20110080927 - LIGHT SOURCE, LIGHT SOURCE DEVICE, LASER IMAGE FORMING DEVICE AND INTEGRATED CIRCUIT | 14 |
Masataka Kadowaki | JP | Kanagawa | 2011-02-03 / 20110027674 - HYDROGEN PRODUCTION APPARATUS AND FUEL CELL SYSTEM USING THE SAME | 1 |
Chie Kadowaki | JP | Kanagawa | 2009-05-21 / 20090131664 - Method for Producing 4(3H)-Quinazolinone Derivative | 1 |
Masami Kadowaki | JP | Kanagawa | 2015-07-23 / 20150205149 - IMAGE DISPLAY DEVICE | 10 |
Yukio Kadowaki | JP | Nara-Shi | 2011-08-04 / 20110187452 - SOUND AND MUSIC PLAYBACK DEVICE | 1 |
Shinya Kadowaki | JP | Osaka | 2016-03-17 / 20160077382 - LIGHTING DEVICE | 9 |
Syuuji Kadoya | JP | Fukui-Shi | 2012-06-28 / 20120163019 - LIGHT GUIDE PLATE, SURFACE LIGHT SOURCE DEVICE, AND TRANSMISSION IMAGE DISPLAY DEVICE | 1 |
Hiroyasu Kadoya | JP | Nagaokakyo-Shi | 2011-07-21 / 20110177584 - ACOUSTIC WAVE SENSOR AND DETECTION METHOD USING ACOUSTIC WAVE SENSOR | 1 |
Yoshikuni Kadoya | JP | Hyogo-Ken | 2015-11-05 / 20150315921 - PRECIPITATION HARDENED MARTENSITIC STAINLESS STEEL, MANUFACTURING METHOD THEREFOR, AND TURBINE MOVING BLADE AND STEAM TURBINE USING THE SAME | 1 |
Tomohiro Kadoya | JP | Toyko | 2015-10-15 / 20150294934 - Semiconductor Device Including Fully-Silicided Liner Extending Over Respective A Contact Plug And An Insulating Layer | 1 |
Akira Kadoya | JP | Kitanagoya-Shi | 2014-07-24 / 20140205147 - OBSTACLE ALERT DEVICE | 8 |
Makoto Kadoya | JP | Hakui-Gun | 2008-10-02 / 20080240640 - Circular arc slide apparatus | 1 |
Hironobu Kadoya | JP | Ishikawa | 2009-02-05 / 20090035422 - DEAERATED PACKAGED FROZEN SUSHI, PROCESS FOR PRODUCING THE SAME AND METHOD OF COOKING | 2 |
Kiyoomi Kadoya | JP | Hitachinaka | 2015-02-05 / 20150039913 - Electronic Control Unit | 4 |
Ryosuke Kadoya | JP | Nara | 2009-09-03 / 20090221055 - Novel Bacillus Subtilis Mutant Strain | 1 |
Haruyoshi Kadoya | JP | Tokyo | 2009-12-17 / 20090308017 - REINFORCING BAR ANCHORAGE | 1 |
Yosuke Kadoya | JP | Ehime | 2010-03-04 / 20100052447 - HYDRODYNAMIC BEARING DEVICE, SPINDLE MOTOR, AND INFORMATION DEVICE | 1 |
Hidetoshi Kadoya | JP | Edogawa | 2010-04-22 / 20100100647 - INFORMATION PROCESSING APPARATUS AND INFORMATION SELECTING METHOD | 1 |
Hiroshi Kadoya | JP | Kawasaki | 2010-07-29 / 20100190367 - TOOL AND METHOD FOR ATTACHING AND DETACHING MODULAR PLUGS | 1 |
Yoshikuni Kadoya | JP | Hyogo | 2011-06-16 / 20110142713 - WELDING MATERIALS FOR Ni-BASED ALLOY | 3 |
Tomohiro Kadoya | JP | Tokyo | 2016-03-10 / 20160071843 - Semiconductor Device and Method of Fabricating the Same | 9 |
Yoshikuni Kadoya | JP | Nagasaki | 2016-02-11 / 20160040277 - NI-BASED SUPERALLOY WITH EXCELLENT UNSUSCEPTIBILITY TO SEGREGATION | 2 |
Satoshi Kadoya | JP | Tokyo | 2010-12-09 / 20100307387 - COMBUSTION FURNACE CONTROL APPARATUS | 1 |
Takashi Kadoya | JP | Kanazawa-Shi | 2010-12-30 / 20100326563 - Method of eliminating static charge from resin vessel, method of sterilizing and filling resin vessel, method of filling and capping resin vessel, apparatus for eliminating static charge from resin vessel, and resin vessel sterilizing and filling system | 1 |
Yasuo Kadoya | JP | Toshima-Ku | 2015-01-15 / 20150015212 - CAPACITIVE WELDER AND METHOD FOR CHARGING SAME | 2 |
Minoru Kadoya | JP | Tokyo | 2015-04-30 / 20150116801 - SINGLE LONGITUDINAL MODE DIODE LASER MODULE WITH EXTERNAL RESONATOR | 3 |
Yasuo Kadoya | JP | Tokyo | 2016-02-18 / 20160046067 - COMPOSITE MATERIAL JOINING DEVICE, METHOD FOR MANUFACTURING JOINED BODY, AND JOINED BODY | 1 |
Minoru Kadoya | JP | Machida-Shi | 2016-03-10 / 20160072253 - DRIVER SYSTEM FOR SEMICONDUCTOR LASER EXCITED SOLID-STATE LASER | 1 |
Akira Kadoya | JP | Kitanagoya-Shi | 2014-07-24 / 20140205147 - OBSTACLE ALERT DEVICE | 8 |
Takashi Kadoya | JP | Ishikawa-Shi | 2013-07-18 / 20130182363 - METHOD OF ELIMINATING STATIC CHARGE FROM RESIN VESSEL, METHOD OF STERILIZING AND FILLING RESIN VESSEL, METHOD OF FILLING AND CAPPING RESIN VESSEL, APPARATUS FOR ELIMINATING STATIC CHARGE FROM RESIN VESSEL, AND RESIN VESSEL STERILIZING AND FILLING SYSTEM | 1 |
Tomohiro Kadoya | JP | Tokyo | 2016-03-10 / 20160071843 - Semiconductor Device and Method of Fabricating the Same | 9 |
Takahide Kadoyama | JP | Saitama | 2015-09-10 / 20150255996 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING SYSTEM | 2 |
Soraya Kadra | FR | Asnieres Sur Seine | 2015-09-03 / 20150245698 - ASSEMBLABLE COSMETIC APPLICATOR | 4 |
Bojidar Kadrev | BG | Sofia | 2015-08-13 / 20150229526 - CONFIGURATION OF NETWORK DEVICES IN A NETWORK | 4 |
Zyad Kadri | US | Mesa | 2015-01-22 / 20150021917 - POWER GENERATING APPARATUS | 1 |
Zyad M. Kadri | US | Mesa | 2015-06-25 / 20150173471 - METHODS AND APPARATUS FOR JEWELRY | 2 |
Suni Sudarshan R. Kadri | GB | Cambridge | 2012-09-06 / 20120226189 - CELL SAMPLING DEVICE | 1 |
Rachid M. Kadri | US | Houston | 2015-10-08 / 20150286544 - FAULT TOLERANCE IN A MULTI-CORE CIRCUIT | 4 |
Fayaz Kadri | CA | Kanata | 2013-05-23 / 20130133047 - INTERWORKJNG BETWEEN FIRSTAND SECOND AUTHENTICATION DOMAINS | 4 |
Balaji V. Kadri | US | Tampa | 2015-03-12 / 20150072004 - GABA ANALOG PRODRUG SUSTAINED RELEASE ORAL DOSAGE FORMS | 2 |
Mehboob Kadri | US | Union City | 2012-10-25 / 20120271357 - TOOLS AND METHODS FOR THE PREPARATION OF THE FACET JOINT | 1 |
William J. Kadri | US | Powder Springs | 2013-02-14 / 20130036955 - Three Stage Combustor For Low Quality Fuels | 2 |
Abdullah Kadri | QA | Doha | 2016-05-19 / 20160139238 - SYSTEM AND METHOD FOR RFID INDOOR LOCALIZATION | 1 |
Nani P Kadrichu | US | San Carlos | 2015-12-17 / 20150359980 - AEROSOLIZATION DEVICE | 4 |
Hanny P. Kadrichu | US | North Potomac | 2015-10-08 / 20150288738 - System and Method for Acceleration of a Secure Transmission Over Satellite | 2 |
Hanny Kadrichu | US | North Potomac | 2012-02-16 / 20120042066 - Managed Network Device | 1 |
Dan J. Kadrmas | US | North Salt Lake | 2014-05-01 / 20140121511 - Rapid Stress-Rest Cardiac PET Imaging Systems and Methods | 3 |
Wisam Kadry | IL | Haifa | 2015-07-02 / 20150186251 - CONTROL FLOW ERROR LOCALIZATION | 3 |
Wisam Kadry | IL | Tira | 2011-08-25 / 20110209004 - INTEGRATING TEMPLATES INTO TESTS | 1 |
Gregory Kaduchak | US | 2009-06-25 / 20090162887 - Particle analysis in an acoustic cytometer | 2 | |
Gregory Kaduchak | US | Eugene | 2015-09-10 / 20150253235 - Systems and Methods for Diagnosing a Fluidics System and Determining Data Processing Settings for a Flow Cytometer | 13 |
Gregory Kaduchak | US | Eugene | 2015-09-10 / 20150253235 - Systems and Methods for Diagnosing a Fluidics System and Determining Data Processing Settings for a Flow Cytometer | 13 |
Gregory Kaduchak | US | Los Alamos | 2015-09-17 / 20150260689 - PARTICLE ANALYSIS IN AN ACOUSTIC CYTOMETER | 22 |
Shivakumar S. Kadur | US | Perrysburg | 2015-10-29 / 20150307382 - Glass Furnace with Bottom Material Feed | 1 |
Shivakumar Kadur | US | Blacklick | 2011-04-21 / 20110088432 - MOLTEN GLASS DELIVERY AND REFINING SYSTEM | 1 |
Stefan Kadur | DE | Schesslitz | 2011-02-17 / 20110039432 - POWER SUPPLY SYSTEM AND ELETRICAL PLUG CONNECTOR | 1 |
Manish Manjunath Kadur | IN | Bangalore | 2016-03-31 / 20160094557 - USER AUTHENTICATION FOR PROXY-CONFIGURED CLIENTS IN CAPTIVE PORTAL ENVIRONMENTS | 1 |
Vani R. Kadur | US | Belle Mead | 2013-07-18 / 20130185196 - CUSTOMER TOUCH POINT FOR REAL TIME SHARING OF TRANSACTION DATA BETWEEN DIFFERENT CUSTOMER INTERACTION CHANNELS | 1 |
Prashanth Kadur | US | Coram | 2009-12-24 / 20090319644 - METHODS AND APPARATUS FOR AUTOMATICALLY CONFIGURING COMPUTING DEVICES FOR WIRELESS NETWORK CONNECTIONS | 1 |
Prashanth Kadur | US | Holbrook | 2009-08-13 / 20090204621 - DATA WEDGE PROFILE SWITCHING | 1 |
Jagath Kadurugamuwa | US | Pleasanton | 2009-08-20 / 20090208996 - Method and Kit for Detecting Microorganisms On A Household Surface | 1 |
James Kadushin | US | Gilbertsville | 2016-02-25 / 20160051693 - Cellular Delivery of DNA Intercalating Agents | 14 |
Aleksander Kadushkin | RU | Zheleznodorozhnyi | 2013-02-28 / 20130053376 - NOVEL TYROSINE KINASE INHIBITORS | 2 |
Rahul Kaduskar | IN | Pune | 2012-08-23 / 20120214735 - ACETAMIDE DERIVATIVES AS GLUCOKINASE ACTIVATORS, THEIR PROCESS AND MEDICINAL APPLICATIONS | 1 |
Neville Kadwa | US | Livingston | 2016-03-31 / 20160093003 - OPERATION MANAGEMENT | 2 |
Darren Kady | US | Cornelius | 2009-01-15 / 20090015372 - Locking System for Electronic Equipment | 1 |
Darren Kady | US | Oakapie | 2013-12-12 / 20130326854 - Hand Tool for Use in the Quick Disconnection of Quick Connect/Disconnect Couplings | 1 |
Darren Kady | US | Okatie | 2014-05-15 / 20140132392 - OPERATING CONTROL SYSTEM FOR ELECTRONIC EQUIPMENT | 1 |
Mark A. Kady | US | Greentown | 2014-11-27 / 20140350942 - VEHICLE HUMAN MACHINE INTERFACE WITH GAZE DIRECTION AND VOICE RECOGNITION | 3 |
Jeffery W. Kadyk | US | Sherman | 2010-12-16 / 20100314412 - COMPONENT MIXING METHOD, APPARATUS AND SYSTEM | 2 |
Lisa C. Kadyk | US | San Francisco | 2011-02-10 / 20110033853 - CSNKS As Modifiers of the RAC Pathway and Methods of Use | 3 |
Jeffery W. Kadyk | US | Springfield | 2012-10-11 / 20120258227 - PRODUCT COOLING SYSTEM, METHOD AND APPARATUS TO REDUCE HEAT TRANSFER | 1 |
Jeffrey W. Kadyk | US | Sherman | 2008-08-28 / 20080202130 - Reversing Auger System | 1 |
Lisa Kadyk | US | San Francisco | 2008-11-27 / 20080293044 - Melks as Modifiers of the Rac Pathway and Methods of Use | 1 |
Jeff Kadyk | US | Sherman | 2011-04-07 / 20110079610 - COMPONENT MIXING METHOD, APPARATUS AND SYSTEM | 1 |
Randal James Kadykowski | US | South Lyon | 2013-08-15 / 20130211197 - Endoscopic Surgical Instrument | 10 |
Randal James Kadykowski | US | South Lyon | 2013-08-15 / 20130211197 - Endoscopic Surgical Instrument | 10 |
Randal J. Kadykowski | US | South Lyon | 2016-02-18 / 20160045216 - SINGLE-PASS ENDOSCOPIC VESSEL HARVESTING | 12 |
Randal J. Kadykowski | US | South Lyon | 2016-02-18 / 20160045216 - SINGLE-PASS ENDOSCOPIC VESSEL HARVESTING | 12 |
Randal J. Kadyowski | US | South Lyon | 2009-12-10 / 20090306541 - Body Tissue Incision Apparatus | 1 |
Renat Kadyrov | US | 2015-11-12 / 20150322079 - LOW CATALYST LOADING IN METATHESIS REACTIONS | 1 | |
Renat Kadyrov | DE | Hanau | 2010-07-08 / 20100173892 - Enantioselective synthesis of 6-amino-7-hydroxy-4,5,6,7-tetrahydro-imidazo[4,5,1-JK][1]-benzazepin-2[1H- ]-one and zilpaterol | 1 |
Renat Kadyrov | DE | Frankfurt | 2016-02-04 / 20160031845 - IN-SITU GENERATION OF RUTHENIUM CATALYSTS FOR OLEFIN METATHESIS | 14 |
Renat Kadyrov | DE | Frankfurt | 2016-02-04 / 20160031845 - IN-SITU GENERATION OF RUTHENIUM CATALYSTS FOR OLEFIN METATHESIS | 14 |
Mark P. Kadzban | US | Grandville | 2010-07-15 / 20100178460 - MULTI-FINISH DEEP DRAW MOLD BEHIND FILM | 2 |
Kenneth E. Kadziauskas | US | Coto De Caza | 2015-12-31 / 20150379218 - COMPUTER-BASED OPERATING ROOM SUPPORT SYSTEM | 16 |
Kenneth E. Kadziauskas | US | Coto De Caza | 2015-12-31 / 20150379218 - COMPUTER-BASED OPERATING ROOM SUPPORT SYSTEM | 16 |
Kenneth E. Kadzlauskas | US | Cote De Caza | 2012-01-12 / 20120010560 - Modulated pulsed ultrasound power delivery system and method | 1 |
Kenneth E. Kadzlauskas | US | Coto De Caza | 2012-11-01 / 20120277779 - MODULATED PULSED ULTRASONIC POWER DELIVERY SYSTEM AND METHOD | 1 |
Yong-Kug Kae | KR | Hwaseong-Si | 2012-04-19 / 20120094492 - METHOD OF FORMING PATTERN, RETICLE, AND COMPUTER READABLE MEDIUM FOR STORING PROGRAM FOR FORMING PATTERN | 1 |
Todd William Kaeb | US | Cissna Park | 2014-12-25 / 20140373381 - Rapid Cleanout Mixing Drum with Multiple Functional Zones | 3 |
Paul Arthur Kaeb | US | Sabetha | 2014-12-25 / 20140373441 - Rotating Disk Atomizer with Treatment Fluid Feed Arrangement | 4 |
Paul A. Kaeb | US | Sabetha | 2016-03-03 / 20160060039 - Seed Distributor for Even Dispersion at Varying Flow Rates | 1 |
Jason P. Kaeb | US | Sabetha | 2016-03-03 / 20160060039 - Seed Distributor for Even Dispersion at Varying Flow Rates | 1 |
Jason Paul Kaeb | US | Sabetha | 2014-12-25 / 20140373441 - Rotating Disk Atomizer with Treatment Fluid Feed Arrangement | 2 |
Todd Kaeb | US | Cissna Park | 2013-01-10 / 20130008764 - VERTICAL LIFT CONVEYOR | 1 |
Terry N. Kaeb | US | Hoopeston | 2014-12-25 / 20140373381 - Rapid Cleanout Mixing Drum with Multiple Functional Zones | 3 |
Paul Kaeb | US | Sabetha | 2014-02-06 / 20140033972 - Seed Flow Rate and Dispersion Pattern Regulator | 2 |
Matthew R. Kaeberlein | US | North Bend | 2010-11-04 / 20100280060 - Methods of screening and compositions for life span modulators | 1 |
Shuya Kaechi | JP | Tokyo | 2016-03-10 / 20160073363 - COMMUNICATION APPARATUS CAPABLE OF PERFORMING WIRELESS COMMUNICATION VIA ANTENNA AND CONTROL METHOD THEREOF | 7 |
Chika Kaede | JP | Kyoto | 2016-03-24 / 20160082240 - TRANSDERMAL PATCH MANUFACTURING METHOD AND TRANSDEMAL PATCH | 1 |
Stefan Kaeding | DE | Zerrenthin | 2013-05-23 / 20130130145 - Media Supply Plate For A Fuel Cell Stack | 4 |
Janice M. Kaeding | US | Lawrence | 2013-10-10 / 20130263387 - TIMING CONTROL AND TIMED WASH CYCLE FOR AN AUTOMATIC WASHER | 12 |
Michael Kaeding | CA | Regina | 2014-12-18 / 20140372166 - SYSTEM AND METHOD FOR TRACKING AGRICULTURAL COMMODITIES, E.G. CROP INVENTORIES | 1 |
Thomas Kaeding | DE | Mannheim | 2016-02-18 / 20160046645 - Production of Pyripyropenes from Dry Biomass | 2 |
John F. Kaeding | US | San Jose | 2012-10-18 / 20120264248 - III-NITRIDE LIGHT EMITTING DEVICE WITH CURVATURE CONTROL LAYER | 2 |
Janice M. Kaeding | US | Lawrence | 2013-10-10 / 20130263387 - TIMING CONTROL AND TIMED WASH CYCLE FOR AN AUTOMATIC WASHER | 12 |
John F. Kaeding | US | Mountain View | 2014-07-03 / 20140183579 - MISCUT SEMIPOLAR OPTOELECTRONIC DEVICE | 7 |
Timothy Paul Kaeding | US | Mechanicsburg | 2009-08-27 / 20090216490 - REMOTE BEVERAGE EQUIPMENT MONITORING AND CONTROL SYSTEM AND METHOD | 1 |
John Francis Kaeding | US | Mountain View | 2012-12-06 / 20120305937 - SEMICONDUCTOR LIGHT-EMITTING DEVICE | 1 |
John F. Kaeding | US | Boise | 2014-07-10 / 20140191244 - METHOD FOR CONDUCTIVITY CONTROL OF (Al,In,Ga,B)N | 1 |
Julious Durwood Kaeding | US | Independence | 2011-11-10 / 20110271620 - METHOD AND APPARATUS FOR FORMING CAST-IN-PLACE CONCRETE WINDOW WELLS | 2 |
Scott E. Kaeding | US | Madison | 2015-10-15 / 20150294277 - INTEGRATED PART INVENTORY MANAGEMENT SYSTEM AND METHOD | 1 |
Annett Kaeding-Koppers | DE | Bad Fallingbostel | 2016-03-10 / 20160067950 - Packaging Film and Packaging Process | 1 |
Oliver Kaefer | DE | Murr | 2013-10-10 / 20130265012 - HYBRID DRIVE DEVICE | 13 |
Luciano Kaefer | BR | Porto Alegre - Rs | 2015-04-02 / 20150090129 - BATTERY-POWERED ADJUSTABLE REVOLVING SKEWER | 1 |
Gerald Kaefer | DE | Munchen | 2016-03-10 / 20160073443 - METHOD AND APPARATUS FOR ENERGY-OPTIMIZED DATA TRANSMISSION USING THE OPC UA PROTOCOL IN RADIO NETWORKS | 2 |
Gisbert Wolfgang Kaefer | CH | Birmenstorf | 2013-12-05 / 20130319303 - METHOD AND SYSTEM FOR MILLING A FUEL FOR AN OXY-FUEL COMBUSTION BURNER | 3 |
Gisbert Kaefer | CH | Aargau | 2014-07-31 / 20140208782 - SYSTEM AND METHOD FOR WASTE HEAT UTILIZATION IN CARBON DIOXIDE CAPTURE SYSTEMS IN POWER PLANTS | 1 |
Gerald Kaefer | DE | Muenchen | 2008-10-23 / 20080263405 - Method and Device for the Fault-Tolerance Management of a Software Component | 2 |
Sebastian Kaefer | DE | Stuttgart | 2011-11-24 / 20110283677 - EXHAUST GAS POSTTREATMENT DEVICE FOR AN INTERNAL COMBUSTION ENGINE | 3 |
Stefan Markus Kaefer | DE | Aschheim | 2010-12-16 / 20100318307 - METHOD FOR ADJUSTING OR CALIBRATING A VEHICLE SURROUNDING SENSOR, AND A VEHICLE SURROUNDING SENSOR ADJUSTMENT OR CALIBRATION SYSTEM | 2 |
Ralf Kaefer | DE | Leimen | 2008-10-30 / 20080271052 - Technical place servicing integration | 1 |
Stefan Kaefer | DE | Stuttgart | 2014-10-30 / 20140321054 - CARRIER FOR A DISPLAY MODULE AND DISPLAY APPARATUS HAVING SUCH A CARRIER | 1 |
Bernhard Kaeferlein | US | Champlin | 2014-05-15 / 20140135831 - BIOADHESIVE MIXING AND PREPARATION SYSTEMS AND METHODS USING TWO SYRINGES | 8 |
Berthold Kaeferstein | DE | Moeckmuehl | 2015-12-03 / 20150346232 - Vibration Damper for a Sensor Unit and Sensor Arrangement for a Motor Vehicle | 2 |
Daniel P. Kaegebein | US | Depew | 2009-05-14 / 20090121937 - Band pass filter with tunable phase cancellation circuit | 2 |
Manuel Kaegi | CH | Bern | 2011-02-17 / 20110039756 - MHC RAPID ASSAY USED FOR THE CUSTOMIZATION OF ODOURS | 1 |
Fabian Kaegi | CH | Lausanne | 2013-10-24 / 20130281771 - HYDRAULIC GASTRIC BAND WITH REVERSIBLE SELF-OPENING MECHANISM | 2 |
Simon Kaegi | CA | Ottawa | 2013-06-06 / 20130145361 - DISCOVERING AND INSTALLING WEB APPLICATION PLUGINS | 1 |
Madeleine Kaegi | DE | Baden-Baden | 2014-02-06 / 20140039556 - SPINE DEROTATION SYSTEM | 1 |
Simon Richard Kaegi | CA | Ottawa | 2013-08-22 / 20130218954 - DISCOVERING AND INSTALLING WEB APPLICATION PLUGINS | 1 |
Ulrich Kaegi | CH | Winterthur | 2012-08-30 / 20120216897 - TOOTHED GATE VALVE SEAT | 1 |
Werner Kaegi | CH | Domat/ems | 2011-06-16 / 20110143113 - SEALING STRIPS FOR VEHICLE WINDOWS | 1 |
Verena Kaegi-Egger | CH | Allschwil | 2016-03-31 / 20160090383 - ANTIBACTERIAL PHTHALIDE DERIVATIVES | 1 |
Verena Kaegi-Egger | CH | Basel | 2011-08-11 / 20110195949 - 2-BENZOTHIOPHENYL- AND 2-NAPHTHYL-OXAZOLIDINONES AND THEIR AZAISOSTERE ANALOGUES AS ANTIBACTERIAL AGENTS | 1 |
Adrian Kaehler | US | Bolder Creek | 2009-04-23 / 20090103780 - Hand-Gesture Recognition Method | 1 |
Benjamin Kaehler | DE | Stuttgart | 2012-10-25 / 20120270691 - MULTI-MODE ELECTRIC DRIVE HYBRID TRANSMISSION | 1 |
Robert J. Kaehler | US | Holland | 2013-06-20 / 20130157820 - Total body exercise system and method | 1 |
Peter J. Kaehler | US | Hudson | 2015-06-04 / 20150154565 - BENEFIT MANAGEMENT | 3 |
Adrian Kaehler | US | Los Feliz | 2014-09-18 / 20140278095 - METHOD AND APPARATUS FOR TWO-STAGE PLANNING | 1 |
Thomas F. Kaehler | US | San Francisco | 2014-08-07 / 20140222908 - METHODS AND SYSTEMS FOR A LOCATION-BASED ONLINE SOCIAL NETWORK | 1 |
Adrian Kaehler | US | Los Angeles | 2016-05-05 / 20160127690 - AREA MONITORING SYSTEM IMPLEMENTING A VIRTUAL ENVIRONMENT | 3 |
Christian Kaehler | DE | Wuerselen | 2009-01-29 / 20090026869 - TRANSVERSE FLUX RELUCTANCE MACHINE AND METHOD FOR MANUFACTURING SAME | 3 |
Adrian Kaehler | US | Boulder Creek | 2010-03-04 / 20100054602 - System and Method for Single Stroke Character Recognition | 3 |
Adrian Kaehler | US | North Hollywood | 2010-08-19 / 20100208235 - LIGHT DETECTION AND RANGING APPARATUS | 4 |
John W. Kaehler | US | Lake Bluff | 2009-03-26 / 20090079707 - INTEGRATED CAPACITIVE SENSING DEVICES AND METHODS | 2 |
Jan-Dirk Kaehler | DE | Wedemark | 2009-05-28 / 20090133628 - VACUUM DEVICE FOR CONTINUOUS PROCESSING OF SUBSTRATES | 1 |
John Kaehler | US | Mundelein | 2009-11-19 / 20090284481 - Devices and Methods for a Backlight to Illuminate Both a Main Display and Morphable Keys or Indicators | 1 |
Adrian L. Kaehler | US | Los Angeles | 2015-11-26 / 20150336502 - COMMUNICATION BETWEEN AUTONOMOUS VEHICLE AND EXTERNAL OBSERVERS | 1 |
Benjamin Kaehler | DE | Neunkirchen-Seelscheid | 2009-06-18 / 20090156351 - Hybrid transmission | 1 |
Markus Kaehler | DE | Hamburg | 2009-06-18 / 20090155865 - Esterases and their Use for Processes for Kinetic Resolution of Butinolesters | 1 |
Christian Kaehler | DE | Partenstein | 2009-06-25 / 20090160296 - Polyphase Electric Machine | 1 |
John W. Kaehler | US | Mundelein | 2015-12-31 / 20150378178 - APPARATUS FOR ENHANCING DISPLAY READABILITY IN AN ENVIRONMENT WITH STRONG AMBIENT LIGHT | 7 |
Ian David Kaehne | AU | Belair | 2014-02-13 / 20140047573 - SALT TOLERANT LUCERNE | 2 |
Jonathan David Kaehne | AU | Blackburn | 2009-04-09 / 20090094078 - ENVIRONMENTAL OFFSETS FOR SERVICES | 1 |
Bernhard Kaehs | DE | Munchen | 2008-10-16 / 20080252301 - Calibration Device and Calibration Method for Adjusting a Directional-Coupler Measuring System | 1 |
Bernhard Kaehs | DE | Unterhaching | 2015-11-05 / 20150318828 - A QUASI-BROADBAND AMPLIFIER ACCORDING TO THE DOHERTY PRINCIPLE | 5 |
Bernhard Kaehs | DE | Munich | 2008-09-25 / 20080231388 - Broadband Balancing Transformer | 1 |
Arnd Kaelberer | DE | Schlierbach | 2016-03-24 / 20160084865 - INTEGRATED ROTATION RATE AND ACCELERATION SENSOR AND METHOD FOR MANUFACTURING AN INTEGRATED ROTATION RATE AND ACCELERATION SENSOR | 14 |
Arnd Kaelberer | DE | Schlierbach | 2016-03-24 / 20160084865 - INTEGRATED ROTATION RATE AND ACCELERATION SENSOR AND METHOD FOR MANUFACTURING AN INTEGRATED ROTATION RATE AND ACCELERATION SENSOR | 14 |
Christian Kaelin | CH | Brunn En | 2014-11-13 / 20140331618 - CAP SUPPLY FOR VESSELS CONTAINING BIOLOGICAL SAMPLES | 1 |
Marc Kaelin | CH | Zurich | 2013-11-28 / 20130312664 - METHOD FOR MANUFACTURING A COMPOUND FILM | 3 |
Laurent Kaelin | CH | Sonvilier | 2016-03-03 / 20160059452 - METHOD FOR MAKING AN OSCILLATING WEIGHT MADE FROM COMPOSITE MATERIALS | 22 |
Alexandre Kaelin | FR | Blois | 2015-10-29 / 20150306735 - GRINDING MACHINE WITH LIQUID COOLANT INJECTION NOZZLE | 4 |
Beat Kaelin | CH | Einsiedelin | 2015-10-22 / 20150303766 - Actuating drive having an electrical plug-in connection | 1 |
August Kaelin | CH | Bonstetten | 2009-01-08 / 20090009347 - Scattered Light Smoke Detector | 1 |
Michael Kaelin | US | Fort Smith | 2011-11-10 / 20110276224 - SYSTEM AND METHOD FOR MOTION-BASED CONTROL OF ELECTRONIC DEVICES | 1 |
Roland Kaelin | IT | Einsiedel | 2012-03-08 / 20120055343 - BREWING UNIT FOR PREPARATION OF BEVERAGES, AND MACHINE COMPRISING SAID BREWING UNIT | 1 |
Laurent Kaelin | CH | Sonvilier | 2016-03-03 / 20160059452 - METHOD FOR MAKING AN OSCILLATING WEIGHT MADE FROM COMPOSITE MATERIALS | 22 |
David E. Kaelin | US | East Brunswick | 2010-05-06 / 20100113492 - Substituted Aminopyrimidines as Cholecystokinin-1 Receptor Modulators | 1 |
David Earl Kaelin, Jr. | US | East Brunswick | 2016-02-11 / 20160043774 - C6-AZASPIRO IMINOTHIADIAZINE DIOXIDES AS BACE INHIBITORS, COMPOSITIONS, AND THEIR USE | 2 |
William G. Kaelin, Jr. | US | Boston | 2014-01-23 / 20140024699 - COMPOSITIONS AND METHODS FOR INCREASING ERYTHROPOIETIN (EPO) PRODUCTION | 6 |
Nathan J. Kaemingk | US | Lynden | 2014-11-06 / 20140325966 - Injector Control for a Selective Catalytic Reduction System | 3 |
Andy Kaemling | DE | Birkenfelde | 2012-05-03 / 20120107896 - Method for Treating a Biological Material Comprising Living Cells | 3 |
Cindy Kaemling | DE | Birkenfelde | 2012-05-03 / 20120107896 - Method for Treating a Biological Material Comprising Living Cells | 2 |
Stefan B. Kaemmer | US | Santa Barbara | 2016-02-04 / 20160033547 - Method and Apparatus of Physical Property Measurement Using a Probe-Based Nano-Localized Light Source | 3 |
Kerstin Kaemmer | DE | Radebeul | 2016-02-18 / 20160049411 - METHOD FOR PROCESSING A CARRIER, A CARRIER, AND A SPLIT GATE FIELD EFFECT TRANSISTOR STRUCTURE | 2 |
Hartwig Kaemmer | DE | Waldachtal | 2011-12-08 / 20110297717 - HOLDER FOR A BEVERAGE CONTAINER | 3 |
Nico Kaemmer | DE | Koenigsbronn | 2015-12-03 / 20150348749 - MULTI-BEAM PARTICLE MICROSCOPE AND METHOD FOR OPERATING SAME | 1 |
Manfred Kaemmer | DE | Kierspe | 2011-07-21 / 20110175525 - ELECTRODE FOR A DISCHARGE LAMP AND A DISCHARGE LAMP AND METHOD FOR PRODUCING AN ELECTRODE | 1 |
Andreas Kaemmer | DE | Ingelfingen | 2012-01-19 / 20120012767 - MAGNETIC VALVE | 1 |
Kerstin Kaemmer | DE | Dresden | 2014-06-19 / 20140167184 - Dummy Structures and Methods | 2 |
John P. Kaemmerer | US | Pflugerville | 2016-05-12 / 20160133247 - AUTOMATIC ACCURACY ESTIMATION FOR AUDIO TRANSCRIPTIONS | 27 |
Jens Kaemmerer | US | Pacific Grove | 2015-12-10 / 20150356117 - EVENTUAL CONSISTENCY TO RESOLVE SUBSCRIBER SHARING RELATIONSHIPS IN A DISTRIBUTED SYSTEM | 3 |
Steffen Kaemmerer | DE | Crailsheim | 2013-07-25 / 20130186079 - HYDRODYNAMIC MACHINE, ESPECIALLY A HYDRODYNAMIC RETARDER | 1 |
Maik Kaemmerer | DE | Ammerndorf | 2015-05-14 / 20150131054 - PROCESS AND APPARATUS FOR DETERMINING OPTICAL ABERRATIONS OF AN EYE | 1 |
Andreas Kaemmerer | DE | Kirchheim Ot Gaubuettelbrunn | 2013-10-31 / 20130285350 - Linkage Component on an Agricultural Vehicle | 2 |
John P. Kaemmerer | US | Pflugerville | 2016-05-12 / 20160133247 - AUTOMATIC ACCURACY ESTIMATION FOR AUDIO TRANSCRIPTIONS | 27 |
Ulrich Kaemmerer | DE | Neu-Isenburg | 2014-01-02 / 20140003454 - METHOD AND SYSTEM FOR RECORDING, SYNCHRONIZING AND ANALYSING DATA BY MEANS OF ANALYSIS DEVICES WHICH ARE SPATIALLY DISTRIBUTED IN A COMMUNICATION NETWORK | 2 |
Marco Kaemmerer | DE | Soemmerda | 2011-08-25 / 20110203763 - Apparatus for die casting, the use of such an apparatus and method for die casting | 1 |
Martin Kaemmerer | DE | Esslingen | 2010-12-23 / 20100319887 - HEAT-EXCHANGING DEVICE AND MOTOR VEHICLE | 2 |
Sven Kaemmerer | DE | Bad Salzuflen | 2014-05-01 / 20140116961 - CONTROL DEVICE FOR A UV-DISINFECTING SYSTEM WITH BROADBAND UV EMITTERS | 1 |
Martin Kaemmerer | DE | Bietigheim-Bissingen | 2009-10-22 / 20090260775 - HEAT EXCHANGER, IN PARTICULAR AN EXHAUST GAS EVAPORATOR OF A MOTOR VEHICLE | 1 |
John Paul Kaemmerer | US | Pflugerville | 2013-09-05 / 20130232108 - Enhanced Messaging Transaction Performance With Auto-Selected Dual-Tag Fields | 9 |
John P. Kaemmerer | US | Austin | 2011-09-15 / 20110225447 - PREFERRED RESOURCE SELECTOR | 1 |
Jens Kaemmerer | US | Mountain View | 2014-03-20 / 20140082170 - SYSTEM AND METHOD FOR SMALL BATCHING PROCESSING OF USAGE REQUESTS | 2 |
William Frederick Kaemmerer | US | Edina | 2015-08-13 / 20150224213 - TREATMENT OF NEUROLOGICAL DISORDERS | 2 |
Joachim Kaemmerer | DE | Kaiserslautern | 2013-03-21 / 20130069405 - VEHICLE SEAT | 1 |
John Paul Kaemmerer | US | Plugerville | 2009-11-12 / 20090279121 - Prioritizing Print Requests for a Configurable Shared Network Printer | 1 |
John Paul Kaemmerer | US | Pflugerville | 2013-09-05 / 20130232108 - Enhanced Messaging Transaction Performance With Auto-Selected Dual-Tag Fields | 9 |
William F. Kaemmerer | US | Medina | 2013-01-03 / 20130005794 - METHODS AND SEQUENCES TO SUPPRESS PRIMATE HUNTINGTON GENE EXPRESSION | 2 |
William F. Kaemmerer | US | Edina | 2016-03-10 / 20160067495 - THERAPY PROGRAM SELECTION FOR ELECTRICAL STIMULATION THERAPY BASED ON A VOLUME OF TISSUE ACTIVATION | 19 |
Henning Kaemmerer | DE | Magdeburg | 2011-10-27 / 20110263896 - Process for Enantioseparation of Chiral Systems with Compound Formation Using Two Subsequent Crystallization Steps | 1 |
Thomas Robert Kaemmerling | US | Menomonee Falls | 2009-10-22 / 20090260558 - Watercraft fender | 1 |
Jutta Kaemper | DE | Heidelberg | 2014-01-02 / 20140006233 - Consistent Interface for Financial Accounting View of Cost Object Expense List and Financials View of Contract | 1 |
Markus Kaemper | DE | Breckerfeld | 2011-12-01 / 20110290239 - NEBULIZER | 3 |
Thomas Kaemper | DE | Burgwedel | 2010-09-30 / 20100243130 - METHOD FOR PRODUCING A TRANSPORT/DRIVE BELT | 2 |
Mathias Kaempf | DE | Burglengenfeld | 2015-10-22 / 20150303112 - METHOD FOR SINGULATING AN ASSEMBLAGE INTO SEMICONDUCTOR CHIPS, AND SEMICONDUCTOR CHIP | 1 |
Holger Kaempf | DE | Buxtehude | 2013-01-17 / 20130016861 - HEARING AID WITH OPTICAL SIGNAL TRANSMISSION AND CHARGE SYSTEM WITH OPTICAL SIGNAL TRANSMISSIONAANM Kaempf; HolgerAACI BuxtehudeAACO DEAAGP Kaempf; Holger Buxtehude DE | 1 |
Gunther J. Kaempf | DE | Altoetting | 2013-10-24 / 20130281607 - FLUOROPOLYMER COMPOSITIONS AND PURIFICATION METHODS THEREOF | 2 |
Uwe Kaempf | DE | Liebstadt | 2015-05-28 / 20150146167 - Method and Device for Improving Visual Performance | 1 |
Stefanie Kaempf | DE | Aachen | 2012-11-08 / 20120283828 - NON-WOVEN FABRIC FOR MEDICAL USE AND PROCESS FOR THE PREPARATION THEREOF | 1 |
Scott A. Kaempfe | US | Brighton | 2009-08-27 / 20090214999 - Ceramic Paddle | 1 |
Gideon Kaempfer | IL | Raanana | 2013-11-14 / 20130301890 - METHOD AND SYSTEM FOR LOSSY COMPRESSION AND DECOMPRESSION OF COMPUTED TOMOGRAPHY DATA | 8 |
Gideon Kaempfer | IL | Raanana | 2013-11-14 / 20130301890 - METHOD AND SYSTEM FOR LOSSY COMPRESSION AND DECOMPRESSION OF COMPUTED TOMOGRAPHY DATA | 8 |
Raymond Kaempfer | IL | Jerusalem | 2014-11-13 / 20140336359 - SYNTHETIC PEPTIDES FOR TREATMENT OF BACTERIAL INFECTIONS | 2 |
Oliver Kaempfer | DE | Memmingen | 2010-08-26 / 20100212643 - Fastening of Rotor Magnets on the Shaft of a Compressor Arrangement | 1 |
Willy Kaempfer | CH | Bremgarten Bei Bern | 2014-11-20 / 20140339301 - Media Management | 2 |
Martti Kaempgen | SG | Singapore | 2012-07-05 / 20120171575 - ENERGY CHARGE STORAGE DEVICE USING A PRINTABLE POLYELECTROLYTE AS ELECTROLYTE MATERIAL | 1 |
Martti Kaempgen | DE | Hann-Muenden | 2015-08-27 / 20150243452 - CHARGE STORAGE DEVICES CONTAINING CARBON NANOTUBE FILMS AS ELECTRODES AND CHARGE COLLECTORS | 2 |
Hooshang Kaen | US | San Diego | 2014-03-06 / 20140067665 - Delivery Service System | 1 |
Tim Von Kaenel | US | Coto De Casa | 2014-06-05 / 20140156775 - SYSTEM AND METHOD FOR TRANSMISSION OF DATA | 6 |
Celine Kaenel | CH | Zurich | 2011-07-28 / 20110184373 - AMPOULE COMPRISING AN AMPOULE HOLDER | 1 |
Céline Kaenel | CH | Zurich | 2011-09-22 / 20110230827 - MIXING DEVICE FOR A TWO-CHAMBER AMPOULE | 1 |
Jueng Von Kaenel | US | Mahopaca | 2008-08-21 / 20080201167 - METHOD AND SYSTEM FOR OPTIMIZING UTILIZATION OF A DONOR | 1 |
Celine Kaenel | CH | Oberburg | 2010-06-17 / 20100152659 - INJECTION DEVICE WITH ANTI-TRIGGER LOCKS | 1 |
Juerg Von Kaenel | AU | Carlton | 2014-07-03 / 20140184649 - SPATIOTEMPORAL VISUALIZATION OF SENSOR DATA | 2 |
Celine Kaenel-Jost | CH | Zurich | 2011-06-02 / 20110130723 - ADMINISTERING DEVICE WITH HOLDING MECHANISM | 2 |
Celine Kaenel-Jost | CH | Oberburg | 2009-06-11 / 20090149809 - OVERLAPPING DISPLAY | 1 |
Johannes Kaeppeler | DE | Wuerselen | 2010-02-18 / 20100037827 - CVD Device with Substrate Holder with Differential Temperature Control | 2 |
Johannes Kaeppeler | DE | Wurselen | 2009-04-30 / 20090110805 - Apparatus and Method for Controlling the Surface Temperature of a Substrate in a Process Chamber | 1 |
Ernst Kaeppeler | DE | Langenargen | 2015-10-08 / 20150287490 - Chopper Disc As Well As Device and Method for Manufacturing Same | 1 |
Albert Kaeppeler | DE | Stuttgart | 2010-12-23 / 20100320175 - INNER BURNER FOR ELECTRIC ARC WIRE SPRAYING | 1 |
Christoph Kaeppeli | CH | Merenschwand | 2015-10-29 / 20150307271 - Device For Conveying A Fine-Particle Medium | 1 |
Othmar Kaeppeli | CH | Wurenlos | 2015-02-19 / 20150050323 - BIOMASS HETERO-COLLOIDAL SYSTEMS, PRODUCTION AND USES THEREOF | 1 |
Othmar Kaeppeli | CH | Würelos | 2015-02-19 / 20150050323 - BIOMASS HETERO-COLLOIDAL SYSTEMS, PRODUCTION AND USES THEREOF | 1 |
Marcel Kaeppeli | CH | Muri | 2011-12-15 / 20110306053 - Amplification System with Spatial Separation | 1 |
Marcel Kaeppeli | CH | Merenschwand | 2016-04-21 / 20160109055 - Analyzer Assembly Platform | 5 |
Friederike Kaeppke | DE | Grundau | 2010-12-09 / 20100310714 - L-LYSINE-CONTAINING FEED ADDITIVES | 1 |
Friederike Kaeppke | DE | Gelnhausen | 2014-10-09 / 20140302202 - L-Lysine-containing feed additives | 1 |
Shawn M. Kaeppler | US | Oregon | 2014-09-18 / 20140283214 - MAIZE SUGARY ENHANCER SEQUENCES | 1 |
Sebastian Kaeppler | DE | Erlangen | 2014-12-25 / 20140378827 - METHOD AND SYSTEM FOR MOTION ESTIMATION MODEL FOR CARDIAC AND RESPIRATORY MOTION COMPENSATION | 1 |
Shawn Kaeppler | US | Oregon | 2014-12-11 / 20140366213 - MODIFYING FLOWERING TIME IN MAIZE | 2 |
Klaus Kaeppler | DE | Burghausen | 2015-10-15 / 20150291920 - CLEANING INDUSTRIAL PLANT COMPONENTS TO REMOVE METAL HALIDES | 6 |
Benjamin H. Kaeppner | CA | Carleton Place | 2016-02-25 / 20160051991 - Active Field Polarized Media Air Cleaner | 6 |
Sacha Kaercher | DE | Neu-Isenburg | / - | 1 |
Daniel Kaercher | DE | Radolfzell | 2014-07-31 / 20140214011 - Medical Instrument | 10 |
Joerg Kaercher | US | Madison | 2015-10-01 / 20150276629 - METHOD OF CONDUCTING AN X-RAY DIFFRACTION-BASED CRYSTALLOGRAPHY ANALYSIS | 6 |
Daniel Kaercher | DE | Radolfzell | 2014-07-31 / 20140214011 - Medical Instrument | 10 |
Andreas Kaercher | DE | Weingarten | 2009-10-08 / 20090251603 - IMAGE PLAYBACK SYSTEM | 2 |
Sacha Kaercher | DE | Offenbach | 2009-12-03 / 20090293608 - Apparatus for Measuring a Filling Level | 1 |
Sacha Kaercher | FR | Belfort | 2012-04-19 / 20120090392 - SEALING DEVICE FOR A DEVICE FOR MEASURING THE FILL LEVEL IN A FLUID CONTAINER | 1 |
Emmett Kaericher | US | Charlotte | 2015-06-25 / 20150178691 - Method And Apparatus For Providing A User Device With Functionality Enabling Users To Provide Their Intention To Attend A Particular Destination Or Event | 3 |
Takeshi Kaeriyama | JP | Nagano | 2011-05-12 / 20110109517 - ELECTRONIC DEVICE | 2 |
Shunichi Kaeriyama | JP | Kanagawa | 2016-04-21 / 20160111357 - SEMICONDUCTOR DEVICE | 12 |
Toshiyuki Kaeriyama | JP | Tsukubamirai | 2012-03-22 / 20120069422 - MICRO-ELECTROMECHANICAL DEVICE | 1 |
Shunichi Kaeriyama | JP | Kawasaki-Shi | 2014-10-30 / 20140325322 - SEMICONDUCTOR INTEGRATED CIRCUIT AND DRIVE APPARATUS INCLUDING THE SAME | 2 |
Atsushi Kaeriyama | JP | Minamiashigara-Shi | 2011-03-03 / 20110053000 - CERAMIC MATERIAL AND USE THEREOF | 1 |
Shunichi Kaeriyama | JP | Tokyo | 2016-05-12 / 20160132040 - CONNECTION RELATION DETECTING SYSTEM, INFORMATION PROCESSING APPARATUS, AND CONNECTION RELATION DETECTING METHOD | 11 |
Shunichi Kaeriyama | JP | Minato-Ku | 2010-06-03 / 20100134140 - PROGRAM CIRCUIT, SEMICONDUCTOR INTEGRATED CIRCUIT, VOLTAGE APPLICATION METHOD, CURRENT APPLICATION METHOD, AND COMPARISON METHOD | 2 |
Shunichi Kaeriyama | JP | Kanagawa | 2016-04-21 / 20160111357 - SEMICONDUCTOR DEVICE | 12 |
Atsushi Kaeriyama | JP | Tokyo | / - | 1 |
Toshiyuki Kaeriyama | JP | Ibaraki-Ken | 2009-02-05 / 20090034043 - System and Method for Regulating Micromirror Position | 1 |
Atsushi Kaeriyama | JP | Kanagawa | 2013-09-05 / 20130229291 - IMAGE FORMING METHOD | 2 |
Shunichi Kaeriyama | JP | Tokyo | 2016-05-12 / 20160132040 - CONNECTION RELATION DETECTING SYSTEM, INFORMATION PROCESSING APPARATUS, AND CONNECTION RELATION DETECTING METHOD | 11 |
Hideharu Kaeriyama | JP | Hiroshima | 2012-05-17 / 20120119476 - PASSENGER PROTECTION OF VEHICLE | 1 |
Christof Kaerner | DE | Albershausen | 2011-08-04 / 20110190988 - METHOD AND CONTROL UNIT FOR ACTIVATING PASSENGER PROTECTION MEANS FOR A VEHICLE | 3 |
Jens Peter Kaerst | DE | Gottingen | 2009-04-23 / 20090103683 - EMITTER DESIGN INCLUDING EMERGENCY OPERATION MODE IN CASE OF EMITTER-DAMAGE FOR MEDICAL X-RAY APPLICATION | 1 |
Franz X. Kaertner | DE | Hamburg | 2013-08-15 / 20130206969 - Background-Free Balanced Optical Cross Correlator | 1 |
Franz Xaver Kaertner | US | Newton | 2015-10-08 / 20150285749 - Compact X-Ray Source for CD-SAXS | 2 |
Franz X. Kaertner | US | Newton | 2012-11-15 / 20120288065 - Compact Coherent Current and Radiation Source | 8 |
Franz X. Kaertner | US | Newton | 2012-11-15 / 20120288065 - Compact Coherent Current and Radiation Source | 8 |
Franz X. Kaertner | US | Cambridge | 2014-07-03 / 20140185635 - METHODS AND APPARATUS FOR BROADBAND FREQUENCY COMB STABILIZATION | 2 |
Christian Jean-Marie Kaes | LU | Schrondweiler | 2015-08-20 / 20150232649 - RUBBER COMPOSITION AND RUNFLAT TIRE | 13 |
David R. Kaes | US | Toms River | 2016-05-19 / 20160136329 - SHAPED BONE GRAFT MATERIALS AND METHODS OF USE | 10 |
Josef Kaes | DE | Leipzig | 2010-11-11 / 20100282984 - DEVICE AND METHOD FOR THE CONTACTLESS MANIPULATION AND ALIGNMENT OF SAMPLE PARTICLES IN A MEASUREMENT VOLUME USING A NONHOMOGENEOUS ELECTRIC ALTERNATING FIELD | 1 |
Roland Kaes | DE | Moosham | 2013-12-05 / 20130319824 - MULTIPLE LINK CONVEYOR BELT WITH ROLLERS | 2 |
Martin Kaes | DE | Berlin | 2015-01-22 / 20150020729 - GERMANIUM ENRICHED SILICON MATERIAL FOR MAKING SOLAR CELLS | 12 |
Christine Kaes | DE | Burghausen | 2014-06-05 / 20140155644 - SEPARATING CYCLIC SILOXANES FROM SHORT-CHAIN SILOXANES HAVING TERMINAL HYDROXYL GROUPS | 1 |
David R. Kaes | US | Toms River | 2016-05-19 / 20160136329 - SHAPED BONE GRAFT MATERIALS AND METHODS OF USE | 10 |
Katharina Kaes | AT | Wien | 2012-03-22 / 20120072108 - METHOD FOR DETERMINING THE LENGTH OF THE ROUTE TRAVELLED BY A VEHICLE | 1 |
Martin Kaes | DE | Berlin | 2015-01-22 / 20150020729 - GERMANIUM ENRICHED SILICON MATERIAL FOR MAKING SOLAR CELLS | 12 |
David Kaes | US | Tom River | 2012-06-28 / 20120160945 - OSTEOBIOLOGIC MILLING MACHINE | 1 |
Christian Jean-Marie Kaes | LU | Schrondweiler | 2015-08-20 / 20150232649 - RUBBER COMPOSITION AND RUNFLAT TIRE | 13 |
David Kaes | US | Toms River | 2011-11-10 / 20110274668 - VOLUME MAINTAINING OSTEOINDUCTIVE/OSTEOCONDUCTIVE COMPOSITIONS | 5 |
Wayne H. Kaesemeyer | US | Chapel Hill | 2015-04-02 / 20150094447 - Novel Nitric Oxide Synthase Agonist Polymers | 3 |
Wolfgang Kaeser | DE | Mertingen | 2011-03-31 / 20110072993 - APPARATUS AND METHOD FOR CLEANING GUIDE ROLLERS OF A PRINTING UNIT | 7 |
Ludwig Kaeser | DE | Sindelsdorf | 2013-10-24 / 20130277283 - COVER FOR A WASTE CONTAINER | 1 |
Beda Kaeser | CH | Bruegg | 2010-10-14 / 20100260380 - DEVICE FOR OPTICALLY MEASURING AND/OR TESTING OBLONG PRODUCTS | 1 |
Stefan Kaeser | CH | Aarau | 2015-10-15 / 20150289707 - DEVICE FOR PREPARING A BEVERAGE FROM A CAPSULE WITH A CLOSURE SYSTEM INVOLVING TWO CLOSURE STAGES | 12 |
Maximilian Kaeser | DE | Burghausen | 2011-08-11 / 20110192388 - METHOD FOR SLICING A MULTIPLICITY OF WAFERS FROM A CRYSTAL COMPOSED OF SEMICONDUCTOR MATERIAL | 1 |
Stefan Kaeser | CH | Aarau | 2015-10-15 / 20150289707 - DEVICE FOR PREPARING A BEVERAGE FROM A CAPSULE WITH A CLOSURE SYSTEM INVOLVING TWO CLOSURE STAGES | 12 |
Adolf Kaeser | US | 2012-05-17 / 20120122752 - DETERGENT COMPOSITIONS | 2 | |
Thomas Kaeser | CH | Brent Vd | 2011-08-18 / 20110200725 - SEALING ADAPTER FOR A BEVERAGE EXTRACTION SYSTEM SUITABLE FOR PREPARING A BEVERAGE FROM CARTRIDGES | 1 |
Thomas Kaeser | CH | Brent | 2016-01-07 / 20160001970 - Packs for Preparing Beverages | 9 |
Jochen Kaeser | DE | Stuttgart | 2010-04-15 / 20100089548 - HEAT EXCHANGER | 1 |
Adolf Kaeser | CH | Bottmingen | 2010-09-23 / 20100239642 - COATING COMPOSITIONS | 2 |
Uwe Kaeser | DE | Mudau | 2014-05-15 / 20140131175 - ACTUATING UNIT FOR AN APPARATUS FOR SORTING ARTICLES | 1 |
Thomas Kaeser | CH | Brent | 2016-01-07 / 20160001970 - Packs for Preparing Beverages | 9 |
Egon Kaeske | DE | Aachen | 2013-09-19 / 20130239432 - APPARATUS AND SYSTEM FOR CONTROLLING THE TEMPERATURE OF OBJECTS | 2 |
Bruno Kaesler | DE | Ludwigshafen | 2009-01-22 / 20090023172 - GTP Cyclohydrolase II as a Target for Fungicides | 1 |
Andreas Kaesler | DE | Erwitte | 2015-12-17 / 20150362009 - CYLINDRICAL ROLLER BEARING | 1 |
Nathan Kaesler | AU | Prospect | 2011-12-08 / 20110297444 - CORE BARREL RESTRAINT | 1 |
Bruno Kaesler | DE | Cuxhaven | 2012-09-20 / 20120237454 - Means and Methods for Preventing and/or Treating Caries | 1 |
Bryan A. Kaesler | US | Glen Gardner | 2010-06-17 / 20100147821 - Thermal deicer | 1 |
Arthur D. Kaesler | US | Martinsville | 2011-06-30 / 20110155715 - Radiant Heater Device | 2 |
Henning Kaess | DE | Bodman-Ludwigshafen | 2010-07-08 / 20100170587 - FITTING COMPRISING AN EXTENSIBLE SHOWER ATTACHMENT GUIDED IN A MAGNETIC MOUNTING | 2 |
Udo Kaess | DE | Stuttgart | 2012-06-21 / 20120152034 - Sensor system | 7 |
Henning Kaess | CH | Rapperswil | 2008-12-11 / 20080302886 - Shower Head | 1 |
Michael Kaess | US | Pittsburgh | 2016-03-10 / 20160071278 - Method for Mapping an Environment | 1 |
Michael Kaess | US | Atlanta | 2011-07-14 / 20110169923 - Flow Separation for Stereo Visual Odometry | 1 |
Waldemar Kaessner | DE | Auetal | 2013-05-02 / 20130104603 - Dead Plate Arrangement | 1 |
Dirk Kaessner | DE | Kuemmersbruck | 2015-11-05 / 20150314706 - VEHICLE SEAT WITH ROLLER GUIDE | 3 |
Michael L. Kaessner | US | Longmont | 2015-12-24 / 20150366680 - INTELLIGENT PROSTHETIC SOCKET SYSTEM WITH ACTIVE USER FEEDBACK INTERFACE AND REAL TIME PROSTHESIS DIAGNOSTICS | 5 |
Thomas Kaessner | DE | Dresden | 2015-07-30 / 20150211572 - CONTROL METHOD, PROGRAM AND SYSTEM FOR CONTROLLING THE BEARING PRELOAD OF A WIND TURBINE AND WIND TURBINE COMPRISING SUCH CONTROL SYSTEM | 4 |
Ralf Kaestle | CH | Zuerich | 2012-10-11 / 20120256108 - Flow control actuator | 1 |
Sigfried Kaestle | DE | Nufringen | 2010-04-29 / 20100101577 - METHOD AND DEVICE FOR EVALUATION OF SPIROGRAPHIC AND GAS EXCHANGE DATA | 1 |
Karl-Heinz Kaestle | DE | Albstadt | / - | 1 |
Herbert Kaestle | DE | Traustein | 2012-02-02 / 20120025730 - Circuit Arrangement and Method for Operating a High-Pressure Discharge Lamp | 1 |
Hans-Guenter Kaestle | DE | Buggingen | 2010-09-02 / 20100222427 - PHARMACEUTICAL COMPOSITION COMPRISING OSELTAMIVIR PHOSPHATE | 1 |
Siegfried Kaestle | DE | Nufringen | 2012-03-22 / 20120071740 - DISPOSABLE Sp02 GRIPS | 1 |
Siegfried Walter Kaestle | DE | Nuffringen | 2016-04-28 / 20160114184 - MATTRESS FOR PROVIDING PHOTOTHERAPY TO A SUBJECT | 4 |
Christoph Kaestle | DE | Stuttgart | 2015-04-23 / 20150107811 - FLAT TUBE AND HEAT EXCHANGER HAVING A FLAT TUBE OF SAID TYPE | 1 |
Herbert Kaestle | DE | Traunstein | 2016-05-12 / 20160133023 - METHOD FOR IMAGE PROCESSING, PRESENCE DETECTOR AND ILLUMINATION SYSTEM | 2 |
Gunnar Kaestle | DE | Karlsruhe | 2010-06-10 / 20100141030 - METHOD FOR OPERATING A LOW-VOLTAGE ELECTRICAL SYSTEM | 1 |
Klaus H. Kaestner | US | Swarthmore | 2010-12-30 / 20100329986 - Adult Hepatic Progenitor Cells and Methods of Use Thereof | 1 |
Frank Kaestner | DE | Bietigheim-Bissingen | 2015-07-23 / 20150202989 - BRAKE CONTROL DEVICE FOR A VEHICLE AND METHOD FOR OPERATING AT LEAST ONE ELECTRIC DRIVE MOTOR FOR A VEHICLE | 9 |
Thomas Kaestner | DE | Solingen | 2014-11-20 / 20140339863 - DEVICE FOR SELECTIVELY CONTROLLING AND REDUCING THE BACKWARD DISPLACEMENT OF A VEHICLE SEAT IN THE EVENT OF AN ACCIDENT | 1 |
Clemens Kaestner | DE | Rosenheim | 2015-11-12 / 20150323979 - USB POWER PORT CONTROL | 1 |
Frank Kaestner | DE | Bietigheim-Bissingen | 2015-07-23 / 20150202989 - BRAKE CONTROL DEVICE FOR A VEHICLE AND METHOD FOR OPERATING AT LEAST ONE ELECTRIC DRIVE MOTOR FOR A VEHICLE | 9 |
Scott A. Kaestner | US | Durham | 2015-02-19 / 20150051582 - Microneedle-Based Pen Device For Drug Delivery And Method For Using Same | 2 |
Clemens M. Kaestner | DE | Rosenheim | 2013-07-25 / 20130191566 - Overcoming Limited Common-Mode Range for USB Systems | 1 |
Andreas Kaestner | DE | Burkersdorf | 2013-11-28 / 20130313074 - Modular Delivery System | 1 |
Falk Kaestner | DE | Bretnig-Hauswalde | 2015-12-17 / 20150359958 - METHOD FOR STERILIZATION OF A HOLLOW FIBER FILTER MODULE, HOLLOW FIBER FILTER MODULE COMPRISING A CLOSURE, AND OXYGEN ABSORBING CLOSURE | 1 |
Andre Kaestner | DE | Braunschweig | 2010-07-01 / 20100170016 - RASTER NEAR FIELD MICROSCOPY IN THE MICROWAVE AND TERAHERTZ RANGES WITH A SIGNAL PROCESSING DEVICE INTERGRATED IN THE MEASURING TIP | 1 |
Florian Kaestner | DE | Rosenheim | 2012-02-09 / 20120031050 - Method and device for formation of groups of articles to be packaged and a profiled thrust bar to use for this purpose | 2 |
Ariane Kaestner | DE | Friedrichsdorf | 2016-04-14 / 20160101129 - Medicinal Clay Preparation | 1 |
Enno Kaetelhoen | DE | Aachen | 2013-11-21 / 20130306473 - METHOD FOR PRODUCING A DEVICE FOR DETECTING AN ANALYTE AND DEVICE AND THE USE THEREOF | 1 |
Jeff A. Kaetterhenry | US | Algonquin | 2012-04-26 / 20120097083 - MULTI-NEEDLE QUILTING MACHINE AND NEEDLE AND LOOPER DRIVE MECHANISM THEREFOR AND METHOD OF OPERATING SAME | 1 |
Ludwig Kaeufl | DE | Wiesenfelden | 2009-10-15 / 20090259334 - ARRANGEMENT AND METHOD FOR THE TRANSPORATION AND SYNCHRONIZED DISTRIBUTION OF PACKING UNITS | 1 |
Asok Kumar Kaevan | SG | Singapore | 2011-11-03 / 20110265354 - BOILER HAVING A SECTION FOR PREHEATING WATER | 1 |
Toomas Kaevand | EE | Tallinn | 2013-01-17 / 20130018119 - FILTER MATERIAL FOR SEPARATION OF HYDROPHILIC SUBSTANCES FROM VARIOUS HYDROPHOBIC LIQUIDS OR GASES AND METHOD FOR PREPARATION OF THE MATERIALAANM Chipizubov; VitaliAACI PeterburiAACO RUAAGP Chipizubov; Vitali Peterburi RUAANM Post; PriitAACI HarjumaaAACO EEAAGP Post; Priit Harjumaa EEAANM Peterson; ReneAACI TallinnAACO EEAAGP Peterson; Rene Tallinn EEAANM Kaevand; ToomasAACI TallinnAACO EEAAGP Kaevand; Toomas Tallinn EE | 1 |
Toomas Kaevand | EE | Estonia | 2008-10-09 / 20080249325 - Method and Device For Continuous Process of Transesterification of Carboxylic Acid Esters in a Supercritical Monovalent Alcohol | 1 |
Michael Kaever | DE | Erlangen | 2013-06-20 / 20130160143 - PROCESSING MACHINE WITH ACCESS CONTROL VIA COMPUTER NETWORK | 6 |
Peter Kaever | DE | Oelde | 2009-07-02 / 20090165725 - METHOD FOR MILKING ANIMALS IN A GROUP | 1 |
Peter Kaever | DE | Minden | 2010-06-17 / 20100147221 - Method for operating a milking facility, in addition to corresponding milking facility | 1 |
Peter Kaever | DE | Trossingen | 2009-08-13 / 20090201159 - Method and device for determining information on an animal and/or animal milk | 2 |
John David Kaewell, Jr. | US | Jamison | 2009-07-30 / 20090190645 - ADVANCED RECEIVER WITH SLIDING WINDOW BLOCK LINEAR EQUALIZER | 1 |
Herbert R. Kaewert | US | Atherton | 2016-03-24 / 20160087466 - DYNAMIC BOOST BATTERY CHARGERS | 4 |
Nerses Kafadar | US | New Milford | 2012-11-08 / 20120279256 - Adjustable jewelry | 1 |
Raed Kafafy | MY | Kl | 2014-05-08 / 20140124195 - Apparatus and method to remotely control fluid flow in tubular strings and wellbore annulus | 1 |
Raed I. Kafafy | MY | Kuala Lumpur | 2015-05-21 / 20150136489 - METHOD AND APPARATUS FOR REMOTELY CHANGING FLOW PROFILE IN CONDUIT AND DRILLING BIT | 2 |
Raed Ismail Kafafy | MY | Setapak | 2015-09-10 / 20150252651 - Apparatus and Method to Remotely Control Fluid Flow in Tubular Strings and Wellbore Annulus | 2 |
Mehran Kafai | US | Riverside | 2014-03-27 / 20140085475 - DYNAMIC BAYESIAN NETWORKS FOR VEHICLE CLASSIFICATION IN VIDEO | 2 |
Hossein Kafai El-Khorassani | LU | Luxembourg | 2010-08-05 / 20100198069 - Simplified Fronto-Sagittal and Two-Dimensional Ultrasound Apparatus Exclusively Intended for Temporo-Mandibular Articulations (ATM) | 1 |
Zeki Kafali | TR | Tekirdag | 2012-10-04 / 20120247443 - METHOD AND SYSTEM FOR INCREASING THE SAFETY OF GAS-OPERATED COOKING APPLIANCES | 1 |
Peter Kafarnik | DE | Eltville-Erbach | 2011-12-01 / 20110295488 - CONTROL SYSTEM AND METHOD FOR LOW QUANTITY FUEL INJECTION | 8 |
Peter Kafarnik | DE | Eltville-Erbach | 2011-12-01 / 20110295488 - CONTROL SYSTEM AND METHOD FOR LOW QUANTITY FUEL INJECTION | 8 |
Peter Kafarnik | US | Eltville-Erbach | 2010-10-28 / 20100274465 - HCCI MODE SWITCHING CONTROL SYSTEM AND METHOD | 1 |
Oscar D. Kafati | US | New York | 2014-01-02 / 20140006406 - SYSTEMS AND METHODS FOR ANALYZING AND MANAGING ELECTRONIC CONTENT | 1 |
Nadim Kafati | US | Hayward | 2015-09-24 / 20150268287 - Cable Measuring Device and Method | 1 |
Richard Kafer | DE | Lochgau | 2009-01-15 / 20090015035 - Motor Vehicle Door | 1 |
Dana Louise Kafer | US | Fairbury | 2015-03-19 / 20150081496 - System and Method for an Integrated Financial Management Tool | 1 |
Charles Kafer | US | Las Vegas | 2016-03-10 / 20160069637 - MAGAZINE ATTACHED FIREARM GRIP | 1 |
Monika Kafer | AT | Maria Saal | 2011-03-31 / 20110075506 - KNEADING DEVICE FOR KNEADING INGREDIENTS INTO DOUGH AND A KNEADING TOOL | 1 |
Christopher Kafer | US | Raleigh | 2013-02-21 / 20130045325 - METHODS OF INCREASING PROTEIN, OIL, AND/OR AMINO ACID CONTENT IN A PLANT | 2 |
Paul Kafer | US | Carrollton | 2015-11-05 / 20150313268 - MULTI-TIER AND SPIRAL MICROWAVE OVEN DRYERS FOR RAPID PREPARATION OF DRY SAUSAGE | 3 |
Farhad Kaffashi | US | Cleveland Heights | 2016-05-05 / 20160120480 - EXPERT SYSTEM TO FACILIATE SOURCE LOCALIZATION OF BRAIN ELECTRICAL ACTIVITY | 3 |
Achim Kaffee | DE | Diepholz | 2016-04-28 / 20160115283 - EPOXY-RESIN COMPOSITION FOR FIBER-MATRIX SEMIFINISHED PRODUCTS | 1 |
Achim Kaffee | DE | Osnabrueck Luestringen | 2014-07-31 / 20140213697 - 2,2',6,6'-TETRAMETHYL-4,4'-METHYLENEBIS(CYCLOHEXYLAMINE) AS HARDENER FOR EPOXY RESINS | 1 |
Achim Kaffee | DE | Osnabrueck | 2016-01-28 / 20160024344 - USE OF POLYPROPYLENEIMINE AS CURING AGENT FOR EPOXIDE RESINS | 1 |
Achim Kaffee | DE | Lorsch | 2015-01-15 / 20150018457 - USE OF LINEAR TRIETHYLENTETRAMINE AS CURING AGENT FOR EPOXY RESINS | 6 |
Justin Kaffenberger | US | Livonia | 2009-12-03 / 20090298974 - COMPOSITE WITH NATURAL FIBERS | 1 |
Rainer Kaffenberger | DE | Reichelsheim | 2009-08-20 / 20090205300 - FILTER ELEMENT AND ARRANGEMENT | 1 |
Dieter Kaffenberger | DE | Wiehl | 2011-04-07 / 20110078871 - VACUUM-CLEANER POWER-BRUSH ATTACHMENT | 4 |
Curtis Kaffer | US | San Diego | 2013-01-03 / 20130007150 - UNIVERSAL COMMUNICATION SYSTEM | 1 |
Abbas Kafi | US | Plano | 2015-08-13 / 20150227877 - Processing Jobs in a Laboratory Management System | 1 |
Erica Kafka | US | Grapevine | 2015-07-30 / 20150209305 - Formulation of Active Agent Loaded Activated PLGA Nanoparticles for Targeted Cancer Nano-Therapeutics | 2 |
Michael Kafka | US | Phoenix | 2016-04-07 / 20160095364 - Hand Warmer Pouch | 1 |
Denise Kafka | US | Avon | 2014-09-04 / 20140246550 - Clamping device to hold containers and other objects to fixtures | 1 |
James R. Kafka | US | Mosinee | 2013-01-17 / 20130014617 - Socket Tool for Lubricant Fitting | 1 |
Steven Kafka | US | Mountain View | 2014-04-03 / 20140096189 - USING TRUSTED DEVICES TO AUGMENT LOCATION-BASED ACCOUNT PROTECTION | 1 |
Michael Kafka | US | Troy | 2014-09-18 / 20140264136 - Crankcase Explosion Relief Valve Using Porous Metal | 1 |
James D. Kafka | US | Palo Alto | 2015-03-05 / 20150063830 - CONTINUOUS WAVE ULTRAVIOLET LASER BASED ON STIMULATED RAMAN SCATTERING | 3 |
Steven D. Kafka | US | Mountain View | 2012-10-11 / 20120260193 - Scheduled Message Cleanup | 5 |
Henry Kafka | US | Sandy Springs | 2009-05-21 / 20090129561 - Devices, Systems, and/or Methods Regarding Telecommunications Addressing | 1 |
James Kafka | US | Palo Alto | 2015-11-05 / 20150316831 - DIAMOND-BASED SUPERCONTINUUM GENERATION SYSTEM | 1 |
Allison Kafka | US | Phoenix | 2016-04-07 / 20160095364 - Hand Warmer Pouch | 1 |
Henry J. Kafka | US | Atlanta | 2013-10-17 / 20130272298 - VOICE OVER NETWORK (VoN)/VOICE OVER INTERNET PROTOCOL (VoIP) ARCHITECT HAVING HOTLINE AND OPTIONAL TIE LINE | 3 |
Henry Kafka | US | Atlanta | 2013-03-21 / 20130073734 - METHODS, SYSTEMS, AND DEVICES FOR BANDWIDTH CONSERVATION | 3 |
Uzi Kafkafi | IL | Rechovot | 2010-08-19 / 20100212050 - PLANTS OVER-EXPRESSING PME | 1 |
Padam Lal Kafle | US | San Diego | 2016-03-10 / 20160073155 - SYNCHRONIZATION AND CONTROL OF OVERLAY CONTENTS FOR VIDEO STREAMING IN A WIRELESS COMMUNICATION SYSTEM | 5 |
Padam Kafle | US | Coppell | 2014-03-27 / 20140086212 - INTERFERENCE MANAGEMENT IN WIRELESS NETWORK | 5 |
Lekhnath Kafle | TW | Taipei City | 2011-03-03 / 20110047861 - BAIT FOR HUMID REGIONS | 1 |
Padam Lal Kafle | US | Coppell | 2014-09-11 / 20140254558 - SERVICE TYPE SELECTION IN WIRELESS NETWORK | 7 |
Ved Kafle | JP | Koganei-Shi | 2010-08-19 / 20100208742 - PACKET COMMUNICATION METHOD USING NODE IDENTIFIER AND LOCATOR | 1 |
Ved Kafle | JP | Tokyo | 2014-10-09 / 20140304785 - METHOD FOR SECURING NAME REGISTRIES, NETWORK ACCESS AND DATA COMMUNICATION IN ID/LOCATOR SPLIT-BASE NETWORKS | 1 |
Suvash Kafley | US | 2015-12-03 / 20150342915 - INSTANTIZED PRODUCT AND METHODS | 1 | |
Suvash Kafley | US | Eden Prairie | 2015-12-03 / 20150342915 - INSTANTIZED PRODUCT AND METHODS | 2 |
Galit Sarit Kafri | IL | Haifa | 2011-08-25 / 20110208040 - MOLECULAR IMAGING | 1 |
Hagay Kafri | IL | Bet-Hashmonay | 2015-01-15 / 20150013953 - FLUID CONDUIT SYSTEMS | 1 |
Tal Kafri | US | Carrboro | 2010-12-23 / 20100323403 - POLYPURINE TRACT MODIFIED RETROVIRAL VECTORS | 1 |
Ran Kafri | IL | Even Yehuda | 2013-10-03 / 20130258621 - MICROELECTRONIC PACKAGE HAVING A COAXIAL CONNECTOR | 1 |
Galit Sarit Kafri | US | Newton | 2015-03-19 / 20150080707 - PERFUSION IMAGING | 1 |
Eddy Kafry | IL | Hod Hasharon | 2015-10-01 / 20150276192 - SYSTEM AND METHOD OF CONTROLLING STREET LIGHTS | 4 |
Pamela Kaftan | DE | Hamburg | 2015-01-29 / 20150030554 - SHINE-PRODUCING HAIR TREATMENT AGENT | 14 |
David Kaftan | IL | Rehovot | 2014-03-27 / 20140088293 - PHOTOSYNTHETIC ORGANISMS AND COMPOSITIONS AND METHODS OF GENERATING SAME | 1 |
Tomer Kaftan | US | Los Altos | 2015-11-12 / 20150324868 - Query Categorizer | 1 |
Jens Kaftan | GB | Oxfordshire | 2013-12-12 / 20130332868 - FACILITATING USER-INTERACTIVE NAVIGATION OF MEDICAL IMAGE DATA | 1 |
Jens Kaftan | GB | Oxford | 2015-12-10 / 20150356754 - METHOD AND APPARATUS FOR CALIBRATION OF MEDICAL IMAGE DATA | 6 |
David Kaftan | CZ | Nove Hrady | 2010-02-04 / 20100031395 - PHOTOSYNTHETICORGANISMS AND COMPOSITIONS AND METHODS OF GENERATING SAME | 1 |
Ilan Kaftan | US | Los Altos | 2010-09-09 / 20100228610 - ADDING TEXT OR GRAPHICS TO AN ADVERTISEMENT USING DTV CLOSED CAPTIONING | 3 |
Pamela Kaftan | DE | Hamburg | 2015-01-29 / 20150030554 - SHINE-PRODUCING HAIR TREATMENT AGENT | 14 |
Edward Kaftan | US | Pennington | 2009-01-29 / 20090029366 - METHODS OF IDENTIFYING MODULATORS OF HYPERPOLARIZATION-ACTIVATED CYCLIC NUCLEOTIDE-GATED (HCN) CHANNELS | 2 |
Andre Kaftan | DE | Fuerth | 2016-03-10 / 20160068459 - IMMOBILIZED CATALYTICALLY ACTIVE COMPOSITION FOR HYDROFORMYLATION OF OLEFIN-CONTAINING MIXTURES | 1 |
Ruslan Kaftanatiy | RU | Sankt-Peterburg | 2009-01-22 / 20090024921 - Methods for processing of multimedia information, systems therefore and methods of the assigned area definition. | 1 |
Daniel Kaftori | IL | Haita | 2014-09-11 / 20140250935 - DESICCANT BASED CHILLING SYSTEM | 1 |
Daniel Kaftori | IL | Haifa | 2013-02-28 / 20130047976 - SOLAR ENERGY COLLECTING SYSTEMS AND METHODS | 1 |
Daniel Kaftori | IL | Alonei Abba | 2009-08-06 / 20090194145 - Small-scale, concentrating, solar chp system | 1 |
Ran Kaftory | IL | Kiryat Tivon | 2015-12-24 / 20150371103 - SYSTEM AND METHOD FOR IDENTIFICATION OF PRINTED MATTER IN AN IMAGE | 7 |
Ran Kaftory | IL | Remez St. Kiryat Tivon | 2011-12-08 / 20110298922 - SYSTEM AND METHOD FOR OBJECT EXTRACTION | 1 |
Nicole Kaftzik | DE | Roslin | 2009-01-29 / 20090029401 - Method for detecting biofuel producing microbes | 1 |
Nicole Kaftzik | DE | Brohl | 2008-12-04 / 20080299623 - ENZYME CATALYSIS IN THE PRESENCE OF IONIC LIQUIDS | 1 |
Shigeru Kafuku | JP | Tokyo | 2015-09-24 / 20150269423 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, PROGRAM RECORDING MEDIUM | 9 |
Hidetaka Kafuku | JP | Minato-Ku | 2013-05-02 / 20130109154 - METHOD AND APPARATUS FOR PRODUCING SILICON NITRIDE FILM | 3 |
Kazuaki Kafuku | JP | Chiryu-City | 2016-02-11 / 20160039056 - METHOD FOR MANUFACTURING HEAT EXCHANGER, AND HEAT EXCHANGER | 3 |
Hidetaka Kafuku | JP | Hyogo | 2012-01-05 / 20120002345 - SUBSTRATE SUPPORT TABLE OF PLASMA PROCESSING DEVICE | 4 |
Hidetaka Kafuku | JP | Tokyo | / - | 1 |
Kazuaki Kafuku | JP | Chiryu-Shi | 2010-05-20 / 20100122800 - FERRITIC STAINLESS STEEL AND STEEL SHEET FOR HEAT PIPES, AND HEAT PIPE AND HIGH-TEMPERATURE EXHAUST HEAT RECOVERY SYSTEM | 1 |
Hidetaka Kafuku | JP | Takasago-Shi | 2014-02-27 / 20140057459 - PLASMA PROCESSING METHOD AND PLASMA PROCESSING SYSTEM | 1 |
Shigeru Kafuku | JP | Tokyo | 2015-09-24 / 20150269423 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, PROGRAM RECORDING MEDIUM | 9 |
Yukari Kaga | JP | Tokyo | 2013-02-14 / 20130036828 - FIXING JIG FATIGUE TESTING TEST PIECE, AND FATIGUE TESTING DEVICE | 1 |
Shinichi Kaga | JP | Tokyo | 2015-12-24 / 20150367391 - COLD ROLLED MATERIAL MANUFACTURING EQUIPMENT AND COLD ROLLING METHOD | 2 |
Masaki Kaga | JP | Osaka | 2015-07-30 / 20150214591 - METAL-AIR CELL | 3 |
Masafumi Kaga | JP | Hitachi | 2015-06-11 / 20150162113 - DIFFERENTIAL SIGNAL CABLE AND PRODUCTION METHOD THEREFOR | 8 |
Shinichi Kaga | JP | Aichi-Ken | 2012-02-16 / 20120037145 - STEAM GENERATOR | 7 |
Tadashi Kaga | JP | Kamiina-Gun | 2015-03-19 / 20150077703 - LENS SET, METHOD OF DESIGNING LENS AND METHOD OF MANUFACTURING LENS | 3 |
Masaki Kaga | JP | Osaka-Shi | 2015-10-15 / 20150295291 - ELECTRODE BODY FOR BATTERIES, ANODE, AND METAL AIR BATTERY | 6 |
Makoto Kaga | JP | Hachioji-Shi | 2013-02-21 / 20130044168 - INK-JET IMAGE FORMING METHOD AND INK-JET INK SET | 2 |
Yoshito Kaga | JP | Moriguchi-Shi | 2012-10-04 / 20120253537 - POWER SUPPLY METHOD, RECORDING MEDIUM WHICH IS COMPUTER READABLE AND POWER GENERATION SYSTEM | 2 |
Shigetaka Kaga | JP | Sayama-Shi | 2011-09-15 / 20110221538 - Piezoelectric oscillator | 2 |
Koji Kaga | JP | Aichi-Ken | 2008-10-16 / 20080254562 - Method of making a light emitting element | 1 |
Mitsuhiro Kaga | JP | Tokyo | 2014-07-31 / 20140215153 - STORAGE SYSTEM, DISK ARRAY APPARATUS AND CONTROL METHOD FOR STORAGE SYSTEM | 1 |
Yusuke Kaga | JP | Fujisawa | 2011-08-18 / 20110200857 - LITHIUM-ION BATTERY AND METHOD OF MANUFACTURING THE SAME | 1 |
Yoshito Kaga | JP | Osaka | 2014-12-04 / 20140356685 - ASSEMBLED CELL | 1 |
Arimasa Kaga | JP | Osaka | 2010-12-02 / 20100304906 - TRANSMISSION CHAIN | 2 |
Youichirou Kaga | JP | Saitama | 2011-07-21 / 20110176277 - SILICON NITRIDE SINTERED BODY, METHOD OF PRODUCING THE SAME, AND SILICON NITRIDE CIRCUIT SUBSTRATE AND SEMICONDUCTOR MODULE USING THE SAME | 3 |
Junichi Kaga | JP | Kanagawa | 2009-12-24 / 20090315574 - OIL-DEGRADATION DETECTING APPARATUS | 1 |
Tomoya Kaga | JP | Hyogo-Ken | 2009-12-17 / 20090313096 - AUTOMATIC TOLL COLLECTION SYSTEM WITHOUT REQUIRING VEHICLE CLASSIFICATION UNIT | 1 |
Daisuke Kaga | JP | Chuo-Ku | 2014-11-06 / 20140329802 - TETRAHYDROBENZOTHIOPHENE COMPOUND | 3 |
Junichi Kaga | JP | Kanagawa-Ken | 2009-08-27 / 20090216471 - Method of monitoring deterioration of lubricating oil and device therefore | 1 |
Masafumi Kaga | JP | Hitachi | 2015-06-11 / 20150162113 - DIFFERENTIAL SIGNAL CABLE AND PRODUCTION METHOD THEREFOR | 8 |
Akihisa Kaga | JP | Utsunomiya-Shi | 2009-09-03 / 20090220874 - EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD | 1 |
Mikihiro Kaga | JP | Tokyo | 2015-08-13 / 20150223694 - Biophotonic Measurement Apparatus and Biophotonic Measurement Method Using Same | 1 |
Ryohta Kaga | JP | Meguro-Ku | 2013-06-06 / 20130141637 - COVER UNIT | 1 |
Satoshi Kaga | JP | Ishikawa | 2010-07-08 / 20100173600 - DIVERSITY RECEIVER AND RECEPTION SYSTEM SWITCHING METHOD | 2 |
Norihiko Kaga | JP | Kodaira-Shi | 2016-03-24 / 20160087130 - COMPOSITION FOR SOLAR CELL SEALING FILM, METHOD FOR PRODUCING SAME AND SOLAR CELL SEALING FILM | 5 |
Sayaka Kaga | JP | Atsugi | 2015-11-12 / 20150325631 - DISPLAY DEVICE, LIGHT-EMITTING DEVICE, AND ELECTRONIC APPLIANCE | 1 |
Tadashi Kaga | JP | Suwa-Shi | 2015-02-26 / 20150055083 - PROGRESSIVE ADDITION LENS AND METHOD FOR DESIGNING PROGRESSIVE ADDITION LENS | 2 |
Tadashi Kaga | JP | Nagano-Ken | 2010-09-30 / 20100245763 - Progressive Power Lens And Method Of Designing The Same | 6 |
Shigetaka Kaga | JP | Saitama | 2012-12-06 / 20120306315 - PIEZOELECTRIC SENSOR | 1 |
Takashi Kaga | JP | Odawara | 2014-05-01 / 20140122635 - COMPUTER SYSTEM AND DATA MANAGEMENT METHOD | 5 |
Tomoyuki Kaga | JP | Hachioji-Shi | 2014-05-15 / 20140135762 - GRASPING TREATMENT DEVICE | 1 |
Youichirou Kaga | JP | Kitakyushi-Shi | 2014-08-07 / 20140220302 - SILICON NITRIDE SUBSTRATE AND METHOD FOR PRODUCING SILICON NITRIDE SUBSTRATE | 1 |
Kazunari Kaga | JP | Kawasaki-Shi | 2010-11-11 / 20100286456 - PROCESS FOR RECOVERING PENTAFLUOROETHANE, AND PRODUCTION METHOD OF PENTAFLUOROETHANE INVOLVING THE PROCESS | 1 |
Tadashi Kaga | JP | Minowa-Machi | 2013-04-04 / 20130083288 - Optical Lens, Method for Designing Optical Lens, and Apparatus for Manufacturing Optical Lens | 7 |
Chifumi Kaga | JP | Shimada-Shi | 2013-07-18 / 20130183406 - METHOD FOR PRODUCING FROZEN BREAD DOUGH WHICH DOES NOT REQUIRE THAWING OR FERMENTATION STEP | 2 |
Yukinao Kaga | JP | Toyama-Shi | 2016-01-28 / 20160024649 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SUBSTRATE PROCESSING APPARATUS | 10 |
Norihiko Kaga | JP | Yokohama-Shi | 2015-09-24 / 20150267012 - CURED SHEET, LAMINATE HAVING THE SAME AND PROCESS FOR MANUFACTURING THE LAMINATE | 3 |
Youichirou Kaga | JP | Tokyo | 2011-11-10 / 20110272187 - SILICON NITRIDE SUBSTRATE MANUFACTURING METHOD, SILICON NITRIDE SUBSTRATE, SILICON NITRIDE CIRCUIT SUBSTRATE, AND SEMICONDUCTOR MODULE | 1 |
Katsumi Kaga | JP | Fukaya-Shi | 2011-03-10 / 20110058791 - RECORDING CONTROLLER AND RECORDING CONTROL METHOD | 4 |
Shinsuke Kaga | JP | Tokyo | 2013-01-24 / 20130022798 - LOW REFLECTION GLASS AND PROTECTIVE PLATE FOR DISPLAY | 2 |
Shinji Kaga | JP | Tokyo | 2010-04-15 / 20100093886 - ORGANIC AND INORGANIC COMPOSITE FILLER | 1 |
Toru Kaga | JP | Tokyo | 2009-08-27 / 20090212213 - PROJECTION ELECTRON BEAM APPARATUS AND DEFECT INSPECTION SYSTEM USING THE APPARATUS | 4 |
Daisuke Kaga | JP | Tokyo | 2015-01-29 / 20150031727 - AMINOALKYL-SUBSTITUTED N-THIENYLBENZAMIDE DERIVATIVE | 5 |
Ryota Kaga | JP | Tokyo | 2011-12-08 / 20110298970 - CAMERA BODY, IMAGING UNIT MOUNTED/REMOVED ON/FROM THE CAMERA BODY AND IMAGING APPARATUS | 4 |
Norihiko Kaga | JP | Tokyo | 2010-11-11 / 20100284058 - PARTICLE FOR DISPLAY MEDIA AND INFORMATION DISPLAY PANEL USING SAME | 3 |
Nozomi Kaga | JP | Tokyo | 2010-09-16 / 20100230154 - PRINTED MATTER AND ITS MANUFACTURING METHOD, AND ELECTROMAGNETIC SHIELDING MATERIAL AND ITS MANUFACTURING METHOD | 1 |
Kunihiko Kaga | JP | Tokyo | 2012-02-23 / 20120043064 - TOTAL HEAT EXCHANGE ELEMENT | 8 |
Makoto Kaga | JP | Tokyo | 2009-01-08 / 20090011264 - NONAQUEOUS INK-JET INK AND INK-JET RECORDING METHOD | 1 |
Kikuo Kaga | JP | Tokyo | 2015-01-22 / 20150021352 - WIRELESS IC TAG INJECTION APPARATUS | 15 |
Hideaki Kaga | JP | Toyokawa-Shi | 2015-08-27 / 20150241391 - Surface Property Inspection Apparatus, Surface Property Inspection System, and Surface Property Inspection Method | 1 |
Kikuo Kaga | JP | Tokyo | 2015-01-22 / 20150021352 - WIRELESS IC TAG INJECTION APPARATUS | 15 |
Hiroyuki Kaga | JP | Yokohama-Shi | 2012-10-04 / 20120250409 - SEMICONDUCTOR MEMORY AND CONTROL METHOD THEREOF | 1 |
Naoko Kaga | JP | Osaka | 2010-11-11 / 20100285946 - INFRARED TRANSMITTING GLASS FOR MOLD FORMING | 6 |
Yukinao Kaga | JP | Toyama | 2015-08-13 / 20150225852 - METHOD OF FORMING METAL-CONTAINING FILM | 9 |
Nobuyuki Kaga | JP | Seki-Shi | 2015-06-04 / 20150152312 - LIQUID COOLANT COMPOSITION | 3 |
Yukinao Kaga | JP | Toyama-Shi | 2016-01-28 / 20160024649 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SUBSTRATE PROCESSING APPARATUS | 10 |
Kouji Kaga | JP | Aichi | 2009-12-03 / 20090294063 - PLASMA PROCESSING APPARATUS | 1 |
Yuji Kaga | JP | Yamagata-Shi | 2015-07-02 / 20150182186 - EVALUATION AID | 3 |
Yoshito Kaga | JP | Kobe-Shi | 2011-10-06 / 20110244296 - BATTERY MODULE | 2 |
Hiroyasu Kaga | JP | Mito | 2013-05-16 / 20130119252 - GAS FIELD ION SOURCE AND METHOD FOR USING SAME, ION BEAM DEVICE, AND EMITTER TIP AND METHOD FOR MANUFACTURING SAME | 5 |
Yosuke Kaga | JP | Yokohama | 2013-07-11 / 20130179957 - PERSONAL IDENTIFICATION SYSTEM AND METHOD | 3 |
Shinichi Kaga | JP | Hitachi | 2013-07-04 / 20130168365 - MASH SEAM WELDING METHOD AND APPARATUS | 3 |
Shigeru Kaga | JP | Odawara | 2013-06-20 / 20130159255 - STORAGE SYSTEM AND METHOD FOR CONTROLLING STORAGE SYSTEM | 1 |
Miyuki Kaga | JP | Mitaka-Shi | 2015-01-22 / 20150025386 - PORTABLE DIAGNOSTIC ULTRASOUND APPARATUS | 1 |
Kunihiko Kaga | JP | Tokyo | 2012-02-23 / 20120043064 - TOTAL HEAT EXCHANGE ELEMENT | 8 |
Hiroshi Kaga | JP | Kanagawa-Ken | 2013-10-17 / 20130269779 - PHOTOELECTRIC CONVERSION DEVICE AND SOLAR CELL HAVING THE SAME | 1 |
Youichirou Kaga | JP | Kitakyushu-Shi | / - | 1 |
Yukinao Kaga | JP | Toyama | 2015-08-13 / 20150225852 - METHOD OF FORMING METAL-CONTAINING FILM | 9 |
Ryota Kaga | JP | Meguro-Ku | 2012-06-21 / 20120154665 - CAMERA BODY AND IMAGE PICKUP UNIT ATTACHABLE TO AND DETACHABLE FROM CAMERA BODY, AND IMAGING APPARATUS | 1 |
Hiroyuki Kaga | JP | Kanagawa-Ken | 2014-09-18 / 20140269097 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD OF CONTROLLING THE NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Shinichi Kaga | JP | Toyoake | 2009-03-12 / 20090064710 - Cooling apparatus | 1 |
Shinichi Kaga | JP | Aichi | 2009-04-23 / 20090105884 - Cooling Storage Cabinet and Method of Operating the Same | 1 |
Masanori Kaga | JP | Tokyo | 2014-11-27 / 20140349770 - INFORMATION PROCESSING DEVICE, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM STORING GAME PROGRAM | 1 |
Shinichi Kaga | JP | Toyoake-Shi | 2011-06-16 / 20110138849 - Cooling Device | 4 |
Shinzo Kagabu | JP | Gifu-Shi | 2015-04-16 / 20150105427 - PEST CONTROL AGENT | 4 |
Shinzo Kagabu | JP | Gifu | 2012-11-01 / 20120277440 - IMINO DERIVATIVES, PROCESS FOR PREPARATION THEREOF, AND INSECTICIDES CONTAINING SAME | 2 |
Hemant Kagade | US | Charlotte | 2015-06-04 / 20150154615 - Entity Identification and Association | 3 |
Takayuki Kagami | JP | Saitama | 2012-09-06 / 20120222610 - ELECTROMAGNETIC WAVE RADIATION DETECTION MEMBER, ELECTROMAGNETIC WAVE RADIATION DETECTION METHOD, AND DEVICE INCLUDING ELECTROMAGNETIC WAVE RADIATION DETECTION MEMBER | 2 |
Osamu Kagami | JP | Iwate | 2008-10-16 / 20080254519 - Process for Producing Picolinic Acid Compounds | 1 |
Miho Kagami | JP | Kanagawa | 2014-10-16 / 20140305468 - METHOD FOR EXFOLIATING COATING LAYER OF ELECTRODE FOR ELECTROLYSIS | 1 |
Masanao Kagami | JP | Aichi | 2015-09-17 / 20150260194 - Turbo Compressor | 1 |
Tsuyoshi Kagami | JP | Shizuoka | 2010-06-10 / 20100139556 - PURGE GAS ASSEMBLY | 2 |
Takashi Kagami | JP | Kanagawa | 2013-02-21 / 20130045031 - CLEANING SYSTEM CONTROL METHOD, FIXING DEVICE, AND IMAGE FORMING APPARATUS INCORPORATING SAME | 3 |
Masanao Kagami | JP | Aichi-Ken | 2014-07-31 / 20140210293 - PERMANENT MAGNET EMBEDDED TYPE ROTOR FOR ROTATING ELECTRICAL MACHINE AND ROTATING ELECTRICAL MACHINE HAVING PERMANENT MAGNET EMBEDDED TYPE ROTOR | 1 |
Keiichi Kagami | JP | Miyagi | 2009-07-23 / 20090186171 - POLARIZATION PLATE MANUFACTURING METHOD AND DISPLAY APPARATUS | 2 |
Narinobu Kagami | JP | Chiba | 2015-08-13 / 20150224476 - SPHEROIDAL RESID HYDRODEMETALLATION CATALYST | 1 |
Masaki Kagami | JP | Shizuoka | 2015-09-17 / 20150260220 - LINEAR MOTION GUIDE DEVICE | 1 |
Yuji Kagami | JP | Kanagawa-Ken | 2009-11-05 / 20090273138 - RECORDING APPARATUS | 2 |
Kenji Kagami | JP | Kanagawa | 2008-09-18 / 20080225070 - Image forming apparatus | 1 |
Yukio Kagami | JP | Ome-Shi | 2008-10-23 / 20080261589 - Mobile radio terminal | 1 |
Takeo Kagami | JP | Toyko | 2011-12-22 / 20110310510 - PERPENDICULAR MAGNETIC WRITE HEAD AND MAGNETIC RECORDING DEVICE | 1 |
Yuichi Kagami | JP | Yamanashi-Ken | 2015-01-29 / 20150028191 - IMAGE SENSOR UNIT AND IMAGE READING DEVICE | 1 |
Toshiki Kagami | JP | Tokyo | 2009-05-28 / 20090135224 - LIQUID DISCHARGER AND METHOD FOR CONTROLLING THE SAME | 1 |
Yoshinobu Kagami | JP | Kanagawa | 2009-01-08 / 20090009828 - ORIGINAL READING DEVICE AND IMAGE FORMING APPARATUS | 2 |
Kazuhiro Kagami | JP | Tokyo | 2008-09-18 / 20080226280 - INTERCHANGEABLE LENS | 1 |
Shota Kagami | JP | Tokyo | 2013-08-01 / 20130192448 - PROCESSING DEVICE AND METHOD FOR DISPLAYING A STATE OF TONE GENERATION APPARATUS | 1 |
Sadaharu Kagami | JP | Nagoya-Shi | 2010-12-23 / 20100320799 - REAR DOOR | 1 |
Manabu Kagami | JP | Nagoya-Shi | 2008-10-23 / 20080259946 - Vehicle network system | 1 |
Masaharu Kagami | JP | Tokyo | 2014-12-04 / 20140353132 - MULTI-DIRECTIONAL SWITCH AND OPERATION INPUT DEVICE | 4 |
Ichiro Kagami | JP | Kawasaki | 2009-09-17 / 20090232135 - RECEIVING APPARATUS AND RECEIVING METHOD | 1 |
Satoshi Kagami | JP | Tokyo | 2015-02-26 / 20150057066 - NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM STORING GAME PROGRAM, AND INFORMATION PROCESSING DEVICE | 4 |
Toshihiko Kagami | JP | Saitama | 2013-01-31 / 20130027141 - CRYSTAL RESONATOR AND CRYSTAL OSCILLATOR | 1 |
Osamu Kagami | JP | Yokosuka-Shi | 2009-11-19 / 20090285137 - WIRELESS TRANSMITTING APPARATUS, WIRELESS RECEIVING APPARATUS, WIRELESS TRANSMISSION METHOD, WIRELESS RECEPTION METHOD, WIRELESS COMMUNICATION SYSTEMS, AND WIRELESS COMMUNICATION METHOD | 1 |
Yu Kagami | JP | Miyagi | 2010-07-01 / 20100162550 - SCREW ATTACHMENT, FASTENING ASSEMBLY HAVING SCREW ATTACHMENT, AND PROCESS OF RELEASING FASTENING ASSEMBLY | 1 |
Tamito Kagami | JP | Kanagawa-Ken | 2009-03-26 / 20090079808 - INTERMITTENT APPLICATION METHOD AND APPARATUS, AND INKJET RECORDING METHOD AND APPARATUS | 1 |
Takashi Kagami | JP | Mito City | 2009-11-05 / 20090274498 - FIXING DEVICE AND IMAGE FORMING APPARATUS WITH IMPROVED MECHANISM FOR STABLY APPLYING OIL FOR FIXING | 6 |
Kazuyuki Kagami | JP | Shiojiri-Shi | 2011-05-05 / 20110102527 - CARRIAGE DEVICE OF INK JET RECORDING APPARATUS AND INK JET RECORDING APPARATUS HAVING THE SAME | 3 |
Akinori Kagami | JP | Yokkaichi-Shi | 2010-06-10 / 20100140059 - OPERATING DEVICE AND OPERATING SYSTEM | 1 |
Kazuyoshi Kagami | JP | Susono-Shi | 2016-02-18 / 20160049800 - POWER SUPPLY SYSTEM AND RESONANCE CIRCUIT | 6 |
Shin Kagami | JP | Yokohama | 2010-06-03 / 20100135138 - OPTICAL DISK DRIVE AND METHOD OF DETERMINING WRITE STRATEGY THEREOF | 1 |
Yuichi Kagami | JP | Minamikoma-Gun | 2016-03-17 / 20160077334 - ROD LENS ARRAY AND IMAGE SENSOR | 4 |
Masanao Kagami | JP | Kariya-Shi | 2014-09-25 / 20140287865 - ROTATING ELECTRIC MACHINE | 4 |
Shu Kagami | JP | Nagoya | 2012-06-14 / 20120149256 - ELECTRIC CONNECTION STRUCTURE | 3 |
Katsuyuki Kagami | JP | Nagoya-Shi | 2014-08-07 / 20140220476 - LIQUID ELECTROLYTE FOR BATTERIES, METHOD FOR PRODUCING THE SAME, AND BATTERY COMPRISING THE SAME | 1 |
Manabu Kagami | JP | Aichi-Ken | 2010-01-07 / 20100001421 - Fabrication method of self-written optical waveguide | 2 |
Toshiaki Kagami | JP | Minamikoma-Gun | 2012-01-05 / 20120004086 - Sheet folding apparatus | 3 |
Keiichi Kagami | JP | Fukushima | 2016-02-11 / 20160043429 - BATTERY, ELECTROLYTE LAYER, BATTERY PACK, ELECTRONIC APPARATUS, ELECTRIC VEHICLE, POWER STORAGE DEVICE, AND ELECTRIC POWER SYSTEM | 4 |
Yoshiyuki Kagami | JP | Yokohama | 2009-01-01 / 20090002860 - STORAGE APPARATUS AND CONTROL APPARATUS THEREOF, AND HEAD VIBRATION MEASUREMENT METHOD | 1 |
Keisuke Kagami | JP | Tokyo | 2008-09-18 / 20080227832 - Modified Coffee, Method of Roasting Coffee Bean, Coffee-Like Supplement and Auxiliary Food | 1 |
Hideaki Kagami | JP | Nagoya-Shi | 2010-10-14 / 20100260722 - Material for ameliorating skin tissue and method for producing the same | 1 |
Sayuri Kagami | JP | Sandai-Shi | 2012-07-05 / 20120170899 - METHOD FOR REINFORCING A SPLICE PART AND REINFORCING STRUCTURE | 1 |
Kenichi Kagami | JP | Tokyo | 2009-04-09 / 20090093135 - SEMICONDUCTOR MANUFACTURING APPARATUS AND METHOD FOR CURING MATERIAL WITH UV LIGHT | 4 |
Masahiro Kagami | JP | Nagoya-Shi | 2015-07-23 / 20150207341 - BATTERY SYSTEM | 1 |
Erika Kagami | JP | Tsukuba-Shi | 2015-07-30 / 20150209316 - AGENT FOR ENHANCING IMMUNITY CONTAINING GLUTATHIONE | 2 |
Yukio Kagami | JP | Tokyo | 2011-12-08 / 20110298743 - INFORMATION PROCESSING APPARATUS | 2 |
Shingo Kagami | JP | Sendai | 2015-09-17 / 20150258684 - ROBOT, ROBOT SYSTEM, AND CONTROL DEVICE | 2 |
Takeo Kagami | JP | Tokyo | 2014-06-12 / 20140160597 - MAGNETIC HEAD FOR PERPENDICULAR MAGNETIC RECORDING HAVING A WRITE SHIELD | 18 |
Fumio Kagami | JP | Yokohama-Shi | 2015-07-02 / 20150188170 - FUEL CELL SYSTEM | 3 |
Toshiaki Kagami | JP | Yamanashi-Ken | 2012-11-01 / 20120275838 - SHEET FOLDING APPARATUS AND IMAGE FORMATION SYSTEM PROVIDED WITH THE APPARATUS | 2 |
Fumito Kagami | JP | Nirasaki-Shi | 2009-04-02 / 20090085594 - PROBE APPARATUS AND PROBING METHOD | 2 |
Kazuhiro Kagami | JP | Funabashi-Shi | 2014-11-06 / 20140328917 - ORGANIC COMPOUND NANO-POWDER, METHOD FOR PRODUCING THE SAME AND SUSPENSION | 1 |
Masayoshi Kagami | JP | Sendai-Shi | 2012-07-05 / 20120170899 - METHOD FOR REINFORCING A SPLICE PART AND REINFORCING STRUCTURE | 1 |
Kazutoyo Kagami | JP | Ota-Shi | 2009-04-23 / 20090100848 - ELECTRONIC DEVICE COOLING SYSTEM AND ELECTRONIC DEVICE COOLING APPARATUS | 1 |
Takeo Kagami | JP | Chuo-Ku | 2008-11-27 / 20080291579 - METHOD FOR TESTING NOISE OF THIN-FILM MAGNETIC HEAD, AND MAGNETIC DISK DRIVE APPARATUS WITH NOISE TESTING FUNCTION | 2 |
Shu Kagami | JP | Nagoya-City | 2010-10-21 / 20100264239 - INJECTOR | 7 |
Kazuyoshi Kagami | JP | Yokosuka-Shi | 2014-04-24 / 20140111023 - METHOD OF DESIGNING POWER FEEDING SYSTEM AND POWER FEEDING SYSTEM | 2 |
Hideaki Kagami | JP | Aichi | 2013-12-26 / 20130344597 - CELL CULTURE METHOD AND AUTOMATIC CULTURE SYSTEM USING THE METHOD | 2 |
Akihiko Kagami | JP | Tokyo | 2011-03-10 / 20110058438 - SEMICONDUCTOR MEMORY DEVICE AND REFRESH CONTROL METHOD OF MEMORY SYSTEM | 3 |
Morio Kagami | JP | Hyogo | 2010-12-30 / 20100329957 - EXHAUST-GAS TREATMENT APPARATUS AND EXHAUST-GAS TREATMENT METHOD | 2 |
Yuji Kagami | JP | Kawasaki-Shi | 2011-10-06 / 20110243634 - PRINTING SYSTEM, SHEET PROCESSING SYSTEM, AND SHEET-PATH SWITCHING APPARATUS | 1 |
Eriko Kagami | JP | Tokyo | 2011-08-04 / 20110187815 - IMAGE PICKUP APPARATUS AND IMAGE ACQUIRING METHOD | 1 |
Yuichi Kagami | JP | Kai-Shi | 2010-08-26 / 20100214803 - Led light source and image reading apparatus | 1 |
Fumio Kagami | JP | Kanagawa | 2011-01-13 / 20110008690 - FUEL CELL STACK | 1 |
Yoshifumi Kagamiishi | JP | Mishima-Gun | 2009-05-28 / 20090137604 - TRI-HETEROCYCLIC COMPOUNDS AND A PHARMACEUTICAL COMPRISING THEM AS AN ACTIVE INGREDIENT | 1 |
Yoshifumi Kagamiishi | JP | Osaka | 2015-04-16 / 20150105415 - TRICYCLIC COMPOUND AND USE THEREOF | 7 |
Yuji Kagamitani | JP | Ibaraki | 2015-01-22 / 20150023862 - METHOD FOR PRODUCING NITRIDE SINGLE CRYSTAL | 2 |
Yuji Kagamitani | JP | Miyagi | 2011-11-03 / 20110268645 - PROCESS FOR PRODUCING NITRIDE CRYSTAL, NITRIDE CRYSTAL AND APPARATUS FOR PRODUCING SAME | 3 |
Yuji Kagamitani | JP | Sendai-Shi | 2009-07-02 / 20090165702 - SELF-COATED SINGLE CRYSTAL, AND PRODUCTION APPARATUS AND PROCESS THEREFOR | 1 |
Yuji Kagamitani | JP | Ushiku | 2015-09-03 / 20150247256 - METHOD FOR PRODUCING NITRIDE CRYSTAL AND NITRIDE CRYSTAL | 2 |
Daniel Kagan | US | Westfield | 2015-01-15 / 20150013304 - ACOUSTICALLY TRIGGERED NANO/MICRO-SCALE PROPULSION DEVICES | 3 |
Avraham Kagan | IL | Ramat-Hasharon | 2013-09-12 / 20130238280 - SYSTEM AND METHOD FOR NORTH FINDING | 2 |
Valerian Kagan | US | Pittsburgh | 2015-10-22 / 20150297578 - Selective Targeting Agents for Mitochondria | 3 |
Steven Kagan | US | Burr Ridge | 2016-05-12 / 20160132425 - SYSTEM AND METHOD FOR EFFICIENT CREATION AND RECONCILIATION OF MACRO AND MICRO LEVEL TEST PLANS | 9 |
Michael Kagan | US | Sebec | 2012-08-02 / 20120196774 - SYSTEMS, DEVICES, AND METHODS FOR ULTRA-SENSITIVE DETECTION OF MOLECULES OR PARTICLES | 1 |
Jonathan Kagan | US | Hopkins | 2016-03-10 / 20160067138 - SYSTEM FOR PERCUTANEOUSLY ADMINISTERING REDUCED PRESSURE TREATMENT USING BALLOON DISSECTION | 19 |
Michael Kagan | IL | Jerusalem | 2014-06-26 / 20140179781 - EICOSAPENTAENOIC ACID (EPA) FORMULATIONS | 3 |
Tomer Kagan | US | Sunnyvale | 2016-02-25 / 20160055231 - Application Representation For Application Editions | 12 |
Martin Kagan | US | Portland | 2016-02-11 / 20160043990 - DNS RESOLUTION REPLAY FOR BARE DOMAIN NAMES THAT MAP TO "A" RECORDS | 7 |
Cherie R. Kagan | US | Bala-Cynwyd | 2015-12-17 / 20150364324 - NANOCRYSTAL THIN FILM FABRICATION METHODS AND APPARATUS | 13 |
Cherie R. Kagan | US | Bala-Cynwyd | 2015-12-17 / 20150364324 - NANOCRYSTAL THIN FILM FABRICATION METHODS AND APPARATUS | 13 |
Igor Kagan | IL | Kiryat Bialik | 2014-08-07 / 20140218494 - High Definition Video Recorder/Player | 1 |
Cherie R. Kagan | US | Ossining | 2012-12-06 / 20120308933 - RADIATION SENSITIVE SELF-ASSEMBLED MONOLAYERS AND USES THEREOF | 9 |
Marty Kagan | US | North Hollywood | 2011-11-17 / 20110282990 - Method and system for constraining server usage in a distributed network | 2 |
Christopher Kagan | US | Fort Myers | 2015-04-30 / 20150115669 - CLIMATE CONTROLLED CHILD TRANSPORT | 1 |
Martin Kagan | US | Burlingame | 2008-09-11 / 20080222305 - NETWORK CACHE-BASED CONTENT ROUTING | 1 |
Cherie Kagan | US | Bala Cynwyd | 2012-06-07 / 20120143568 - SELF-ADAPTIVE BIO-SIGNAL AND MODULATION DEVICE | 2 |
Danielle Kagan | US | Fort Myers | 2015-04-30 / 20150115669 - CLIMATE CONTROLLED CHILD TRANSPORT | 1 |
Erran Kagan | US | Great Neck | 2016-03-10 / 20160069941 - POWER METER HAVING MULTIPLE ETHERNET PORTS | 45 |
Matthew Kagan | US | Beverly Hills | 2008-11-13 / 20080277977 - User Interface Support, And Chair In Combination Therewith | 1 |
Steven M. Kagan | US | Burr Ridge | 2015-12-17 / 20150363378 - MANAGING DOCUMENT UNIT REFERENCES TO IMPROVE COLLABORATIVE DOCUMENT EDITING | 7 |
Linda Kagan | US | New York | 2009-08-06 / 20090199131 - INTERACTIVE DATABASE FOR CREATION AND CUSTOMIZATION OF FORMS | 1 |
Denise Violetta Kagan | US | Riverwoods | 2012-11-22 / 20120294303 - WIDE AREA COMMUNICATION NETWORKING | 3 |
Yishai Kagan | US | Sunnyvale | 2012-09-06 / 20120226927 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR ADVANCED POWER MANAGEMENT FOR SERIAL ADVANCED TECHNOLOGY ATTACHMENT (SATA)-BASED STORAGE DEVICES | 2 |
Dimitry Kagan | IL | Beer Shava | 2014-05-29 / 20140150109 - METHOD FOR PROTECTING USER PRIVACY IN SOCIAL NETWORKS | 1 |
Valery G. Kagan | US | Colchester | 2009-11-19 / 20090285695 - MAGNETIC INDUCTION PUMP | 1 |
Tomer Kagan | US | Sunnyvale | 2016-02-25 / 20160055231 - Application Representation For Application Editions | 12 |
Steven M. Kagan | US | 2012-06-28 / 20120166236 - EFFICIENT FRONTIER AND ATTAINMENT RATE FOR BUSINESS TRANSFORMATION OUTSOURCING | 1 | |
Stanislav Kagan | US | Granada Hills | 2009-12-31 / 20090326619 - HOT/COLD REUSABLE THERAPUTIC PACK | 1 |
Elena Kagan | IL | Rehovot | 2014-02-20 / 20140050784 - PHARMACEUTICAL COMPOSITIONS OF MEMANTINE | 1 |
Roman Kagan | IL | Haifa | 2008-11-13 / 20080281548 - Method and System for Automatic Defect Detection of Articles in Visual Inspection Machines | 1 |
Genady Kagan | IL | Haifa | 2015-09-17 / 20150257665 - MULTIPLE LED SENSORS ON A FIBEROPTIC CABLE USED AS A CATHETER | 1 |
Valery Kagan | US | Colchester | 2010-06-03 / 20100134082 - APPARATUS FOR DELIVERING HARMONIC INDUCTIVE POWER | 3 |
Michael Kagan | IL | Yokneam | 2008-09-11 / 20080219150 - AUTO-NEGOTIATION BY NODES ON AN INFINIBAND FABRIC | 1 |
Jonathan Kagan | US | Hopkins | 2016-03-10 / 20160067138 - SYSTEM FOR PERCUTANEOUSLY ADMINISTERING REDUCED PRESSURE TREATMENT USING BALLOON DISSECTION | 19 |
Richard Kagan | US | San Jose | 2014-10-02 / 20140297890 - DYNAMIC NETWORK ACTION BASED ON DHCP NOTIFICATION | 2 |
Marty Kagan | US | Burlingame | 2014-02-20 / 20140052811 - Dynamic content assembly on edge-of network servers in a content delivery network | 4 |
Harris D. Kagan | US | Foxborough | 2012-11-15 / 20120288281 - MULTI-DROP OPTICAL COMMUNICATION | 1 |
Elliott Kagan | US | Potomac | 2011-07-21 / 20110177131 - siRNA SILENCING OF FILOVIRUS GENE EXPRESSION | 1 |
Jeremy Kagan | US | New York | 2012-12-06 / 20120310728 - BUY-SIDE ADVERTISING FACTORS OPTIMIZATION | 1 |
Niv Kagan | IL | Hod Hasharon | 2015-12-10 / 20150356516 - SYSTEM AND METHOD FOR FACILITATING MEETINGS BETWEEN MULTIPLE PARTICIPANTS | 1 |
Oren Kagan | US | Chestnut Hill | 2012-05-24 / 20120129545 - SYSTEMS AND METHODS FOR SELECTIVELY INVOKING POSITIONING SYSTEMS FOR MOBILE DEVICE CONTROL APPLICATIONS USING MULTIPLE SENSING MODALITIES | 5 |
Jonathan Kagan | US | Minneapolis | 2010-12-09 / 20100312086 - Chamber location method | 1 |
Michael Kagan | US | Doylestown | 2010-12-30 / 20100326587 - UPON A CARTRIDGE FOR CONTAINING A SPECIMEN SAMPLE FOR OPTICAL ANALYSIS | 1 |
Andrew Kagan | US | Great Neck | 2014-06-26 / 20140181924 - INTELLIGENT ELECTRONIC DEVICE HAVING USER-AUTHENTICATING CAPABILITIES | 3 |
Valerian E. Kagan | US | Pittsburgh | 2014-04-17 / 20140107317 - Selective Targeting Agents for Mitochondria | 3 |
Erran Kagan | US | Port Washington | 2011-03-03 / 20110054814 - POWER METER HAVING MULTIPLE ETHERNET PORTS | 2 |
Daniel I. Kagan | US | Belmont | 2015-01-22 / 20150025104 - Nutraceuticals Having Sustained Release for Improved Bioavailability and Method of Production | 4 |
Arkadi Kagan | IL | Petach-Tikva | 2008-12-18 / 20080313353 - Web Console With Xml/Xsl Interface | 1 |
Jeremy S. Kagan | IL | Beeit Shemesh | 2010-08-19 / 20100211491 - UNIVERSAL MOBILE ELECTRONIC COMMERCE | 1 |
Mikhail Kagan | US | North Chelmsford | 2011-04-21 / 20110091374 - CONTRAST AGENTS FOR APPLICATIONS INCLUDING PERFUSION IMAGING | 1 |
Igor Kagan | IL | Kiryat Biyalik | 2015-04-09 / 20150099929 - ENDOSCOPE ILLUMINATION SYSTEM | 1 |
Olga Kagan | IL | Ashdod | 2015-05-14 / 20150129811 - ELECTROSTATIC INKS AND METHOD FOR THEIR PRODUCTION | 1 |
Steven Kagan | US | Burr Ridge | 2016-05-12 / 20160132425 - SYSTEM AND METHOD FOR EFFICIENT CREATION AND RECONCILIATION OF MACRO AND MICRO LEVEL TEST PLANS | 9 |
Steven Kagan | US | Oakbrook Terrace | 2012-12-20 / 20120323550 - SYSTEM AND METHOD FOR SYSTEM INTEGRATION TEST (SIT) PLANNING | 11 |
Cherie R. Kagan | US | Ossining | 2012-12-06 / 20120308933 - RADIATION SENSITIVE SELF-ASSEMBLED MONOLAYERS AND USES THEREOF | 9 |
Michael Kagan | IL | Zichron Yaacov | 2011-11-03 / 20110270917 - NETWORK ADAPTER WITH SHARED DATABASE FOR MESSAGE CONTEXT INFORMATION | 3 |
Alexander Kagan | US | Guilderland | 2011-07-28 / 20110179808 - NECK DEICER FOR LIQUID HELIUM RECONDENSOR OF MAGNETIC RESONANCE SYSTEM | 1 |
Gershon M. Kagan | IL | Beit Shemesh | 2010-08-19 / 20100211491 - UNIVERSAL MOBILE ELECTRONIC COMMERCE | 1 |
Tomer Kagan | US | Mountain View | 2014-09-04 / 20140250106 - Generating Search Results Containing State Links to Applications | 3 |
Asaf Kagan | IL | Herzliya | 2015-07-09 / 20150192465 - SNAPSHOT SPECTRAL IMAGING BASED ON DIGITAL CAMERAS | 2 |
Jonathan C. Kagan | US | Brookline | 2015-02-05 / 20150037362 - MODULATORS OF ANTIVIRAL SIGNALING PATHWAYS AND THERAPEUTIC USES THEREOF | 1 |
Shahar Kagan | IL | Nes-Chiyona | 2009-11-12 / 20090280867 - Method and apparatus for processing random access bursts in a smart antenna system | 3 |
Gershon Kagan | IL | Bet Shemesh | 2009-11-26 / 20090292619 - METHOD FOR UNIVERSAL ELECTRONIC PAYMENT PROCESSING | 1 |
Michael T Kagan | US | Dover-Foxcroft | 2016-02-18 / 20160046998 - METHDS AND COMPOSITION TO GENERATE UNIQUE SEQUENCE DNA PROBES, LABELING OF DNA PROBES AND THE USE OF THESE PROBES | 1 |
Michael Kagan | IL | Zichron Yaakov | 2016-03-17 / 20160077976 - ADDRESS TRANSLATION SERVICES FOR DIRECT ACCESSING OF LOCAL MEMORY OVER A NETWORK FABRIC | 41 |
Arnold Kagan | US | Great Neck | 2012-09-06 / 20120223278 - SINGLE HUNG OR DOUBLE HUNG WINDOW OPENER | 1 |
Valarian E. Kagan | US | Pittsburgh | 2013-08-08 / 20130203829 - Mitochondria-Targeted Inhibitors of Cytochrome C Peroxidase for Protection from Apoptosis | 1 |
Jeffrey Asher Kagan | US | San Diego | 2015-09-03 / 20150249257 - Multi-Modal Energy Harvester | 1 |
Grigory Kaganitsky | CA | Montreal/pierrefonds | 2011-06-23 / 20110150161 - Method of stabilization and controlling of the nuclear ammunitions physical and mechanical parameters by means of usage "absorbing shutter" changing own aggregate state during of the initiation process | 1 |
Miki Kagano | JP | Chiyoda-Ku | 2015-12-31 / 20150377650 - MAGNETIC SENSOR DEVICE | 1 |
Hirokazu Kagano | JP | Hyogo | 2009-05-28 / 20090137823 - (E)-N-Monoalkyl-3-Oxo-3-(2-Thienyl) Propenamine and Process for Producing the Same and Process for Producing (E,Z)-N-Monoalkyl-3-Oxo-3-(2-Thienyl) Propenamine | 2 |
Miki Kagano | JP | Tokyo | 2014-07-17 / 20140197828 - HIGH FREQUENCY SWITCH | 2 |
Hirokazu Kagano | JP | Kako-Gun | 2012-06-14 / 20120149917 - (E)-N-MONOALKYL-3-OXO-3-(2-THIENYL) PROPENAMINE AND PROCESS FOR PRODUCING THE SAME AND PROCESS FOR PRODUCING (E,Z)-N-MONOALKYL-3-OXO-3-(2-THIENYL) PROPENAMINE | 1 |
Teruo Kaganoi | JP | Funabashi | 2013-09-05 / 20130230046 - MULTICAST SNOOPING ON LAYER 2 VIRTUAL PRIVATE NETWORK | 7 |
Teruo Kaganoi | JP | Yokohama | 2012-10-18 / 20120263044 - RELAY DEVICE, NETWORK SYSTEM, AND NETWORK FAILURE DETECTION METHOD | 5 |
Anatoly Kaganov | AU | Elsternwick | 2011-10-27 / 20110260556 - PERSONAL PORTABLE POWER DISTRIBUTION APPARATUS | 1 |
Yury Kaganov | US | Churchville | 2015-04-30 / 20150120484 - SYSTEM AND METHOD FOR MARKETING AND SELLING AUCTION ITEMS | 1 |
Anatoly Kaganov | AU | Victoria | 2008-11-06 / 20080271553 - Actuating Mechanism and Brake Assembly | 1 |
Steven N. Kaganove | US | Midland | 2012-05-03 / 20120107620 - N-HALAMINE BASED BIOCIDAL COATINGS COMPOSED OF ELECTROSTATICALLY SELF-ASSEMBLED LAYERS | 1 |
Dmitri Kaganovich | US | Potomac | 2015-05-28 / 20150146748 - Pulse-Shaping Interferometer for Chirped-Pulsed Amplification Laser | 1 |
Steve Kaganovich | US | Northbrook | 2015-02-05 / 20150038309 - Method For Lubricating Pressure Relief Valves | 3 |
Miron Kaganovich | IL | Haifa | 2012-04-12 / 20120089136 - CRYOSURGICAL INSTRUMENT WITH QUICK COUPLING MECHANISM | 10 |
Miron Kaganovich | IL | Haifa | 2012-04-12 / 20120089136 - CRYOSURGICAL INSTRUMENT WITH QUICK COUPLING MECHANISM | 10 |
Vitaliy M. Kaganovich | US | Los Angeles | 2014-05-01 / 20140118716 - VIDEO AND LIDAR TARGET DETECTION AND TRACKING SYSTEM AND METHOD FOR SEGMENTING MOVING TARGETS | 3 |
Artur Kaganovsky | US | Wheeling | 2010-06-03 / 20100137874 - DIGITAL DEPTH GAUGE | 1 |
Ilya Kagansky | US | Lincoln | 2011-10-20 / 20110258688 - RESOURCE MONITORING USING A JMX MESSAGE BRIDGE | 1 |
Brian E. Kagarise | US | Colorado Springs | 2015-09-17 / 20150258334 - MEDICAL DEVICE FOR REMOVING AN IMPLANTED OBJECT | 1 |
Yuma Kagata | JP | Kariya-City | 2015-10-15 / 20150295028 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 6 |
Hiroshi Kagata | JP | Osaka | 2016-03-17 / 20160079886 - VIBRATION POWER GENERATION DEVICE | 17 |
Takayoshi Kagata | JP | Shiojiri | 2015-10-15 / 20150291820 - INK COMPOSITION, AND RECORDED MATTER, RECORDING METHOD AND RECORDING APPARATUS USING THE SAME | 20 |
Teppei Kagata | JP | Himeji-Shi | 2010-05-27 / 20100128232 - HIGH-PRESSURE DISCHARGE LAMP LIGHT SOURCE DEVICE AND PROJECTOR | 1 |
Takayoshi Kagata | JP | Shiojiri-Shi | 2014-10-02 / 20140292902 - WHITE-BASED PIGMENT, WHITE-BASED INK COMPOSITION, INK SET, AND INK JET RECORDING METHOD | 47 |
Takayoshi Kagata | JP | Shiojirl-Shi | 2011-07-28 / 20110181646 - PRINTED MATTER, METHOD FOR RECORDING COLOR IMAGES, COLOR PRINTED MATTER, LOOK-UP TABLE FOR USE IN COLOR IMAGE RECORDING, AND WHITE INK | 1 |
Takayoshi Kagata | JP | Shiojiri | 2015-10-15 / 20150291820 - INK COMPOSITION, AND RECORDED MATTER, RECORDING METHOD AND RECORDING APPARATUS USING THE SAME | 20 |
Hiroshi Kagata | JP | Osaka | 2016-03-17 / 20160079886 - VIBRATION POWER GENERATION DEVICE | 17 |
Hidetaka Kagatsume | JP | Shiga | 2009-10-01 / 20090243873 - ELECTRONIC SHELF LABEL AND ELECTRONIC SHELF LABEL SYSTEM | 1 |
Akiko Kagatsume | JP | Tsukuba | 2011-05-12 / 20110108841 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, AND DISPLAY APPARATUS USING THE SEMICONDUCTOR DEVICE | 1 |
Satoshi Kagatsume | US | 2015-08-20 / 20150233412 - BOLT-LOCKING APPARATUS, MOUNTING METHOD THEREOF AND MOUNTING JIG | 1 | |
Satoshi Kagatsume | JP | Nirasaki City | 2012-09-13 / 20120230757 - BOLT-LOCKING APPARATUS, MOUNTING METHOD THEREOF AND MOUNTING JIG | 1 |
Satoshi Kagatsume | JP | Nirasaki-Shi | 2015-08-20 / 20150233412 - BOLT-LOCKING APPARATUS, MOUNTING METHOD THEREOF AND MOUNTING JIG | 1 |
Masashi Kagawa | JP | Kagawa | 2015-11-05 / 20150314486 - SEPARATING DEVICE AND SEPARATING METHOD OF MATERIAL OF ABSORBENT ARTICLE | 4 |
Fumihiro Kagawa | JP | Kariya-Shi | 2015-03-05 / 20150061421 - ELECTRIC COMPRESSOR | 3 |
Takashi Kagawa | JP | Fukushima-Ken | 2013-02-28 / 20130053520 - CROSS-LINKING AGENT FOR CROSS-LINKABLE ELASTOMERS AND APPLICATION THEREOF | 3 |
Hiroyuki Kagawa | JP | Tokyo | 2015-10-29 / 20150307638 - METHOD FOR FORMING POLYMER USING BORON COMPOUND, POLYMERIZATION INITIATOR AND THE POLYMER | 3 |
Kazunori Kagawa | JP | Aichi | 2012-05-17 / 20120123660 - VEHICLE CONTROL DEVICE, VEHICLE CONTROL METHOD, AND VEHICLE CONTROL SYSTEM | 8 |
Mikio Kagawa | JP | Osaka | 2014-05-08 / 20140124175 - OUTDOOR UNIT OF REFRIGERATING APPARATUS | 2 |
Atsushi Kagawa | JP | Saitama | 2015-04-23 / 20150109679 - HEAD MOUNTED DISPLAY | 2 |
Yasuhiro Kagawa | JP | Chiyoda-Ku | 2013-07-04 / 20130168700 - POWER SEMICONDUCTOR DEVICE | 1 |
Toshiharu Kagawa | JP | Tokyo | 2015-03-05 / 20150059879 - POPPET VALVE | 1 |
Takumi Kagawa | JP | Yamaguchi | 2012-05-24 / 20120130090 - TRIFLUOROMETHYLTHIOPHENIUM DERIVATIVE SALT, METHOD FOR PRODUCING THE SAME, AND METHOD FOR PRODUCING TRIFLUOROMETHYL-CONTAINING COMPOUNDS USING THE SAME | 1 |
Yoshikazu Kagawa | JP | Kanonji-Shi | 2012-12-27 / 20120326081 - CARBON BRUSH | 1 |
Kenkichi Kagawa | JP | Osaka | 2015-09-10 / 20150251935 - WATER TREATMENT DEVICE | 13 |
Takafumi Kagawa | JP | Osaka | 2009-07-30 / 20090193521 - ELECTRONIC DEVICE, UPDATE SERVER DEVICE, KEY UPDATE DEVICE | 2 |
Kyoichi Kagawa | JP | Osaka | 2011-08-18 / 20110201551 - PEPTIDE HAVING ANTI-DIABETIC ACTIVITY AND USE THEREOF | 3 |
Naohiro Kagawa | JP | Iwaki-Shi | 2013-02-07 / 20130034712 - Coated CBN Sintered Body | 1 |
Hiroki Kagawa | JP | Nara | 2011-08-11 / 20110194954 - ELECTRIC PUMP UNIT | 1 |
Kazuhiro Kagawa | JP | Hyogo | 2014-07-03 / 20140183562 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Takashi Kagawa | JP | Tokyo | 2015-02-19 / 20150047194 - TUBE EXPANSION METHOD | 1 |
Mikio Kagawa | JP | Sakai-Shi | 2014-11-20 / 20140339968 - CASING OF OUTDOOR UNIT IN AIR CONDITIONING DEVICE | 7 |
Tomoyuki Kagawa | JP | Tokyo | 2015-02-19 / 20150052048 - MERCHANDISE SALES SYSTEM | 1 |
Daiji Kagawa | JP | Utsunomiya-Shi, Tochigi | 2016-05-19 / 20160136130 - Oral Ultraviolet Resistance Enhancer | 1 |
Yoshihiro Kagawa | JP | Kobe-Shi | 2014-12-25 / 20140378593 - RUBBER COMPOSITION FOR UNDERTREAD, AND PNEUMATIC TIRE | 5 |
Yoshiaki Kagawa | JP | Tokyo | 2011-03-31 / 20110075882 - GEOSPATIAL INFORMATION CREATING SYSTEM AND GEOSPATIAL INFORMATION CREATING METHOD | 1 |
Masatoshi Kagawa | JP | Tokyo | 2011-03-31 / 20110076011 - Apparatus for monitoring optical signal quality specifically applicable to NRZ optical signals | 5 |
Kazunari Kagawa | JP | Tokyo | 2010-12-09 / 20100307630 - ENDOSCOPIC INSTRUMENT AND METHOD FOR MANUFACTURING THEREOF | 2 |
Kazuhiko Kagawa | JP | Tokyo | 2012-12-20 / 20120319565 - WHITE SEMICONDUCTOR LIGHT EMITTING DEVICE | 3 |
Shinichi Kagawa | JP | Yokohama-Shi | 2011-11-03 / 20110266105 - SHOCK ABSORBER | 1 |
Ryohei Kagawa | JP | Tokyo | 2015-05-21 / 20150141758 - LIGHT SOURCE SYSTEM | 6 |
Koichi Kagawa | JP | Tokyo | 2010-08-19 / 20100209259 - EVACUATION APPARATUS | 1 |
Yasuhiro Kagawa | JP | Tokyo | 2016-03-10 / 20160071937 - SILICON-CARBIDE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 11 |
Shinya Kagawa | JP | Mie | 2014-04-03 / 20140093119 - LOUDSPEAKER, AND ELECTRONIC APPARATUS AND MOBILE DEVICE USING THE LOUDSPEAKER | 3 |
Hiroyuki Kagawa | JP | Hitachi-Shi | 2012-12-13 / 20120315487 - COMPOSITE PARTICLE, METHOD FOR PRODUCING THE SAME, AND RESIN COMPOSITION | 1 |
Hiroki Kagawa | JP | Osaka-Shi | 2014-11-20 / 20140344714 - DISPLAY DEVICE, INFORMATION TERMINAL DEVICE, DISPLAY METHOD, AND RECORDING MEDIUM | 2 |
Takanobu Kagawa | JP | Tokyo | 2010-04-01 / 20100083146 - Image Display updating system, server client system and drawing operation echo back script | 1 |
Noriko Kagawa | JP | Tokyo | 2010-01-07 / 20100003662 - Appliance for cryopreserving collected tissue piece and method for cryopreserving collected tissue piece | 1 |
Masaaki Kagawa | JP | Tokyo | 2013-06-13 / 20130147736 - ELECTRONIC INFORMATION BOARD APPARATUS, ELECTRONIC INFORMATION BOARD SYSTEM, AND METHOD OF CONTROLLING ELECTRONIC INFORMATION BOARD | 2 |
Minoru Kagawa | JP | Tokyo | 2011-06-30 / 20110160969 - Continuously variable transmission | 1 |
Yasuhiro Kagawa | JP | Tokyo | 2016-03-10 / 20160071937 - SILICON-CARBIDE SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 11 |
Takashi Kagawa | JP | Saitama | 2011-09-29 / 20110234831 - Communication device | 1 |
Hidetsugu Kagawa | JP | Kawasaki-Shi | 2015-05-07 / 20150124301 - IMAGE PROCESSING APPARATUS AND METHOD OF CONTROLLING THE SAME | 36 |
Takaaki Kagawa | JP | Hyogo | 2016-04-14 / 20160102792 - PIPE JOINT | 5 |
Koichi Kagawa | JP | Chiyoda-Ku, Tokyo | 2015-10-29 / 20150311684 - GAS-INSULATED SWITCHGEAR | 1 |
Kenkichi Kagawa | JP | Sakai-Shi | 2013-06-27 / 20130164705 - CLEANING DEVICE FOR ORAL CAVITY | 3 |
Keiichiro Kagawa | JP | Osaka | 2009-04-02 / 20090086071 - PHOTO DETECTION DEVICE | 1 |
Takuya Kagawa | JP | Kyoto | 2016-03-10 / 20160072341 - POWER CONVERSION SYSTEM AND CONNECTOR | 12 |
Takuya Kagawa | JP | Osaka | 2012-04-19 / 20120091801 - POWER SUPPLY APPARATUS | 1 |
Natsuko Kagawa | JP | Tokyo | 2012-09-13 / 20120231767 - ANONYMOUS COMMUNICATION METHOD | 6 |
Jo Ann Kagawa | US | Honolulu | 2011-02-17 / 20110038467 - VOICEMAIL SERVER MONITORING/REPORTING VIA AGGREGATED DATA | 2 |
Takaaki Kagawa | JP | Amagasaki-Shi, Hyogo | 2015-10-15 / 20150292656 - SEALING MATERIAL, PRESSING RING, COUPLING, AND VALVE | 1 |
Kenichi Kagawa | JP | Kawasaki City | 2012-04-12 / 20120085655 - INTERPOSER AND MANUFACTURING METHOD FOR THE SAME | 1 |
Hiroyuki Kagawa | JP | Osaka-Shi | 2016-02-25 / 20160051976 - METHOD FOR REGENERATING DENITRIFICATION CATALYST | 1 |
Seiji Kagawa | JP | Saitama | 2015-01-29 / 20150027771 - COMPOSITE ELECTROMAGNETIC-WAVE-ABSORBING SHEET | 1 |
Seiji Kagawa | JP | Koshigaya-Shi | 2016-04-21 / 20160107211 - METHOD AND APPARATUS FOR PRODUCING MICROPOROUS METAL FOIL | 15 |
Toshiaki Kagawa | JP | Kitakatsuragi-Gun | 2010-01-07 / 20100003413 - Charging roller, process cartridge and image forming apparatus | 8 |
Kazunori Kagawa | JP | Nagoya-Shi | 2014-01-09 / 20140012480 - VEHICLE CONTROL DEVICE, VEHICLE CONTROL METHOD, AND VEHICLE CONTROL SYSTEM | 7 |
Kazunori Kagawa | JP | Aichi-Ken | 2010-08-12 / 20100202495 - TRANSMITTER, RECEIVER, WIRELESS COMMUNICATION SYSTEM, AND COMMUNICATION METHOD | 2 |
Kazunori Kagawa | JP | Aichi | 2012-05-17 / 20120123660 - VEHICLE CONTROL DEVICE, VEHICLE CONTROL METHOD, AND VEHICLE CONTROL SYSTEM | 8 |
Kazunori Kagawa | JP | Nishikamo-Gun | 2009-10-29 / 20090271108 - Navigation Apparatus | 1 |
Kazunori Kagawa | JP | Toyota-Shi | 2008-10-23 / 20080258966 - Independent Positioning Device and Independent Positioning Method | 1 |
Takeshi Kagawa | JP | Kuwana | 2014-05-08 / 20140127613 - REFLECTIVE MASK AND METHOD FOR MANUFACTURING THE SAME | 3 |
Yoshihisa Kagawa | JP | Tokyo | 2015-01-15 / 20150018997 - ANTENNA INSPECTION SYSTEM, ANTENNA INSPECTION APPARATUS AND ANTENNA INSPECTION METHOD | 1 |
Toshiharu Kagawa | JP | Kawasaki-Shi | 2013-10-17 / 20130272803 - NON-CONTACT CONVEYANCE EQUIPMENT | 1 |
Kenkichi Kagawa | JP | Osaka | 2015-09-10 / 20150251935 - WATER TREATMENT DEVICE | 13 |
Yoshihisa Kagawa | JP | Kanagawa | 2016-02-04 / 20160035777 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD | 11 |
Yoshinori Kagawa | JP | Suita-Shi | 2014-12-25 / 20140377768 - Novel Antitumor Agent and Method For Screening Same | 1 |
Michiru Kagawa | JP | Osaka | 2012-04-05 / 20120083629 - METHOD FOR PRODUCING FLUORINE-CONTAINING ETHER WITH HIGH PURITY | 1 |
Seiji Kagawa | JP | Hiroshima-Ken | 2011-06-16 / 20110139426 - HEAT EXCHANGER | 1 |
Tokio Kagawa | JP | Hiroshima | 2011-12-29 / 20110314779 - OIL SEPARATION DEVICE OF ENGINE | 1 |
Masaki Kagawa | JP | Nagano | 2011-12-29 / 20110316374 - MOTOR SUPPORT STRUCTURE AND ACTUATOR INCORPORATING SAME | 1 |
Takaaki Kagawa | JP | Kitamoto-Shi | 2014-10-02 / 20140297229 - DATA LOGGER FOR MEASUREMENT DEVICE | 1 |
Yoshinori Kagawa | JP | Kusatsu-Shi | 2015-09-10 / 20150252816 - CROSS-FLOW FAN | 1 |
Atsushi Kagawa | JP | Haga-Gun | 2015-10-01 / 20150275928 - PUMP APPARATUS AND HYDRAULIC ACTUATOR | 2 |
Shinichi Kagawa | JP | Ayase-Shi | 2015-02-12 / 20150041266 - SHOCK ABSORBER | 3 |
Koichi Kagawa | JP | Chiyoda-Ku | 2015-09-03 / 20150248977 - SWITCHING APPARATUS | 1 |
Kazuhiro Kagawa | JP | Toyama | 2015-05-21 / 20150137221 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Kenichi Kagawa | JP | Tokyo | 2015-09-03 / 20150246317 - METHOD FOR PRODUCING FILTRATION FILTER | 1 |
Tetsuya Kagawa | JP | Kyoto | 2015-05-21 / 20150137314 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MODULE | 1 |
Suguru Kagawa | JP | Osaka | 2011-07-14 / 20110172892 - PTO Control System | 1 |
Michiru Kagawa | JP | Settsu-Shi, Osaka | 2016-01-07 / 20160006078 - ELECTROLYTIC SOLUTION, ELECTROCHEMICAL DEVICE, LITHIUM ION SECONDARY BATTERY, AND MODULE | 1 |
Shigeru Kagawa | JP | Kanagawa | 2011-08-18 / 20110199748 - SEMICONDUCTOR STORAGE DEVICE AND ELECTRONIC DEVICE | 1 |
Hiroshi Kagawa | JP | Kanagawa | 2013-09-26 / 20130252225 - STUDY SYSTEM AND DISPLAY METHOD | 2 |
Taku Kagawa | JP | Tokyo | 2015-11-12 / 20150325864 - TITANIUM OR TITANIUM ALLOY FOR FUEL CELL SEPARATOR HAVING HIGH CONTACT CONDUCTIVITY WITH CARBON AND HIGH DURABILITY, FUEL CELL SEPARATOR INCLUDING THE SAME, AND FUEL CELL | 3 |
Toshiaki Kagawa | JP | Osaka | 2011-09-29 / 20110236048 - IMAGE FORMING APPARATUS | 16 |
Toshiyuki Kagawa | JP | Tokyo | 2014-02-20 / 20140048321 - SUBSTRATE WITH BUILT-IN ELECTRONIC COMPONENT | 2 |
Keiichi Kagawa | JP | Osaka | 2015-08-20 / 20150232733 - ALUMINA SINTERED BODY AND METHOD FOR PRODUCING SAME | 1 |
Ryuta Kagawa | JP | Kitakyushu-Shi | 2015-06-25 / 20150174770 - ROBOT AND MAINTENANCE METHOD FOR ROBOT | 4 |
Eiichiro Kagawa | JP | Ginowan | 2013-01-03 / 20130002032 - POWER GRID OPERATION CONTROL SYSTEM, DEVICE, AND METHOD | 1 |
Hiroyuki Kagawa | JP | Hitachiaka | 2013-05-23 / 20130131248 - ORGANIC-INORGANIC COMPOSITE MATERIALS CONTAINING TRIAZINE RINGS AND ELECTRICAL DEVICES USING THE SAME | 1 |
Tadanobu Kagawa | JP | Ehime | 2014-03-06 / 20140065737 - ION IMPLANTATION METHOD AND ION IMPLANTATION APPARATUS | 1 |
Takashi Kagawa | JP | Hyogo | 2014-02-27 / 20140057286 - Method for Measuring Glycoprotein, Method for Examining Liver Desease, Reagent for Quantitative Determination of Glycoprotein and Glycan-Marker Glycoprotein as an Index for Clinical Conditions of Liver Disease | 2 |
Takuya Kagawa | JP | Kyoto | 2016-03-10 / 20160072341 - POWER CONVERSION SYSTEM AND CONNECTOR | 12 |
Yoshinori Kagawa | JP | Shizuoka | 2013-04-04 / 20130082193 - CHARGED PARTICLE BEAM WRITING APPARATUS AND CHARGED PARTICLE BEAM WRITING METHOD | 1 |
Shuuichi Kagawa | JP | Kawasaki-Shi | 2013-12-12 / 20130327838 - INTERFACE IC AND MEMORY CARD INCLUDING THE SAME | 1 |
Yoichiro Kagawa | JP | Koshigaya-Shi | 2016-04-21 / 20160107211 - METHOD AND APPARATUS FOR PRODUCING MICROPOROUS METAL FOIL | 4 |
Satoshi Kagawa | JP | Aichi | 2013-06-06 / 20130143481 - CEILING-EMBEDDED VENTILATION FAN | 2 |
Yoichiro Kagawa | JP | Saitama-Ken | 2011-01-13 / 20110008580 - COMPOSITE FILM OF LINEARLY-SCRATCHED, THIN METAL FILM AND PLASTIC FILM, AND ITS PRODUCTION APPARATUS | 2 |
Takuya Kagawa | JP | Muko-Shi | 2010-12-30 / 20100327655 - POWER SUPPLY APPARATUS | 2 |
Naoya Kagawa | JP | Kariya-Shi | 2016-02-25 / 20160052141 - Method and Apparatus For Controlling Operations Of Robot | 4 |
Hiroki Kagawa | JP | Kashiba-Shi | 2013-07-04 / 20130171010 - MOTOR CONTROL UNIT AND ELECTRIC PUMP UNIT | 6 |
Kazuhiko Kagawa | JP | Yokkaichi-Shi | 2012-11-15 / 20120286646 - HALOPHOSPHATE PHOSPHOR AND WHITE LIGHT-EMITTING DEVICE | 5 |
Michiru Kagawa | JP | Settsu-Shi | 2015-08-20 / 20150235772 - NONAQUEOUS ELECTROLYTE SOLUTION, ELECTROCHEMICAL DEVICE, LITHIUM ION SECONDARY CELL, AND MODULE | 7 |
Shuuichi Kagawa | JP | Kanagawa | 2011-04-21 / 20110089247 - Interface IC and memory card including the same | 1 |
Yoshihisa Kagawa | JP | Kanagawa | 2016-02-04 / 20160035777 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD | 11 |
Atsushi Kagawa | JP | Makinohara-Shi | 2014-11-27 / 20140346708 - CONNECTOR HOUSING | 2 |
Takashi Kagawa | JP | Kobe-Shi | 2011-01-06 / 20110003280 - IMMUNOASSAY APPARATUS AND IMMUNOASSAY METHOD | 1 |
Rihito Kagawa | JP | Kumagaya-Shi | 2010-12-23 / 20100321008 - ROTATION-ANGLE-DETECTING APPARATUS, ROTATING MACHINE AND ROTATION-ANGLE-DETECTING METHOD | 1 |
Tetsuya Kagawa | JP | Toyokawa-Shi | 2012-12-20 / 20120321355 - DEVELOPMENT DEVICE | 10 |
Shuusaku Kagawa | JP | Kanagawa | 2010-12-23 / 20100322771 - FLUID MACHINE | 1 |
Fumihiro Kagawa | JP | Aichi-Ken | 2010-12-16 / 20100315024 - INVERTER DEVICE | 1 |
Yoshihisa Kagawa | JP | Yokohama-Shi | 2009-01-08 / 20090011149 - SUBSTRATE PROCESSING METHOD | 1 |
Masakazu Kagawa | JP | Inuyama-City | 2008-12-04 / 20080297332 - Two-wheel-vehicle detecting device for an automotive vehicle | 1 |
Yoshitake Kagawa | JP | Hatsukaichi-Shi | 2010-11-04 / 20100275505 - FLYING INSECT TRAPPING APPARATUS | 1 |
Tetsuya Kagawa | JP | Hoi-Gun | 2012-07-26 / 20120189361 - FIXING DEVICE AND IMAGE FORMATION APPARATUS | 3 |
Sanae Kagawa | JP | Shiga | 2011-09-22 / 20110226863 - HUMIDITY CONTROL APPARATUS | 2 |
Kazuhiro Kagawa | JP | Wako-Shi | 2012-04-12 / 20120085653 - METHOD AND APPARATUS FOR PRODUCING CONDUCTIVE POLYMER FILM | 6 |
Ryuta Kagawa | JP | Fukuoka | 2016-05-19 / 20160136813 - MACHINING APPARATUS AND METHOD OF PRODUCING WORKPIECE | 4 |
Yoshikiyo Kagawa | JP | Takamatsu-Shi | 2010-05-06 / 20100110173 - SHEET-LIKE PRODUCT INSPECTING METHOD AND DEVICE | 1 |
Toshiharu Kagawa | JP | Yokohama-Shi | 2010-02-04 / 20100030386 - Pressure Regulator and Vibration Isolator | 1 |
Tomoyasu Kagawa | JP | Kitakyushu-Shi | 2013-06-20 / 20130153467 - HYDRODESULFURIZATION CATALYST FOR HYDROCARBON OIL, PROCESS OF PRODUCING SAME AND METHOD FOR HYDROREFINING | 2 |
Keiichiro Kagawa | JP | Ikoma-Shi | 2010-01-28 / 20100019127 - Solid-State Image Sensor and Signal Readout Method Thereof | 2 |
Toshiaki Kagawa | JP | Osaka-Shi | 2009-11-26 / 20090290897 - VOLATILE CHEMICAL SUBSTANCE CATCHING DEVICE AND ELECTRONIC APPARATUS | 1 |
Seiji Kagawa | JP | Koshigaya-Shi | 2016-04-21 / 20160107211 - METHOD AND APPARATUS FOR PRODUCING MICROPOROUS METAL FOIL | 15 |
Yoshinori Kagawa | JP | Shiga | 2011-11-24 / 20110285240 - STATOR, MOTOR, AND COMPRESSOR | 2 |
Kazuhiko Kagawa | JP | Mie | 2014-02-13 / 20140042896 - WHITE LIGHT-EMITTING SEMICONDUCTOR DEVICES | 3 |
Shigeru Kagawa | JP | Kawasaki | 2009-10-29 / 20090268417 - STORAGE APPARATUS AND PRINTED WIRING BOARD UNIT | 3 |
Seiji Kagawa | JP | Hiroshima | 2011-01-06 / 20110002827 - MERCURY REDUCTION SYSTEM AND MERCURY REDUCTION METHOD OF FLUE GAS CONTAINING MERCURY | 2 |
Noriaki Kagawa | JP | Yokohama-Shi | 2009-10-01 / 20090243845 - WIRELESS COMMUNICATION SYSTEM AND METHOD | 1 |
Kazuhiko Kagawa | JP | Chiyoda-Ku | 2009-09-03 / 20090218319 - Vacuum Circuit Breaker | 1 |
Ryohei Kagawa | JP | Hachioji-Shi | 2009-08-20 / 20090209810 - ENDOSCOPE ASSEMBLY | 1 |
Toshiaki Kagawa | JP | Kitakatsuragi-Gun Nara | 2009-07-16 / 20090180821 - FIXING DEVICE AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 1 |
Atsushi Kagawa | JP | Susono | 2009-06-04 / 20090142527 - Polymeric composition useful as electrical conduit covering and insulated electrical conduit | 1 |
Tetsuhiro Kagawa | JP | Shiki-Shi | 2009-05-21 / 20090132548 - DOCUMENT TRANSFER ASSISTING SYSTEM, MONITOR APPARATUS, DOCUMENT TRANSFER ASSISTING APPARATUS, METHOD AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Kenichi Kagawa | JP | Kanagawa | 2009-05-14 / 20090122379 - Optical Deflecting Element | 1 |
Joji Kagawa | JP | Tokushima-Shi | 2009-03-26 / 20090077938 - FILTER MATERIAL | 1 |
Seiji Kagawa | JP | Tokyo | 2015-12-31 / 20150375166 - AIR POLLUTION CONTROL SYSTEM AND AIR POLLUTION CONTROL METHOD | 28 |
Hiroaki Kagawa | JP | Sagamihara-Shi | 2015-10-29 / 20150309284 - ENDOSCOPE APPARATUS | 5 |
Mamoru Kagawa | JP | Wako-Shi | 2009-03-12 / 20090064442 - WIPER APPARATUS | 1 |
Masaki Kagawa | JP | Kitasaku-Gun | 2009-03-12 / 20090066196 - Stepping motor | 1 |
Masataka Kagawa | JP | Saitama-Shi | 2009-03-12 / 20090069424 - TGF-alpha expression inhibitors | 1 |
Toshiaki Kagawa | JP | Nara | 2009-01-01 / 20090003859 - CHARGING APPARATUS AND IMAGE FORMING APPARATUS | 2 |
Izumi Kagawa | JP | Kanagawa-Ken | 2009-01-01 / 20090007254 - RESTRICTING COMMUNICATION SERVICE | 1 |
Masaki Kagawa | JP | Nagano-Ken | 2008-12-25 / 20080315699 - PM stepping motor | 1 |
Atsushi Kagawa | JP | Shizuoka | 2008-12-04 / 20080300342 - Polyolefin Resin Composition and Electric Wire Using the Same | 1 |
Takahiro Kagawa | JP | Yokohama-Shi | 2008-11-27 / 20080290671 - HANDLE APPARATUS | 1 |
Seiji Kagawa | JP | Saitama-Ken | 2011-02-10 / 20110031008 - ELECTROMAGNETIC-WAVE-ABSORBING FILM AND ELECTROMAGNETIC WAVE ABSORBER COMPRISING IT | 3 |
Haruhito Kagawa | JP | Tenri-Shi | 2008-11-20 / 20080284769 - Method of driving image display,driving device for image display, and image display | 2 |
Kenichi Kagawa | JP | Minato-Ku | 2008-11-20 / 20080285107 - Electromagnetically actuating optical deflecting element | 1 |
Kazuhiro Kagawa | JP | Saitama-Ken | 2008-10-23 / 20080257747 - Method and apparatus for producing conductive polymer film | 1 |
Takumi Kagawa | JP | Yamaguchi-Ken | 2008-09-25 / 20080230482 - Optically active polymaleimide derivatives and process for their production | 1 |
Susumu Kagawa | JP | Tokushima | 2008-08-21 / 20080199859 - Identification Marker Responsive to Interferon Therapy for Renal Cell Cancer | 1 |
Yoshinori Kagawa | JP | Tokyo | 2014-10-30 / 20140319373 - CHARGED PARTICLE BEAM DRAWING APPARATUS AND CHARGED PARTICLE BEAM DRAWING METHOD | 1 |
Hiroyuki Kagawa | JP | Hitachinaka | 2013-11-28 / 20130313537 - Organic Light-Emitting Element, Method for Manufacturing the Organic Light-Emitting Element, Apparatus for Manufacturing the Organic Light-Emitting Element, and Organic Light-Emitting Device Using the Organic Light-Emitting Element | 13 |
Keiichiro Kagawa | JP | Hamamatsu-Shi | 2015-07-30 / 20150215549 - SOLID-STATE IMAGE PICKUP DEVICE | 1 |
Tetsuya Kagawa | JP | Toyokawa-Shi | 2012-12-20 / 20120321355 - DEVELOPMENT DEVICE | 10 |
Hideaki Kagawa | JP | Kanagawa | 2016-03-03 / 20160062014 - POLARIZING PLATE AND METHOD FOR PRODUCING SAME, AND OPTICAL FILM MATERIAL | 3 |
Takaaki Kagawa | JP | Kitamoto-Si | 2012-08-23 / 20120210772 - LEAKAGE TESTER UTILIZING PRESSURE | 1 |
Seiji Kagawa | JP | Tokyo | 2015-12-31 / 20150375166 - AIR POLLUTION CONTROL SYSTEM AND AIR POLLUTION CONTROL METHOD | 28 |
Yoshihisa Kagawa | JP | Gumma | 2012-04-26 / 20120100023 - TUBE PUMP | 2 |
Shuichi Kagawa | JP | Tokyo | 2014-11-20 / 20140340931 - LIGHT INTENSITY DISTRIBUTION CONVERSION ELEMENT, PLANAR LIGHT SOURCE DEVICE, AND LIQUID CRYSTAL DISPLAY DEVICE | 12 |
Sosuke Kagaya | JP | Ebina-Shi | 2014-02-13 / 20140044420 - IMAGE PROCESSING APPARATUS, CONTROL METHOD FOR SAME, AND PROGRAM | 3 |
Ryuichi Kagaya | JP | Sapporo-Shi | 2008-11-13 / 20080282000 - INTERFACE CONTROLLER FOR CONTROLLING OPERATION OF EXTERNALLY COUPLED ELECTRONIC APPARATUS | 1 |
Haruyuki Kagaya | JP | Yokohama-Shi | 2015-09-10 / 20150250301 - DESK DEVICE | 1 |
Osamu Kagaya | JP | Chiyoda-Ku | 2016-05-12 / 20160134013 - ANTENNA DEVICE | 3 |
Munehito Kagaya | JP | Tokyo | 2016-04-28 / 20160118589 - Organic Semiconductor Film, Method for Manufacturing Same, and Transistor Structure | 2 |
Yutaka Kagaya | JP | Hachimori | 2008-08-21 / 20080199979 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Hiroyuki Kagaya | JP | Saitama-Shi | 2011-07-21 / 20110177345 - Primer and laminate including resin coating film containing the same | 1 |
Yutaka Kagaya | JP | Akita | 2015-04-23 / 20150108637 - SEMICONDUCTOR DEVICE INCLUDING TWO OR MORE CHIPS MOUNTED OVER WIRING SUBSTRATE | 1 |
Mitsuo Kagaya | JP | Tokyo | 2016-04-28 / 20160113313 - SWEETPOTATO CONDENSED EXTRACT (THAT IS IMO-MITSU) AND METHOD FOR PRODUCING IMO-MITSU | 1 |
Hiroaki Kagaya | JP | Kobe-Shi | 2014-08-21 / 20140230713 - UNDERWATER MOBILE INSPECTION APPARATUS AND UNDERWATER INSPECTION EQUIPMENT | 1 |
Makoto Kagaya | JP | Kanagawa-Ken | 2012-09-06 / 20120226102 - IMAGE OBTAINMENT METHOD AND APPARATUS | 1 |
Shinji Kagaya | JP | Kanagawa | 2016-03-10 / 20160067315 - INHIBITOR OF EXTRACELLULAR TRAP FORMATION IN LEUKOCYTES | 2 |
Yasunaga Kagaya | JP | Tokyo | 2013-07-04 / 20130168599 - PRECURSOR, PROCESS FOR PRODUCTION OF PRECURSOR, PROCESS FOR PRODUCTION OF ACTIVE MATERIAL, AND LITHIUM ION SECONDARY BATTERY | 1 |
Makoto Kagaya | JP | Tokyo | 2010-06-10 / 20100141747 - ENDOSCOPE APPARATUS AND CONTROL METHOD THEREOF | 3 |
Hiroyuki Kagaya | JP | Tokyo | 2009-11-26 / 20090288292 - SURFACE MOUNTING APPARATUS | 1 |
Osamu Kagaya | JP | Tokyo | 2016-01-07 / 20160006112 - WINDSHIELD AND ANTENNA | 23 |
Yukitoshi Kagaya | JP | Tokyo | 2009-07-30 / 20090189715 - Layered low-pass filter | 2 |
Toru Kagaya | JP | Tokyo | 2013-05-30 / 20130133696 - SUBSTRATE PROCESSING APPARATUS | 8 |
Uiko Kagaya | JP | Hokkaido | 2012-05-10 / 20120115776 - ADIPONECTIN-CONTAINING EATING BEHAVIOR CONTROL AGENT FOR ORAL ADMINISTRATION | 1 |
Shunsuke Kagaya | JP | Kanagawa-Ken | 2011-04-14 / 20110086515 - MASK PATTERN VERIFICATION APPARATUS, MASK PATTERN VERIFICATION METHOD AND METHOD OF FABRICATING A SEMICONDUCTOR DEVICE | 1 |
Hiroto Kagaya | JP | Kanagawa | 2011-07-28 / 20110184244 - MEDICAL EQUIPMENT AND ENDOSCOPE APPARATUS | 2 |
Satoshi Kagaya | JP | Nakakoma-Gun | 2013-12-12 / 20130331793 - SAFETY NEEDLE ASSEMBLY | 1 |
Osamu Kagaya | JP | Setagaya-Ku | 2012-10-25 / 20120269522 - DIFFERENTIAL TRANSMISSION CIRCUIT AND INFORMATION PROCESSING SYSTEM | 1 |
Yutaka Kagaya | JP | Ibaraki | 2011-12-08 / 20110301344 - WATER-SOLUBLE PHTHALOCYANINE DYE | 1 |
Toru Kagaya | JP | Toyoma-Shi | 2012-02-09 / 20120034788 - SUBSTRATE PROCESSING APPARATUS AND PRODUCING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Minoru Kagaya | JP | Chiyoda-Ku | 2015-01-15 / 20150020028 - CHARACTER INPUT DEVICE AND CHARACTER INPUT METHOD | 1 |
Mariko Kagaya | JP | Wakayama | 2011-02-10 / 20110034722 - METHOD FOR PRODUCING OF 2-ALKYL-2-CYCLOALKEN-1-ONE | 1 |
Yutaka Kagaya | JP | Chuo-Ku | 2013-01-03 / 20130001755 - STACKED SEMICONDUCTOR DEVICE AND FABRICATION METHOD FOR SAME | 2 |
Hiroaki Kagaya | JP | Hino-Shi | 2013-01-10 / 20130010176 - Imaging Device and Portable Terminal | 1 |
Yutaka Kagaya | JP | Tokyo | 2012-02-09 / 20120032353 - SEMICONDUCTOR DEVICE | 4 |
Toru Kagaya | JP | Nakano-Ku Tokyo | 2010-10-21 / 20100263593 - SUBSTRATE PROCESSING APPARATUS AND REACTION CONTAINER | 1 |
Masami Kagaya | JP | Akita-Shi | 2015-08-20 / 20150233902 - APPARATUS FOR AUTOMATIC ELECTRIC FIELD IMMUNOHISTOCHEMICAL STAINING AND METHOD FOR AUTOMATIC ELECTRIC FIELD IMMUNOHISTOCHEMICAL STAINING | 3 |
Shunsuke Kagaya | JP | Tokyo | 2009-01-15 / 20090019216 - Disk drive device and method for saving a table for managing data in non-volatile semiconductor memory in disk drive device | 1 |
Mineo Kagaya | JP | Fujisawa-Shi | 2010-06-17 / 20100147238 - LUBRICATING OIL COMPOSITION FOR DIESEL ENGINE | 1 |
Tomotake Kagaya | JP | Chiba | 2012-07-12 / 20120178039 - NOZZLE FOR HEATING DEVICE, HEATING DEVICE, AND NOZZLE FOR COOLING DEVICE | 2 |
Naoto Kagaya | JP | Yokohama-Shi | 2014-07-10 / 20140192083 - DISPLAY CONTROL APPARATUS AND DISPLAY CONTROL METHOD | 4 |
Munehito Kagaya | JP | Nirasaki City | 2016-02-11 / 20160042958 - METHOD FOR FORMING BASE FILM OF GRAPHENE, GRAPHENE FORMING METHOD, AND APPARATUS FOR FORMING BASE FILM OF GRAPHENE | 1 |
Naritoshi Kagaya | JP | Osaka | 2014-08-28 / 20140238831 - ROTARY OPERATION TYPE SWITCH | 4 |
Yoichi Kagaya | JP | Chiba-Shi | 2009-07-23 / 20090185101 - Liquid crystal display device, and manufacturing method for the liquid crystal display device | 2 |
Yusuke Kagaya | JP | Tokyo | 2009-05-14 / 20090126051 - Method and System for Sample Preparation | 1 |
Toru Kagaya | JP | Tokyo | 2013-05-30 / 20130133696 - SUBSTRATE PROCESSING APPARATUS | 8 |
Fumie Kagaya | JP | Tokyo | 2015-05-21 / 20150135594 - BIOMASS GASIFIER DEVICE | 1 |
Toru Kagaya | JP | Toyama-Shi | 2009-07-23 / 20090186467 - Substrate Processing Apparatus and Producing Method of Semiconductor Device | 1 |
Munetoshi Kagaya | JP | Osaka | 2009-05-28 / 20090136837 - BATTERY PACK AND METHOD FOR MANUFACTURING THE SAME | 1 |
Makoto Kagaya | JP | Ashigarakami-Gun | 2014-06-19 / 20140171738 - ENDOSCOPE APPARATUS AND IMAGE PICKUP CONTROL METHOD THEREOF | 4 |
Keisuke Kagaya | JP | Hiratsuka-Shi, Kanagawa | 2016-03-31 / 20160089937 - Pneumatic Tire | 1 |
Akira Kagaya | JP | Saitama | 2009-01-01 / 20090002827 - Lens barrel | 2 |
Uiko Kagaya | JP | Sapporo-Shi | 2009-01-01 / 20090004198 - Human monoclonal antibody binding to human cytomegalovirus and its antigen binding portion | 1 |
Hitoshi Kagaya | JP | Tokyo | 2015-11-26 / 20150339567 - RADIO IC-MOUNTED ARTICLE, MANUFACTURING METHOD THEREFOR, AND MANAGEMENT METHOD FOR RADIO IC-MOUNTED ARTICLE | 1 |
Motoko Kagaya | JP | Tokyo | 2016-03-17 / 20160077123 - STATE DETERMINATION DEVICE AND STORAGE MEDIUM | 2 |
Hiroyuki Kagaya | JP | Sakura-Shi | 2016-02-25 / 20160053110 - POLYESTER RESIN COMPOSITION, ADHESIVE AND FILM | 1 |
Akifumi Kagayama | JP | Chiba | 2009-11-05 / 20090273768 - Liquid for immersion exposure, method of purifying the same,and immersion exposure method | 2 |
Kenji Kagayama | JP | Nagaokakyo-Shi | 2016-05-12 / 20160133824 - ACTUATOR | 5 |
Akifumi Kagayama | JP | Chiba-Shi | 2014-03-13 / 20140073539 - AQUEOUS DISPERSION AND ADDITIVES FOR FRACTURING WORK | 3 |
Kohei Kagayama | JP | Kyoto | 2009-02-12 / 20090042879 - PHTHALAZINONE DERIVATIVES AND PHARMACEUTICAL COMPOSITIONS COMPRISING THE SAME | 1 |
Hiroshi Kagayama | JP | Tokyo | 2015-05-21 / 20150137522 - WATER FLOW GENERATOR | 1 |
V. Kagdiyal | IN | Faridabad | 2010-10-28 / 20100274069 - METHOD FOR BIOREMEDIATION OF HIGHLY AROMATIC HYDROCARBON WASTES | 1 |
Vivekanand Kagdiyal | IN | Faridabad | 2015-09-24 / 20150267130 - INTEGRATED PROCESS FOR PRODUCTION OF HIGH OCTANE GASOLINE, HIGH AROMATIC NAPHTHA AND HIGH CETANE DIESEL FROM HIGH AROMATIC MIDDLE DISTILLATE RANGE STREAMS | 3 |
Yoshiko Kage | JP | Tokyo | 2009-02-26 / 20090055406 - Content Distribution System | 2 |
Takakazu Kage | JP | Ichihara-Shi | 2015-10-01 / 20150274636 - PHENOLIC HYDROXYL GROUP-CONTANING COMPOUND, PHENOLIC HYDROXYL GROUP-CONTANING COMPOSITION, (METH)ACRYLOYL GROUP-CONTAINING RESIN, CURABLE COMPOSITION, CURED PRODUCT THEREOF, AND RESIST MATERIAL | 5 |
Isamu Kage | JP | Okayama | 2014-02-27 / 20140056752 - STEEL MATERIAL HAVING EXCELLENT ATMOSPHERIC CORROSION RESISTANCE | 1 |
Andreas Kage | DE | Berlin | 2012-09-13 / 20120231964 - METHOD FOR SELECTING NUCLEIC ACIDS THAT BOND WITH HIGH-AFFINITY TO A TARGET | 4 |
Hiroshi Kage | JP | Tokyo | 2012-12-06 / 20120306682 - INTRUDING OBJECT DISCRIMINATION APPARATUS FOR DISCRIMINATING INTRUDING OBJECT BASED ON MULTIPLE-DIMENSIONAL FEATURE | 6 |
Shuuji Kage | JP | Mishima-Gun | 2013-08-22 / 20130216726 - CURABLE COMPOSITION FOR INKJET AND METHOD FOR PRODUCING ELECTRONIC COMPONENT | 2 |
Isamu Kage | JP | Tokyo | 2012-07-19 / 20120183431 - STRUCTURAL STEEL MATERIAL AND STEEL STRUCTURE WITH HIGH CORROSION RESISTANCE | 1 |
Masayoshi Kage | JP | Fukuoka | 2008-10-30 / 20080267485 - Medical Image Processing Apparatus for Analyzing Properties of Living Body Based on Image Data Thereof | 1 |
Karen Kage | US | Chicago | 2011-11-10 / 20110275630 - ISOINDOLINONE KINASE INHIBITORS | 1 |
Rajendra Kage | IN | Bangalore | 2012-10-04 / 20120250321 - LIGHT-EMITTING DIODE (LED) FLOODLIGHT | 1 |
Kensaku Kagechi | JP | Nara-Shi | 2008-11-20 / 20080284796 - Display data generation device, display automatic operation data generation device, display data generation method, display automatic operation data generation method, display data generation program, display automatic operation data generation program, and computer readable recording medium containing these programs | 1 |
Hiroyuki Kagechika | JP | Tokyo | 2012-08-23 / 20120214763 - MEMORY FIXATION ACCELERATOR | 8 |
Katsuji Kagechika | JP | Tokyo | 2014-11-20 / 20140343052 - Phenylxanthene Derivatives | 3 |
Hiroyuki Kagechika | JP | Tokyo | 2012-08-23 / 20120214763 - MEMORY FIXATION ACCELERATOR | 8 |
Tatsuhiko Kagehiro | JP | Kokubunji | 2013-08-15 / 20130208113 - Image Monitoring Apparatus, Image Monitoring System, and Image Monitoring System Configuration Method | 5 |
Natsuko Kagehisa | JP | Ibarak-Shi | 2012-07-05 / 20120169083 - DOOR HOLE COVER AND VEHICULAR DOOR STRUCTURE | 1 |
Shinya Kagei | JP | Ageo-Shi | 2015-09-10 / 20150255791 - Spinel-Type Lithium-Manganese Composite Oxide | 8 |
Kenji Kagei | JP | Utsunomiya-Shi | 2013-10-24 / 20130278778 - AUTOMATIC TRACKING APPARATUS | 4 |
Miho Kagei | JP | Osaka-Shi | 2016-05-05 / 20160124632 - INFORMATION PROCESSING APPARATUS AND METHOD FOR CONTROLLING INFORMATION PROCESSING APPARATUS | 2 |
Shinya Kagei | JP | Saitama | 2014-07-03 / 20140183406 - NEGATIVE ELECTRODE ACTIVE MATERIAL FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERIES | 1 |
Hiroki Kagei | JP | Tokyo | 2015-02-12 / 20150043966 - Coupling Member, Method for Producing Coupling Member, and Wooden Member Joint Structure | 1 |
Shinya Kagei | JP | Takehara-Shi | 2016-04-21 / 20160111716 - Positive Electrode Active Material for Lithium Secondary Battery | 12 |
Shinya Kagei | JP | Takehara-Shi | 2016-04-21 / 20160111716 - Positive Electrode Active Material for Lithium Secondary Battery | 12 |
Shinya Kagei | JP | Hiroshima | 2015-06-25 / 20150180025 - Lithium Metal Complex Oxide | 1 |
Shinya Kagei | JP | Ageo-Shi | 2015-09-10 / 20150255791 - Spinel-Type Lithium-Manganese Composite Oxide | 8 |
Jun-Ichi Kageira | JP | Ibaraki | 2011-10-20 / 20110256442 - ELECTRODE MIXTURE, ELECTRODE, AND NONAQUEOUS ELECTROLYTE SECONDARY CELL | 1 |
Sven Kageler | DE | Leinfelden-Echterdingen | 2009-02-19 / 20090044960 - Method of removal of tool holder in a machine tool | 1 |
Paul Leon Kageler | US | Lake Jackson | 2014-09-18 / 20140262268 - Drilling and Completion Applications of Magnetorheological Fluid Barrier Pills | 3 |
Paul Kageler | US | Lake Jackson | 2013-09-12 / 20130233393 - Method and Apparatus for Mixing, Transporting, Storing, and Transferring Thixotropic Fluids in One Container | 1 |
Lawrence E. Kagemann | US | Pittsburgh | 2014-10-16 / 20140307226 - OPTICAL COHERENCE TOMOGRAPHY AS A RAPID, ACCURATE, NON-CONTACT METHOD OF VISUALIZING THE PALISADES OF VOGT | 1 |
Lawrence E. Kagemann, Jr. | US | Pittsburgh | 2014-09-11 / 20140257075 - MEASURING AQUEOUS HUMOR OUTFLOW | 1 |
Shigeaki Kageme | JP | Toyohashi-City | 2015-05-21 / 20150137638 - ARMATURE AND ROTATING ELECTRICAL DEVICE | 3 |
Michael Kagen | US | Chatsworth | 2012-08-02 / 20120192469 - THREE-SIDED CHANNEL SIGN COUPLER | 1 |
Steven L. Kagen | US | Appleton | 2015-08-27 / 20150242586 - REAL-TIME SYMPTOM ANALYSIS SYSTEM AND METHOD | 1 |
Jonah C. Kagen | US | Pooler | 2011-10-13 / 20110248445 - Game Playable in Multiple Phases and Incorporating Collectibles | 1 |
Kristin W. Kagen | US | Pooler | 2009-04-02 / 20090084795 - Container With Sealed Coolant Compartment | 1 |
Alan M. Kagen | US | Pooler | 2012-08-23 / 20120211381 - Stand Golf Bag with Mechanism to Secure Clubs | 3 |
Lise Kagenow | GB | Oxford | 2010-07-15 / 20100177182 - VEIN NAVIGATION DEVICE | 1 |
Kazuyuki Kageoka | JP | Yamaguchi | 2016-04-28 / 20160114423 - METHOD FOR PRODUCING A STAINLESS STEEL DIFFUSION-BONDED PRODUCT | 1 |
Masakazu Kageoka | JP | Chiba | 2009-01-01 / 20090005467 - Polyol Composition and Low Resilience Polyurethane Foam | 1 |
Masakazu Kageoka | JP | Kawasaki-Shi, Kanagawa | 2016-01-28 / 20160024268 - LOW-RESILIENCE POLYURETHANE FOAM AND PRODUCTION METHOD THEREOF | 1 |
Walter Kagerer | DE | Munchen | 2009-10-22 / 20090265073 - Method For Determining The Speed of A Motor Vehicle | 1 |
Bernd Kagerer | US | Lawrenceville | 2008-11-13 / 20080278281 - Safety edge system with override of fault condition | 1 |
Bernd Kagerer | DE | Krefeld | 2015-08-27 / 20150243115 - MONITORING AND CONTROL DEVICE FOR A DOOR UNIT | 1 |
Walter Kagerer | DE | Muenchen | 2015-07-23 / 20150203024 - Distance Information System and Method for a Motor Vehicle | 5 |
Robert Kagermeier | DE | Nuernberg | 2015-12-17 / 20150363002 - DEVICE AND METHOD FOR THE GESTURE-CONTROLLED SETTING OF SETTING VARIABLES ON AN X-RAY SOURCE | 4 |
Robert Kagermeier | DE | Nürnberg | / - | 1 |
Robert Kagermeier | DE | Nurnberg | 2015-11-12 / 20150320369 - Patient Couch with a Control System and Method for Controlling the Patient Couch | 9 |
Robert Kagermeier | DE | Nurnberg | 2015-11-12 / 20150320369 - Patient Couch with a Control System and Method for Controlling the Patient Couch | 9 |
Yoshiaki Kageshima | JP | Chichibu-Shi | 2015-06-11 / 20150162187 - SIC EPITAXIAL WAFER AND METHOD FOR MANUFACTURING THE SAME | 5 |
Atsushi Kageshima | JP | Kanagawa | 2012-09-27 / 20120245915 - SEMICONDUCTOR INTEGRATED CIRCUIT SIMULATION APPARATUS AND SIMULATION METHOD FOR SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Atsushi Kageshima | JP | Yokohama-Shi | 2010-04-08 / 20100088451 - ARCHITECTURE VERIFYING APPARATUS, ARCHITECTURE VERIFYING METHOD, AND MEDIUM STORING ARCHITECTURE VERIFYING PROGRAM | 2 |
Toshiro Kageshita | JP | Kumamoto | 2009-01-22 / 20090023143 - Predicting agent for metastasis | 1 |
Scott Kageta | US | Ei Dorado Hills | 2014-01-23 / 20140022389 - REAR CAMERA SYSTEM FOR A VEHICLE WITH A TRAILER | 1 |
Yukihiro Kageta | JP | Ishikawa | 2016-05-05 / 20160127607 - IMAGE-PROCESSING APPARATUS, IMAGE-PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 2 |
Scott Kageta | US | El Dorado Hills | 2015-12-10 / 20150357767 - REAR CAMERA SYSTEM FOR A VEHICLE WITH A TRAILER | 1 |
Jun-Ichi Kageura | JP | Tsukuba-Shi, Ibaraki | 2015-10-22 / 20150303517 - SODIUM SECONDARY BATTERY | 1 |
Jun-Ichi Kageura | JP | Tsukuba-Shi | 2013-12-05 / 20130323590 - SODIUM SECONDARY BATTERY ELECTRODE AND SODIUM SECONDARY BATTERY | 7 |
Fumito Kageura | JP | Kanagawa | 2009-03-05 / 20090059060 - LENS BARREL ROTATION DETECTION APPARATUS AND LENS BARREL ROTATION TYPE IMAGE PICKUP APPARATUS | 1 |
Michael H. Kagey | US | Somerville | 2013-05-02 / 20130109737 - MEDIATOR AND COHESIN CONNECT GENE EXPRESSION AND CHROMATIN ARCHITECTURE | 2 |
Danny R. Kagey | US | Columbia | 2013-09-19 / 20130240744 - NEUTRON DETECTION CHIP ASSEMBLY | 2 |
Sadashi Kageyama | JP | Sanda-Shi | 2013-01-03 / 20130003819 - OFDM SIGNAL TRANSMISSION METHOD, TRANSMISSION APPARATUS, AND RECEPTION APPARATUS | 1 |
Takeo Kageyama | JP | Kanagawa | 2011-10-06 / 20110241564 - SURFACE EMITTING LASER, SURFACE EMITTING LASER ARRAY, LIGHT SOURCE AND OPTICAL MODULE | 1 |
Atsushi Kageyama | JP | Yokohama-Shi | 2014-05-15 / 20140132048 - VEHICLE SEAT | 2 |
Makoto Kageyama | JP | Tokyo | 2011-11-24 / 20110284679 - WIRE BODY TAKE-UP DEVICE AND WIRE BODY TAKE-UP METHOD | 1 |
Masayuki Kageyama | JP | Kawaguchi-Shi | 2015-04-30 / 20150119418 - BICYCLIC COMPOUND | 1 |
Hitoshi Kageyama | JP | Shinagawa-Ku | 2013-10-31 / 20130290865 - INFORMATION GENERATION DEVICE, INFORMATION GENERATION METHOD, INFORMATION GENERATION PROGRAM, AND RECORDING MEDIUM | 1 |
Masashi Kageyama | JP | Ome-Shi | 2013-10-03 / 20130259197 - ELECTRON GUN, X-RAY GENERATOR AND X-RAY MEASUREMENT APPARATUS | 3 |
Masaki Kageyama | JP | Yonago-Shi | 2016-04-28 / 20160116646 - DISPLAY DEVICE | 6 |
Mikio Kageyama | JP | Hyogo | 2016-02-11 / 20160040749 - Transmission Belt and Belt-Speed-Change Device | 1 |
Shota Kageyama | JP | Chita-Gun | 2015-10-01 / 20150276659 - GAS SENSOR | 2 |
Mokuji Kageyama | JP | Oita | 2015-09-17 / 20150263212 - SUBSTRATE FOR SEMICONDUCTOR DEVICES, METHOD OF MANUFACTURING SUBSTRATE FOR SEMICONDUCTOR DEVICES, AND SOLID-STATE IMAGING DEVICE | 1 |
Yoshitaka Kageyama | JP | Otake-Shi | 2015-10-22 / 20150299908 - METHOD FOR PRODUCTION OF CARBON FIBER BUNDLE | 1 |
Takahiro Kageyama | JP | Osaka-Shi | 2008-08-21 / 20080201560 - VERY LONG INSTRUCTION WORD (VLIW) COMPUTER HAVING EFFICIENT INSTRUCTION CODE FORMAT | 1 |
Motohiro Kageyama | JP | Tokyo | 2012-04-05 / 20120080053 - METHOD FOR CLEANING OF SEMICONDUCTOR SUBSTRATE AND ACIDIC SOLUTION | 1 |
Takahisa Kageyama | JP | Hamamatsu | 2008-09-18 / 20080226086 - Audio Signal Processing System | 1 |
Yoshiaki Kageyama | JP | Inagi | 2008-09-18 / 20080224950 - Computer program and apparatus for display control | 2 |
Tetsuya Kageyama | JP | Osaka | 2016-03-31 / 20160092151 - MASS SPECTROMETRY DATA PROCESSING APPARATUS | 2 |
Tomoyuki Kageyama | JP | Meguro-Ku | 2008-09-25 / 20080229909 - ELECTRONIC MUSICAL APPARATUS FOR REPRODUCING RECEIVED MUSIC CONTENT | 1 |
Koji Kageyama | JP | Kanagawa | 2012-04-19 / 20120095714 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND INFORMATION STORAGE MEDIUM | 4 |
Kazuhiko Kageyama | JP | Odawara | 2012-11-22 / 20120293419 - ELECTRONIC APPARATUS | 1 |
Toshifumi Kageyama | JP | Yokohama-Shi | 2008-10-09 / 20080249271 - Adhesive containing ladder-type polysilsesquioxane and adhesive sheet | 1 |
Hidehei Kageyama | JP | Kawagoe | 2015-01-22 / 20150023715 - Stick-shaped Material Propelling Container | 2 |
Takeharu Kageyama | JP | Hyogo | 2013-07-04 / 20130172616 - Preparation of a,B-Ethylenically Unsaturated Carboxylic Salts By Catalytic Carboxylation Of Alkenes | 1 |
Keisuke Kageyama | JP | Aichi Pref. | 2008-10-09 / 20080245199 - COMBINED MACHINING LATHE | 1 |
Masamitsu Kageyama | JP | Miyagi | 2012-09-27 / 20120240999 - PHOTOELECTRIC CONVERSION DEVICE AND METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE | 4 |
Shoji Kageyama | JP | Kariya-City | 2008-10-16 / 20080253861 - Method and unit for shipping a torque limiting assembly | 1 |
Hiroyuki Kageyama | JP | Shizuoka | 2008-10-16 / 20080253000 - VEHICLE LAMP | 1 |
Hidehei Kageyama | JP | Kawagoe-Shi | 2012-08-16 / 20120207529 - PIVOTAL MANIPULATION TYPE WRITING INSTRUMENT | 2 |
Masahiro Kageyama | JP | Hino | 2012-09-20 / 20120236183 - IMAGE TRANSFER SYSTEM, IMAGE TRANSFER METHOD, IMAGE RECEPTION APPARATUS, IMAGE TRANSMISSION APPARATUS, AND IMAGE PICKUP APPARATUS | 9 |
Hiroshi Kageyama | JP | Hachioji | 2016-03-24 / 20160086538 - ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE | 28 |
Mitsuaki Kageyama | JP | Shizuoka | 2008-10-23 / 20080259331 - Photodetector and Spectrometer Using the Same | 1 |
Takahiro Kageyama | JP | Osaka | 2014-08-07 / 20140223142 - PROCESSOR AND COMPILER | 2 |
Akira Kageyama | JP | Saitama-Ken | 2008-11-27 / 20080290529 - SEMICONDUCTOR DEVICE AND PROCESS FOR FABRICATION THEREOF | 1 |
Satoshi Kageyama | JP | Kyoto-Shi | 2008-11-27 / 20080290517 - Semiconductor device | 1 |
Hideki Kageyama | JP | Osaka | 2010-08-19 / 20100209723 - CROSSLINKING AGENT, CROSSLINKED POLYMER, AND USES THEREOF | 1 |
Shigeki Kageyama | JP | Minami-Ashigara-Shi | 2009-01-29 / 20090029481 - Method for separating target component using magnetic nanoparticles | 1 |
Hiroshi Kageyama | JP | Hachiouji | 2009-03-19 / 20090073149 - Display device | 1 |
Kazumi Kageyama | JP | Osaka | 2010-08-05 / 20100195998 - Imaging device and focal point detector | 1 |
Katsuhiko Kageyama | JP | Fukui | 2009-03-26 / 20090082529 - Polyester, Process for Producing Polyester, and Polyester Molded Article | 2 |
Shigeki Kageyama | JP | Asaka-Shi | 2009-04-09 / 20090093007 - METHOD FOR PRODUCING DRY ANALYTICAL ELEMENT FOR PANCREATIC LIPASE MEASUREMENT | 2 |
Atsuhisa Kageyama | JP | Osaka | 2014-07-03 / 20140184738 - VIDEO SIGNAL PROCESSING DEVICE | 4 |
Masayuki Kageyama | JP | Fukushima | 2009-04-16 / 20090098417 - BATTERY PACK AND METHOD OF MANUFACTURING THE SAME | 1 |
Tatsuya Kageyama | JP | Yokohama | 2009-04-16 / 20090098308 - CORRECTION INK FOR MICRO DEFECT OF COLOR PATTERN, COLOR FILTER, METHOD FOR CORRECTING MICRO DEFECT OF COLOR PATTERN, AND PROCESS FOR PRODUCING INK | 1 |
Keisuke Kageyama | JP | Osaka-Fu | 2009-04-23 / 20090105060 - COMPOSITION FOR CERAMIC SUBSTRATE, CERAMIC SUBSTRATE, METHOD FOR PROCESS FOR PRODUCING CERAMIC SUBSTRATE, AND GLASS COMPOSITION | 1 |
Hiroshi Kageyama | JP | Osaka | 2009-05-21 / 20090131557 - SHAPE MEMORY RESIN | 1 |
Keisuke Kageyama | JP | Osaka-Shi | 2009-05-21 / 20090132858 - ANALYZER, ANALYZING SYSTEM, AND COMPUTER PROGRAM PRODUCT | 1 |
Yuusuke Kageyama | JP | Kanagawa-Ken | 2009-05-21 / 20090132208 - MULTI-VARIABLE MODEL ANALYSIS SYSTEM, METHOD AND PROGRAM, AND PROGRAM MEDIUM | 1 |
Hiromitsu Kageyama | JP | Toyota-Shi | 2012-08-09 / 20120199413 - VEHICULAR REAR WHEEL STEERING DEVICE | 2 |
Chika Kageyama | JP | Osaka | 2015-03-05 / 20150065485 - SUBSTITUTED POLYCYCLIC CARBAMOYLPYRIDONE DERIVATIVE | 2 |
Hiroshi Kageyama | JP | Kokubunji | 2012-06-28 / 20120162180 - IMAGE DISPLAY | 2 |
Yasuhisa Kageyama | JP | Kayoto | 2009-05-28 / 20090135407 - Microchip | 1 |
Masamitsu Kageyama | JP | Kanagawa | 2015-02-19 / 20150049318 - OPTICAL ELEMENT, OPTICAL SYSTEM, CAPTURING APPARATUS, OPTICAL EQUIPMENT, AND ORIGINAL RECORDING AND MANUFACTURING METHOD THEREFOR | 2 |
Yuji Kageyama | JP | Toyota-Shi | 2013-11-21 / 20130309465 - FIBER-REINFORCED PLASTIC AND METHOD FOR PRODUCING SAME | 1 |
Akiko Kageyama | JP | Chiba | 2014-10-30 / 20140319510 - BENZOFLUORENE COMPOUND, MATERIAL FOR LUMINESCENT LAYER USING SAID COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE | 4 |
Yasuhisa Kageyama | JP | Kyoto-Shi | 2009-06-04 / 20090142232 - MICROCHIP | 1 |
Kouji Kageyama | JP | Iwata-Shi | 2009-08-06 / 20090196056 - STRADDLE TYPE VEHICLE AND TAIL LAMP THEREOF | 2 |
Norihiko Kageyama | JP | Osaka | 2010-01-14 / 20100009032 - METHOD FOR REDUCING ORAL CAVITY STIMULATING SUBSTANCE OF SPROUTED GRAIN | 4 |
Hideaki Kageyama | JP | Susono | 2009-09-03 / 20090219615 - Projector screen and display system | 1 |
Masaaki Kageyama | JP | Ikoma-Shi | 2013-08-15 / 20130210668 - METHOD FOR DETERMINATION OF PROGRESSION RISK OF GLAUCOMA | 7 |
Daisuke Kageyama | JP | Kyoto | 2013-11-28 / 20130316815 - GAME SYSTEM, GAME PROCESSING METHOD, GAME APPARATUS, AND COMPUTER-READABLE STORAGE MEDIUM HAVING STORED THEREIN GAME PROGRAM | 1 |
Yasuhisa Kageyama | JP | Kyoto | 2013-10-31 / 20130287646 - Microchip | 4 |
Seiichi Kageyama | JP | Kyoto | 2009-11-12 / 20090278559 - Inspection device and inspection method | 1 |
Ryohei Kageyama | JP | Hamamatsu-Shi | 2014-03-27 / 20140084745 - COMMUTATOR | 4 |
Junichi Kageyama | JP | Yokohama | 2014-08-28 / 20140242501 - Coating Of Shield Surfaces In Deposition Systems | 4 |
Daisuke Kageyama | JP | Shiga | 2009-12-03 / 20090294290 - Non-Contact Manipulation Device With An Electrode Pair and Manipulation Method Thereof | 1 |
Mitsuhiro Kageyama | JP | Kabagawa | 2009-12-10 / 20090303348 - METADATA ADDING APPARATUS AND METADATA ADDING METHOD | 1 |
Yasushi Kageyama | JP | Hamamatsu-Shi | 2010-01-21 / 20100013168 - CHUCKING DEVICE FOR USE IN MACHINING EXHAUST GAS PURIFYING SYSTEM | 1 |
Fumio Kageyama | JP | Ichihara-Shi | 2015-10-01 / 20150274964 - POLYESTER RESIN COMPOSITION, MANUFACTURING METHOD THEREFOR, AND CAMERA MODULE CONTAINING SAID POLYESTER RESIN COMPOSITION | 2 |
Yuuzou Kageyama | JP | Kanagawa | 2015-11-05 / 20150314787 - VEHICLE CONTROL APPARATUS, AND METHOD OF CONTROLLING SAME | 1 |
Masato Kageyama | JP | Tochigi | 2010-02-25 / 20100043563 - DIFFERENTIAL PRESSURE SENSOR | 2 |
Yoko Kageyama | JP | Kawasaki-Shi | 2010-03-11 / 20100063124 - NEW PYRAZOLE DERIVATIVES AND DIABETIC MEDICINE CONTAINING THEM | 1 |
Soshi Kageyama | JP | Kokubunji-Shi | 2010-05-06 / 20100115080 - METHOD OF CONTROLLING THE COMMUNICATION BETWEEN A MACHINE USING PRIVATE ADDRESSES AND A COMMUNICATION DEVICE CONNECTED TO A GLOBAL NETWORK | 1 |
Shioya Kageyama | JP | Toyota-Shi | 2012-11-22 / 20120293657 - Road Surface Division Mark Recognition Apparatus, And Lane Departure Prevention Apparatus | 7 |
Akihiro Kageyama | JP | Hamamatsu-Shi | 2015-12-24 / 20150371835 - PHOTOMULTIPLIER AND ITS MANUFACTURING METHOD | 5 |
Shinichi Kageyama | JP | Mie | 2010-09-30 / 20100247579 - THERAPEUTIC AGENT FOR CANCER | 1 |
Shigeki Kageyama | JP | Fukaya-Shi | 2008-10-30 / 20080265688 - Linear Motor, Stage Apparatus and Exposure Apparatus | 1 |
Kagehiro Kageyama | JP | Chichibu-Shi | 2010-11-25 / 20100294651 - PROCESS FOR PRODUCING GRAY TONE MASK | 2 |
Kazuhiro Kageyama | JP | Yokohama-Shi | 2015-05-21 / 20150140466 - FUEL CELL STACK | 4 |
Hironobu Kageyama | JP | Kawasaki | 2014-03-06 / 20140068299 - PROCESSOR, INFORMATION PROCESSING APPARATUS, AND POWER CONSUMPTION MANAGEMENT METHOD | 3 |
Yoshihiro Kageyama | JP | Tochigi | 2011-10-06 / 20110239875 - METHOD FOR SETTING OPERATION CONDITION OF PRESS LINE | 2 |
Takashi Kageyama | JP | Yokosuka-Shi | 2016-03-31 / 20160094769 - IMAGE PICKUP APPARATUS WITH EMISSION UNIT, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM STORING CONTROL PROGRAM THEREFOR | 2 |
Toshiharu Kageyama | JP | Shiga | 2011-02-17 / 20110036645 - COMBINATION MEASURING DEVICE | 2 |
Naoki Kageyama | JP | Kobe-Shi | 2016-05-05 / 20160121659 - PNEUMATIC TIRE | 7 |
Hiroshi Kageyama | JP | Tochigi | 2010-01-07 / 20100003145 - COMPRESSOR | 1 |
Kiyoshi Kageyama | JP | Kashiwa-Shi | 2011-03-24 / 20110071783 - Verification and modification method of rules of do-not-inspect regions, computer program, and apparatus for such verification and modification | 1 |
Mitsuhiro Kageyama | JP | Kanagawa-Ken | 2009-08-13 / 20090202218 - DEVICE AND METHOD FOR GIVING IMPORTANCE INFORMATION ACCORDING TO VIDEO OPERATION HISTORY | 1 |
Yusuke Kageyama | JP | Iseharashi | 2009-09-17 / 20090230651 - FRONT SUSPENSION APPARATUS FOR VEHICLE | 1 |
Kazuhiro Kageyama | JP | Hiroshima-Shi | 2014-03-27 / 20140084632 - FRONT BODY STRUCTURE OF VEHICLE | 1 |
Motofusa Kageyama | JP | Fujisawa-Shi | 2011-05-26 / 20110122519 - LENS BARREL AND IMAGE CAPTURING APPARATUS | 1 |
Yuzo Kageyama | JP | Isehara-Shi | 2015-07-23 / 20150204254 - VEHICLE CONTROL DEVICE AND VEHICLE CONTROL METHOD | 4 |
Hiromitsu Kageyama | JP | Aichi-Ken | 2011-05-05 / 20110106382 - VEHICULAR STEERING APPARATUS AND CONTROL METHOD THEREOF | 1 |
Yasuaki Kageyama | JP | Hitachi | 2014-01-23 / 20140020470 - TIGHTNESS MEASURING APPARATUS AND MEASURING METHOD | 5 |
Hiroshi Kageyama | JP | Hachioji-Shi | 2010-05-27 / 20100128160 - DISPLAY APPARATUS | 1 |
Takatoshi Kageyama | JP | Yokohama-Shi | 2010-08-05 / 20100199339 - MOBILE TERMINAL DEVICE, WIRELESS COMMUNICATION UNIT, WIRELESS COMMUNICATION SYSTEM, AND WIRELESS COMMUNICATION METHOD | 2 |
Masaaki Kageyama | JP | Nara | 2011-08-25 / 20110207122 - METHOD FOR DETERMINATION OF PROGRESSION RISK OF GLAUCOMA | 2 |
Makoto Kageyama | JP | Chiba | 2010-08-26 / 20100216686 - CLEANING AGENT | 1 |
Shioya Kageyama | JP | Aichi-Ken | 2011-01-06 / 20110001640 - OPERATION CONTROL MAP, DISPLAY UNIT, AND WHITE LINE DETECTION APPARATUS | 1 |
Masamitsu Kageyama | JP | Minato-Ku, Tokyo | 2014-08-21 / 20140233104 - OPTICAL ELEMENT, WINDOW MATERIAL, FITTING, AND SOLAR SHADING DEVICE | 1 |
Michiharu Kageyama | JP | Hino-Shi | 2013-04-11 / 20130089609 - CONTROLLED RELEASE NUCLEATED TABLET | 1 |
Michihito Kageyama | JP | Tokyo | 2012-05-31 / 20120136025 - QUINOLONE DERIVATIVE OR PHARMACEUTICALLY ACCEPTABLE SALT THEREOF | 5 |
Koji Kageyama | JP | Tokyo | 2010-05-06 / 20100115521 - MEDIATION SERVER, TERMINALS AND DISTRIBUTED PROCESSING METHOD | 1 |
Tetsuo Kageyama | JP | Tokyo | 2008-12-25 / 20080314396 - Shredded tobacco material feeder of a cigarette manufacturing apparatus | 1 |
Tsuyoshi Kageyama | JP | Tokyo | 2015-08-06 / 20150217189 - IN-GAME GRAPHIC RECOGNITION SYSTEM AND IN-GAME GRAPHIC RECOGNITION PROGRAM | 2 |
Kensuke Kageyama | JP | Tokyo | 2011-11-24 / 20110288689 - EVALUATION METHOD FOR BOTANICAL-INTEGRITY OF VASCULAR PLANT, IRRIGATING METHOD TO VASCULAR PLANT, FILM ELECTRET SENSOR AND FILM ECM ARRAY | 3 |
Shigeki Kageyama | JP | Tokyo | 2009-10-01 / 20090246812 - DRY ANALYTICAL ELEMENT FOR LIPASE MEASUREMENT | 3 |
Naohiro Kageyama | JP | Tokyo | 2015-11-19 / 20150331221 - CHANGEABLE LENS BARREL | 4 |
Takeshi Kageyama | JP | Tokyo | 2010-01-28 / 20100021719 - ELECTRODE MATERIAL FOR ELECTROLYTIC CAPACITOR | 1 |
Yuichi Kageyama | JP | Tokyo | 2014-08-28 / 20140244846 - INFORMATION PROCESSING APPARATUS, RESOURCE CONTROL METHOD, AND PROGRAM | 14 |
Dan Kageyama | JP | Tokyo | 2016-05-05 / 20160120718 - BED APPARATUS | 8 |
Takashi Kageyama | JP | Tokyo | 2012-06-21 / 20120154631 - IMAGE PICKUP APPARATUS USING LIGHT EMITTING DEVICE AND METHOD OF CONTROLLING THE SAME | 2 |
Masanori Kageyama | JP | Tokyo | 2008-12-11 / 20080304298 - System Interconnection Inverter | 1 |
Tomohiro Kageyama | JP | Ichinomiya-Shi | 2013-12-12 / 20130327238 - Invertible Ink Stamp and Method of Manufacturing the Same | 1 |
Tomohiro Kageyama | JP | Nagoya-Shi | 2014-12-18 / 20140366758 - SELF-INKING STAMP AND PRODUCTION METHOD THEREFOR | 1 |
Naohiro Kageyama | JP | Hachioji-Shi | 2012-09-27 / 20120243111 - Wafer Lens Manufacturing Method | 1 |
Tomofumi Kageyama | JP | Miyagi | 2012-06-07 / 20120142103 - METHOD FOR INDUCING DIFFERENTIATION INTO EPITHELIAL PROGENITOR CELL/STEM CELL POPULATION AND CORNEAL EPITHELIAL CELL POPULATION FROM INDUCED PLURIPOTENT STEM CELLS | 1 |
Hideaki Kageyama | JP | Shizuoka | 2012-06-07 / 20120139429 - DISPLAY DEVICE | 1 |
Satoshi Kageyama | JP | Kyoto | 2016-01-28 / 20160027690 - SEMICONDUCTOR DEVICE | 29 |
Hiroaki Kageyama | JP | Tokyo | 2014-05-29 / 20140144411 - FUEL EVAPORATION GAS DISCHARGE SUPPRESSING DEVICE OF INTERNAL COMBUSTION ENGINE | 1 |
Masato Kageyama | JP | Tokyo | 2011-07-21 / 20110177253 - Method for producing hot dip plated steel sheet and apparatus for hot dip plating | 1 |
Yuji Kageyama | US | Clifton Park | 2010-07-15 / 20100175914 - PASSIVE ELECTRICAL DEVICES AND METHODS OF FABRICATING PASSIVE ELECTRICAL DEVICES | 1 |
Akihiro Kageyama | JP | Toyokawa-Shi | 2012-04-26 / 20120099944 - SCREW TIGHTENING STRUCTURE, SCREW AND SCREW TIGHTENING TOOL | 1 |
Junichi Kageyama | JP | Chiyoda-Ku | 2016-04-21 / 20160109384 - REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, AND PROCESS FOR ITS INSPECTION AND PROCESS FOR ITS PRODUCTION | 4 |
Naoki Kageyama | JP | Otsu-Shi | 2015-05-14 / 20150130653 - VEHICLE DETECTING SENSOR ASSEMBLY | 3 |
Hidehei Kageyama | JP | Saitama | 2016-05-19 / 20160136993 - LIQUID APPLYING TOOL | 8 |
Fumio Kageyama | JP | Ichihara-Shi, Chiba | 2016-03-31 / 20160090482 - POLYESTER RESIN COMPOSITION FOR REFLECTIVE MATERIAL AND REFLECTOR INCLUDING SAME | 2 |
Tomohiro Kageyama | JP | Nagaokakyo-Shi | 2015-11-19 / 20150332853 - METHOD FOR MANUFACTURING CERAMIC ELECTRONIC COMPONENT | 1 |
Kyouhei Kageyama | JP | Iwata | 2015-02-19 / 20150049972 - ROLLING BEARING | 1 |
Yu Kageyama | JP | Osaka | 2016-02-18 / 20160045397 - Medicine Supply Apparatus | 2 |
Hiroyuki Kageyama | JP | Osaka | 2013-10-31 / 20130283978 - COMPOSITION FOR COLLECTING METAL COMPONENT | 14 |
Yusuke Kageyama | JP | Isehara-Shi | 2015-10-15 / 20150291210 - STEERING CONTROL DEVICE | 8 |
Yu Kageyama | JP | Hirakata-Shi | 2013-07-18 / 20130180624 - MEDICINE SUPPLY APPARATUS | 1 |
Masahiro Kageyama | JP | Tokyo | 2014-10-23 / 20140313369 - IMAGE PROCESSING APPARATUS, IMAGE PICKUP APPARATUS, AND STORAGE MEDIUM | 1 |
Norihiko Kageyama | JP | Ibaraki-Shi | 2011-06-30 / 20110158918 - ORAL CAVITY STIMULATING SUBSTANCE | 1 |
Hiroyuki Kageyama | JP | Osaka-Shi | 2012-05-31 / 20120134724 - FIXING DEVICE AND IMAGE FORMING APPARATUS USING THE SAME | 1 |
Kouji Kageyama | JP | Tokyo | 2015-02-26 / 20150053596 - DESALINATION SYSTEM | 1 |
Yukako Kageyama | JP | Hino-Shi | 2015-06-04 / 20150151020 - FORMED SHEET PRODUCT AND HEMOSTATIC MATERIAL | 3 |
Hiroaki Kageyama | JP | Tokushima-Shi | 2014-02-06 / 20140034992 - SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Tomofumi Kageyama | JP | Osaka | 2014-06-19 / 20140170751 - METHOD FOR PREPARING CORNEAL ENDOTHELIAL CELL | 1 |
Yuji Kageyama | JP | Anjo-Shi | 2014-06-26 / 20140175694 - MANUFACTURING METHOD FOR FIBER-REINFORCED RESIN SHEET AND MANUFACTURING DEVICE THEREFOR | 1 |
Yuichi Kageyama | JP | Tokyo | 2014-08-28 / 20140244846 - INFORMATION PROCESSING APPARATUS, RESOURCE CONTROL METHOD, AND PROGRAM | 14 |
Ichiro Kageyama | JP | Tokyo | 2015-05-14 / 20150133804 - VEHICLE SEAT | 2 |
Yuuichi Kageyama | JP | Tokyo | 2012-08-16 / 20120206486 - INFORMATION PROCESSING APPARATUS AND IMAGING REGION SHARING DETERMINATION METHOD | 1 |
Akira Kageyama | JP | Hiroshima-Shi | 2011-10-06 / 20110239638 - EXHAUST SYSTEM OF MULTI-CYLINDER ENGINE | 1 |
Hiroyuki Kageyama | JP | Kyoto | 2011-09-29 / 20110234578 - DISPLAY DRIVING APPARATUS, DISPLAY MODULE PACKAGE, DISPLAY PANEL MODULE, AND TELEVISION SET | 1 |
Kazuhiro Kageyama | JP | Kanagawa-Ken | 2011-09-22 / 20110229791 - SEALING STRUCTURE AND FUEL CELL HAVING THE SEALING STRUCTURE | 1 |
Yoshiteru Kageyama | JP | Matsudo-Shi | 2011-10-06 / 20110246600 - MEMORY SHARING APPARATUS | 1 |
Kensuke Kageyama | JP | Saitama | 2015-03-05 / 20150061458 - ELECTRET STRUCTURE AND METHOD FOR MANUFACTURING SAME, AND ELECTROSTATIC INDUCTION-TYPE CONVERSION ELEMENT | 1 |
Dan Kageyama | JP | Tokyo | 2016-05-05 / 20160120718 - BED APPARATUS | 8 |
Mokuji Kageyama | JP | Oita Oita | 2016-03-10 / 20160071726 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Takeo Kageyama | JP | Tokyo | 2013-09-26 / 20130250993 - VERTICAL CAVITY SURFACE EMITTING LASER ELEMENT, VERTICAL CAVITY SURFACE EMITTING LASER ARRAY ELEMENT, VERTICAL CAVITY SURFACE EMITTING LASER DEVICE, LIGHT SOURCE DEVICE, AND OPTICAL MODULE | 13 |
Junji Kageyama | JP | Kuki-Shi | 2011-10-13 / 20110250492 - BATTERY CASE, BATTERY CASE MANUFACTURING METHOD, AND BATTERY CASE MANUFACTURING APPARATUS USED FOR THE METHOD | 1 |
Kazuhiro Kageyama | JP | Yokohama-Shi, Kanagawa | 2016-03-17 / 20160079610 - INSULATING STRUCTURE, FUEL CELL AND FUEL CELL STACK | 2 |
Masato Kageyama | JP | Oyama-Shi | 2015-12-03 / 20150345991 - STROKE DETECTION DEVICE, STROKE DETECTION METHOD, STROKE DETECTION SYSTEM, OPERATION LEVER UNIT, AND OPERATION LEVER STROKE DETECTION SYSTEM | 3 |
Yohei Kageyama | JP | Kawasaki-Shi | 2012-08-23 / 20120215196 - PLASTIC FILM HAVING OXYGEN ABSORBING FUNCTION AND INFUSION BAG | 2 |
Toshiharu Kageyama | JP | Ritto | 2012-08-09 / 20120198799 - FORM-FILL-SEAL MACHINE | 1 |
Emi Kageyama | JP | Shizuoka | 2015-06-25 / 20150177291 - SHUNT RESISTANCE TYPE CURRENT SENSOR | 1 |
Takahisa Kageyama | JP | Ichikawa | 2016-02-11 / 20160042103 - TEST APPARATUS, TEST METHOD AND TEST SYSTEM FOR VARIABLE SPEED CONTROLLER | 3 |
Yuichi Kageyama | JP | Kawasaki-Shi | 2014-10-30 / 20140323669 - RESIN COMPOSITION FOR SEALING | 1 |
Tomomi Kageyama | JP | Kawasaki-Shi | 2012-09-06 / 20120225674 - MEASUREMENT SYSTEM, MOVING-OBJECT-MOUNTED TERMINAL AND DATA PROCESSING APPARATUS | 1 |
Junpei Kageyama | JP | Shizuoka-Shi | 2014-02-27 / 20140054155 - LONG-STROKE DOME-SHAPED MOVABLE CONTACT PIECE | 1 |
Masashi Kageyama | JP | Chiyoda-Ku,tokyo | 2016-02-18 / 20160047902 - Scanning Optical System And Radar | 1 |
Kyouhei Kageyama | JP | Utsunomiya-Shi | 2014-11-27 / 20140348454 - BEARING PART, ROLLING BEARING, AND METHODS OF MANUFACTURING THEM | 1 |
Yuuichi Kageyama | JP | Minamitsuru-Gun | 2016-02-25 / 20160054727 - NUMERICAL CONTROLLER THAT SHORTENS CYCLE TIME OF MACHINING PROGRAM | 1 |
Nobuyuki Kageyama | JP | Chiba | 2012-08-30 / 20120216925 - HOT-PRESSED STEEL SHEET MEMBER, STEEL SHEET FOR HOT-PRESS, AND METHOD FOR MANUFACTURING HOT-PRESSED STEEL SHEET MEMBER | 1 |
Motoyasu Kageyama | JP | Yasu-Shi | 2015-07-23 / 20150205166 - LIQUID CRYSTAL DISPLAY APPARATUS | 1 |
Yasushi Kageyama | JP | Tokyo | 2012-03-08 / 20120058544 - BIOMASS DECOMPOSITION APPARATUS AND METHOD THEREOF, AND SUGAR-SOLUTION PRODUCTION SYSTEM USING BIOMASS MATERIAL | 1 |
Yuuta Kageyama | JP | Fujisawa-Shi | 2013-03-14 / 20130064725 - EXHAUST GAS PURIFICATION DEVICE | 2 |
Taketomo Kageyama | JP | Tajimi-Shi, Gifu | 2016-03-03 / 20160061492 - HEAT COLLECTOR FOR SOLAR THERMAL POWER GENERATION | 1 |
Yasuhiro Kageyama | JP | Saitama | 2010-08-05 / 20100194970 - Automatic focusing apparatus and optical device | 1 |
Yuichi Kageyama | JP | Kanagawa | 2012-11-08 / 20120283375 - RESIN COMPOSITION | 1 |
Genzaburo Kageyama | JP | Saitama | 2010-02-04 / 20100028509 - Process For Producing Aseptic Packaged Pasta Product Storable at Room Temperature | 1 |
Yukako Kageyama | JP | Tokyo | 2015-05-14 / 20150132279 - RADIATION STERILIZATION-RESISTANT PROTEIN COMPOSITION | 2 |
Shunsuke Kageyama | JP | Kawasaki-Shi | 2015-02-19 / 20150051395 - SULFONAMIDE DERIVATIVE AND MEDICINAL USE THEREOF | 4 |
Hidehei Kageyama | JP | Saitama | 2016-05-19 / 20160136993 - LIQUID APPLYING TOOL | 8 |
Hiroshi Kageyama | JP | Kyoto | 2015-02-05 / 20150037236 - MACROPOROUS TITANIUM COMPOUND MONOLITH AND METHOD FOR PRODUCING SAME | 2 |
Takashi Kageyama | JP | Okazaki-Shi | 2013-05-30 / 20130134827 - METHOD FOR MANUFACTURING STATOR FOR MOTOR AND STATOR FOR MOTOR | 3 |
Yasuaki Kageyama | JP | Tokyo | 2014-09-18 / 20140260526 - Apparatus and Method for Measuring Fixing Force | 1 |
Hiroaki Kageyama | JP | Nagoya-Shi | 2015-03-12 / 20150069070 - VEHICLE FUEL TANK | 2 |
Tomomi Kageyama | JP | Tokyo | 2013-09-26 / 20130249278 - BATTERY SYSTEM AND METHOD OF OPERATING THE BATTERY SYSTEM | 1 |
Yasuhiro Kageyama | JP | Tokyo | 2013-10-17 / 20130273985 - GASKET STRUCTURE FOR A TERMINAL APPARATUS | 1 |
Tetsuya Kageyama | JP | Kyoto-Shi | 2015-12-24 / 20150369782 - CHROMATOGRAPH/MASS SPECTROMETER DATA PROCESSING DEVICE | 1 |
Yohei Kageyama | JP | Tokyo | 2015-08-13 / 20150225554 - BLOW-MOLDED CONTAINER, AND RESIN COMPOSITION FOR BLOW-MOLDED CONTAINER | 3 |
Ryota Kageyama | JP | Tokyo | 2016-04-28 / 20160118821 - BATTERY PROTECTION CIRCUIT, BATTERY PROTECTION APPARATUS, AND BATTERY PACK | 1 |
Atsushi Kageyama | JP | Yokohama | 2012-08-23 / 20120212017 - HEADREST AND VEHICLE SEAT PROVIDED WITH THE SAME | 1 |
Hideo Kageyama | JP | Saitama | 2010-09-23 / 20100239279 - IMAGE FORMING APPARATUS | 5 |
Yasuyuki Kageyama | JP | Chiba-Ken | 2013-02-28 / 20130054427 - EXPLOSIONS OF BILL-OF-MATERIALS LISTS | 1 |
Yusuke Kageyama | JP | Isehara-Shi | 2015-10-15 / 20150291210 - STEERING CONTROL DEVICE | 8 |
Nobuto Kageyama | JP | Hamamatsu-Shi | 2013-10-24 / 20130279530 - SEMICONDUCTOR LASER DEVICE | 1 |
Takatoshi Kageyama | JP | Kanagawa | / - | 1 |
Akira Kageyama | JP | Kanagawa | 2010-02-04 / 20100026683 - METHOD AND PROGRAM OF VISUALIZING STRUCTURED GRID DATA | 1 |
Mitsuhiro Kageyama | JP | Kanagawa | 2010-06-17 / 20100153334 - DATA SYNCHRONIZING APPARATUS USING INSTRUCTION | 2 |
Kazuhiro Kageyama | JP | Kanagawa | 2015-11-12 / 20150325875 - FUEL CELL STACK AND LOAD BEARING METHOD INVOLVING USE OF FUEL CELL STACK | 7 |
Yusuke Kageyama | JP | Kanagawa | 2015-06-04 / 20150151778 - VEHICLE SUSPENSION DEVICE, AUTOMOBILE USING THE SAME, AND TURNING CONTROL METHOD | 3 |
Chizuko Kageyama | JP | Iwata-Shi | 2015-05-07 / 20150126368 - METHOD OF PROVIDING PLANT WITH STRESS RESISTANCE | 1 |
Takahisa Kageyama | JP | Ichikawa-Shi | 2014-12-11 / 20140361718 - VARIABLE SPEED CONTROL APPARATUS AND OPERATION METHOD | 2 |
Takashi Kageyama | JP | Chigasaki-Shi | 2013-07-11 / 20130174783 - FILM-FORMING APPARATUS | 1 |
Joshua D. Kaggie | US | West Jordan | 2015-12-17 / 20150362569 - 3T Multinuclear and Proton Composite Array for Magnetic Resonance Imaging | 2 |
Leila Kaghazian | US | Los Angeles | 2013-05-16 / 20130125017 - SELECTIVE SENDING OF PORTIONS OF ELECTRONIC CONTENT | 1 |
Fabien Kagi | CH | Lausanne | 2013-10-24 / 20130281772 - LAPAROSCOPIC DEVICE INSERTION CANNULA | 1 |
Alain Kagi | US | Portland | 2014-04-17 / 20140109090 - OPTIMIZING PROCESSOR-MANAGED RESOURCES BASED ON THE BEHAVIOR OF A VIRTUAL MACHINE MONITOR | 6 |
Nobuyuki Kagi | JP | Tokyo | 2015-12-10 / 20150355469 - LIGHT OPERATION DEVICE | 4 |
Ioannis Kagiampakis | US | College Station | 2011-10-27 / 20110263485 - Bifunctional Griffithsin Analogs | 1 |
Hidemasa Kagii | JP | Takasaki | 2013-03-21 / 20130071971 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 4 |
Kiyoyuki Kagii | JP | Hitachinaka | 2009-10-01 / 20090245604 - NUCLEIC ACID ANALYZING APPARATUS | 1 |
Kouta Kagimoto | JP | Tokyo | 2015-12-10 / 20150353251 - PACKAGED ARTICLE OF OXYGEN ABSORBING MULTILAYER BODY AND METHOD FOR STORING OXYGEN ABSORBING MULTILAYER BODY | 2 |
Yoshimi Kagimoto | JP | Tokyo | 2012-06-21 / 20120157256 - BEARING OIL SUPPLY STRUCTURE FOR WIND TURBINE GENERATOR | 1 |
Tomonari Kagimoto | JP | Fukuoka | 2012-07-12 / 20120177007 - BASE STATION APPARTUS, COMMUNICATION CONTROL SYSTEM, COMMUNICATION CONTROL METHOD, AND INTER-STATION CONTROL METHOD | 5 |
Yoshimi Kagimoto | JP | Nagasaki | 2011-11-03 / 20110270451 - CONTROL DEVICE FOR EXHAUST HEAT RECOVERY SYSTEM | 3 |
Tomohiro Kagimoto | JP | Shinagawa-Ku | 2012-12-20 / 20120319268 - CONDUCTIVE CONNECTION SHEET, METHOD FOR CONNECTING TERMINALS, METHOD FOR FORMING CONNECTION TERMINAL, SEMICONDUCTOR DEVICE, AND ELECTRONIC DEVICE | 2 |
Tadahisa Kagimoto | JP | Fukuoka | 2013-10-10 / 20130267488 - PHARMACEUTICAL FORMULATION HAVING NEUROPROTECTIVE ACTIVITY | 2 |
Tadahisa Kagimoto | JP | Fukuoka-City | 2009-10-29 / 20090270315 - METHOD OF INHIBITING ANGIOGENESIS BY USING EPHRIN B2 | 1 |
Tomohiro Kagimoto | JP | Tokyo | 2012-08-23 / 20120214010 - CONDUCTIVE CONNECTING MATERIAL AND METHOD FOR CONNECTING TERMINALS USING THE SAME | 3 |
Shuji Kagimoto | JP | Kobe-Shi | 2016-03-03 / 20160059638 - HEAVY DUTY PNEUMATIC TIRE | 1 |
Osamu Kagimoto | JP | Tokyo | 2016-01-07 / 20160003138 - ACTUATOR POWER TRANSMISSION MECHANISM AND TURBOCHARGER | 4 |
Sumio Kagimura | JP | Osaka | 2012-08-23 / 20120214321 - CIRCUIT BOARD UNIT | 7 |
Sumio Kagimura | JP | Sakai-Shi | 2015-02-19 / 20150049526 - INDIRECT MATRIX CONVERTER | 2 |
Asako Kagita | JP | Kyoto-Shi | 2012-03-29 / 20120075503 - COMPUTER-READABLE STORAGE MEDIUM HAVING STORED THEREON IMAGE GENERATION PROGRAM, CAPTURING APPARATUS, CAPTURING SYSTEM, AND IMAGE GENERATION METHOD | 4 |
Toshiya Kagitani | JP | Tokyo | 2012-09-27 / 20120246311 - SESSION MANAGEMENT SYSTEM, SESSION MANAGEMENT DEVICE, SESSION MANAGEMENT METHOD AND SESSION MANAGEMENT PROGRAM | 3 |
Masahiko Kagitani | JP | Aichi | 2012-02-23 / 20120043694 - CURING AGENT COMPOSITION | 1 |
Akihiro Kagitani | JP | Seto-Shi | 2014-05-29 / 20140145527 - ROTARY ELECTRIC MACHINE APPARATUS | 1 |
Kouji Kagitani | JP | Shiga | 2010-06-24 / 20100157301 - RUNNING YARN LINE INSPECTION METHOD AND CARBON FIBER MANUFACTURING METHOD USING THEREOF | 1 |
Masahiko Kagitani | JP | Toyohashi-Shi | 2012-10-18 / 20120261087 - PROCESS FOR PRODUCING A MOLD | 1 |
Kenji Kagitani | JP | Kanagawa | 2016-02-11 / 20160040985 - SPECIMEN MEASURING DEVICE AND COMPUTER PROGRAM PRODUCT | 3 |
Shinji Kagitani | JP | Takasago-Shi | 2012-11-08 / 20120283350 - RESIN COMPOSITION FOR COATING MATERIAL | 1 |
Yutaka Kagiwada | JP | Tokyo | 2011-07-14 / 20110173054 - Advertising Insertion, Profiling, Impression, and Feedback | 1 |
Hikaru Kagiwada | JP | Matsuda | 2011-04-21 / 20110088933 - LOW DIELECTRIC LOSS WIRING BOARD, MULTILAYER WIRING BOARD, COPPER FOIL AND LAMINATE | 1 |
Yoshito Kagiwada | JP | Kanagawa | 2015-01-22 / 20150021303 - LASER MACHINING DEVICE AND LASER OSCILLATION CONTROL METHOD | 1 |
Yoshimitsu Kagiwada | JP | Ashigarakamigun Matsudamachi | 2015-05-28 / 20150149351 - TRANSACTION MANAGEMENT SYSTEM AND TRANSACTION MANAGEMENT PROGRAM | 3 |
Satoshi Kagiwada | JP | Minamitsuru-Gun | 2013-02-14 / 20130039377 - GAS LASER APPARATUS EQUIPPED WITH POWER CALCULATION UNIT | 2 |
Christi Kagiyama | JP | Tokyo | 2009-07-02 / 20090169501 - MASCARA CONTAINING A SUGAR SILICONE SURFACTANT | 1 |
Junji Kagiyama | JP | Toyoake-Shi | 2012-07-26 / 20120186934 - VEHICLE HYDRAULIC CLUTCH APPARATUS | 1 |
Masato Kagiyama | JP | Ehime | 2015-11-19 / 20150328404 - PHARMACEUTICAL INJECTION DEVICE | 1 |
Shinji Kagiyama | JP | Shizuoka | 2010-02-04 / 20100027283 - VEHICULAR HEADLAMP | 1 |
Tomoyuki Kago | JP | Tochigi | 2011-06-23 / 20110152275 - AGENT FOR MAINTENANCE OF INDUCED REMISSION | 1 |
Yoshiyuki Kago | JP | Nishio-City | 2012-01-19 / 20120015685 - Handover control apparatus | 3 |
Yoshikazu Kago | JP | Kyoto | 2009-08-13 / 20090199869 - APPARATUS FOR AND METHOD OF CLEANING SUBSTRATE | 2 |
Yoichi Kago | US | 2012-08-30 / 20120216484 - PARTITION PANEL, PANEL STRUCTURE AND CONNECTING STRUCTURE OF PANELS | 1 | |
Yukihiko Kagohara | JP | Inuyama-Shi | 2013-05-16 / 20130121628 - SLIDING MEMBER | 8 |
Kento Kagohara | JP | Sakai-Shi, Osaka | 2015-11-26 / 20150338168 - HEAT EXCHANGER | 1 |
Yukihiko Kagohara | JP | Inuyama-Shi | 2013-05-16 / 20130121628 - SLIDING MEMBER | 8 |
Yukihiko Kagohara | JP | Inuyama | 2010-12-09 / 20100310896 - ALUMINUM-BASED SLIDING ALLOY AND CASTING APPARATUS FOR THE SAME | 4 |
Wataru Kagohashi | JP | Chigasaki-Shi | 2012-10-18 / 20120261269 - PROCESS FOR PRODUCTION OF POLYSILICON AND SILICON TETRACHLORIDE | 1 |
Tatsuya Kagohashi | JP | Gifu | 2013-07-11 / 20130175728 - PERMANENT MAGNET PRODUCTION METHOD | 1 |
Akira Kagohashi | JP | Toki-Shi | 2012-01-12 / 20120009344 - PROCESS AND APPARATUS FOR PRODUCING COMPOSITE MATERIAL | 1 |
Taketoshi Kagose | JP | Shiojiri-Shi | 2015-11-19 / 20150328893 - INK COMPOSITION AND RECORDING METHOD | 12 |
Taketoshi Kagose | JP | Toyama | 2015-02-12 / 20150044435 - ULTRAVIOLET RAY CURABLE INK JET COMPOSITION AND PRINTED OBJECT | 3 |
Taketoshi Kagose | JP | Kurobe-Shi | 2016-02-04 / 20160031232 - INK JET RECORDING METHOD, PHOTOCURABLE INK JET INK COMPOSITION, AND INK JET RECORDING DEVICE | 2 |
Taketoshi Kagose | JP | Shiojiri | 2016-03-24 / 20160083599 - RADIATION-CURABLE INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER | 6 |
Taketoshi Kagose | JP | Shiojiri-Shi | 2015-11-19 / 20150328893 - INK COMPOSITION AND RECORDING METHOD | 12 |
Akira Kagoshima | JP | Kudamatsu-Shi | 2014-09-18 / 20140277626 - PLASMA PROCESSING APPARATUS | 7 |
Masayuki Kagoshima | JP | Hiroshima-Shi | 2011-10-20 / 20110254513 - HYBRID WORKING MACHINE HAVING BATTERY PROTECTING FUNCTION | 6 |
Takehiko Kagoshima | JP | Kanagawa-Ken | 2014-06-26 / 20140180681 - SPEECH SYNTHESIS APPARATUS AND METHOD | 7 |
Yoshiko Kagoshima | JP | Tokyo | 2014-03-13 / 20140073622 - IMIDAZOLE CARBONYL COMPOUND | 2 |
Akira Kagoshima | JP | Tokyo | 2015-03-26 / 20150083328 - ANALYSIS METHOD AND SEMICONDUCTOR ETCHING APPARATUS | 2 |
Masaru Kagoshima | JP | Iwaki-Shi | 2011-08-04 / 20110189078 - APPARATUS AND METHOD FOR CONTINUOUS HIGH TEMPERATURE GAS TREATMENT OF PARTICULATE MATTER | 1 |
Tsutomu Kagoshima | JP | Tokyo | 2015-05-14 / 20150135137 - User Experience for Processing and Cropping Images | 3 |
Takehiko Kagoshima | JP | Kanagawa | 2012-07-19 / 20120185244 - SPEECH PROCESSING DEVICE, SPEECH PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 9 |
Shozo Kagoshima | US | Campbell | 2009-04-23 / 20090102801 - METHODS AND DEVICES FOR DISPLAYING AND COLLECTING INFORMATION AT WINDOWS | 1 |
Masaru Kagoshima | JP | Fukushima | 2009-03-05 / 20090060826 - Apparatus and Method for Continuous High Temperature Gas Treatment of Particulate Matter | 1 |
Takehiko Kagoshima | JP | Yokohama | 2016-04-14 / 20160104475 - SPEECH SYNTHESIS DICTIONARY CREATING DEVICE AND METHOD | 4 |
Yoshiko Kagoshima | JP | Shinagawa-Ku | 2016-02-18 / 20160046639 - IMIDAZO[1,2-b]PYRIDAZINE DERIVATIVES AS KINASE INHIBITORS | 2 |
Takehiko Kagoshima | JP | Yokohama-Shi | 2014-02-20 / 20140052447 - SPEECH SYNTHESIS APPARATUS, METHOD, AND COMPUTER-READABLE MEDIUM | 7 |
Takashi Kagoshima | JP | Obu-City | 2013-05-23 / 20130131889 - CONTROLLER FOR VEHICLE | 1 |
Tetsuro Kagoshima | JP | Tokyo | 2008-08-28 / 20080208949 - Distribution Request Control Method and Unit, and Program for Distribution Request Control Method | 1 |
Takehiro Kagoshima | JP | Kanagawa-Ken | 2008-08-21 / 20080201150 - VOICE CONVERSION APPARATUS AND SPEECH SYNTHESIS APPARATUS | 1 |
Masaru Kagoshima | JP | Tokyo | 2012-11-15 / 20120289713 - METHOD FOR PRODUCING GLYCOLIDE | 1 |
Kazuhiro Kagoshima | JP | Tokyo | 2013-10-03 / 20130256091 - MONEY INPUT/OUTPUT APPARATUS, REPLENISHING/COLLECTING APPARATUS, AND METHOD OF RUNNING MONEY INPUT/OUTPUT APPARATUS | 2 |
Kazuhiro Kagoshima | JP | Inagi | 2011-06-30 / 20110156335 - CONVEYING DIRECTION-SWITCHING DEVICE FOR PAPER LEAVES, CONVEYING DIRECTION CONTROL METHOD, AND PAPER LEAVES PROCESSOR | 1 |
Akira Kagoshima | JP | Kudamatsu | 2014-11-20 / 20140339193 - Plasma Processing Method | 9 |
Takehiko Kagoshima | JP | Kanagawa | 2012-07-19 / 20120185244 - SPEECH PROCESSING DEVICE, SPEECH PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 9 |
Akira Kagoshima | JP | Kudamatsu | 2014-11-20 / 20140339193 - Plasma Processing Method | 9 |
Masanori Kagota | JP | Tokyo-To | 2015-08-27 / 20150243014 - CULTURE MEDIUM INFORMATION REGISTRATION SYSTEM, COLONY DETECTION DEVICE, PROGRAM AND SANITARY MANAGEMENT SYSTEM | 1 |
Yuichi Kagota | JP | Nakano-Ku | 2009-07-09 / 20090176535 - Communication Terminal Device and Storage Medium | 1 |
Hirotoshi Kagota | JP | Kobe-Shi | 2015-12-10 / 20150352755 - SPOKE CASTING DEVICE OF AIRLESS TIRE | 1 |
Yuichi Kagota | JP | Fuchu-Shi | 2008-12-25 / 20080319662 - Electronic device and recording medium | 1 |
Naoaki Kagotani | JP | Hyogo | 2012-11-15 / 20120288136 - PAPER-SHEET RECOGNITION DEVICE AND PAPER-SHEET PROCESSING APPARATUS | 1 |
Akihito Kagotani | JP | Tokyo | 2015-10-29 / 20150312973 - EL PANEL, AND ILLUMINATION DEVICE AND DISPLAY DEVICE USING THE SAME | 1 |
Shigehiko Kagotani | JP | Kobe-Shi | 2012-06-07 / 20120143503 - ON-VEHICLE APPARATUS | 3 |
Akihito Kagotani | JP | Yonezawa-Shi | 2013-04-18 / 20130093308 - ORGANIC EL ILLUMINATION DEVICE | 3 |
Koichi Kagotani | JP | Tokyo | 2013-04-11 / 20130090001 - CONNECTOR GUIDE MEMBER AND ELECTRICAL CONNECTOR DEVICE HAVING THE SAME | 4 |
Yasuaki Kagotoshi | JP | Kanagawa | 2015-12-31 / 20150380541 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 7 |
Yasuaki Kagotoshi | JP | Kawasaki-Shi | 2015-07-30 / 20150214047 - Method for Manufacturing Semiconductor Device | 1 |
Toru Kagoura | JP | Ichihara-Shi | 2011-01-27 / 20110017337 - FLEXIBLE TUBE FOR TRANSPORTING CRYOGENIC FLUID AND STRUCTURE FOR DETECTING LEAKAGE OF FLUID IN TUBE | 2 |
Toru Kagoura | JP | Tokyo | 2014-06-19 / 20140166335 - UNDERSEA CABLE, MULTILAYER TAPE FOR WATER SHIELDING LAYER OF UNDERSEA CABLE, AND METHOD FOR IMPROVING FATIGUE CHARACTERISTICS OF UNDERSEA CABLE | 1 |
Mamoru Kagoura | JP | Tokyo | 2014-07-10 / 20140195971 - INSTRUMENT CONTROLLING DEVICE AND INSTRUMENT CONTROLLING METHOD | 2 |
Norik Kagramanyan | US | Glendale | 2014-03-06 / 20140062277 - PORTABLE STORAGE APPARATUS | 1 |
Daisuke Kaguchi | JP | Hadano | 2012-01-19 / 20120016971 - SERVER SYSTEM AND CONSTRUCTION METHOD FOR I/O CONFIGURATION OF SERVER SYSTEM | 1 |
Hitoshi Kaguchi | JP | Hyogo | 2009-11-05 / 20090274400 - Rotating -Body Support Structure | 2 |
Naoto Kaguchi | JP | Tokyo | 2015-01-15 / 20150014705 - SEMICONDUCTOR DEVICE | 5 |
Artour Kagulian | US | Hollywood | 2013-01-17 / 20130018675 - Method, System and Program Storage Device for Obtaining Bail Bond InsuranceAANM Kagulian; ArtourAACI HollywoodAAST FLAACO USAAGP Kagulian; Artour Hollywood FL US | 1 |
Lawino Kagumba | US | Cambridge | 2015-09-10 / 20150252228 - EPOXY COMPOSITIONS | 12 |
Carl W. Kagy | US | Austin | 2015-06-11 / 20150160690 - METHODS AND SYSTEMS FOR NETWORK SWITCH CONFIGURATION FOR A MODULAR COMPONENT CARRYING ONE OR MORE INFORMATION HANDLING SYSTEMS | 1 |
Stefan Kah | DE | Hannover | 2014-09-18 / 20140274665 - Selective Catalytic Reduction Catalyst System | 2 |
Young-Il Kah | KR | Suwon-Si | 2010-03-11 / 20100061050 - ELECTRONIC DEVICE WITH CARD CONNECTOR | 1 |
Harald Kah | DE | Brechen | 2011-12-29 / 20110320162 - METHOD AND DEVICE FOR COMMUNICATING ELECTRICAL POSITIONING INFORMATION OF A FINAL CONTROL ELEMENT | 2 |
Stefan Kah | DE | Neubrandenburg | 2011-03-10 / 20110058996 - REFORMER FOR CONVERTING GASEOUS FUEL AND OXIDIZING AGENT INTO A REFORMATE | 5 |
Michael Kah | AU | Frankston | 2013-05-23 / 20130130139 - THERMAL MANAGEMENT IN A FUEL CELL STACK | 1 |
Nils Kah | DE | Remseck | 2011-03-17 / 20110062349 - Cassette and Device for Testing Objects | 1 |
Michael Kah | AU | Aspendale | 2010-12-02 / 20100304227 - STEAM GENERATOR | 2 |
Carl L.c. Kah | US | North Palm Beach | 2008-10-23 / 20080257982 - SPRINKLER HEAD NOZZLE ASSEMBLY WITH ADJUSTABLE ARC, FLOW RATE AND STREAM ANGLE | 2 |
Ajit Kahaduwe | US | Marietta | 2014-11-06 / 20140329542 - WIRELESS DEVICE LOCATION SERVICES | 2 |
Arthur J. Kahaian | US | Chicago | 2015-03-19 / 20150079688 - Multi-Compartment Package | 6 |
William P. Kahalley | US | Mobile | 2012-09-20 / 20120234907 - System and process for managing hosting and redirecting the data output of a 2-D QR barcode | 1 |
Subhendu Kahaly | FR | Villebon-Sur-Yvette | 2014-09-11 / 20140254766 - METHOD AND ARRANGEMENT FOR GENERATING A JET OF FLUID, METHOD AND SYSTEM FOR TRANSFORMING THE JET INTO A PLASMA, AND USES OF SAID SYSTEM | 1 |
Michael Alan Kahan | US | Round Rock | 2010-12-23 / 20100325031 - METHOD AND SYSTEM FOR TRADING FINANCIAL ASSETS | 1 |
David J. Kahan | AU | Malvern | 2014-02-27 / 20140055614 - Vehicular Pedestrian Sensor Apparatus | 1 |
Dennis Allen Kahan | US | Los Angeles | 2015-02-26 / 20150058235 - SYSTEMS AND METHODS FOR FACILITATING AND COORDINATING ONLINE AND OFFLINE RELATIONSHIPS | 2 |
Joseph M. Kahan | US | Houston | 2008-09-04 / 20080211917 - Digital Video Media Duplication or Transmission Quality Measurement | 2 |
Simon Kahan | US | Seattle | 2014-02-13 / 20140047452 - Methods and Systems for Scalable Computing on Commodity Hardware for Irregular Applications | 1 |
Tomer Kahan | IL | Ramat-Hasharon | 2012-09-27 / 20120242603 - SYSTEM AND METHOD FOR AUTHENTICATION WITH A COMPUTER STYLUS | 1 |
Judy Kahan | US | Longwood | 2013-06-13 / 20130152106 - MANAGING EVENTS IN A CONFIGURATION OF SOA GOVERNANCE COMPONENTS | 1 |
Joshua Kahan | US | Hanover | 2012-04-26 / 20120097722 - RAIL-MOUNTED MODULAR STORAGE SYSTEM FOR A BICYCLE | 1 |
Eduardo T. Kahan | US | Longwood | 2015-12-10 / 20150355903 - VISUALIZATION OF CODE UNITS ACROSS DISPARATE SYSTEMS | 28 |
Benedict John Kahan | FR | Le Kremlin Bicetre | 2015-06-11 / 20150161600 - TRANSACTOR FOR USE IN CONNECTION WITH TRANSACTIONS INVOLVING SECURE AND NON-SECURE INFORMATION | 2 |
Stewart Kahan | CA | Dollard-Des-Ormeaux | 2012-04-05 / 20120080276 - NOISE-DAMPING FRICTION PADS | 3 |
Yoni Kahana | IL | Bet Yehusha | 2016-03-03 / 20160065375 - DYNAMIC INTEGRITY VALIDATION OF A HIGH LEVEL OPERATING SYSTEM | 1 |
Yehuda Kahana | IL | Herzelia | 2013-04-25 / 20130101691 - FRUIT AND VEGETABLE-DERIVED COMPOSITIONS FIELD OF THE INVENTION | 1 |
Yoni Kahana | IL | Beit Yehoshua | 2015-10-08 / 20150286823 - SYSTEM AND METHOD FOR BOOT SEQUENCE MODIFICATION USING CHIP-RESTRICTED INSTRUCTIONS RESIDING ON AN EXTERNAL MEMORY DEVICE | 1 |
Nachshon Kahana | IL | Jerusalem | 2015-06-25 / 20150175287 - METHOD AND APPARATUS FOR DISPENSING ITEMS | 2 |
Chen Kahana | IL | Yehud | 2011-11-10 / 20110276836 - PERFORMANCE ANALYSIS OF APPLICATIONS | 1 |
Yoni Kahana | IL | Beit Shemesh | 2016-03-03 / 20160063281 - SYSTEM AND METHOD FOR IMPROVED SECURITY FOR A PROCESSOR IN A PORTABLE COMPUTING DEVICE (PCD) | 1 |
Yaron Kahana | IL | Kfar Saba | 2016-03-24 / 20160087961 - Techniques for Authenticating a Device for Wireless Docking | 2 |
Ronen Kahana | IL | Herzeliya | 2009-03-05 / 20090064352 - CREATING POULTRY AND OTHER ANIMALS RESISTANT TO VIRAL DISEASE | 1 |
Yuvi Kahana | IL | Rinatya | 2015-12-03 / 20150346399 - REFLECTIVE ELEMENT FOR FIBER OPTIC SENSOR | 2 |
Ziv Kahana | IL | Haifa | 2012-08-16 / 20120208605 - MOBILE DEVICE POWER OPTIMIZATION WITH PERIPHERAL SENSORS WITH STANDARD INTERFACES | 2 |
Chen Kahana | IL | Gan Yavne | 2013-03-28 / 20130080451 - DETERMINING SIMILARITY SCORES OF ANOMALIES | 1 |
Uri Kahana | IL | Givat-Ada | 2015-07-09 / 20150195301 - CONTEXT-AWARE PROACTIVE THREAT MANAGEMENT SYSTEM | 4 |
Shay Kahana | IL | Kibbutz Bet Zera | 2015-12-10 / 20150351768 - CONNECTOR | 1 |
Itay Kahana | IL | Gan Yavne | 2013-03-21 / 20130074076 - AUTOMATIC TASK MANAGEMENT AND RESOLUTION SYSTEMS AND METHODS | 1 |
Yoni Kahana | IL | Kfar Malal | 2016-03-10 / 20160070656 - WRITE PROTECTION MANAGEMENT SYSTEMS | 1 |
Paz Kahana | US | Newton | 2011-07-07 / 20110166934 - TARGETED ADVERTISING BASED ON REMOTE RECEIPT ANALYSIS | 2 |
Frigita Kahana | US | 2010-03-18 / 20100068409 - INK JET PRINTABLE COMPOSITIONS | 1 | |
Yoko Kahana | JP | Nishinomiya-Shi, Hyogo | 2015-12-24 / 20150371564 - INFORMATION WRITABLE FILM AND A SAMPLE STORAGE TUBE | 1 |
Shawn Kahandaliyanage | CA | Kitchener | 2012-11-22 / 20120297443 - SYSTEM AND METHOD FOR APPLICATION PROGRAM OPERATION ON A WIRELESS DEVICE | 3 |
Allan Kahane | IL | Rehovot | 2013-08-01 / 20130192074 - SYSTEM AND METHOD FOR MEASUREMENT OF ANGULAR ORIENTATION OF AEROSPACE PLATFORMS | 1 |
Raffi Kahane | US | New York | 2015-04-02 / 20150093736 - SYSTEM AND METHOD FOR MANAGING PEDAGOGICAL CONTENT | 1 |
Opher Kahane | US | San Francisco | 2009-10-01 / 20090245260 - METHOD AND APPARATUS PROVIDING MEDIA AGGREGATION IN A PACKET-SWITCHED NETWORK | 1 |
Isaac S. Kahane | US | Newton | 2014-10-09 / 20140303031 - METHODS AND COMPOSITIONS FOR CHARACTERIZING AUTISM SPECTRUM DISORDER BASED ON GENE EXPRESSION PATTERNS | 1 |
Keiji Kahara | JP | Aichi | 2014-06-19 / 20140170889 - LOCK DEVICE | 16 |
Veli-Matti Kahari | FI | Turku | 2009-04-23 / 20090104245 - BIODEGRADABLE CARRIER AND METHOD FOR PREPARATION THEREOF | 1 |
Espir G. Kahatt | US | Carlsbad | 2014-08-28 / 20140244031 - REMOTELY-EXECUTED MEDICAL THERAPY DEVICE | 1 |
Espir Kahatt | US | Carlsbad | 2015-12-10 / 20150353919 - SAMPLE COLLECTION AND ANALYSIS DEVICES | 7 |
Ayman Kaheel | US | Bellevue | 2015-10-29 / 20150310259 - USING FACIAL DATA FOR DEVICE AUTHENTICATION OR SUBJECT IDENTIFICATION | 5 |
Ayman Kaheel | EG | Cairo | 2011-09-22 / 20110228112 - USING ACCELEROMETER INFORMATION FOR DETERMINING ORIENTATION OF PICTURES AND VIDEO IMAGES | 1 |
Heidi Kahelin | FI | Espoo | 2012-06-28 / 20120159838 - Process for Producing Enzymes | 2 |
Kaveh Kahen | CA | Maple | 2014-05-01 / 20140117248 - ION FLOW GUIDE DEVICES AND METHODS | 6 |
Keith B. Kahen | US | Rochester | 2011-10-06 / 20110240953 - INTEGRATED SEMICONDUCTOR NANOWIRE DEVICE | 16 |
Solyman Kahen | US | Los Angeles | 2009-07-30 / 20090188597 - Automatic traction device | 1 |
Soleyman Kahen | US | Marina Del Rey | 2012-09-13 / 20120227884 - Automatic Traction Device | 1 |
Keith Kahen | US | Rochester | 2015-02-12 / 20150041759 - COLLOIDAL NANOCRYSTALS AND METHOD OF MAKING | 1 |
Keith Brian Kahen | US | Rochester | 2013-04-18 / 20130092886 - METHOD OF MAKING HIGHLY-CONFINED SEMICONDUCTOR NANOCRYSTALS | 3 |
Carl L.c. Kah, Iii | US | North Palm Beach | 2016-03-17 / 20160074896 - SPRINKLER FLOW STOP AND PRESSURE REGULATOR COMBINATION | 14 |
Antti Kahikko | FI | Turku | 2009-06-18 / 20090152777 - METHOD FOR MANUFACTURING A MIXER-SETTLER AND A MIXER-SETTLER | 1 |
Yael Kahil | IL | Rannana | 2015-05-28 / 20150146613 - METHOD AND APPARATUS FOR RESETTING AT LEAST ONE NODE WITHIN A CPRI RADIO BASE STATION SYSTEM | 1 |
Jaakko Kahila | FI | Karkkila | 2015-11-12 / 20150321882 - ELEVATOR AND MEANS FOR FORMING A SAFETY SPACE | 3 |
Jukka Kahilainen | US | Discovery Bay | 2014-05-22 / 20140138557 - DOSIMETRY SYSTEM, METHODS, AND COMPONENTS | 4 |
Carl L.c. Kah, Jr. | US | North Palm Beach | 2016-04-21 / 20160107177 - ADJUSTABLE ARC OF COVERAGE CONE NOZZLE ROTARY STREAM SPRINKLER | 38 |
Charles James Kahl | US | Westfield | 2013-03-21 / 20130072705 - MANUFACTURE OF HIGH PURITY STEARIN FROM HIGH OLEIC ACID AND LOW PALMITIC ACID SUNFLOWER OIL | 2 |
William Henry Kahl | US | Mason | 2011-10-06 / 20110240569 - THEFT DETERRENT CAN DISPENSER | 3 |
Donald W. Kahl | US | Vadnais Heights | 2015-12-10 / 20150353156 - TWO-WHEELED VEHICLE | 4 |
Soeren Kahl | SE | Finspong | 2010-03-18 / 20100068915 - Electrical Contact Between a Terminal Pin and a Terminal Wire and Method for Producing Said Contact | 1 |
Michael Kahl | US | Austin | 2012-12-20 / 20120324428 - CONTENT DESIGN TOOL | 2 |
Ulrike Kahl | DE | Bruckmuhl | 2011-12-01 / 20110290713 - SINGLE OR MULTI-LAYER FILTER MATERIAL AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Sanja Kahl | DE | Hochdorf | 2012-03-22 / 20120068437 - COUPLER HEAD OF A COUPLING DEVICE FOR MECHANICALLY CONNECTING TWO UNITS, PARTICULARLY VEHICLE UNITS | 1 |
Gabriel E. Kahl | US | Florence | 2013-03-14 / 20130062160 - ARTICLE TRAY AND HANDLING OF ARTICLES WITH THE TRAY | 1 |
Jeffrey D. Kahl | US | San Diego | 2012-03-15 / 20120064025 - Quinazolinone Modulators Of Nuclear Receptors | 1 |
Susanne Kahl | DE | Berlin | 2013-06-27 / 20130165981 - Bone Plate System for Osteosynthesis | 2 |
Dirk Kahl | DE | Preetz | 2013-01-10 / 20130010024 - DEVICE AND METHOD FOR CONTROLLING THE TENSION OF A SUBSTRATE WEB | 1 |
Frederick Kahl | US | Brooklyn | 2013-12-19 / 20130337917 - METHOD AND SYSTEM FOR WATCHING CONTENT AND PLAYING GAMES ON A MOBILE COMMUNICATION DEVICE | 1 |
John Kahl | US | Troy | 2015-11-05 / 20150316219 - HIGH-PASS FILTER FOR LED LIGHTING | 1 |
Fred Kahl | US | Grosse Ile | 2009-02-26 / 20090055039 - Method and Apparatus for Providing Diagnostics of a Lifting Magnet System | 1 |
Jeffrey Kahl | US | San Diego | 2010-10-28 / 20100273797 - ALPHA-KETOAMIDES AND DERIVATIVES THEREOF | 3 |
Lothar Kahl | DE | Bergisch Gladbach | 2015-09-17 / 20150259461 - SILANE FUNCTIONAL BINDER WITH THIOURETHANE STRUCTURE | 1 |
Fredrik Kahl | SE | Listerby | 2012-05-10 / 20120114251 - 3D Object Recognition | 1 |
Peter Kahl | DE | Gerstetten | 2009-11-19 / 20090283234 - METHOD AND APPARATUS FOR DRYING A FIBROUS WEB | 1 |
Anthony Kahl | AU | Melbourne | 2011-11-10 / 20110273304 - TELEMETRY SYSTEM AND APPARATUS | 1 |
Charles J. Kahl | US | Westfield | 2011-06-16 / 20110145952 - LOW SATURATED-FAT SUNFLOWER AND ASSOCIATED METHODS | 4 |
Christoph Kahl | US | Portland | 2015-11-19 / 20150329834 - METHODS OF PROPAGATING MONKEY ADENOVIRAL VECTORS | 2 |
Johan-Valentin Kahl | DE | Muenchen | 2010-07-15 / 20100175488 - Sample Chamber and Method for the Production Thereof | 1 |
Jonathan T. Kahl | US | St. Paul | 2015-09-24 / 20150268396 - CIRCADIAN RHYTHM OPTICAL FILM | 1 |
Kevin H. Kahl | US | Redmond | 2015-05-21 / 20150143271 - REMOTE CONTROL FOR DISPLAYING APPLICATION DATA ON DISSIMILAR SCREENS | 1 |
Sebastian Kahl | DE | Forchtenberg | 2015-09-10 / 20150252912 - MAGNET VALVE COIL UNIT FOR A MAGNET VALVE AND METHOD OF MANUFACTURING A MAGNET VALVE COIL UNIT | 6 |
Reiner Kahl | DE | Neutraubling | 2016-02-18 / 20160046448 - DRIVE FOR A TRANSPORT DEVICE, SET OF DRIVES AND METHOD FOR DRIVING A TRANSPORT DEVICE | 1 |
Matthias Kahl | DE | Wiesloch | 2014-01-02 / 20140006304 - CONSISTENT INTERFACE FOR BUSINESS PARTNER RELATIONSHIP AND BUSINESS PARTNER HIERARCHY | 1 |
Stephen B. Kahl | US | Portola Valley | 2013-05-16 / 20130123175 - Compounds for Delivery of Therapeutic And Imaging Moieties to Nerve Cells | 2 |
Michael E. Kahl | US | Hagerstown | 2015-10-29 / 20150308488 - RETAINING RING RETENTION SYSTEM AND METHOD | 5 |
Chuck Kahl | US | Westfield | 2013-03-28 / 20130080070 - CHEMOMETRICS FOR NEAR INFRARED SPECTRAL ANALYSIS | 1 |
Valentin Kahl | DE | Munchen | 2011-04-14 / 20110086420 - POLYMER SUBSTRATE WITH FLUORESCENT STRUCTURE, METHOD FOR THE PRODUCTION THEREOF AND THE USE THEREOF | 2 |
Lorenz Kahl | DE | Lubeck | 2014-06-26 / 20140180029 - ELECTRODE ARRAY FOR ELECTROMYOGRAPHIC MEASUREMENTS | 1 |
Rolf-Dieter Kahl | DE | Hassloch | 2011-12-29 / 20110315910 - ALKOXYLATES AND USE THEREOF | 2 |
Guenther Kahl | DE | Muenchen | 2015-08-27 / 20150239041 - Method and Device for Producing a Die-Cast Part | 1 |
Michael Alan Kahl | US | Austin | 2010-06-17 / 20100153929 - Converting javascript into a device-independent representation | 1 |
Christoph Kahl | US | Gaithersburg | 2011-05-26 / 20110123569 - VACCINE DIRECTED AGAINST ADENOVIRUS SEROTYPE 14 | 1 |
Alexander Kahl | AT | Landskron | 2012-03-15 / 20120063589 - Driver Circuit and Method for Driving a Signal | 2 |
Sören Kahl | SE | Finspang | 2012-11-22 / 20120292080 - Composite Conductive Component and Method for Making it | 1 |
Valentin Kahl | DE | Martinsried | 2014-06-12 / 20140162350 - Method of Manufacturing a Sample Chamber | 2 |
Markus Kahl | DE | Essen | 2008-10-09 / 20080245262 - Thixotropic Coating Materials | 1 |
Brad E. Kahlbaugh | US | Roseville | 2012-12-13 / 20120312488 - Method and Apparatus for Forming a Fibrous Media | 1 |
Brad E. Kahlbaugh | US | Bloomington | 2013-12-26 / 20130340962 - METHOD AND APPARATUS FOR FORMING A FIBROUS MEDIA | 3 |
Brad Kahlbaugh | US | Roseville | 2008-10-09 / 20080245037 - Aerosol Separator; and Method | 1 |
Robert Dennis Kahlbaum | US | Ypsillanti | 2010-07-29 / 20100191739 - Structuring and searching data in a hierarchical confidence-based configuration | 1 |
Robert Dennis Kahlbaum | US | Ypsilanti | 2012-09-20 / 20120239696 - STRUCTURING AND SEARCHING DATA IN A HIERARCHICAL CONFIDENCE-BASED CONFIGURATION | 4 |
Kent Kahle | US | Dayton | 2014-06-19 / 20140172149 - SYSTEM FOR POSITIONING A TOOL IN A WORK SPACE | 8 |
Henry Kahle | US | Corona | 2015-09-10 / 20150250486 - SINGLE INCISION LAPAROSCOPIC TISSUE RETRIEVAL SYSTEM | 13 |
Frank Kahle | DE | Uslar | 2014-07-31 / 20140209074 - CRANKCASE VENTILATION FOR AN INTERNAL COMBUSTION ENGINE, TANK VENTILATION CONDUIT AND CONNECTION SYSTEM THEREFOR | 1 |
Kent Kahle | US | Hayward | 2015-08-06 / 20150223026 - INTEGRATING POSITION INFORMATION INTO A HANDHELD TOOL | 10 |
Gordon Kahle | US | Gifford | 2015-09-17 / 20150259583 - POLYURETHANE ADHESIVES FOR REVERSE OSMOSIS MODULES | 1 |
David A. Kahle | US | Leeper | 2015-01-29 / 20150027349 - CABINET TABLE | 1 |
Jorn Kahle | DK | Horsholm | 2015-07-09 / 20150191624 - METHOD FOR THE ESTABLISHMENT OF A CRACK RESISTANT EPOXY PAINT COAT AND PAINT COMPOSITIONS SUITABLE FOR SAID METHOD | 2 |
Eberhard Kahle | DE | Hoppegarten | 2016-02-04 / 20160033730 - AGGREGATION ENCLOSURE FOR ELEVATED, OUTDOOR LOCATIONS | 7 |
David Kahle | US | Monclova | 2012-11-22 / 20120293182 - ELECTRICAL TEST APPARATUS FOR A PHOTOVOLTAIC COMPONENT | 4 |
Henry Kahle | US | Corona | 2015-09-10 / 20150250486 - SINGLE INCISION LAPAROSCOPIC TISSUE RETRIEVAL SYSTEM | 13 |
Charles F. Kahle | US | Pittsburgh | 2016-04-21 / 20160111227 - SUPERCAPACITOR ELECTRODES INCLUDING GRAPHENIC CARBON PARTICLES | 3 |
Eberhard Kahle | DE | Berlin | 2011-03-03 / 20110049318 - SUPPORT SYSTEM FOR RECEIVING COMPONENTS IN TELECOMMUNICATION AND DATA TECHNOLOGY | 3 |
Klaus Kahle | DE | Ludwigshafen | 2015-01-15 / 20150018544 - PROCESS FOR PREPARING TRANSITION METAL-CARBENE COMPLEXES | 15 |
Kent Wayne Kahle | US | Hayward | 2015-11-19 / 20150334362 - METHOD AND APPARATUS FOR PROJECTION OF BIM INFORMATION | 4 |
Jens Kahle | DE | Dreieich | 2012-05-03 / 20120103110 - Mass flow measurement and measuring apparatus having a central bulk material feed | 1 |
Kent Kahle | US | Hayward | 2015-08-06 / 20150223026 - INTEGRATING POSITION INFORMATION INTO A HANDHELD TOOL | 10 |
Stephan Kahle | DE | Hamburg | 2015-07-30 / 20150210391 - VEHICLE CARGO COMPARTMENT, SYSTEM AND VEHICLE | 1 |
Jens Kahle | DE | Dreleich | 2008-09-04 / 20080210018 - Device for Measurement of a Mass Flow | 1 |
Ben Kahle | DE | Alzenau | 2016-03-10 / 20160070033 - LIGHT-ABSORBING LAYER AND LAYER SYSTEM CONTAINING THE LAYER, METHOD FOR PRODUCING THE LAYER SYSTEM AND A SPUTTER TARGET SUITED THEREFOR | 2 |
James Allan Kahle | US | Austin | 2012-02-02 / 20120030386 - Configurable Interface Controller | 6 |
Henry Kahle | US | 2012-04-12 / 20120089151 - LAPAROSCOPIC TISSUE RETRIEVAL SYSTEM | 1 | |
Kent Kahle | US | Dayton | 2014-06-19 / 20140172149 - SYSTEM FOR POSITIONING A TOOL IN A WORK SPACE | 8 |
Duncan M. Kahle | US | Washington | 2013-02-28 / 20130054195 - Low Power, Multi-Channel Pulse Data Collection System and Apparatus | 1 |
Henry Kahle | US | Rancho Santa Margarita | 2015-12-17 / 20150359552 - SINGLE PORT INSTRUMENTS | 10 |
Kristen Kahle | US | Narbeth | 2010-02-04 / 20100029568 - TIGHTER-BINDING C-PEPTIDE INHIBITORS OF HIV-1 ENTRY | 1 |
Ellen Kahle | US | St. Louis | 2009-12-10 / 20090301914 - Light bulb storage apparatus | 1 |
Rolf D. Kahle | US | Saratoga | 2009-01-22 / 20090025020 - Optical disc loader for recorders with integrated labeling facility | 1 |
Todd A. Kahle | US | Hartford | 2016-04-07 / 20160098599 - Microform Word Search Method and Apparatus | 7 |
Lüder Kahle | DE | Viersen | 2011-12-01 / 20110292404 - METHOD FOR MACHINE MEASUREMENT | 1 |
Jørn Kahle | DK | Hørsholm | 2014-10-23 / 20140314959 - METHOD FOR THE ESTABLISHMENT OF A CRACK RESISTANT EPOXY PAINT COAT AND PAINT COMPOSITIONS SUITABLE FOR SAID METHOD | 1 |
Jürgen Kahle | DE | Leipzig | 2014-04-10 / 20140096599 - METHOD OF AND APPARATUS FOR DETERMINING A FLOW RATE OF A FLUID AND DETECTING NON-FLUID ELEMENTS | 2 |
Charles Kahle | US | Escondido | 2016-03-24 / 20160085996 - SECURE HIGH SPEED DATA STORAGE, ACCESS, RECOVERY, AND TRANSMISSION | 7 |
Kenneth J. Kahle | US | Cedar | 2013-06-27 / 20130165987 - FAULT TOLERANT PACING | 1 |
Henry Kahle | US | Trabuco Canyon | 2012-06-21 / 20120157923 - SURGICAL ACCESS DEVICE WITH PENDENT VALVE | 11 |
Kent Kahle | US | Sunnyvale | 2013-06-13 / 20130147667 - SYSTEM FOR DETERMINING POSITION IN A WORK SPACE | 1 |
Peter Kahle | US | Oregon | 2011-07-21 / 20110173908 - Method and Apparatus for Reducing Solar Radiation Absorption Through a Roof | 1 |
Todd Kahle | US | Hartford | 2015-07-30 / 20150212979 - MULTI-MODE IMAGE CAPTURE SYSTEMS AND METHODS | 2 |
Jürgen Kahle | DE | Leipzig | 2013-11-21 / 20130305839 - Device for the contactless flow measurement of fluids in flexible tubes | 1 |
Henry Kahle | US | Rancho Santa Margarita | 2015-12-17 / 20150359552 - SINGLE PORT INSTRUMENTS | 10 |
James A. Kahle | US | Austin | 2015-12-24 / 20150370719 - IMPLEMENTING SELECTIVE CACHE INJECTION | 12 |
James A. Kahle | US | Austin | 2015-12-24 / 20150370719 - IMPLEMENTING SELECTIVE CACHE INJECTION | 12 |
Klemens Kahlen | DE | Nurnberg | 2010-02-04 / 20100027302 - CONVERTER WITH REDUCED HARMONIC WAVES | 1 |
Frank Kahlenberg | DE | Dresden | 2014-06-26 / 20140175594 - ACTIVE PAD PATTERNS FOR GATE ALIGNMENT MARKS | 1 |
Volker Kahlenberg | AT | Innsbruck | 2014-11-13 / 20140336179 - ACETONE SOLVATE OF IVABRADINE HYDROCHLORIDE | 1 |
Udo Kahlenberg | DE | Seeshaupt | 2014-11-20 / 20140342112 - LABEL FOR MARKING ITEMS AND METHOD OF MANUFACTURING | 1 |
Christoph Kahler | DE | Pinneberg | 2011-04-14 / 20110083636 - ENGINE WITH AN IMPROVED ARRANGEMENT OF THE CARBURETTOR UNIT | 1 |
Andrew W. Kahler | US | Dubuque | 2015-06-11 / 20150159342 - SKID STEER LOADER LIFT LINKAGE ASSEMBLY | 2 |
Peter Kahler | DE | Nierstein | 2014-10-02 / 20140297060 - SYSTEM FOR CONTROLLING FUNCTIONS OF A VEHICLE BY SPEECH | 8 |
Julian Kahler | DE | Braunschweig | 2013-03-21 / 20130068008 - HIGH TEMPERATURE PIEZORESISTIVE STRAIN GAUGES MADE OF SILICON-ON-INSULATOR | 2 |
Edward S. Kahler | US | Anaheim | 2008-09-18 / 20080226744 - DIETARY SUPPLEMENT AND RELATED METHOD | 1 |
Markus Kahler | DE | Kastl | 2014-04-17 / 20140105805 - PROCESS FOR HYDROGENATING SILICON TETRACHLORIDE TO TRICHLOROSILANE | 1 |
Peter Kahler | DE | Nierstein | 2014-10-02 / 20140297060 - SYSTEM FOR CONTROLLING FUNCTIONS OF A VEHICLE BY SPEECH | 8 |
Edward M. Kahler | US | Evanston | 2010-12-30 / 20100328306 - LARGE FORMAT HIGH RESOLUTION INTERACTIVE DISPLAY | 1 |
Stanley Kahler | US | Littleton | 2011-02-03 / 20110028539 - Biologically active taxane analogs and methods of treatment | 4 |
Clint A. Kahler | US | Overland Park | 2016-04-07 / 20160096071 - REAL-TIME EVENT MONITORING SYSTEM FOR BASKETBALL-RELATED ACTIVITIES | 5 |
Stanley Kahler | US | Litteton | 2011-01-13 / 20110009480 - Biologically active taxane analogs and methods of treatment | 1 |
Matthew T. Kahler | US | Berkley | 2010-04-29 / 20100105489 - DRIVESHAFT ASSEMBLY | 1 |
Paul H. Kahler | US | Shelby Township | 2011-12-29 / 20110316457 - DQ VECTOR CONTROL SYSTEM PROVIDING STABLE, HIGH EFFICIENCY OPERATION OF ELECTRIC MOTOR | 1 |
Bernd Kahler | AT | Graz | 2015-03-05 / 20150060468 - UTILITY VEHICLE TANK | 3 |
Christopher Kahler | AT | Bad Kleinkirchheim | 2014-06-05 / 20140157199 - Systems and Methods for Collecting Information with a Mobile Device and Delivering Advertisements Based on the Collected Information | 1 |
David R. Kahler | US | Tucson | 2014-08-28 / 20140245062 - PREVENTING UNRECOVERABLE ERRORS DURING A DISK REGENERATION IN A DISK ARRAY | 7 |
David Ray Kahler | US | Tucson | 2013-10-03 / 20130262921 - SYSTEMS AND METHODS FOR PREVENTING DATA LOSS | 12 |
Steen Kahler | DK | Tikob | 2009-02-05 / 20090031714 - MIXING DEVICE FOR MIXING UREA AND AIR | 1 |
Michael Kahler | DE | Rain | 2013-10-31 / 20130284548 - CARBON CERAMIC FRICTION DISKS AND PROCESS FOR THEIR PREPARATION | 1 |
Juergen Kahler | DE | Essingen | 2010-12-02 / 20100302629 - Surgical microscope having an illuminating system and control unit therefor | 1 |
Shawn Michael Kahler | US | Heath | 2015-02-05 / 20150034579 - Folding Shelf Carriage | 1 |
Peter Kahler | AT | Wien | 2010-11-11 / 20100283856 - Method For Calibrating The Image Of A Camera | 1 |
Uwe Kahler | DE | Dresden | 2015-01-29 / 20150028431 - MOL INSITU PT REWORK SEQUENCE | 2 |
David M. Kahler | US | Earlysville | 2011-05-19 / 20110118593 - Multiple Cannula Image Guided Tool for Image Guided Procedures | 1 |
Richard Jan Kahler | US | Seminole | 2012-12-20 / 20120317980 - Universal heat engine | 1 |
David Kahler | US | Arbutus | 2015-08-20 / 20150236186 - NANO AVALANCHE PHOTODIODE ARCHITECTURE FOR PHOTON DETECTION | 7 |
Shawn Kahler | US | Heath | 2011-11-03 / 20110266232 - SHELVING SYSTEM WITH VIDEO CAPABILITY | 1 |
Pia Kahler | DE | Straubenhardt | 2012-09-20 / 20120234863 - SPRAYING DEVICE WITH AUTOMATICALLY ACTUATED SHUTTER | 1 |
Andrew Wolf Kahler | US | Fortson | 2015-09-10 / 20150250305 - OUTDOOR APPLIANCE WITH RETRACTABLE PLATFORM | 6 |
Thomas Kahler | DE | Seitingen-Oberflacht | 2009-11-26 / 20090292305 - Surgical switch mode power supply and surgical DC power tool | 1 |
Scott Kahler | US | Tuscaloosa | 2016-03-03 / 20160058390 - PATIENT SENSOR DATA EXCHANGE SYSTEMS AND METHODS | 3 |
Brad Kahler | US | Bloomington | 2011-03-24 / 20110069300 - Method and Apparatus for Single-Axis Cross-Sectional Scanning of Parts | 1 |
Gary Kahler | US | Oceanside | 2010-07-15 / 20100175534 - FINE TUNING MEANS FOR FULCRUM TREMOLO | 1 |
Mary L. Kahler | US | Raytown | 2010-04-22 / 20100096521 - FLEXIBLE RETRACTING COIL CLIP-ON BEVERAGE HOLDER | 1 |
David J. Kahler | US | New York | 2011-12-15 / 20110306516 - METHODS FOR PRODUCING INDUCED PLURIPOTENT STEM CELLS | 1 |
Charlene Kahler | AU | Perth | 2009-02-05 / 20090035827 - O-acetyltransferase from Neisseria Meningitidis, Compositions and Methods | 1 |
Charles M. Kahler | US | Chesapeake | 2010-05-13 / 20100119756 - Partially pre-printed label for food products | 1 |
Richard Kahler | US | Taylor | 2011-07-07 / 20110163483 - Method for Molding and Sealing a Hollow Plastic Tank | 1 |
Elizabeth B. Kahler-Huard | US | Las Vegas | 2011-12-01 / 20110289645 - WARMING SALON CAPE | 1 |
Robert Charles Kahler, Jr. | US | Irvine | 2015-03-19 / 20150076789 - BICYCLE INLAY | 1 |
Joachim J. Kahlert | DE | Aachen | 2008-10-02 / 20080242305 - Location Based Handoff for Mobile Devices | 1 |
Andreas Kahlert | DE | Wolfsburg | 2016-05-05 / 20160123267 - METHOD AND APPARATUS FOR OPERATING AN EC-FUEL PUMP | 1 |
Wolfgang Kahlert | DE | Korle | 2011-02-17 / 20110038222 - MIXING VESSEL | 1 |
Wilfgang Kahlert | DE | Koerle | 2012-04-26 / 20120100605 - DEVICE FOR CULTIVATING CELLS AND/OR MICROORGANISMS | 1 |
Steffen Kahlert | DE | Leichlingen | 2014-01-23 / 20140024850 - STABILIZATION OF DICARBONATE DIESTERS WITH PROTONIC ACIDS | 4 |
Hans-Jurgen Kahlert | DE | Gottingen | 2008-12-18 / 20080310462 - Anamorphotic solid-sate laser | 1 |
Volker Kahlert | DE | Dresden | 2012-09-27 / 20120241958 - Increasing reliability of copper-based metallization structures in a microstructure device by using aluminum nitride | 7 |
Wolfgang Kahlert | DE | Koerle | 2016-04-21 / 20160108354 - SYSTEM FOR SWITCHING OVER THE EXHAUST AIR OF A BIOREACTOR | 7 |
Joachim Kahlert | DE | Aachen | 2016-03-10 / 20160066801 - PULSE TRANSMIT TIME MEASUREMENT DEVICE AND METHOD | 10 |
Helga Kahlert | DE | Hamburg | 2015-05-21 / 20150140024 - VARIANTS OF GROUP 5 ALLERGENS OF THE TRUE GRASSES HAVING REDUCED ALLERGENEITY DUE TO MUTAGENESIS OF PROLINE RESIDUES | 6 |
Ulrich Kahlert | DE | Saarbrucken | 2014-03-13 / 20140069733 - TWO-WHEELED BATTERY-POWERED VEHICLE | 2 |
Joachim Kahlert | DE | Aachen | 2016-03-10 / 20160066801 - PULSE TRANSMIT TIME MEASUREMENT DEVICE AND METHOD | 10 |
Patric Kahles | DE | Buehl | 2010-06-17 / 20100150736 - FAN SYSTEM HAVING IMPROVED AVAILABILITY AND METHOD FOR ITS OPERATION | 2 |
Kevin D. Kahley | US | Chicago | 2014-05-29 / 20140149276 - System and Method for Providing Electronic Price Feeds for Tradeable Objects | 4 |
Jason Andrew Kahlhamer | US | Inver Grove Heights | 2011-06-02 / 20110126861 - DESCALING ARRANGEMENT FOR A DISHWASHER, AND ASSOCIATED APPARATUS AND METHOD | 1 |
Jason A. Kahlhamer | US | Inver Grove Heights | 2012-02-16 / 20120037185 - OPTIMIZATION OF DISH MACHINE PARAMETERS | 1 |
Arthur David Kahlich | US | Sunnyvale | 2016-05-12 / 20160132331 - Computer Processor Employing Instruction Block Exit Prediction | 15 |
Kristopher Kahlig | US | Nashville | 2010-11-18 / 20100292217 - RANOLAZINE FOR THE TREATMENT OF CNS DISORDERS | 1 |
Kristopher M. Kahlig | US | Nashville | 2009-02-12 / 20090042297 - Piggybac transposon-based vectors and methods of nucleic acid integration | 1 |
Knut Kahlisch | DE | Dresden | 2009-08-06 / 20090194890 - Integrated Circuit and Memory Module | 2 |
Paul-Gerhard Kahlisch | DE | Frondenberg | 2011-04-07 / 20110079360 - BEARING ARRANGEMENT AND LABELING MACHINE WITH SUCH A BEARING ARRANGEMENT | 2 |
Paul G. Kahl, Jr. | US | Perry Hall | 2012-08-09 / 20120198912 - CHEMICAL SAMPLE COLLECTION AND DETECTION SYSTEM | 1 |
Paul George Kahl, Jr. | US | Perry Hall | 2012-05-17 / 20120120392 - Non-traditional agent/dusty agent detection system | 2 |
Robert J. Kahlke | US | Milwaukie | 2013-06-06 / 20130144536 - Medical Device with Wireless Communication Bus | 2 |
Barbara Kahlke | DE | Melsdorf | 2014-09-25 / 20140287985 - NOVEL USES OF ELAFIN | 2 |
Robert James Kahlke | US | Milwaukie | 2014-09-18 / 20140278171 - Frequency Adaptive Line Voltage Filters | 1 |
Josephus Arnoldus Henricus Maria Kahlman | NL | Eindhoven | 2014-03-06 / 20140064054 - DETECTION OF DATA IN BURST CUTTING AREA OF OPTICAL DISK | 13 |
Sture Kahlman | SE | Fjallbacka | 2015-02-19 / 20150050090 - Device for a Pile, which Can Be Anchored in the Bottom of a Lake or the Sea and/or the Ground | 1 |
Henricus Marius Joseph Maria Kahlman | NL | Dongen | 2015-11-05 / 20150319818 - CIRCUIT ARRANGEMENT AND LED LAMP COMPRISING THE SAME | 8 |
Josephus Arnold Henricus Maria Kahlman | NL | Tilburg | 2008-10-09 / 20080246470 - Magnetic Sensor Device With Field Compensation | 1 |
Josephus Arnoldus Kahlman | NL | Eindhoven | 2012-02-16 / 20120040348 - METHODS FOR NUCLEIC ACID QUANTIFICATION | 2 |
Josephus Arnoldus Hendrcus Maria Kahlman | NL | Tilburg | 2012-07-05 / 20120170042 - SENSING SYSTEM FOR SENSING A SUBSTANCE IN A FLUID | 1 |
Josephus Arnoldus Hendricus Maria Kahlman | NL | Eindhoven | 2012-08-09 / 20120202194 - SUBSTANCE DETERMINING APPARATUS | 2 |
Josephus A.h.m. Kahlman | NL | Eindhoven | 2011-01-13 / 20110008213 - OPTIMIZED DETECTOR READOUT FOR BIOSENSOR | 2 |
Josephus Arnoldud Henricus Maria Kahlman | NL | Tilburg | 2009-09-24 / 20090237844 - MAGNETIC SENSOR DEVICE FOR AND A METHOD OF SENSING MAGNETIC PARTICLES | 1 |
Henricus Mariusjoseph Maria Kahlman | NL | Dongen | 2012-05-03 / 20120104851 - DRIVER FOR COOPERATING WITH A WALL DIMMER | 1 |
Henricus Marius Joseph Maria Kahlman | NL | Dongen | 2015-11-05 / 20150319818 - CIRCUIT ARRANGEMENT AND LED LAMP COMPRISING THE SAME | 8 |
Josephus Arnodlus Henricus Maria Kahlman | NL | Tilburg | 2010-06-17 / 20100148765 - MAGNETIC SENSOR DEVICE WITH FIELD GENERATORS AND SENSOR ELEMENTS | 1 |
Josephus Arnoldus Henricus Maria Kahlman | NL | Tilburg | 2016-05-19 / 20160135723 - CONDITIONING OF CHEMO-OPTICAL SENSORS FOR TRANSCUTANEOUS APPLICATION | 43 |
Joost Kahlman | NL | Tilburg | 2014-08-28 / 20140238855 - SENSOR FOR FLUID-SOLUBLE GAS | 1 |
Henricus Marius Joseph Kahlman | NL | Eindhoven | 2009-01-08 / 20090009102 - LIGHTING DEVICE WITH CONTROLLABLE LIGHT INTENSITY | 1 |
Vineet Kahlon | US | Princeton | 2015-11-12 / 20150326531 - MECHANISM FOR PROVIDING EXTERNAL ACCESS TO A SECURED NETWORKED VIRTUALIZATION ENVIRONMENT | 18 |
Vineet Kahlon | US | Jersey City | 2010-03-18 / 20100070955 - ALIAS ANALYSIS FOR CONCURRENT SOFTWARE PROGRAMS | 1 |
Satbir Kahlon | US | Livermore | 2016-04-28 / 20160118309 - Minimal Contact Wet Processing Systems and Methods | 13 |
Awtar S. Kahlon | US | Farmington Hills | 2009-01-08 / 20090007638 - Pump Assembly and Method for Leak Detection of Fluid System | 1 |
Summerpal Kahlon | US | Satellite Beach | 2015-10-01 / 20150278469 - SYSTEMS AND METHODS FOR DETERMINING AND COMMUNICATING PATIENT ELIGIBILITY FOR AN INTERVENTION SERVICE | 2 |
Yossi Kahlon | US | Montclair | 2015-07-16 / 20150199328 - SYSTEMS AND METHODS FOR MUTATIONS AND OPERATIONAL TRANSFORMS IN A COLLABORATIVE SPREADSHEET ENVIRONMENT | 4 |
Noam Kahlon | IL | Rehovot | 2015-03-26 / 20150085119 - TIME TO COLLISION USING A CAMERA | 1 |
Satwinder Kahlon | US | Austin | 2014-09-25 / 20140285646 - APPARATUS FOR RECOMMENDATION FOR BEST FITTING SHOE | 2 |
Hardev Kahlon | US | San Jose | 2014-04-10 / 20140100557 - ELECTROSURGICAL DEVICE FOR CUTTING AND REMOVING TISSUE | 1 |
Satbir Kahlon | US | Livermore | 2016-04-28 / 20160118309 - Minimal Contact Wet Processing Systems and Methods | 13 |
Ahdi El Kahlout | US | Lexington | 2014-10-09 / 20140298861 - APPARATUS FOR FORMING GLASS WITH EDGE DIRECTORS AND METHODS | 10 |
Amanda Kahlow | US | San Francisco | 2016-02-18 / 20160048854 - SALES PREDICTION SYSTEMS AND METHODS | 2 |
Michael Stephen Kahlowsky | US | Tamarac | 2010-05-06 / 20100114787 - Internet based personality and compatibility profiling through handwriting analysis | 1 |
Kauko Kahma | FI | Espoo | 2009-08-27 / 20090215200 - Measurement of binding rate of a binding substance and an analyte | 1 |
Gerhard Kahmann | DE | Wolfenbuettel | 2015-03-26 / 20150086455 - METHOD FOR OPERATING AN EXHAUST GAS SYSTEM, METHOD FOR OPERATING A MOTOR VEHICLE AND MOTOR VEHICLE | 1 |
Paul G. Kahmann | US | Bloomington | 2015-04-23 / 20150111702 - WALKING SLIDE MILL | 1 |
Gerhard Kahmann | DE | Wolfenbuttel | 2012-02-23 / 20120042634 - METHOD FOR OPERATING AN EXHAUST GAS PURIFICATION DEVICE HAVING A HEATING APPARATUS AND MOTOR VEHICLE HAVING THE DEVICE | 1 |
Keith Alsworth Kahmann | US | Ansonia | 2014-05-08 / 20140123842 - BLAST SHIELD | 1 |
Gerhard Kahmen | DE | Deisenhofen | 2010-09-16 / 20100231199 - Oscilloscope Probe | 2 |
Gerhard Kahmen | DE | Oberhaching | 2015-08-13 / 20150229270 - PHASE-LOCKED LOOP WITH A VARACTOR BASED ON MEMS TECHNOLOGY | 2 |
Gerhard Kahmen | DE | Munich | 2015-05-07 / 20150123713 - OPTICALLY CLOCKED DIGITAL/ANALOG CONVERTER AND A DDS UNIT WITH SUCH A CONVERTER | 1 |
William C. Kahn | US | Denton | 2014-09-04 / 20140248992 - ENGINE ACCESSORY DRIVE SYSTEM | 7 |
Pete A. Kahn | US | Andover | 2009-06-11 / 20090145951 - Carton having appendages for shelf stability | 1 |
Jay A. Kahn | US | Houston | 2014-04-17 / 20140106074 - SELF-CLEANING SUBSTRATES AND METHODS FOR MAKING THE SAME | 2 |
Philippe Kahn | US | Aptos | 2014-07-31 / 20140214454 - METHOD AND APPARATUS TO PRESENT A VIRTUAL USER | 14 |
Colin Kahn | US | Morris Plains | 2016-01-28 / 20160029251 - METHOD OF COORDINATING A PATH SWITCH AND NETWORK ELEMENTS ASSOCIATED THEREWITH | 22 |
Matthew Aaron Kahn | US | Maynard | 2014-11-06 / 20140328205 - METHOD FOR INDIRECT LINK CHARACTERIZATION AND QUALITY MEASUREMENT OF A DIGITAL NETWORK | 1 |
Randolph W. Kahn | US | Mckinney | 2011-04-14 / 20110084324 - RADIATION HARDENED MOS DEVICES AND METHODS OF FABRICATION | 1 |
Colin L. Kahn | US | Morris Plains | 2016-05-05 / 20160127958 - MOBILITY MANAGEMENT FOR WIRELESS NETWORKS | 9 |
Malcom R. Kahn | US | Franklin Lakes | 2010-12-23 / 20100323918 - POLYMER SURFACE FUNCTIONALIZATION AND RELATED APPLICATIONS | 1 |
Michael R. Kahn | US | Westampton | 2009-02-26 / 20090055721 - PATENT CLAIM VISUALIZATION SYSTEM AND METHOD | 1 |
Myrtil Kahn | FR | Toulouse | 2013-11-21 / 20130309160 - PROCESS FOR PREPARING A COMPOSTION OF MIXED PARTICLES CONTAINING ELEMENTS FROM COLUMNS 13 AND 15 | 3 |
Malcolm R. Kahn | US | Franklin Lakes | 2014-07-03 / 20140186496 - "Filtering Container" | 1 |
Nauman H. Kahn | US | Beaverton | 2014-03-13 / 20140073133 - METHOD TO MITIGATE THROUGH-SILICON VIA-INDUCED SUBSTRATE NOISE | 1 |
Markus Kahn | AT | Rangersdorf | 2016-02-04 / 20160031701 - Micromechanical Structure and Method for Fabricating the Same | 10 |
Jennifer Nielsen Kahn | US | East Brunswick | 2010-03-25 / 20100075302 - Assays for Resistance to Echinocandin-Class Drugs | 1 |
Colin Leon Kahn | US | Morris Plains | 2009-10-08 / 20090252148 - Use of DPI to extract and forward application characteristics | 2 |
David M. Kahn | US | Makawao | 2015-12-10 / 20150356038 - VIRTUALIZING INPUT/OUTPUT INTERRUPTS | 3 |
Noureen Kahn | PK | Karachi | 2015-05-28 / 20150148417 - SYNTHESIS AND BIOLOGICAL STUDIES OF AN ISOMERIC MIXTURE OF (E/Z) ISOXYLITONES AND ITS ANALOGUES | 2 |
Allen Kahn | US | Passaic | 2013-01-03 / 20130006795 - INTERNET BASED FORUM ALLOWING INDIVIDUAL CONSUMERS TO POOL THEIR BUYING POWER | 1 |
Jason Kahn | US | Boston | 2014-10-30 / 20140323013 - EMOTIONAL CONTROL METHODS AND APPARATUS | 1 |
Markus Heluut Kahn | DE | Walldorf | 2011-07-14 / 20110173220 - GENERATING WEB SERVICES FROM BUSINESS INTELLIGENCE QUERIES | 2 |
Eugene Kahn | US | Davisburg | 2013-10-10 / 20130263692 - STEERING WHEEL WITH SWITCH ASSEMBLY | 1 |
David B. Kahn | US | Princeton | 2013-12-19 / 20130339084 - SYSTEM AND METHOD FOR OFFERING VETTED SERVICES OF AN ORIGINATOR/SUBJECT MATTER EXPERT | 1 |
Atiyya Kahn | US | Shrewsbury | 2014-08-21 / 20140235586 - COMPOUNDS FOR NONSENSE SUPPRESSION, AND METHODS FOR THEIR USE | 2 |
Jason Kahn | US | Seattle | 2015-09-03 / 20150248389 - COMMUNICATIONS CONTROL FOR RESOURCE CONSTRAINED DEVICES | 1 |
Antoine Kahn | US | Princeton | 2014-10-09 / 20140302635 - N-DOPING OF ORGANIC SEMICONDUCTORS BY BIS-METALLOSANDWICH COMPOUNDS | 4 |
Ray Kahn | US | Aliso Viejo | 2015-09-17 / 20150262246 - SYSTEMS AND METHODS FOR PROVIDING ANONYMIZED USER PROFILE DATA | 3 |
Michael Gary Kahn | US | Boulder | 2014-02-06 / 20140039921 - DESIGN ASSISTANCE FOR CLINICAL TRIAL PROTOCOLS | 1 |
Philippe Richard Kahn | US | Santa Cruz | 2016-03-17 / 20160073951 - Sleep Monitoring System | 4 |
Aaron D. Kahn | US | Arlington | 2011-08-18 / 20110202204 - System and Method of Navigation based on State Estimation Using a Stepped Filter | 2 |
Theodore W. Kahn | US | Johnston | 2009-11-26 / 20090291896 - GENES ENCODING NOVEL PROTEINS WITH PESTICIDAL ACTIVITY AGAINST COLEOPTERANS | 1 |
Anwar Gulrez Kahn | US | Moundridge | 2009-07-02 / 20090165626 - METHODS AND APPARATUS TO ADJUST THE LATERAL CLEARANCE BETWEEN CUTTING BLADES OF SHEARING MACHINES | 1 |
Jonathan Kahn | US | Crown Point | 2008-10-30 / 20080270437 - Session File Divide, Scramble, or Both for Manual or Automated Processing by One or More Processing Nodes | 2 |
Colleen Kahn | US | Mendota Heights | 2009-01-15 / 20090013449 - PACIFIER SECURING DEVICE | 1 |
Theodore W. Kahn | US | Durham | 2009-02-26 / 20090055969 - AXMI-028 AND AXMI-029, A FAMILY OF NOVEL DELTA-ENDOTOXIN GENES AND METHODS FOR THEIR USE | 2 |
Theodore Kahn | US | Cary | 2009-04-16 / 20090099081 - SYNTHETIC AXMI-004 DELTA-ENDOTOXIN GENES AND METHODS FOR THEIR USE | 1 |
Jon B. Kahn | US | Spring | 2014-07-03 / 20140183396 - MULTI-VALVE SEAT SEAL ASSEMBLY FOR A GATE VALVE | 4 |
Raan Kahn | IL | Beit Yitzhak | 2014-07-17 / 20140201280 - SYSTEMS AND METHODS FOR RESUMING GROUP OWNER RESPONSIBILITIES FOR PEER-TO-PEER WIRELESS CONNECTIONS | 1 |
Peter Kahn | US | Phoenix | 2014-12-18 / 20140371091 - Programmable Arrays | 3 |
Markus Helmut Kahn | DE | Heidelberg | 2013-10-31 / 20130290168 - SYSTEMS AND METHODS FOR PROVIDING MIGRATION AND PERFORMANCE MATRICES | 2 |
Clifford E. Kahn | US | Westford | 2015-07-09 / 20150195273 - UPDATING STORED PASSWORDS | 5 |
Paul Anthony Kahn | US | Los Gatos | 2016-04-21 / 20160106387 - METHOD AND APPARATUS FOR ENHANCED X-RAY COMPUTING ARRAYS | 1 |
Bruce A. Kahn | US | Hudson | 2014-11-20 / 20140344369 - ELECTRONIC MAIL READ RECEIPT PROCESSING | 4 |
Robert Joseph Kahn | US | Tampa | 2014-04-17 / 20140105371 - METHOD AND SYSTEM FOR DIRECTING A CALL TO A MATCHING SERVICE | 1 |
Zaid A. Kahn | US | San Francisco | 2016-05-19 / 20160142256 - AUTOMATICALLY RECOMMENDING POINT OF PRESENCE CENTERS | 1 |
Mark Edward Kahn | US | New York | 2012-05-31 / 20120136730 - SYSTEM AND METHOD FOR BROKERING THE SALE OF INTERNET ADVERTISEMENT INVENTORY AS DISCRETE TRAFFIC BLOCKS OF SEGMENTED INTERNET TRAFFIC | 3 |
Maurice Kahn | FR | Marseille | 2015-12-03 / 20150349699 - Modular Solar Mobile Generator | 1 |
Arthur H. Kahn | US | Cohocton | 2010-01-14 / 20100008708 - SEPARATE PATHS FOR MEDIA TO A MAIN STACKER | 2 |
Joseph Kahn | US | Pomona | 2010-03-18 / 20100064550 - Universal adjustable insole with an arch support and method of using it | 1 |
Paul Kahn | US | Morganville | 2012-05-17 / 20120120314 - LIP SYNC ERROR DETECTION AND CORRECTION | 1 |
Russell Kahn | US | New Rochelle | 2008-09-25 / 20080230185 - Electric adjustable tension shade bar | 1 |
Peter Kahn | US | Brookhaven | 2015-04-23 / 20150108302 - Ladder cup for hanging tools from a ladder | 7 |
Paul D. Kahn | US | San Diego | 2012-07-12 / 20120174483 - Obstruction Detector Power Control | 1 |
Matthew Pendleton Kahn | US | Boston | 2015-10-22 / 20150299925 - COMPOSITIONS AND METHODS FOR TREATING KERATINOUS MATERIAL | 1 |
Mark Kahn | US | Encino | 2010-12-16 / 20100315480 - METHOD AND APPARATUS FOR USER ASSOCIATION AND COMMUNICATION IN A WIDE AREA NETWORK ENVIRONMENT | 2 |
Markus Kahn | DE | Walldorf | 2014-05-22 / 20140143178 - MANAGING CONSISTENT INTERFACES FOR CREDIT PORTFOLIO BUSINESS OBJECTS ACROSS HETEROGENEOUS SYSTEMS | 9 |
Colin Kahn | US | Morris Plains | 2016-01-28 / 20160029251 - METHOD OF COORDINATING A PATH SWITCH AND NETWORK ELEMENTS ASSOCIATED THEREWITH | 22 |
Daniel Kahn | US | Calabasas | 2012-03-15 / 20120064122 - TREATMENT OF AUTOIMMUNE INFLAMMATION USING MIR-155 | 1 |
Dennis Kahn | US | Santa Monica | 2012-01-26 / 20120018394 - Universal Sport Board Rack | 3 |
Joseph M. Kahn | US | San Carlos | 2014-08-21 / 20140235948 - METHOD FOR SINGLE-FIBER MICROSCOPY USING INTENSITY-PATTERN SAMPLING AND OPTIMIZATION-BASED RECONSTRUCTION | 4 |
Howard Kahn | US | Scarsdale | 2011-09-15 / 20110220691 - GARMENT HANGER | 1 |
Ari Kahn | ZA | Johannesburg | / - | 1 |
Markus Kahn | DE | Walldorf | 2014-05-22 / 20140143178 - MANAGING CONSISTENT INTERFACES FOR CREDIT PORTFOLIO BUSINESS OBJECTS ACROSS HETEROGENEOUS SYSTEMS | 9 |
Philippe Kahn | US | Santa Cruz | 2014-02-13 / 20140046223 - SENSOR FUSION FOR ACTIVITY IDENTIFICATION | 6 |
Jason M. Kahn | US | Savannah | 2011-09-01 / 20110209549 - APPARATUS FOR AND METHOD OF DETECTING DEFECTS IN A RAIL JOINT BAR | 1 |
Dietrich Kahn | DE | Sehnde | 2010-07-01 / 20100163137 - Aluminum Casting Alloys | 1 |
Jessica Kahn | US | San Francisco | 2014-12-11 / 20140365561 - METHOD AND APPARATUS FOR DYNAMICALLY LOCATING RESOURCES | 2 |
Mark E. Kahn | US | New York | 2012-09-20 / 20120239663 - PERSPECTIVE-BASED CONTENT FILTERING | 1 |
Daniel S. Kahn | US | Houston | 2015-08-27 / 20150241582 - METHODS AND SYSTEMS FOR USING KNOWN SOURCE EVENTS IN SEISMIC DATA PROCESSING | 4 |
Arthur Kahn | US | Cohocton | 2013-11-07 / 20130292899 - System and Method for Identification of Media Sheet Size | 1 |
Carolyn R. Kahn | US | San Francisco | 2014-02-13 / 20140042026 - ELECTROCHEMICAL SENSORS | 6 |
Bernd Kahn | US | Atlanta | 2014-06-19 / 20140166889 - TRANSPARENT GLASS SCINTILLATORS, METHODS OF MAKING SAME AND DEVICES USING SAME | 2 |
Andy C. Kahn | US | San Francisco | 2012-06-14 / 20120150807 - TECHNIQUE FOR INCREASING THE NUMBER OF PERSISTENT CONSISTENCY POINT IMAGES IN A FILE SYSTEM | 2 |
Robert D. Kahn | US | Saratoga | 2011-04-28 / 20110098570 - Method and system for simultaneously displaying relationships of measurements of features associated with a medicine image | 2 |
David Alexander Kahn | CA | Ottawa (formerly Nepean) | 2011-02-24 / 20110043756 - RETINAL FUNDUS SURVEILLANCE METHOD AND APPARATUS | 1 |
Larry Kahn | US | Wellesley | 2009-09-17 / 20090230131 - TRASH AND RECYCLABLES RECEPTACLE | 1 |
Colin L. Kahn | US | Murray Hill | 2015-12-17 / 20150365537 - CHARGING IN A SOFTWARE DEFINED NETWORK | 1 |
Jeremy Gillmor Kahn | US | Seattle | 2016-03-03 / 20160063393 - LOCALIZED LEARNING FROM A GLOBAL MODEL | 1 |
Peter Kahn | DE | Erlensee | 2012-03-22 / 20120066904 - DEVICE, ARRANGEMENT AND METHOD FOR CONNECTING LINES, MOTOR VEHICLE COMPRISING SUCH AN DEVICE OR ARRANGEMENT, RESPECTIVELY | 2 |
Michael A. Kahn | US | Hudson | 2012-01-05 / 20120005396 - DATA ACCESS AND MULTI-CHIP CONTROLLER | 1 |
Yusuf Kahn | US | Hamden | 2014-12-11 / 20140364948 - Bi-phasic 3-Dimenisonal Nanofiber Scaffolds, Two Parallel Beam Collector Device and Methods of Use | 1 |
Jadam Kahn | US | Chicago | 2015-11-12 / 20150324839 - Method And Apparatus For Payment, Return On Investment, And Impact Reporting | 4 |
Michael Kahn | US | Altadena | 2016-03-17 / 20160074400 - WNT MODULATORS FOR THE PROTECTION, MITIGATION AND TREATMENT OF RADIATION INJURY | 8 |
Jennifer N. Kahn | US | East Brunswick | 2015-05-07 / 20150125856 - Assays for resistance to echinocandin-class drugs | 1 |
Opher Kahn | IL | Zichron Yacov | 2014-05-15 / 20140136797 - TECHNIQUE TO SHARE INFORMATION AMONG DIFFERENT CACHE COHERENCY DOMAINS | 3 |
Philippe R. Kahn | US | Scotts Valley | 2009-02-05 / 20090033752 - Digital Camera Device and Methodology for Distributed Processing and Wireless Transmission of Digital Images | 1 |
Atiyya Kahn | US | Dr. Shrewsbury | 2009-08-13 / 20090203752 - COMPOUNDS FOR NONSENSE SUPPRESSION, AND METHODS FOR THEIR USE | 1 |
Sonia Lee Kahn | US | Scotts Valley | 2009-02-05 / 20090033752 - Digital Camera Device and Methodology for Distributed Processing and Wireless Transmission of Digital Images | 1 |
Salman Kahn | US | Tracy | 2016-03-03 / 20160064249 - LOCAL DOPING OF TWO-DIMENSIONAL MATERIALS | 1 |
Philip Kahn | US | Burlingame | 2008-11-13 / 20080278578 - PAN/TILT TRACKING MOUNT | 1 |
Ari Kahn | US | Mount Shasta | 2015-10-08 / 20150288816 - A SYSTEM AND METHOD FOR RING SUPPRESSION | 7 |
Glenn Michael Kahn | US | Asheboro | 2014-03-13 / 20140070588 - METHOD AND SYSTEM FOR CONVERTING A RECLINER FROM MANUAL ACTUATION TO POWERED ACTUATION | 1 |
Raynold M. Kahn | US | Los Angles | 2011-02-03 / 20110030023 - INTERNET SERVICE PROVIDER CALLBACK FOR SATELLITE SYSTEMS | 1 |
Ari Kahn | US | Mt. Shasta | 2013-11-07 / 20130294443 - NETWORKING BETWEEN VOIP -AND PSTN- CALLS | 3 |
Adil Kahn | CA | Lakeshore | 2014-02-13 / 20140041470 - Vehicle Braking Assembly | 1 |
David Alexander Kahn | CA | Ottawa | 2015-02-26 / 20150055094 - METHOD AND APPARATUS FOR IMAGING THE CHOROID | 3 |
Daniel Louis Kahn | US | Altadena | 2014-04-10 / 20140097562 - TUNABLE PASSIVE VIBRATION SUPPRESSOR | 1 |
Rocky Kahn | US | Oakland | 2015-10-29 / 20150309969 - Methods and Apparatus for Improved Navigation Among Controlled Terms in One or More User Documents | 2 |
C. Ronald Kahn | US | Newton | 2015-05-28 / 20150147807 - HIGH-THROUGHPUT IMAGE-BASED CHEMICAL SCREENING IN ZEBRAFISH BLASTOMERE CELL CULTURE | 1 |
Donald Arthur Kahn | US | Niles | 2013-11-14 / 20130299017 - FLOW RATE SCALE FIELD CALIBRATION FOR BALANCING VALVE | 1 |
Seth Kahn | US | San Francisco | 2013-08-15 / 20130207627 - VIRTUAL OUTPUT VOLTAGE SENSING FOR FEED-FORWARD CONTROL OF A VOLTAGE REGULATOR | 6 |
Michael J. Kahn | US | Cedarburg | 2014-02-20 / 20140051911 - Transport Incubator System Frame with Adjustable Handles | 2 |
Roger Kahn | IL | Jerusalem | 2011-11-24 / 20110286130 - ENERGY-SAVING OPERATION OF A STORAGE DEVICE | 1 |
Kris David Kahn | US | Boston | 2011-09-15 / 20110223296 - SANDWICH COOKING ACCESSORY | 3 |
Kevin C. Kahn | US | Portland | 2014-12-18 / 20140372663 - MULTI-PROTOCOL I/O INTERCONNECT FLOW CONTROL | 6 |
Colin Kahn | US | Murray Hill | 2014-08-07 / 20140221002 - METHOD AND SYSTEM FOR OBTAINING LOCATION INFORMATION FROM A WIRELESS NETWORK | 1 |
Colin L. Kahn | US | Morris Plains | 2016-05-05 / 20160127958 - MOBILITY MANAGEMENT FOR WIRELESS NETWORKS | 9 |
Jade Tarni Kahn | IL | Givat Shmuel | 2014-11-06 / 20140330563 - SEAMLESS AUTHENTICATION AND ENROLLMENT | 1 |
Barry Kahn | US | Austin | 2012-08-16 / 20120209662 - Dynamic Pricing | 2 |
Moinul H. Kahn | US | San Diego | 2011-07-14 / 20110173360 - SYSTEM AND METHOD OF MONITORING A CENTRAL PROCESSING UNIT IN REAL TIME | 1 |
Arthur Harold Kahn | US | Cohocton | 2015-12-10 / 20150352862 - FINISHER TRANSPORT ASSEMBLY JAM ACCESS COVER FOR DIGITAL PRINTERS | 1 |
Johanna Kahn | US | Deerfield | 2016-01-07 / 20160001915 - PILLAR-SHAPED CONTAINER | 3 |
William Kahn | US | Chicago | 2011-09-22 / 20110231200 - SYSTEM AND METHOD FOR LEAD MANAGEMENT AND LITERATURE DELIVERY | 1 |
C. Ronald Kahn | US | West Newton | 2015-05-28 / 20150147292 - NOVEL SURFACE MARKERS FOR ADIPOSE TISSUE | 12 |
Maurice Kahn | US | 2015-12-03 / 20150349699 - Modular Solar Mobile Generator | 1 | |
Michael Kahn | US | Los Angeles | 2015-02-26 / 20150057283 - REVERSE-TURN MIMETICS AND METHOD RELATING THERETO | 9 |
Ari Kahn | ZA | Parkhurst | 2014-07-17 / 20140199960 - CALL MANAGEMENT PROTOCOL FOR INSUFFICIENT CREDIT | 1 |
Barbara B. Kahn | US | Cambridge | 2015-05-14 / 20150133551 - Lipids That Increase Insulin Sensitivity And Methods Of Using The Same | 6 |
Crystal Kahn | US | Boston | 2009-12-17 / 20090313449 - eXtreme Virtual Memory | 1 |
Brian E. Kahn | US | Arlington | 2011-09-08 / 20110219410 - Method and System of Queued Management of Multimedia Storage | 2 |
Ronald Kahn | US | West Newton | 2009-08-27 / 20090215681 - Methods of Diagnosis and Treatment of Metabolic Disorders | 1 |
Justin Kahn | US | Salt Lake City | 2012-12-20 / 20120320145 - Methods and Systems for Online Counseling Sessions and Clinics | 1 |
Louis M. Kahn | US | Bellevue | 2011-12-29 / 20110321028 - APPLICATIONS INCLUDING MULTIPLE EXPERIENCE MODULES | 1 |
Jason M. Kahn | US | Anthem | 2012-08-30 / 20120218868 - APPARATUS AND METHOD OF DETECTING DEFECTS IN A RAIL JOINT BAR | 1 |
Raynold M. Kahn | US | Los Angeles | 2014-09-18 / 20140280140 - METHOD AND SYSTEM FOR DISPLAYING RECOMMENDED CONTENT SUCH AS MOVIES ASSOCIATED WITH A CLUSTER | 35 |
Barry S. Kahn | US | Austin | 2009-08-06 / 20090198528 - System and method providing market mechanisms for trading in forward contracts on heterogeneous goods | 1 |
Joy Kahn | IL | Ramat Beit Shemesh | 2011-11-03 / 20110268712 - Stem Cells Suitable for Transplantation, Their Preparation and Pharmaceutical Compositions Comprising Them | 1 |
Kimberly Barsamian Kahn | US | Portland | 2012-12-06 / 20120308982 - SYSTEM AND METHOD FOR VIRTUAL SOCIAL LAB | 1 |
Colin Leon Kahn | US | Cedar Knolls | 2009-01-01 / 20090005074 - Method and Apparatus for Activating a Base Station | 1 |
Malcolm Kahn | US | Franklin Lakes | 2011-06-16 / 20110144930 - PASSIVE ACOUSTIC UNDERWATER INTRUDER DETECTION SYSTEM | 1 |
Donald A. Kahn | US | Niles | 2014-05-22 / 20140137663 - VALVE BALL FOR DIRECT FLOW MEASUREMENT | 1 |
Matt A. Kahn | US | Marlborough | 2014-05-15 / 20140136721 - Adaptive Network of Networks Architecture | 1 |
Mir Kahn | US | Kenosha | 2014-09-18 / 20140263754 - MULTIPLE ORIFICE PRESSURE WASHER NOZZLE ASSEMBLIES | 1 |
Markus Kahn | AT | Rangersdorf | 2016-02-04 / 20160031701 - Micromechanical Structure and Method for Fabricating the Same | 10 |
Randolph William Kahn | US | Mckinney | 2014-05-08 / 20140124895 - RADIATION INDUCED DIODE STRUCTURE | 1 |
Leonard Evan Kahn | US | Northbrook | 2012-03-29 / 20120078647 - SYSTEMS AND METHODS FOR IMPROVED PERINATAL WORKFLOW | 2 |
Nicole Sarah Kahn | US | San Francisco | 2013-12-12 / 20130327819 - CONTAINER ASSEMBLY AND FOLDABLE CONTAINER SYSTEM | 3 |
Walter L. Kahn | IL | Karmiel | 2011-02-03 / 20110029575 - System and Method for Runtime Rendering of Web-Based User Interfaces for Master Data Management | 1 |
Simon Kahn | IL | Jerusalem | 2014-08-07 / 20140218223 - MULTIPLEXED SIGMA DELTA MODULATOR | 6 |
Michael R. Kahn | US | Cherry Hill | 2012-03-29 / 20120076415 - COMPUTER AIDED VALIDATION OF PATENT DISCLOSURES | 1 |
Elana M. Kahn | US | Brighton | 2015-01-08 / 20150010441 - Electronic Pregnancy Test Device | 1 |
Alon Yaacov Kahn | IL | Lavon | 2010-09-16 / 20100235236 - SYSTEM AND METHOD FOR CREATING AND USING ADVERTISEMENTS DATABASE | 1 |
Scott D. Kahn | US | Rancho Santa Fe | 2013-10-17 / 20130275486 - CLOUD COMPUTING ENVIRONMENT FOR BIOLOGICAL DATA | 1 |
Opher Kahn | IL | Zichron | 2012-08-09 / 20120200585 - TECHNIQUE TO SHARE INFORMATION AMONG DIFFERENT CACHE COHERENCY DOMAINS | 2 |
David A. Kahn | CA | Kanata | 2015-09-10 / 20150250383 - REAL TIME VISUALIZATION OF SURGICAL OPERATIONS ON THE EYE | 1 |
Chanoch Kahn | IL | Jerusalem | 2010-06-03 / 20100134018 - LED STRING DRIVER WITH LIGHT INTENSITY RESPONSIVE TO INPUT VOLTAGE | 1 |
Elliot Isaac Kahn | US | Pittsburgh | 2015-03-05 / 20150059204 - Segmented Insole for Support of Embedded Systems | 2 |
Nir Kahn | IL | Nahariya | 2012-05-24 / 20120124802 - ARMORED HULL | 4 |
Markus Kahn | DE | Keil Am See | 2015-10-01 / 20150278937 - SYSTEMS AND METHODS OF PROVIDING KEY FIGURE INFORMATION | 1 |
Eran Kahn | IL | Tel Aviv-Yaffo | 2009-08-27 / 20090216917 - DEVICE AND METHOD FOR ARBITRATING BETWEEN DIRECT MEMORY ACCESS TASK REQUESTS | 3 |
Steven P. Kahn | US | Sykesville | 2015-11-05 / 20150319288 - Transportable Wireless Loudspeaker and System And Method for managing Multi-User Wireless Media Playback over a media playback system | 1 |
Kirsten A. Kahn | US | Denver | 2011-09-15 / 20110225290 - TARGETING CONTENT CREATION REQUESTS TO CONTENT CONTRIBUTORS | 2 |
Michael Kahn | US | Kirkland | 2009-08-27 / 20090215781 - ALPHA-HELIX MIMETICS AND METHODS RELATING TO THE TREATMENT OF FIBROSIS | 1 |
Rocky Kahn | US | Alameda | 2011-10-20 / 20110258538 - Capturing DOM Modifications Mediated by Decoupled Change Mechanism | 2 |
Michael Kahn | US | Livingston | 2008-12-11 / 20080305218 - Ice cream kit | 2 |
Michael Kahn | US | Los Angeles | 2015-02-26 / 20150057283 - REVERSE-TURN MIMETICS AND METHOD RELATING THERETO | 9 |
Michael Kahn | US | Altadena | 2016-03-17 / 20160074400 - WNT MODULATORS FOR THE PROTECTION, MITIGATION AND TREATMENT OF RADIATION INJURY | 8 |
Ari Kahn | US | 2011-01-27 / 20110019809 - Services and Transactions in a Telephony Network | 1 | |
Russell Kahn | US | Madison | 2010-10-14 / 20100258011 - CLEANING DEVICE WITH SCOURING INTERIOR SURFACE | 2 |
Stuart J. Kahn | US | Seattle | 2010-07-29 / 20100190798 - PHARMACEUTICAL COMPOSITION COMPRISING RACEMIC AMINOPTERIN | 1 |
Jason C Kahn | US | Atlanta | 2012-01-12 / 20120011212 - Cluster-Based Friend Suggestion Aggregator | 2 |
C. Ronald Kahn | US | West Newton | 2015-05-28 / 20150147292 - NOVEL SURFACE MARKERS FOR ADIPOSE TISSUE | 12 |
Allyn Kahn | US | New Port Richey | 2010-06-24 / 20100156045 - PUZZLE AND GAME | 1 |
Jonathan Kahn | US | Aptos | 2011-09-08 / 20110217964 - SYSTEM AND METHOD FOR PROVIDING TO A WIRELESS COMPUTING DEVICE THE PRICING AND DYNAMICALLY-PREDICTED SIGNAL STRENGTH OF LOCALLY-AVAILABLE RADIO SERVICES | 1 |
Andrew P. Kahn | US | Eagleville | 2013-11-07 / 20130296612 - METHOD OF PURIFYING CRUDE ACETONE STREAM | 6 |
Ali Kahn | US | North Attleboro | 2016-03-17 / 20160075866 - COMPOSITIONS FOR CAPSTOCK APPLICATIONS | 1 |
Edward Kahn | US | Sarasota | 2010-12-23 / 20100325410 - SYSTEM AND METHOD FOR MANAGEMENT AND INSTALLATION OF OPERATING SYSTEM IMAGES FOR COMPUTERS | 1 |
Andy Kahn | US | San Francisco | 2014-12-18 / 20140372832 - ONLINE DATA CONSISTENCY CHECKING IN A NETWORK STORAGE SYSTEM WITH OPTIONAL COMMITTAL OF REMEDIAL CHANGES | 1 |
Jon Bonner Kahn | US | Spring | 2012-10-18 / 20120261603 - Gate Valve Having Low-Friction Coating Over Substrate and Method for Making Same | 1 |
Paul D. Kahn | CA | Oshawa | 2011-09-08 / 20110214344 - Greenhouse and Method of Making Same | 1 |
M. Ehsan Kahn | US | Kennett Square | 2010-12-02 / 20100306072 - INSTANT FINANCIAL CREDIT SYSTEM | 1 |
Joshua Kahn | US | Chicago | 2016-03-10 / 20160072741 - METADATA BASED USER DEVICE COMMUNICATIONS | 6 |
Alan R. Kahn | US | Minneapolis | 2010-10-21 / 20100267640 - Method of Attenuating Neurogenic Swelling or Neurogenic Inflammation | 1 |
David W. Kahn | US | North Potomac | 2013-08-22 / 20130217867 - Recombinant Production of Heparin Binding Proteins | 2 |
Jerome Kahn | US | Hutchinson | 2011-12-15 / 20110303258 - SYSTEM FOR RECLAMATION OF WASTE THERMAL ENERGY | 4 |
Darren Kahn | US | Gainesville | 2010-09-09 / 20100228233 - MEDICAL DEVICE FOR INFUSION HAVING COILED TUBING OF ADJUSTABLE LENGTH | 1 |
Theodore Kahn | US | Apex | 2014-12-25 / 20140380521 - AXMI277 NEMATODE TOXIN AND METHODS FOR ITS USE | 3 |
Laurence H. Kahn | US | 2010-05-06 / 20100111763 - Examination Device for Blood Detection | 1 | |
Kevin Kahn | US | Portland | 2014-08-07 / 20140223042 - UNIFIED MULTI-TRANSPORT MEDIUM CONNECTOR ARCHITECTURE | 2 |
Boris Kahn | US | Beachwood | 2009-12-24 / 20090314756 - WELDING WIRE FOR SUBMERGED ARC WELDING | 1 |
James R. Kahn | US | Ft. Collins | 2015-03-26 / 20150084496 - End-hall ion source with enhanced radiation cooling | 3 |
Sidney Lowell Kahn | US | Somers | 2013-09-19 / 20130245533 - TRANSJUGULAR INTRAHEPATIC PORTOSYSTEMIC SHUNT DEVICE | 1 |
Michael G. Kahn | US | Boulder | 2014-09-04 / 20140249845 - CLINICAL TRIALS MANAGEMENT SYSTEM AND METHOD | 4 |
Pia Kahnberg | SE | Huddinge | 2016-03-10 / 20160068539 - PROTEASE INHIBITORS | 13 |
Pia Kahnberg | SE | Huddinge | 2016-03-10 / 20160068539 - PROTEASE INHIBITORS | 13 |
Brian C. Kahne | US | Austin | 2016-03-03 / 20160062797 - SYSTEM AND METHOD FOR DYNAMICALLY MANAGED TASK SWITCH LOOKAHEAD | 6 |
Antje Kahnert | DE | Dusseldorf | 2015-09-17 / 20150259433 - ANTI-MESOTHELIN ANTIBODIES AND USES THEREOF | 2 |
Thomas Kahnert | DE | Hemmingen | 2010-01-14 / 20100011253 - Circuit Arrangement for monitoring errors during signal transmission | 1 |
Antje Kahnert | DE | Wuppertal | 2015-11-12 / 20150322160 - ANTI-MESOTHELIN IMMUNOCONJUGATES AND USES THEREFOR | 3 |
Christopher A. Kahnert | US | Lorain | 2015-10-22 / 20150300466 - ANTI-BACKLASH NUT ASSEMBLY | 1 |
Christopher A. Kahnert | US | Euclid | 2010-02-25 / 20100044194 - SWITCH ASSEMBLY HAVING A MECHANICALLY ACTUATED OVERRIDE | 2 |
Ji-Min Kahng | KR | Seoul | 2012-04-12 / 20120088226 - NUCLEIC ACID EXTRACTION METHOD | 2 |
Jason Ys Kahng | CA | Toronto | 2015-10-15 / 20150294604 - Toy display frame with restickable surface | 1 |
Jennifer Kahng | US | Centreville | 2013-02-07 / 20130033131 - Inductive Energy Converter | 1 |
Andrew B. Kahng | US | 2013-01-10 / 20130014073 - STANDARD CELLS HAVING TRANSISTORS ANNOTATED FOR GATE-LENGTH BIASING | 5 | |
Sung Tek Kahng | KR | Seoul | 2014-02-27 / 20140055319 - MIMO ANTENNA WITH NO PHASE CHANGE | 1 |
Sungtek Kahng | KR | Seoul | 2012-10-11 / 20120256703 - BANDPASS FILTER AND ELECTRONIC DEVICE | 3 |
Andrew B. Kahng | US | Del Mar | 2015-12-31 / 20150379188 - IC LAYOUT ADJUSTMENT METHOD AND TOOL FOR IMPROVING DIELECTRIC RELIABILITY AT INTERCONNECTS | 9 |
Jae-Rok Kahng | KR | Seoul | 2013-12-26 / 20130344666 - Methods of Fabricating Semiconductor Devices Having Increased Areas of Storage Contacts | 6 |
Jason Kahng | CA | Toronto | 2013-03-28 / 20130076502 - Method to remind drivers that there is a child / pet inside of vehicle | 2 |
David J. Kahng | US | New York | 2011-10-06 / 20110240075 - COMPACT FOLDING UMBRELLA WITH HYBRID RIBS TO RESIST DAMAGE DUE TO INVERSION | 1 |
Seun K. Kahng | US | Poquoson | 2013-02-07 / 20130033131 - Inductive Energy Converter | 2 |
Andrew B. Kahng | US | La Jolla | 2014-09-18 / 20140266401 - DATA-RETAINED POWER-GATING CIRCUIT AND DEVICES INCLUDING THE SAME | 1 |
Yung-Ho Kahng | KR | Seoul | 2011-08-18 / 20110203021 - SPM NANOPROBES AND THE PREPARATION METHOD THEREOF | 1 |
Gredo Kahng | US | Houston | 2016-02-25 / 20160055762 - METHODS FOR REPRESENTING NUTRITIONAL INFORMATION OF A FOOD PRODUCT TO GROCERY SHOPPERS | 2 |
Jaerok Kahng | KR | Seoul | 2011-07-14 / 20110169066 - SEMICONDUCTOR DEVICES AND DYNAMIC RANDOM ACCESS MEMORY DEVICES INCLUDING BURIED GATE PATTERN WITH HIGH-K CAPPING LAYER | 1 |
Leopold Kahng | US | Vienna | 2013-02-07 / 20130033131 - Inductive Energy Converter | 1 |
Gredo Woong Kahng | US | Houston | 2010-09-02 / 20100218407 - SIGN CONTAINING FOOD NUTRITION INFORMATION FOR GROCERY SHOPPERS | 1 |
Hyun Kook Kahng | KR | Seoul | 2015-02-12 / 20150043364 - DYNAMIC ROUTING METHOD IN AD-HOC NETWORK AND NETWORK DEVICE THEREFOR | 4 |
Jong-Oh Kahng | KR | Seoul | 2009-03-05 / 20090063530 - SYSTEM AND METHOD FOR MOBILE WEB SERVICE | 1 |
Andrew B. Kahng | US | Del Mar | 2015-12-31 / 20150379188 - IC LAYOUT ADJUSTMENT METHOD AND TOOL FOR IMPROVING DIELECTRIC RELIABILITY AT INTERCONNECTS | 9 |
Andrée Kahn-Harari | FR | Paris | 2012-01-05 / 20120001074 - CERAMIC SCINTILATOR BODY AND SCINTILLATION DEVICE | 1 |
Andrée Kahn-Harari | FR | Paris | 2012-01-05 / 20120001074 - CERAMIC SCINTILATOR BODY AND SCINTILLATION DEVICE | 1 |
Chantal Kahn-Malek | FR | Besancon | 2009-12-17 / 20090311666 - MICROFLUIDIC DEVICE FOR CRYSTALLIZATION AND CRYSTALLOGRAPHIC ANALYSIS OF MOLECULES | 1 |
Minoru Kaho | JP | Ashigarakami-Gun | 2015-09-10 / 20150251642 - WIRING STRUCTURE OF A PARKING BRAKE CABLE | 1 |
Sachi Kaho | JP | Tokyo | 2012-05-03 / 20120105659 - VIDEO STILL CAMERA | 1 |
Takana Kaho | JP | Yokosuka-Shi | 2012-11-08 / 20120281718 - RECEIVER | 1 |
Minoru Kaho | JP | Kanagawa | 2016-02-11 / 20160039263 - STRUCTURE FOR ARRANGING HEAT-GENERATING ELECTRIC COMPONENT IN AUTOMOBILE | 1 |
Eugene G. Kahojan | RU | Moscow | 2013-07-25 / 20130189242 - Hyaluronidase and Method of use Thereof | 2 |
Anurag Kahol | US | Los Gatos | 2016-03-24 / 20160087970 - SECURE APPLICATION ACCESS SYSTEM | 4 |
Atul Prakash Kahol | IN | Lucknow | 2011-04-21 / 20110092585 - IMMUNOMODULATORY PHARMACEUTICAL COMPOSITION AND A PROCESS FOR PREPARATION THEREOF | 1 |
Marian Kaholek | US | Bloomfield | 2010-03-11 / 20100062054 - LIPOBEADS AND THEIR PRODUCTION | 1 |
Malik Kahook | US | Denver | 2016-02-25 / 20160051469 - BIODEGRADABLE COPOLYMERS, SYSTEMS INCLUDING THE COPOLYMERS, AND METHODS OF FORMING AND USING SAME | 7 |
Malik Y. Kahook | US | Denver | 2015-12-10 / 20150351963 - NON-INVASIVE DEVICE FOR LOWERING INTRAOCULAR PRESSURE | 15 |
Lazhar Kahouli | DE | Altbach | 2016-05-19 / 20160141070 - HYBRID CABLE, METHOD FOR ITS MANUFACTURE AND USE OF SUCH A HYBRID CABLE | 1 |
Michael M. Kahr | US | Ellison Bay | 2011-01-13 / 20110008110 - DOCK STRUCTURE, DOCK ASSEMBLY AND METHOD OF CONSTRUCTING THE DOCK STRUCTURE AND ASSEMBLY | 1 |
Barry Kahr | US | Austin | 2008-10-30 / 20080265905 - SYSTEM AND METHOD FOR DETECTION OF ENVIRONMENTALLY-INDUCED DAMAGE OF CONDUCTIVE ELEMENTS IN A CIRCUIT BOARD | 1 |
Wemer Kahr | AT | Deutschlandsberg | 2011-12-08 / 20110297666 - Heating Apparatus and Method for Producing the Heating Apparatus | 1 |
Viktor Kahr | AT | Hart Bei Graz | 2014-02-06 / 20140035357 - Battery, Battery Management System, and Method to Control a Battery | 2 |
Werner Kahr | AT | Deutschlandsberg | 2013-10-10 / 20130266297 - HEATING MODULE AND VAPORIZATION APPARATUS HAVING A HEATING MODULE | 19 |
Christian Kahr | CH | Suhr | 2016-02-11 / 20160041016 - Verfahren und Wirbelstromungsmessgerat Zur Bestimmung des Massenstromverhaltnisse einermhrphasigen Stromung | 1 |
Joseph C. Kahr | US | Southern Pines | 2010-09-09 / 20100224453 - RAILWAY BRAKE SHOE | 2 |
Ossi Kahra | FI | Tampere | 2016-05-05 / 20160123472 - SEAL OF TOOL AND METHOD OF SEALING | 2 |
Firat Kahraman | US | Campbell | 2014-12-25 / 20140378839 - Methods and Apparatus for Conformable Medical Data Acquisition Pad and Configurable Imaging System | 1 |
Erkan Kahraman | TR | Istanbul | 2011-05-26 / 20110121698 - Washer | 1 |
Ismet E. Kahraman | US | Seattle | 2011-12-22 / 20110314030 - PERSONALIZED MEDIA CHARTS | 1 |
Ismet Erensoy Kahraman | US | Seattle | 2014-09-18 / 20140282395 - APPLICATION COMPATIBILITY CHECKING IN A DISTRIBUTED COMPUTING ENVIRONMENT | 3 |
Mehmet Kahraman | US | San Diego | 2016-03-17 / 20160075654 - BICYCLIC COMPOUNDS | 4 |
Mehmet Kahraman | US | La Jolla | 2016-03-31 / 20160090378 - ESTROGEN RECEPTOR MODULATORS AND USES THEREOF | 9 |
Ahmet Kahraman | US | Westerville | 2014-06-05 / 20140155219 - TURBINE ENGINE GEARBOX | 2 |
Mehmet Kahraman | US | La Jolla | 2016-03-31 / 20160090378 - ESTROGEN RECEPTOR MODULATORS AND USES THEREOF | 9 |
Deniz Kahramaner | US | Mountain View | 2016-03-31 / 20160092840 - FORECASTING JOB APPLICANT DATA FOR A JOB POSTING | 1 |
Ozcan Kahramangil | TR | Ankara | 2014-12-04 / 20140353283 - OPTICAL SCREENING SYSTEM | 1 |
Tiina Kahre | EE | Tartu | 2011-06-16 / 20110142792 - Compositions for Increasing Polypeptide Stability and Activity, and Related Methods | 1 |
Joerg Kahre | DE | Leichlingen | 2015-10-01 / 20150272865 - HAIR CARE PRODUCTS WITH ANTI-DANDRUFF AGENTS AND SELECTED SILICONES CONTAINING SUGAR STRUCTURES | 8 |
Joerg Kahre | DE | Leichlingen | 2015-10-01 / 20150272865 - HAIR CARE PRODUCTS WITH ANTI-DANDRUFF AGENTS AND SELECTED SILICONES CONTAINING SUGAR STRUCTURES | 8 |
Steven Scott Kahre | US | Spotsylvania | 2012-12-20 / 20120318612 - VEHICLE-MOUNTED PERSONNEL ACCESS PLATFORM ASSEMBLY | 3 |
Olev Kahre | EE | Tartu | 2011-06-16 / 20110142792 - Compositions for Increasing Polypeptide Stability and Activity, and Related Methods | 1 |
Jorg Kahre | DE | Leichlingen | 2015-06-25 / 20150174038 - HAIR CARE COMPOSITIONS WITH SELECTED SURFACTANTS AND SELECTED COMPLEXES OF ACIDIC PROTEIN HYDROLYSATES AND BASIC FATTY ACID AMIDOAMINES | 6 |
Steven S. Kahre | US | Spotsylvania | 2010-11-04 / 20100276227 - Tactical vehicle with line deployment tower | 1 |
Randy Kahrig | US | Worthington | 2009-06-11 / 20090145467 - NOZZLE APPARATUS | 1 |
Haris Kahrimanovic | US | Colorado Springs | 2014-05-15 / 20140136354 - MOBILE PAYMENT TRANSACTION PROCESSING VIA UNATTENDED TERMINAL | 1 |
Masoud Kahrizi | US | Irvine | 2016-05-05 / 20160127017 - Configurable Receiver Architecture for Carrier Aggregation with Multiple-Input Multiple-Output | 30 |
Masoud Kahrizi | US | Irvine | 2016-05-05 / 20160127017 - Configurable Receiver Architecture for Carrier Aggregation with Multiple-Input Multiple-Output | 30 |
Johannes Martin Kahrs | US | Washington | 2013-01-03 / 20130006704 - Method and System For Creating Customer Profiles | 1 |
Brian Kahrs | US | San Francisco | 2014-01-02 / 20140004959 - SHARING PHOTOS OF A GAME BOARD WITHIN AN ONLINE GAME | 1 |
Steve M. Kahrs | US | Canton | 2012-02-09 / 20120034859 - HVAC Air Inlet with Blend Mode and Fresh-Restricted Mode | 2 |
Bjoern C. Kahrs | CH | Collonge-Bellerive | 2013-07-04 / 20130172391 - PHARMACEUTICAL COMPOSITIONS | 2 |
Bjoern Colin Kahrs | CH | Collonge-Bellerive | 2015-05-14 / 20150133507 - PHARMACEUTICAL COMPOSITIONS COMPRISING GLITAZONES AND NRF2 ACTIVATORS | 1 |
Olaf Kahrs | DE | Mannheim | 2012-06-14 / 20120148382 - METHOD AND APPARATUS FOR THE MODEL-BASED MONITORING OF A TURBOMACHINE | 1 |
Michael Kahrs | US | Phoenix | 2016-03-24 / 20160084103 - SHROUDED BONDED TURBINE ROTORS AND METHODS FOR MANUFACTURING THE SAME | 2 |
Donald Herbert Kahrs | US | Valley Springs | 2009-04-02 / 20090085326 - HITCH ADAPTER FOR TOWING A FIFTH WHEEL TRAILER | 1 |
Eric William Kahrs | US | Phoenix | 2014-12-04 / 20140355251 - MOLDED LED LIGHT SHEET | 2 |
Jonatan Kahrstrom | SE | Lund | 2014-05-15 / 20140132771 - MONITORING METHOD AND CAMERA | 1 |
Jussi Kahtava | JP | Mitaka-Shi | 2009-08-27 / 20090213829 - Expanded signaling capability for network element, user equipment and system | 1 |
Jussi Tapani Kahtava | JP | Tokyo | 2014-04-24 / 20140113649 - CHANNEL AVAILABILITY FOR WHITE-SPACE DEVICES, ASSOCIATED APPARATUS AND METHODS | 1 |
Jussi Kahtava | JP | Tokyo | 2012-07-19 / 20120185429 - Method, Apparatuses and Computer Program for Maintaining Databases | 1 |
Jussi Tapani Kahtava | GB | Fleet | 2013-06-20 / 20130159345 - METHODS AND APPARATUSES FOR FACILITATING DETERMINATION OF AVAILABLE SPECTRUM | 2 |
Jussi Tapani Kahtava | JP | Tokyo-To | 2012-08-16 / 20120208558 - CHANNEL AVAILABILITY FOR WHITE-SPACE DEVICES, ASSOCIATED APPARATUS AND METHODS | 1 |
Jussi Kahtava | GB | Basingstoke | 2016-05-19 / 20160143086 - TELECOMMUNICATIONS APPARATUS AND METHODS | 3 |
Trent Kahute | US | Atlanta | 2016-04-07 / 20160095424 - Wetness Indicating Water Removing Hair Brush | 2 |
Trent J. Kahute | US | Atlanta | 2015-10-15 / 20150293067 - BEVERAGE DIAGNOSTIC AND PRESERVATION DEVICES AND METHODS | 1 |
Nedim Kahvo | CA | Kitchener | 2013-09-19 / 20130241926 - SYSTEMS AND METHODS FOR TRANSMITTING AND RENDERING 3D VISUALIZATIONS OVER A NETWORK | 1 |
Autumn T. Kahwaji | US | Fairlawn | 2014-02-06 / 20140034200 - TIRE WITH LAMINATE | 1 |
Michael A. Kahwaji | US | Los Angeles | 2012-12-27 / 20120324936 - WATER FILTER FOR REFRIGERATOR WATER DISPENSER | 1 |
Michael A. Kahwaji | US | St. Joseph | 2014-10-16 / 20140305422 - HINGED COOKTOP GRATE ASSEMBLY | 1 |
Al Kahwati | US | Nokomis | 2015-11-05 / 20150314757 - BUSBAR FOR AN ELECTRICAL DEVICE AND A WINDOW PANE INCLUDING THE SAME | 1 |
Orhan Kahya | SG | Singapore | 2015-08-27 / 20150241908 - Touch Screen Devices Employing Graphene Networks With Polyvinylidene Fluoride Films | 5 |
Meriman Nicoletta Kahya | NL | Eindhoven | 2010-01-28 / 20100019226 - SEMICONDUCTOR SENSOR DEVICE, DIAGNOSTIC INSTRUMENT COMPRISING SUCH A DEVICE AND METHOD OF MANUFACTURING SUCH A DEVICE | 1 |
Neriman Nicoletta Kahya | NL | Eindhoven | 2011-08-11 / 20110195516 - WIRE GRID SUBSTRATE STRUCTURE AND METHOD FOR MANUFACTURING SUCH A SUBSTRATE | 2 |
Yutaka Kai | JP | Kawasaki | 2015-08-06 / 20150222359 - MULTILEVEL INTENSITY MODULATION AND DEMODULATION SYSTEM AND METHOD | 27 |
Tesuya Kai | JP | Yokohama-Shi | 2011-03-31 / 20110073935 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Yasunobu Kai | JP | Yokohama-Shi | 2015-09-17 / 20150263026 - SEMICONDUCTOR DEVICE AND DESIGN APPARATUS FOR SEMICONDUCTOR DEVICE | 6 |
Yasuaki Kai | JP | Yokohama-Shi | 2014-05-15 / 20140135209 - HYDROPHILIC MEMBER AND METHOD FOR PRODUCING THE SAME | 3 |
Shojiro Kai | JP | Yokohama-Shi | 2010-01-21 / 20100015498 - METHANOL FUEL CELL CARTRIDGE | 1 |
Tetsuya Kai | JP | Yokohama-Shi | 2014-10-16 / 20140308789 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 7 |
Masanori Kai | JP | Chiba | 2012-02-02 / 20120029187 - HALOALKYLSULFONANILIDE DERIVATIVE | 1 |
Yuko Kai | JP | Kawasaki-Shi | 2014-01-02 / 20140004243 - SALTY TASTE ENHANCER | 3 |
Hirofumi Kai | JP | Chiyoda-Ku | 2013-04-25 / 20130104101 - SEQUENCE PROGRAM CREATING APPARATUS | 1 |
Tadashi Kai | JP | Tokyo | 2015-09-03 / 20150249208 - ELECTRONIC DEVICE | 56 |
Toshihiro Kai | JP | Osaka | 2015-02-05 / 20150037886 - TREATMENT DRUG FOR AUTOIMMUNE DISEASES AND ALLERGIC DISEASES | 2 |
Ryuu Kai | JP | Hitachinaka | 2012-02-09 / 20120035827 - Idle Stop Control Method and Control Device | 1 |
Shang-Tung Kai | TW | Changhua | 2015-07-09 / 20150193024 - CAPACITIVE TOUCH CONTOL PEN | 1 |
Takashi Kai | JP | Toride-Shi | 2012-02-16 / 20120040390 - Method for Introducing Protein and/or Peptide Into Cell | 1 |
Hiroshi Kai | JP | Aichi-Ken | 2012-02-16 / 20120037145 - STEAM GENERATOR | 1 |
Yoshihiro Kai | JP | Nagaokakyo-Shi | 2013-07-04 / 20130169589 - Pressure Detection Unit and Information Input Device Having the Pressure Detection Unit | 3 |
Hidehiko Kai | JP | Tokyo | 2012-02-23 / 20120043372 - Cast-coated paper | 1 |
Keiichiro Kai | JP | Hiroshima | 2015-07-02 / 20150182958 - CATALYST STRUCTURE | 11 |
Masataka Kai | JP | Minato-Ku | 2012-07-26 / 20120190469 - MEASUREMENT APPARATUS AND MEASUREMENT METHOD | 1 |
Motohide Kai | JP | Takaishi-Shi | 2012-07-26 / 20120190176 - CATALYTIC CVD EQUIPMENT, METHOD FOR FORMATION OF FILM, AND PROCESS FOR PRODUCTION OF SOLAR CELL | 1 |
Manabu Kai | JP | Kawasaki | 2012-10-25 / 20120268326 - PLANAR INVERTED F ANTENNA | 26 |
Hisahiro Kai | JP | Fukuyama-Shi | 2012-08-16 / 20120207559 - Machine Tool | 1 |
Tomohiro Kai | JP | Tokyo | / - | 1 |
Hiroshige Kai | JP | Tokyo | 2014-03-13 / 20140071310 - IMAGE PROCESSING APPARATUS, METHOD, AND PROGRAM | 1 |
Satoshi Kai | JP | Tokyo | 2014-12-18 / 20140373160 - VULNERABILITY COUNTERMEASURE DEVICE AND VULNERABILITY COUNTERMEASURE METHOD | 3 |
Hiroyuki Kai | JP | Osaka | 2016-04-28 / 20160115151 - AMINOTRIAZINE DERIVATIVE AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 5 |
Junko Kai | JP | Niihari-Gun | 2009-12-31 / 20090325228 - FUNGAL CELL WALL SYNTHESIS GENE | 4 |
Toshihiro Kai | JP | Kanagawa-Ken | 2012-03-08 / 20120056580 - CONTACTLESS ELECTRICITY-SUPPLYING DEVICE | 1 |
Makoto Kai | JP | Kyoto | 2016-02-04 / 20160033103 - LIGHTING APPARATUS AND AUTOMOBILE INCLUDING THE SAME | 9 |
Takashi Kai | JP | Koshi-Shi | 2015-07-23 / 20150206772 - SUBSTRATE PROCESSING APPARATUS, METHOD OF OPERATING THE SAME AND NON-TRANSITORY STORAGE MEDIUM | 1 |
Akiyoshi Kai | JP | Mobara-Shi | 2014-10-09 / 20140303377 - AGRICULTURAL/HORTICULTURAL INSECTICIDE AND METHOD FOR USING THESAME | 3 |
Akiyoshi Kai | JP | Chiba | 2016-01-07 / 20160002198 - AMIDE DERIVATIVES, PROCESS FOR PREPARATION THEREOF AND USE THEREOF AS INSECTICIDE | 4 |
Hiroyuki Kai | JP | Osaka-Shi | 2012-01-12 / 20120010199 - NOVEL PYRROLINONE DERIVATIVE AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
Youichi Kai | JP | Kawasaki-Shi | 2008-09-18 / 20080225591 - NONVOLATILE SEMICONDUCTOR MEMORY | 1 |
Hisao Kai | JP | Daito-Shi | 2009-05-21 / 20090128673 - Image Sensor | 2 |
Osamu Kai | JP | Tottori | 2011-07-28 / 20110181808 - LIQUID CRYSTAL DISPLAY DEVICE AND ELECTRONIC APPARATUS | 1 |
Yoshiro Kai | JP | Kashihara-Shi | 2012-09-13 / 20120231019 - FIBROSIS INHIBITOR | 1 |
Miho Kai | JP | Kanagawa | 2013-12-12 / 20130331658 - SPRAYER | 1 |
Toshimi Kai | JP | Osaka-Shi | 2015-06-11 / 20150162472 - SOLAR BATTERY MODULE, AND METHOD OF MANUFACTURING SOLAR BATTERY MODULE | 1 |
Ping Kai | CN | Shenzhen | 2015-06-11 / 20150161089 - Method, Device And Mobile Terminal For Restoring Page | 1 |
Sky Lo Yau Kai | CN | Hong Kong | 2014-10-30 / 20140318309 - Universal Bicycle Pedal | 1 |
Hirohumi Kai | JP | Kumamoto | 2011-06-23 / 20110152981 - Living-tissue normalization method | 2 |
Kenshi Kai | JP | Matsumoto-Shi | 2016-02-18 / 20160050764 - MOUNTING JIG FOR SEMICONDUCTOR DEVICE | 2 |
Teruhiko Kai | JP | Tokyo | 2010-08-05 / 20100195029 - Pattern-Formed Substrate, Color Filter And Liquid Crystal Display Employing The Color Filter | 5 |
Hiroshi Kai | JP | Tokyo | 2013-08-22 / 20130215444 - INFORMATION PROCESSING APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 3 |
Hideaki Kai | JP | Tokyo | 2010-06-03 / 20100135622 - FLEXIBLE PLASTIC OPTICAL FIBER CABLE | 1 |
Koutaro Kai | JP | Tokyo | 2015-04-16 / 20150104434 - ARTIFICIAL KIDNEY PRECURSOR AND PROCESS FOR PRODUCTION THEREOF | 2 |
Shinichi Kai | JP | Tokyo | 2016-03-17 / 20160077006 - OPTICAL DETECTOR | 9 |
Toshiyuki Kai | JP | Tokyo | 2013-05-02 / 20130108962 - RADIATION-SENSITIVE COMPOSITION | 10 |
Ryuichiro Kai | JP | Tokyo | 2010-09-16 / 20100235511 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM PRODUCT | 1 |
Akiyoshi Kai | JP | Tokyo | / - | 1 |
Yoshirou Kai | JP | Tokyo | 2009-08-13 / 20090202514 - AGENTS FOR IMPROVING CHRONIC OBSTRUCTIVE PULMONARY DISEASES | 1 |
Koichi Kai | JP | Tokyo | 2016-03-17 / 20160075116 - ABRASION-RESISTANT FABRIC | 2 |
Naoki Kai | JP | Tokyo | 2008-10-02 / 20080238951 - GAMMA CORRECTOR WITH A STORAGE CAPACITY FOR GAMMA CORRECTION DATA REDUCED | 1 |
Keizo Kai | JP | Tokyo | 2011-04-14 / 20110086553 - CONNECTOR HAVING CONTACTS WITH A LINKAGE PORTION HAVING A WIDTH SMALLER THAN THAT OF THE CONTACT PORTION | 2 |
Chizuka Kai | JP | Tokyo | 2013-05-02 / 20130109051 - METHOD FOR DETECTING MICROORGANISMS, DEVICE FOR DETECTING MICROORGANISMS AND PROGRAM | 2 |
Takahiro Kai | JP | Kitakyushu-Shi | 2015-08-06 / 20150218191 - COMPOUND FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, AND ORGANIC ELECTROLUMINESCENT ELEMENT | 21 |
Shinichi Kai | JP | Kanagawa | 2014-04-03 / 20140091208 - OPTICAL MEASURING APPARATUS AND OPTICAL MEASURING MICROCHIP | 1 |
Wakana Kai | JP | Kanagawa | 2014-03-27 / 20140087547 - MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE, ANNEALING DEVICE, AND ANNEALING METHOD | 1 |
Hidetomo Kai | JP | Chiba | 2011-05-05 / 20110105703 - PROCESS FOR PRODUCTION OF POLYMERS WITH IRON COMPLEX CATALYST | 1 |
Koji Kai | JP | Kanagawa | 2014-12-11 / 20140360994 - WELDING TRANSFORMER AND WELDING TRANSFORMER ASSEMBLY AND WELDING APPARATUS | 1 |
Kazuya Kai | JP | Tokyo | 2016-04-07 / 20160099465 - STABILIZED LITHIUM POWDER, AND NEGATIVE ELECTRODE AND LITHIUM ION SECONDARY BATTERY USING THE SAME | 1 |
Koichiro Kai | JP | Tokyo | 2016-03-31 / 20160090550 - CLEANER COMPOSITION | 1 |
Lei Kai | CN | Shanghai | 2012-01-05 / 20120001671 - 5V TOLERANT CIRCUIT FOR CML TRANSCEIVER IN AC-COUPLE | 1 |
Satoshi Kai | JP | Yokohama | 2013-06-13 / 20130151562 - METHOD OF CALCULATING FEATURE-AMOUNT OF DIGITAL SEQUENCE, AND APPARATUS FOR CALCULATING FEATURE-AMOUNT OF DIGITAL SEQUENCE | 8 |
Wang Kai | CN | Beijing | 2012-06-28 / 20120166679 - SYSTEMS AND METHODS FOR ACHIEVING CONTINUATION OF EXPERIENCE BETWEEN COMPONENTS IN A HYBRID ENVIRONMENT | 1 |
Takehiko Kai | JP | Tokyo | 2015-03-12 / 20150070851 - CIRCUIT MODULE AND METHOD OF PRODUCING THE SAME | 7 |
Hideyuki Kai | JP | Hamamatsu-Shi | 2009-02-12 / 20090038914 - CONVEYOR FOR SHEET-SHAPED THIN PLATE AND METHOD OF CONVEYING SAME | 1 |
Hironori Kai | JP | Kawasaki | 2016-03-10 / 20160073315 - INFORMATION PROCESSING APPARATUS, STORAGE CONTROL APPARATUS, AND INFORMATION PROCESSING METHOD | 5 |
Hiroyki Kai | JP | Minato-Ku | 2011-03-17 / 20110065881 - ORGANIC SILICON COMPOUND AND MATERIAL FOR FORMING SILICA-BASED FINE PARTICLE | 1 |
Hiroyuki Kai | JP | Osaka-Fu | 2010-08-19 / 20100210632 - NOVEL PYRROLINONE DERIVATIVE AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 2 |
Hiroyuki Kai | JP | Minato-Ku | 2012-08-09 / 20120202926 - CELLULOSE RESIN AND METHOD FOR PRODUCING THE SAME | 3 |
Kazuhiko Kai | JP | Mobara | 2012-12-13 / 20120313514 - Organic EL Display Device and Manufacturing Method Thereof | 7 |
Keiichi Kai | JP | Gunma-Ken | 2009-07-23 / 20090185940 - Method for manufacturing trochoid pump and trochoid pump obtained | 2 |
Koichi Kai | JP | Chiyoda-Ku | 2010-04-08 / 20100085240 - RADAR APPARATUS | 2 |
Tetsuya Kai | JP | Tokyo | 2016-03-17 / 20160079262 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Koji Kai | JP | Fukuoka | 2011-03-03 / 20110050958 - IMAGE PICKUP DEVICE, IMAGE PICKUP METHOD, AND INTEGRATED CIRCUIT | 1 |
Kouji Kai | JP | Fukuoka | 2015-12-24 / 20150370754 - INTEGRATED CIRCUIT APPARATUS, THREE-DIMENSIONAL INTEGRATED CIRCUIT, THREE-DIMENSIONAL PROCESSOR DEVICE, AND PROCESS SCHEDULER, WITH CONFIGURATION TAKING ACCOUNT OF HEAT | 5 |
Kouzo Kai | JP | Fuchu-Shi | 2009-08-27 / 20090211526 - PROCESSING APPARATUS USING SOURCE GAS AND REACTIVE GAS | 1 |
Kunihiro Kai | JP | Wako-Shi | 2009-08-06 / 20090194355 - ELECTRICAL COMPONENT ATTACHMENT STRUCTURE FOR TWO-WHEELED MOTOR VEHICLE | 1 |
Keiichi Kai | JP | Isesaki-Shi | 2012-03-22 / 20120070318 - OIL PUMP UNIT WITH VARIABLE FLOW RATE | 2 |
Atsuhiro Kai | JP | Miyazaki | 2012-03-22 / 20120069483 - PROTECTION DEVICE, COMPLEMENTARY PROTECTION DEVICE, SIGNAL OUTPUT DEVICE, LATCH-UP PREVENTING METHOD, AND COMPUTER-READABLE MEDIUM | 2 |
Makoto Kai | JP | Ibaraki-Shi | 2012-09-13 / 20120231269 - COMPOSITE FILM | 4 |
Naoki Kai | JP | Mie-Ken | 2015-03-12 / 20150069569 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Hideki Kai | JP | Nagoya-Shi | 2014-09-25 / 20140283402 - CONTOUR MEASURING APPARATUS | 1 |
Manabu Kai | JP | Shizuoka | 2016-03-31 / 20160090906 - VEHICLE | 4 |
Masahiro Kai | JP | Yamaguchi | 2009-05-07 / 20090113976 - METHOD OF DRAWING AND IRONING A RESIN FILM LAMINATED METAL SHEET AND RESIN FILM LAMINATED DRAWN AND IRONED CAN USING THE SAME METHOD | 2 |
Hironobu Kai | JP | Wako-Shi | 2014-10-02 / 20140291961 - REAR STRUCTURE AND REAR EQUIPMENT FOR STRADDLE TYPE VEHICLE | 1 |
Masashi Kai | JP | Wako-Shi | 2014-01-30 / 20140026828 - PORTABLE ENGINE GENERATOR | 3 |
Masayuki Kai | JP | Gunma | 2013-02-28 / 20130053547 - AGONIST ANTIBODY TO HUMAN THROMBOPOIETIN RECEPTOR | 2 |
Naoki Kai | JP | Yokkaichi | 2010-04-29 / 20100105189 - METHOD OF FABRICATING SEMICONDUCTOR MEMORY DEVICE | 2 |
Norihiro Kai | JP | Aichi-Ken | 2009-02-19 / 20090048054 - CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Satoshi Kai | JP | Yokohama | 2013-06-13 / 20130151562 - METHOD OF CALCULATING FEATURE-AMOUNT OF DIGITAL SEQUENCE, AND APPARATUS FOR CALCULATING FEATURE-AMOUNT OF DIGITAL SEQUENCE | 8 |
Seiichi Kai | JP | Kobe-Shi | 2012-10-18 / 20120265423 - FUEL INJECTION CONTROL SYSTEM | 3 |
Nobuyasu Kai | JP | Otsu-Shi | 2015-08-27 / 20150243405 - TRANSPARENT CONDUCTIVE LAMINATE | 1 |
Tadashi Kai | JP | Kawsaki-Shi | 2008-10-23 / 20080261331 - MRAM AND METHOD OF MANUFACTURING THE SAME | 1 |
Yoshihiro Kai | JP | Kumamoto | 2016-04-28 / 20160114345 - SUBSTRATE LIQUID PROCESSING APPARATUS | 4 |
Takafumi Kai | JP | Nagoya | 2008-08-21 / 20080201775 - Multifunction peripheral, password setting system, method and computer readable medium for password setting | 1 |
Takafumi Kai | JP | Nagoya-Shi | 2015-10-01 / 20150281477 - NON-TRANSITORY STORAGE MEDIUM STORING INSTRUCTIONS EXECUTABLE BY INFORMATION PROCESSING APPARATUS, AND THE INFORMATION PROCESSING APPARATUS | 5 |
Takafumi Kai | JP | Hitachi | 2013-03-21 / 20130069589 - VEHICLE BATTERY CHARGING APPARATUS | 2 |
Takahiro Kai | JP | Kitakyushu-Shi | 2015-08-06 / 20150218191 - COMPOUND FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, AND ORGANIC ELECTROLUMINESCENT ELEMENT | 21 |
Takanori Kai | JP | Miyazaki-Shi | 2011-11-24 / 20110288164 - AGENT FOR INHIBITING PRODUCTION OF HEPATITIS C VIRUS AND ITS USE | 2 |
Takayuki Kai | JP | Saitama | 2008-09-04 / 20080212793 - Arrangement structure of sound system in motorcycle | 1 |
Takayuki Kai | JP | Miyazaki | 2009-05-07 / 20090115006 - SOI substrate and semiconductor acceleration sensor using the same | 1 |
Takayuki Kai | JP | Kyoto | 2012-05-17 / 20120119384 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 8 |
Takeshi Kai | JP | Wako-Shi | 2013-10-17 / 20130270900 - ELECTRIC-POWER GENERATION SYSTEM | 4 |
Tauyoshi Kai | JP | Ohira | 2009-12-10 / 20090302802 - BATTERY APPARATUS FOR CONTROLLING PLURAL BATTERIES AND CONTROL METHOD OF PLURAL BATTERIES | 1 |
Hideyuki Kai | JP | Anjo-Shi | 2012-10-04 / 20120247895 - ELECTRIC PARKING BRAKE DEVICE | 1 |
Tetsuya Kai | JP | Kanagawa-Ken | 2013-10-03 / 20130256780 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 5 |
Toshiya Kai | JP | Kusatsu-Shi | 2008-12-18 / 20080311195 - BASIS PARTICLES, METHOD FOR MANUFACTURING THE SAME, AND ORALLY-DISINTEGRATING TABLET | 2 |
Toshiya Kai | JP | Osaka-Shi | 2012-11-22 / 20120294947 - Oral Preparation Having Improved Quality | 3 |
Toshiyuki Kai | JP | Machida-Shi | 2008-10-30 / 20080268065 - FUNCTIONAL FOOD FOR AMELIORATING ENGOGENOUS MELATONIN SECRETION RHYTHM AND FUNCTIONAL FOOD FOR AMELIORATING CIRCADIAN RHYTHM | 1 |
Takatsugu Kai | JP | Tokyo | 2012-10-04 / 20120249208 - CLAMP CIRCUIT, SEMICONDUCTOR DEVICE, SIGNAL PROCESSING SYSTEM, AND SIGNAL CLAMPING METHOD | 1 |
Tsuyoshi Kai | JP | Ohira | 2012-11-15 / 20120286734 - Battery Apparatus for Controlling Plural Batteries and Control Method of Plural Batteries | 5 |
Yasuaki Kai | JP | Kanagawa-Ken | 2009-12-31 / 20090326097 - SURFACE-COATED ALUMINUM OXIDE NANOPARTICLE AND RESIN COMPOSITION THEREOF | 1 |
Yasushi Kai | JP | Toyonaka-Shi | 2009-10-22 / 20090263784 - THREE-DIMENSIONAL STRUCTURE OF PROSTAGLANDIN D SYNTHASE AND UTILIZATION THEREOF | 1 |
Hisayuki Kai | JP | Fukuoka | 2008-11-13 / 20080279482 - Disposable Bag | 1 |
Yoshitaka Kai | JP | Kudamatsu-Shi | 2011-12-08 / 20110299962 - Vacuum Processing Apparatus And Vacuum Processing Method | 3 |
Yuichiro Kai | JP | Minamiazumi-Gun | 2008-10-09 / 20080249177 - AMINO ALCOHOL DERIVATIVES, PHARMACEUTICAL COMPOSITIONS CONTAINING THE SAME, AND USE THEREOF | 1 |
Takashi Kai | JP | Tokyo | 2011-12-29 / 20110318298 - VIRAL INFECTION THERAPEUTIC DRUG CONTAINING POLYALKYLENEIMINE | 1 |
Hiroki Kai | JP | Osaka | 2014-12-18 / 20140368148 - MOTOR LOCK DETECTING CIRCUIT AND CONTROL METHOD THEREOF | 1 |
Toshihiro Kai | JP | Kanagawa | 2015-01-29 / 20150028691 - NON-CONTACT POWER FEEDING APPARATUS AND NON-CONTACT POWER FEEDING METHOD | 2 |
Hikaru Kai | JP | Gosen-Shi | 2008-09-25 / 20080233148 - Method of Producing Virus | 1 |
Satoshi Kai | JP | Kanagawa | 2014-10-02 / 20140294455 - GRINDING ROLLER, FIXING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Motohide Kai | JP | Osaka | 2015-12-03 / 20150349146 - SOLAR CELL | 4 |
Keiichiroh Kai | JP | Kanagawa | 2013-06-20 / 20130155603 - SUPPLEMENTARY DISK-ENCLOSURE COVER CONFIGURED TO SHIELD A HARD-DISK DRIVE AGAINST ELECTROMAGNETIC INTERFERENCE | 1 |
Keiichiro Kai | JP | Yokohama-Shi | 2015-09-17 / 20150258494 - CATALYST STRUCTURE FOR EXHAUST GAS CLEANING | 1 |
Makoto Kai | JP | Osaka | 2013-09-05 / 20130230683 - WHEEL PROTECTIVE FILM | 8 |
Yasutoshi Kai | JP | Fukuoka-Shi | 2010-03-11 / 20100063048 - Remedy for angiospasm accompanying subarachnoid hemorrhage containing thrombin receptor antagonist as the active ingredient | 1 |
Fumio Kai | JP | Zama-Shi | 2015-05-14 / 20150129785 - ELECTROMAGNETIC VALVE | 1 |
Michiko Kai | JP | Otsu-Shi | 2015-06-04 / 20150152279 - LAMINATED BODY, CONDUCTIVE LAMINATED BODY, TOUCH PANEL, COATING COMPOSITION AND METHOD OF MANUFACTURING LAMINATED BODY THAT USES THE COATING | 2 |
Shinya Kai | JP | Aichi | 2014-12-25 / 20140374139 - BOOSTER CABLE HOLDING STRUCTURE | 1 |
Takashige Kai | JP | Shiga | 2011-06-02 / 20110130880 - GROUP MANAGEMENT APPARATUS AND GROUP MANAGEMENT SYSTEM | 3 |
Yoshihisa Kai | JP | Nobeoka-Shi | 2011-07-14 / 20110168289 - METHOD OF CONNECTING PIPING MEMBERS AND PIPING MEMBERS MADE FROM POLYPHENYLENE ETHER-BASED RESIN COMPOSITION CONNECTED BY THAT METHOD OF CONNECTION | 1 |
Chieko Kai | JP | Meguro-Ku | 2012-12-20 / 20120321661 - Recombinant Measles Virus Useful as a Bivalent Vaccine Against Measles and Malarial Infections | 2 |
Yoshihiro Kai | JP | Koshi-Shi | 2012-06-28 / 20120160278 - LIQUID TREATMENT APPARATUS AND METHOD | 2 |
Hideyuki Kai | JP | Aichi-Ken | 2011-12-15 / 20110303328 - HIGH-STRENGTH PRESS HARDENED ARTICLE, AND MANUFACTURING METHOD THEREFOR | 1 |
Kazufumi Kai | JP | Oita | 2009-12-31 / 20090324922 - TRANSPARENT COMPOSITE MATERIAL | 4 |
Kazufumi Kai | JP | Minato-Ku | 2011-05-26 / 20110124815 - POLYURETHANE AND PRODUCTION METHOD THEREOF | 2 |
Miho Kai | JP | Ashigarakami-Gun | 2012-06-21 / 20120157672 - POROUS STRUCTURE | 1 |
Kenichiro Kai | JP | Fukuoka-Ken | 2013-03-14 / 20130065341 - METHOD FOR FABRICATING STACKED NITRIDE-COMPOUND SEMICONDUCTOR STRUCTURE AND METHOD FOR FABRICATING NITRIDE-COMPOUND SEMICONDUCTOR LIGHT EMMITING DEVICE | 1 |
Yoshihiro Kai | JP | Kyoto-Shi | 2014-08-07 / 20140218334 - INPUT DEVICE USING DETECTION OF BOTH CAPACITANCE AND PRESSURE, AND CAPACITANCE-SYSTEM HYBRID TOUCH PANEL EQUIPPED WITH PRESSURE-SENSITIVE FUNCTION | 12 |
Wakana Kai | JP | Yokohama-Shi | 2013-10-24 / 20130280911 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MANUFACTURING APPARATUS | 1 |
Keiichiro Kai | JP | Kure-Shi | 2012-10-25 / 20120270723 - METHOD FOR CLEANING USED DENITRATION CATALYST | 1 |
Akinori Kai | JP | Kyoto | 2012-11-01 / 20120276894 - MEASURING DEVICE, COMMUNICATION DEVICE, MONITORING SYSTEM AND PROGRAM | 2 |
Hirofumi Kai | JP | Tokyo | 2012-08-30 / 20120222001 - PROGRAM CREATION SUPPORT DEVICE | 2 |
Wakana Kai | JP | Kanagawa-Ken | 2012-04-19 / 20120091414 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Norichika Kai | JP | Nagasaki-Shi | 2013-03-07 / 20130055935 - BIOMASS PULVERIZING APPARATUS AND BIOMASS/COAL MIXED-COMBUSTION SYSTEM | 2 |
Hangtai Kai | US | Alpharetta | 2009-03-26 / 20090079934 - METHOD FOR MANUFACTURING POLARIZED OPHTHALMIC LENSES | 1 |
Satoshi Kai | JP | Kawasaki | 2015-02-12 / 20150044384 - COATING APPARATUS AND COATING METHOD | 3 |
Hirohito Kai | JP | Tokyo | 2012-06-14 / 20120147227 - IMAGE PICKUP APPARATUS AND CONTROL METHOD THEREOF | 1 |
Kentaro Kai | US | Redmond | 2009-08-27 / 20090217199 - Information Retrieving and Displaying Method and Computer-Readable Medium | 1 |
Tsung Kai | TW | Hsinchu | 2010-11-18 / 20100290777 - Apparatus For Driving Micro Shutter And Aperture | 1 |
Keiichiro Kai | JP | Hiroshima | 2015-07-02 / 20150182958 - CATALYST STRUCTURE | 11 |
Nobutaka Kai | JP | Tokyo | 2015-02-05 / 20150036262 - MULTILAYER CERAMIC ELECTRONIC DEVICE | 1 |
Chi-Sheng Kai | TW | Taoyuan City | 2015-02-05 / 20150036662 - APPARATUSES AND METHODS FOR WIRELESS FIDELITY (WIFI) NETWORK SELECTION | 1 |
Masashi Kai | JP | Saitama | 2013-05-02 / 20130109256 - OUTBOARD ENGINE UNIT | 1 |
Masataka Kai | JP | Chichibu-Shi | 2014-12-18 / 20140367884 - METHOD FOR FORMING GOLF BALL AND MOLD THEREFOR | 3 |
Zoya Kai | US | La Jolla | 2011-05-12 / 20110111068 - Inhibitors of cognitive decline | 1 |
Takafumi Kai | JP | Isehara | 2014-07-17 / 20140197791 - VEHICLE CHARGING EQUIPMENT | 3 |
Takeshi Kai | JP | Saitama | 2013-05-23 / 20130127394 - INTEGRATED CONTROL SYSTEM FOR POWER GENERATION DEVICES | 1 |
Seiji Kai | JP | Nagaokakyo-Shi | 2015-08-20 / 20150236237 - ELASTIC WAVE DEVICE MANUFACTURING METHOD AND ELASTIC WAVE DEVICE | 3 |
Norichika Kai | JP | Nagasaki | 2014-03-20 / 20140076210 - BIOMASS MILL AND BIOMASS-COAL MIXED COMBUSTION SYSTEM | 1 |
Manabu Kai | JP | Yokohama | 2016-05-19 / 20160140368 - RFID TAG AND RFID SYSTEM | 9 |
Takuya Kai | JP | Takasaki-Shi | 2014-01-30 / 20140030583 - NICKEL-METAL HYDRIDE SECONDARY CELL AND NEGATIVE ELECTRODE THEREFOR | 1 |
Huang Chien Kai | TW | Zhubei City | 2013-12-12 / 20130330904 - OVERLAY MARK ASSISTANT FEATURE | 1 |
Teruhito Kai | JP | Kashiwa-Shi | 2016-04-14 / 20160101954 - IMAGE FORMING APPARATUS | 4 |
Chi-Sheng Kai | TW | Taoyuan County | 2013-10-10 / 20130267271 - Wireless Data Network Switching Method and Electronic Device Thereof | 2 |
Naoki Kai | JP | Kuwana | 2014-08-28 / 20140239368 - SEMICONDUCTOR STORAGE DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Toshiyasu Kai | JP | Miyazaki | 2013-08-15 / 20130208386 - Transformer Inrush Current Restraining Control Equipment | 1 |
Takamasa Kai | JP | Hitachi | 2013-06-27 / 20130160583 - Roller Screw | 1 |
Max Poon Yiu Kai | HK | Hong Kong | 2013-01-03 / 20130001263 - WEARABLE CASE FOR A MOBILE DEVICE | 1 |
Mitsuru Kai | JP | Saitama | 2013-04-18 / 20130095397 - Ejector | 1 |
Kazufumi Kai | JP | Tokyo | 2011-11-17 / 20110282014 - NORBORNENE COPOLYMER AND PRODUCTION METHOD THEREOF | 1 |
Yasukazu Kai | JP | Miyazaki | 2012-04-19 / 20120092078 - VARIABLE RESISTOR CIRCUIT AND OSCILLATION CIRCUIT | 1 |
Kenji Kai | JP | Tokyo | 2016-02-04 / 20160037403 - BASE STATION DEVICE AND HANDOVER CONTROL METHOD | 2 |
Kozo Kai | JP | Tokyo-To | 2011-05-19 / 20110114298 - METHOD OF LOWERING TEMPERATURE OF SUBSTRATE TABLE, COMPUTER-READABLE STORAGE MEDIUM, AND SUBSTRATE PROCESSING SYSTEM | 1 |
Masaaki Kai | JP | Nagasaki | 2013-02-28 / 20130052678 - URACIL-SPECIFIC FLUORESCENCE DETECTION REACTION AND METHOD FOR EXAMINING DIHYDROPYRIMIDINE DEHYDROGENASE DEFICIENCY | 1 |
Wurster Kai | DE | Dresden | 2014-08-28 / 20140239454 - WAFER EDGE PROTECTION | 1 |
James Kai | US | Fremont | 2015-11-05 / 20150318298 - TRENCH VERTICAL NAND AND METHOD OF MAKING THEREOF | 3 |
Manabu Kai | JP | Yokohama | 2016-05-19 / 20160140368 - RFID TAG AND RFID SYSTEM | 9 |
Yoshihiro Kai | JP | Kyoto | 2015-06-04 / 20150153885 - RESISTIVE FILM TYPE TOUCH PANEL AND TOUCH PANEL APPARATUS | 17 |
Yoshihiro Kai | JP | Koshi City | 2013-12-05 / 20130319470 - NOZZLE CLEANING DEVICE, NOZZLE CLEANING METHOD, AND SUBSTRATE PROCESSING APPARATUS | 4 |
Yoshihiro Kai | JP | Hiratsuka | 2011-01-13 / 20110010010 - ROBOT SAFETY DEVICE AND ROBOT | 1 |
Yoshihiro Kai | JP | Kyoto-Shi | 2014-08-07 / 20140218334 - INPUT DEVICE USING DETECTION OF BOTH CAPACITANCE AND PRESSURE, AND CAPACITANCE-SYSTEM HYBRID TOUCH PANEL EQUIPPED WITH PRESSURE-SENSITIVE FUNCTION | 12 |
Nobuyasu Kai | JP | Tokyo | 2011-05-19 / 20110116024 - FILTER FOR DISPLAY | 1 |
Rie Kai | JP | Akishima-Shi | 2013-05-09 / 20130113824 - GRAPH DISPLAY DEVICE | 3 |
Masahiro Kai | JP | Tokyo | 2015-04-23 / 20150111057 - Surface-Treated Steel Sheet for Container Having Excellent Processing Adhesion to Resin, Method For Manufacturing Same, and Can | 1 |
Noriko Kai | JP | Otake-Shi | 2015-02-12 / 20150044603 - ELECTROPHOTOGRAPHIC TONER | 1 |
Hideki Kai | JP | Kanagawa | 2013-06-20 / 20130155294 - ZOOM LENS AND IMAGE PICKUP UNIT | 3 |
Tsukuru Kai | JP | Fujisawa-Shi | 2016-02-04 / 20160033901 - POWDER CONTAINER, POWDER SUPPLY DEVICE AND IMAGE FORMING APPARATUS | 8 |
James K. Kai | US | Santa Clara | 2016-03-10 / 20160071861 - 3D SEMICIRCULAR VERTICAL NAND STRING WITH SELF ALIGNED FLOATING GATE OR CHARGE TRAP CELL MEMORY CELLS AND METHODS OF FABRICATING AND OPERATING THE SAME | 17 |
James K. Kai | US | Santa Clara | 2016-03-10 / 20160071861 - 3D SEMICIRCULAR VERTICAL NAND STRING WITH SELF ALIGNED FLOATING GATE OR CHARGE TRAP CELL MEMORY CELLS AND METHODS OF FABRICATING AND OPERATING THE SAME | 17 |
Hiroya Kai | JP | Yokohama | 2010-03-04 / 20100054185 - Wireless Access Network, Communication Quality Management Apparatus, and Wireless Base Station | 1 |
Kenya Kai | JP | Tokyo | 2016-05-12 / 20160129556 - GRINDING APPARATUS | 2 |
Masashi Kai | JP | Wako-Shi, Saitama | 2014-05-22 / 20140141665 - OUTBOARD MOTOR | 1 |
Tsukuru Kai | JP | Ohta-Ku, Tokyo | 2015-11-26 / 20150338775 - POWDER CONTAINER AND IMAGE FORMING APPARATUS | 1 |
Yasunobu Kai | JP | Kanagawa-Ken | 2014-09-25 / 20140287350 - EXPOSURE TOLERANCE ESTIMATION METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 7 |
Naoshi Kai | JP | Hyogo | 2010-11-25 / 20100298605 - PROCESS FOR PRODUCING A CONCENTRATED SOLUTION FOR A PHOTORESIST-STRIPPING LIQUID HAVING LOW WATER CONTENT | 1 |
Shinichi Kai | JP | Tokyo | 2016-03-17 / 20160077006 - OPTICAL DETECTOR | 9 |
Shoji Kai | JP | Miyagi-Ken | 2015-02-26 / 20150054157 - ELECTRONIC CIRCUIT UNIT AND METHOD OF MANUFACTURING ELECTRONIC CIRCUIT UNIT | 1 |
Norimitsu Kai | JP | Miyazaki | 2015-02-26 / 20150053874 - PISTON-FORMAT WORKING-FLUID-PRESSURE ACTUATOR AND CONTROL VALVE | 1 |
Satoshi Kai | JP | Atsugi-Shi | 2015-02-26 / 20150057209 - ABRASIVE GRAIN, POLISHER, AND PRODUCTION METHOD OF ABRASIVE GRAIN | 1 |
James Kai | US | Santa Clara | 2016-03-24 / 20160086972 - MONOLITHIC THREE-DIMENSIONAL NAND STRINGS AND METHODS OF FABRICATION THEREOF | 42 |
Tetsutaro Kai | JP | Haibara-Gun | 2010-09-16 / 20100234232 - EMULSION COMPOSITION AND AGROCHEMICAL EMULSION COMPOSITION | 1 |
Yu-Chun Kai | TW | Changhua City | 2009-10-15 / 20090259206 - Catheterization Device for a Urine Bag | 1 |
Takuya Kai | JP | Tokyo | 2014-08-21 / 20140235337 - RANKING LIST DISPLAY METHOD IN GAME SYSTEM, AND SYSTEM FOR EXECUTING THE METHOD | 2 |
Junhai Kai | US | Loveland | 2015-06-25 / 20150177233 - Point of Care Testing Assay System Using Novel Microfluidics | 3 |
Yasuhiro Kai | JP | Yamaguchi | 2011-10-20 / 20110256402 - ETHYLENE POLYMER COMPOSITION, METHOD FOR PRODUCING THE SAME, AND MOLDED ARTICLE OBTAINED USING THE SAME | 1 |
Yasunobu Kai | JP | Kanagawa | 2013-09-19 / 20130241073 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 2 |
Tsukuru Kai | JP | Kanagawa | 2015-11-26 / 20150338775 - POWDER CONTAINER AND IMAGE FORMING APPARATUS | 9 |
Hiroshi Kai | JP | Kanagawa | 2009-12-03 / 20090296125 - PRINTER CONTROL APPARATUS AND METHOD | 2 |
Tadashi Kai | KR | Seoul | 2016-04-14 / 20160104834 - MAGNETORESISTIVE MEMORY DEVICE AND MANUFACTURING METHOD OF THE SAME | 10 |
Liu Kai | CN | Linhai City | 2008-09-18 / 20080224016 - POLE SUPPORT FOR AN UPRIGHT POLE | 1 |
Hideki Kai | JP | Osaka | 2015-04-09 / 20150097989 - ZOOM LENS SYSTEM, INTERCHANGEABLE LENS APPARATUS AND CAMERA SYSTEM | 1 |
Shojiro Kai | JP | Kanagawa | 2009-08-06 / 20090197150 - FUEL CELL CARTRIDGE | 1 |
Hitoshi Kai | JP | Kanagawa | 2012-06-07 / 20120144079 - INTERCONNECTION APPARATUS AND CONTROLLING METHOD THEREFOR | 4 |
Tomoyasu Kai | JP | Miyazaki | 2010-12-23 / 20100323528 - Semiconductor manufacturing apparatus and method for manufacturing a semiconductor | 3 |
Shisei Kai | JP | Kanagawa | 2009-11-19 / 20090287395 - ENGINE CONTROL DEVICE | 1 |
Wang Kai | CN | Shanghai | 2014-07-03 / 20140189683 - PROCESSORS, METHODS, AND SYSTEMS TO ENFORCE BLACKLISTED PAGING STRUCTURE INDICATION VALUES | 1 |
Naoki Kai | JP | Mie | 2014-09-25 / 20140284676 - SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD THEREOF | 3 |
Masashi Kai | JP | Kanagawa | 2010-03-25 / 20100073764 - OPTICAL FILTER FOR DISPLAY, AND DISPLAY AND PLASMA DISPLAY PANEL PROVIDED WITH THE OPTICAL FILTER | 1 |
Tsukuru Kai | JP | Kanagawa | 2015-11-26 / 20150338775 - POWDER CONTAINER AND IMAGE FORMING APPARATUS | 9 |
Akihiro Kai | JP | Nagoya-City | 2014-06-12 / 20140162091 - METHOD OF CONTROLLING STORAGE BATTERY, APPARATUS FOR CONTROLLING STORAGE BATTERY, AND ELECTRIC POWER CONTROL SYSTEM | 1 |
Keisuke Kai | JP | Kahoku-Shi | 2015-04-02 / 20150095435 - SERVER, INFORMATION PROCESSING APPARATUS, METHOD, AND RECORDING MEDIUM | 2 |
Lim Zhi Kai | JP | Hachioji-Shi | 2014-06-26 / 20140180698 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND STORAGE MEDIUM | 1 |
Tadashi Kai | KR | Seoul | 2016-04-14 / 20160104834 - MAGNETORESISTIVE MEMORY DEVICE AND MANUFACTURING METHOD OF THE SAME | 10 |
Hiroyuki Kai | JP | Tokyo | 2015-11-12 / 20150325853 - NEGATIVE ELECTRODE ACTIVE MATERIAL FOR LITHIUM ION SECONDARY BATTERY, METHOD FOR PRODUCING THE SAME, NEGATIVE ELECTRODE, AND BATTERY | 3 |
Kazuaki Kai | JP | Kakegawa-Shi | 2014-08-07 / 20140220420 - POWER SUPPLY DEVICE | 1 |
Kazuaki Kai | JP | Shizuoka | 2014-08-07 / 20140220419 - POWER SUPPLY DEVICE | 1 |
Hiroyuki Kai | JP | Toyonaka-Shi | 2013-08-29 / 20130225596 - NOVEL HETEROCYCLIC DERIVATIVE AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME (AS AMENDED) | 2 |
Tsukuru Kai | JP | Fujisawa-Shi | 2016-02-04 / 20160033901 - POWDER CONTAINER, POWDER SUPPLY DEVICE AND IMAGE FORMING APPARATUS | 8 |
Yoshihiro Kai | JP | Kyoto | 2015-06-04 / 20150153885 - RESISTIVE FILM TYPE TOUCH PANEL AND TOUCH PANEL APPARATUS | 17 |
Toshiya Kai | JP | Osaka | 2009-04-16 / 20090099071 - SUGAR CHAIN-CONTAINING ALBUMIN, PRODUCTION METHOD THEREOF AND USE THEREOF | 3 |
Yoshiaki Kai | JP | Osaka | 2010-01-14 / 20100006313 - DISPLAY DEVICE, VENT TUBE WITH GLASS RING, PHOSPHATE GLASS RING, AND METHOD OF PRODUCING THE SAME | 3 |
Keiji Kai | JP | Osaka | 2010-10-14 / 20100260979 - Adhesive sheet and transfer tool | 3 |
Yusuke Kai | JP | Fukuoka | 2014-01-16 / 20140017114 - TUNGSTEN CATHODE MATERIAL | 1 |
Satoko Kai | JP | Kyoto-Shi | 2015-12-03 / 20150346139 - PERACETIC ACID CONCENTRATION METER | 1 |
Takafumi Kai | JP | Tokyo | 2015-05-21 / 20150137911 - BAND-PASS FILTER | 3 |
Makoto Kai | JP | Osaka | 2013-09-05 / 20130230683 - WHEEL PROTECTIVE FILM | 8 |
Makoto Kai | JP | Kyoto | 2016-02-04 / 20160033103 - LIGHTING APPARATUS AND AUTOMOBILE INCLUDING THE SAME | 9 |
Akihiko Kai | JP | Osaka | 2011-03-17 / 20110061630 - MULTI-CYLINDER DIESEL ENGINE | 1 |
Toshiyuki Kai | JP | Tokyo | 2013-05-02 / 20130108962 - RADIATION-SENSITIVE COMPOSITION | 10 |
Takayuki Kai | JP | Kyoto | 2012-05-17 / 20120119384 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 8 |
Shingo Kai | JP | Aki-Gun | 2014-08-07 / 20140216396 - DIRECT INJECTION GASOLINE ENGINE AND METHOD OF CONTROLLING THE DIRECT INJECTION GASOLINE ENGINE | 1 |
Yasuhiro Kai | JP | Otake-Shi | 2014-08-07 / 20140221516 - POLYOLEFIN RESIN COMPOSITION AND APPLICATIONS THEREOF | 1 |
Toshifumi Kai | JP | Osaka | 2015-11-12 / 20150324798 - POWER SUPPLY METHOD, BILLING PROCESSING METHOD, POWER SUPPLY SYSTEM, POWER SUPPLY CONTROLLER, POWER SUPPLY APPARATUS, POWER-SUPPLY CONTROL METHOD, MANAGEMENT SERVER, ELECTRIC VEHICLE, AND BILLING SERVE | 2 |
Nobuyasu Kai | JP | Shiga | 2010-08-05 / 20100196655 - DISPLAY-USE FILTER | 1 |
Fumiyoshi Kai | JP | Tokyo | 2011-09-29 / 20110236725 - MICROBIAL FUEL CELL | 1 |
Shinya Kai | JP | Toyota-Shi | 2013-01-31 / 20130025935 - WIRE CONNECTING METHOD AND WIRING HARNESS | 1 |
Toshihiro Kai | JP | Yamato-Shi | 2014-08-28 / 20140239736 - NON-CONTACT POWER SUPPLY DEVICE | 5 |
Takeshi Kai | JP | Wako | 2011-06-30 / 20110154850 - AIR BLOWING STRUCTURE FOR VEHICLE AIR CONDITIONING | 1 |
Takahiro Kai | JP | Fukuoka | 2012-01-12 / 20120007070 - ORGANIC ELECTROLUMINESCENT DEVICE | 16 |
Takahiro Kai | JP | Chiyoda-Ku | 2013-05-09 / 20130112952 - DEPOSITING PREMIXED MATERIALS | 1 |
Tasos Kaiafas | US | Mckinney | 2015-08-06 / 20150217192 - METHOD AND APPARATUS FOR MANAGING A GAMING APPLICATION | 4 |
Paul Edward Kaib | US | Atlanta | 2013-03-07 / 20130060858 - Additional Systems and Methods for Curating Content | 7 |
Thomas E. Kaib | US | North Huntingdon | 2016-01-07 / 20160004831 - MEDICAL DEVICE WITH NATURAL LANGUAGE PROCESSOR | 49 |
Thomas E. Kaib | US | North Huntingdon | 2016-01-07 / 20160004831 - MEDICAL DEVICE WITH NATURAL LANGUAGE PROCESSOR | 49 |
Thomas E. Kaib | US | North Hungtingdon | 2011-11-24 / 20110288604 - WEARABLE THERAPEUTIC DEVICE | 1 |
Thomas E. Kaib | US | Irwin | 2016-05-19 / 20160136414 - FLEXIBLE AND/OR TAPERED THERAPY ELECTRODE | 10 |
Thomas E. Kaib | US | Irwin | 2016-05-19 / 20160136414 - FLEXIBLE AND/OR TAPERED THERAPY ELECTRODE | 10 |
Kazuhiko Kaibara | JP | Hyogo | 2014-09-04 / 20140245888 - CO2 RECOVERY APPARATUS AND CO2 RECOVERY METHOD | 8 |
Kazuhiro Kaibara | JP | Osaka | 2016-01-07 / 20160005688 - SEMICONDUCTOR DEVICE | 4 |
Kazuhiro Kaibara | JP | Toyama | 2014-04-17 / 20140103537 - NITRIDE SEMICONDUCTOR DEVICE | 2 |
Kazuhiko Kaibara | JP | Hyogo | 2014-09-04 / 20140245888 - CO2 RECOVERY APPARATUS AND CO2 RECOVERY METHOD | 8 |
Hiroyuki Kaibara | JP | Osaka | 2015-10-08 / 20150288110 - CONNECTOR | 1 |
Kazuhiko Kaibara | JP | Amagasaki | 2014-01-16 / 20140013945 - CO2 RECOVERY DEVICE AND CO2 RECOVERY METHOD | 3 |
Hiroshi Kaibara | JP | Yokohama-Shi | 2012-07-12 / 20120176512 - IMAGE STORAGE APPARATUS, IMAGE STORAGE METHOD, AND CONTROL PROGRAM EXECUTED IN IMAGE STORAGE APPARATUS | 3 |
Bjorn Kaibel | DE | Hilden | 2009-01-22 / 20090020896 - Packing arranged for an exchange of heat and/or materia... | 1 |
Gerd Kaibel | DE | Lampertheim | 2014-03-06 / 20140061020 - DEVICES AND METHOD FOR CONTINUOUS DISTILLATIVE SEPARATION OF A MIXTURE CONTAINING ONE OR MORE ALKANOLAMINE(S) | 13 |
Kathrin Kaibel | DE | Aachen | 2014-12-18 / 20140369274 - Methods and devices for optimal selection of core network nodes in a cellular communication network | 1 |
Martin M. Kaibel | DE | Herzogenrath | 2012-07-12 / 20120178413 - Technique for Monitoring a Call | 1 |
Zeng Kaibin | JP | Hikone-Shi | 2014-12-18 / 20140370368 - MOLDING PACKAGING MATERIAL, AND MOLDED CASE | 1 |
Risa Kaichi | JP | Moriguchi-Shi | 2010-05-06 / 20100110219 - ELECTRONIC CAMERA | 1 |
Nadia Kaid | FR | Nanterre | 2009-12-31 / 20090324778 - NOVEL PREPARATION OF PHOSPHODIESTERASE OF PLANT ORIGIN | 1 |
Masayuki Kaida | JP | Kakogawa Hyogo | 2016-02-25 / 20160056142 - SEMICONDUCTOR DEVICE | 1 |
Hiroaki Kaida | JP | Nagaokakyo-Shi | 2016-05-12 / 20160133824 - ACTUATOR | 8 |
Yukiko Kaida | JP | Setagaya | 2013-05-23 / 20130128310 - OUTPUT CONTROL APPARATUS, OUTPUT CONTROL SYSTEM, AND OUTPUT CONTROL METHOD | 1 |
Eizou Kaida | JP | Tokyo | 2011-10-20 / 20110254205 - METHOD FOR FORMING CONCAVE-CONVEX PATTERN AND APPARATUS FOR PREPARING CONCAVE-CONVEX PATTERN | 1 |
Naoki Kaida | JP | Osaka | 2009-12-10 / 20090305691 - MOBILE TELEPHONE, TELEPHONE NUMBER MANAGING SERVER, TELEPHONE NUMBER CHANGING SYSTEM, TELEPHONE NUMBER REQUESTING METHOD, AND TELEPHONE NUMBER REQUESTING PROGRAM | 1 |
Shunsuke Kaida | JP | Tokyo | 2010-02-18 / 20100039369 - Projection display unit and method for controlling image display | 1 |
Hirohito Kaida | JP | Shiga | 2010-02-25 / 20100047087 - ROTARY COMPRESSOR | 1 |
Kazuya Kaida | JP | Osaka-Shi | 2012-10-25 / 20120268687 - OPTICAL LAMINATE, ILLUMINATING DEVICE, LIQUID CRYSTAL DISPLAY DEVICE, AND METHOD FOR MANUFACTURING OPTICAL LAMINATE | 2 |
Masaru Kaida | JP | Osaka | 2010-03-18 / 20100067339 - OPTICAL DISC DEVICE | 3 |
Hidetoshi Kaida | JP | Nishinomiya-City | 2016-03-17 / 20160077194 - ECHO DISPLAY DEVICE AND CURSOR MOVEMENT CONTROL METHOD | 2 |
Kenichi Kaida | JP | Fukuoka | 2010-09-09 / 20100224014 - METHOD OF INSPECTING MOUNT STATE OF COMPONENT | 1 |
Yuzo Kaida | JP | Ogaki-Shi | 2015-03-19 / 20150077963 - PRINTED WIRING BOARD WITH METAL POST AND METHOD FOR MANUFACTURING PRINTED WIRING BOARD WITH METAL POST | 1 |
Sou Kaida | JP | Tokyo | 2010-11-18 / 20100293253 - COMMUNICATION TERMINAL, COMMUNICATION METHOD, PROGRAM, AND RECORDING MEDIUM | 2 |
Xiao Kaida | KR | Yongin-Si | 2013-09-12 / 20130235065 - METHOD AND SYSTEM OF IMMERSIVE GENERATION FOR TWO-DIMENSION STILL IMAGE AND FACTOR DOMINATING METHOD, IMAGE CONTENT ANALYSIS METHOD AND SCALING PARAMETER PREDICTION METHOD FOR GENERATING IMMERSIVE SENSATION | 2 |
Takayuki Kaida | JP | Tokyo | 2014-01-23 / 20140024326 - RECEIVING APPARATUS AND RECEIVING METHOD | 3 |
Yoshiteru Kaida | JP | Numazu-Shi | 2016-04-14 / 20160101959 - IMAGE FORMING APPARATUS AND SHEET STORAGE DEVICE | 3 |
Hiroaki Kaida | JP | Moriyama-Shi | 2010-12-16 / 20100314971 - Piezoelectric Oscillator Part | 3 |
Hirokazu Kaida | JP | Kanagawa | 2009-04-02 / 20090086112 - PROJECTION TYPE LIQUID CRYSTAL DISPLAY AND COMPENSATION PLATE | 1 |
Yukiko Kaida | JP | Tokyo | 2015-01-15 / 20150015909 - IMAGE MANAGEMENT SYSTEM AND IMAGE MANAGEMENT APPARATUS | 6 |
Soh Kaida | JP | Tokyo | 2011-08-04 / 20110187667 - INPUT UNIT, METHOD FOR CONTROLING FOR THE SAME, AND ELECTRONIC DEVICE PROVIDED WITH INPUT UNIT | 2 |
Sachiko Kaida | JP | Tokyo | 2011-05-26 / 20110123458 - POLYMER-METAL COMPLEX COMPOSITE HAVING MRI CONTRAST ABILITY AND MRI CONTRASTING AND/OR ANTITUMOR COMPOSITION USING THE SAME | 1 |
Soh Kaida | JP | Kanagawa | 2014-07-24 / 20140204063 - Portable Terminal Apparatus, Portable Terminal Control Method, And Program | 1 |
Kenichi Kaida | JP | Osaka | 2012-05-03 / 20120102726 - DEVICE AND METHOD FOR MOUNTING ELECTRONIC COMPONENTS | 7 |
Eizou Kaida | JP | Kodaira-Shi | 2012-07-12 / 20120175822 - PHOTO-CURABLE TRANSFER SHEET AND METHOD FOR FORMING CONCAVE-CONVEX PATTERN USING THE SAME | 2 |
Hidetoshi Kaida | JP | Kobe-Shi | 2013-10-17 / 20130271309 - DEVICE AND METHOD FOR DISPLAYING SHIP PERIMETER INFORMATION | 1 |
Hidetoshi Kaida | JP | Kanagawa | 2013-07-25 / 20130187347 - SPHERICAL ANNULAR SEAL MEMBER AND METHOD OF MANUFACTURING THE SAME | 7 |
Kazuya Kaida | JP | Osaka | 2015-05-14 / 20150131029 - COLOR CONVERSION SUBSTRATE, METHOD FOR MANUFACTURING SAME, AND DISPLAY DEVICE | 6 |
Takahiro Kaida | JP | Tokyo | 2012-05-24 / 20120128604 - Method for Manufacturing Highly Iridescent Titanium Oxide Composition | 2 |
Kunihiro Kaida | JP | Osaka | 2012-01-12 / 20120008046 - HORIZONTAL SYNCHRONIZATION GENERATION CIRCUIT, VIDEO SIGNAL PROCESSING LSI, AND VIDEO SYSTEM | 2 |
Noriaki Kaida | JP | Yokohama-Shi | 2011-04-21 / 20110090928 - LASER DIODE | 1 |
Hironori Kaida | JP | Kawasaki-Shi | 2016-02-11 / 20160044241 - IMAGE PROCESSING APPARATUS FOR GENERATING WIDE-ANGLE IMAGE BY COMPOSITING PLURAL IMAGES, IMAGE PROCESSING METHOD, STORAGE MEDIUM STORING IMAGE PROCESSING PROGRAM, AND IMAGE PICKUP APPARATUS | 6 |
Masahiro Kaida | JP | Kagoshima | 2011-05-12 / 20110109818 - Liquid crystal display device, method for manufacturing the same, and projection-type liquid crystal display apparatus with liquid crystal display device | 1 |
Hiroaki Kaida | JP | Nagaokakyo-Shi | 2016-05-12 / 20160133824 - ACTUATOR | 8 |
Xiao Kaida | KR | Gyeonggi-Do | 2009-06-11 / 20090147986 - METHOD AND SYSTEM OF IMMERSIVE SENSATION ENHANCEMENT FOR VIDEO SEQUENCE DISPLAYING | 2 |
Yuriko Kaida | JP | Tokyo | 2015-01-15 / 20150014893 - PROCESS FOR PRODUCING ARTICLE HAVING FINE PATTERN ON ITS SURFACE | 21 |
Kazuhiko Kaida | JP | Numazu-Shi | 2011-07-07 / 20110165817 - DISPLAY MANUFACTURING METHOD | 1 |
Hidetoshi Kaida | JP | Fujisawa-Shi | 2015-07-16 / 20150198275 - SPHERICAL EXHAUST PIPE JOINT | 1 |
Yuriko Kaida | JP | Chiyoda-Ku | 2015-04-30 / 20150115495 - IMPRINTING METHOD AND APPARATUS | 5 |
Kazuya Kaida | JP | Nara-Shi | 2009-08-13 / 20090201444 - Method and apparatus for cutting apart a glass substrate, liquid crystal panel, and apparatus for fabricating a liquid crystal panel | 1 |
Michio Kaida | JP | Kyoto | 2015-10-29 / 20150310161 - METHOD OF DESIGNING CIRCUIT BOARD INSPECTING JIG, CIRCUIT BOARD INSPECTING JIG, AND CIRCUIT BOARD INSPECTING APPARATUS | 3 |
Hidemasa Kaida | JP | Saitama-Ken | 2009-11-19 / 20090285615 - INK RIBBON CASSETTE | 1 |
Daisuke Kaida | JP | Toyanna | 2015-07-09 / 20150191724 - U1 snRNP Regulates Gene Expression and Modulates Oncogenicity | 1 |
Masahiro Kaida | JP | Kumamoto | 2015-03-05 / 20150060396 - ETCHING PROCESS, METHOD OF MANUFACTURING ELECTRONIC DEVICE, AND METHOD OF MANUFACTURING POLARIZING PLATE | 1 |
Kirill Kaidalov | EE | Narva | 2014-09-11 / 20140251132 - PROCESS AND APPARATUS FOR WINNING OIL FROM A VAPOR GAS MIXTURE | 2 |
Aleksandr Kaidalov | EE | Ida-Virumaa | 2012-11-15 / 20120288811 - ROTARY KILN END SEALING ASSEMBLY | 1 |
Aleksandr Kaidalov | EE | Auvere Kula | 2010-07-22 / 20100180557 - SEPARATOR OF SOLID PARTICLES FROM STEAM-GAS MIXTURE | 1 |
Aleksandr Kaidalov | EE | Narva-Joesuu | 2014-09-11 / 20140251132 - PROCESS AND APPARATUS FOR WINNING OIL FROM A VAPOR GAS MIXTURE | 2 |
Ruthy Kaidar | IL | Haifa | 2015-12-31 / 20150374905 - ANALYTE MONITORING AND FLUID DISPENSING SYSTEM | 8 |
Oren Kaidar | IL | Binvamina | 2010-02-25 / 20100046468 - Apparatus and method to dynamically handover master functionality to another peer in a wireless network | 1 |
Oren Kaidar | US | Hillsboro | 2013-10-31 / 20130286876 - DYNAMIC BANDWIDTH ALLOCATION FOR MULTIPLE VIRTUAL MACS | 4 |
Avner Kaidar | IL | Haifa | 2010-12-23 / 20100320186 - Device for heating a flow of liquid by electrical heating of a metallic conduit | 1 |
Ruthy Kaidar | IL | Haifa | 2015-12-31 / 20150374905 - ANALYTE MONITORING AND FLUID DISPENSING SYSTEM | 8 |
Oren Kaidar | IL | Binyamina | 2014-09-18 / 20140269670 - METHODS, WIRELESS COMMUNICATION STATIONS, AND SYSTEM FOR TIME SYNCHRONIZATION AND DISCOVERY | 16 |
Lawrence P. Kaider | US | Wallingford | 2011-07-28 / 20110182551 - Communications connectors and methods of using the same | 1 |
Hiroki Kaido | US | Eden Prairie | 2015-10-29 / 20150307438 - Methods of Making Organic Compounds by Metathesis | 10 |
Hiroki Kaido | US | Eden Prairie | 2015-10-29 / 20150307438 - Methods of Making Organic Compounds by Metathesis | 10 |
Hideo Kaido | JP | Kobe-Shi | 2008-11-27 / 20080292234 - Bearing Vibration Damping Mechanism | 1 |
Junji Kaido | JP | Osaka | 2011-07-21 / 20110175681 - RADIO FREQUENCY POWER AMPLIFIER AND WIRELESS COMMUNICATION DEVICE INCLUDING THE SAME | 7 |
Kenji Kaido | US | 2012-07-26 / 20120188209 - Information Processing Device, Display Control Method, A Program, And an Information Storage Medium | 1 | |
John F. Kaido | US | Arnold | 2011-12-08 / 20110296647 - DUAL MOTOR UPRIGHT VACUUM CLEANER | 3 |
Shintaro Kaido | JP | Tokyo | 2012-06-28 / 20120164846 - Method of Forming Metal Oxide Hardmask | 1 |
Peter F. Kaido | US | Oneida | 2010-12-02 / 20100300429 - ACTUATOR-BASED DRIVE SYSTEM FOR SOLAR COLLECTOR | 1 |
John F. Kaido | US | Fenton | 2010-12-02 / 20100299864 - DUAL MOTOR UPRIGHT VACUUM CLEANER | 1 |
Masataka Kaido | JP | Toyota-Shi | 2013-07-04 / 20130168351 - SLIDE MEMBER AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Hideki Kaido | JP | Tochigi | 2009-05-07 / 20090117263 - METHOD OF PRODUCING ELECTRODE LAYER FOR FUEL CELL | 1 |
Kenji Kaido | JP | Kanagawa | 2016-03-10 / 20160073237 - COMMUNICATION SYSTEM, COMMUNICATION METHOD, PROGRAM AND INFORMATION STORAGE MEDIUM | 5 |
Hanae Kaidoh | JP | Tokyo | 2011-01-20 / 20110012651 - POWER-ON RESET CIRCUIT, MODULE INCLUDING SAME, AND ELECTRONIC DEVICE INCLUDING SAME | 1 |
Tomonori Kaiduka | JP | Kawasaki | / - | 1 |
Atsushi Kaiduka | JP | Moriguchi-Shi | 2009-08-20 / 20090208840 - NON-AQUEOUS ELECTROLYTE BATTERY AND METHOD OF MANUFACTURING THE SAME | 4 |
Atsushi Kaiduka | JP | Itano-Gun | 2011-09-15 / 20110223489 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Atsushi Kaiduka | JP | Daito-Shi | 2015-10-08 / 20150287996 - NON-AQUEOUS ELECTROLYTE BATTERY AND METHOD OF MANUFACTURING THE SAME | 1 |
Atsushi Kaiduka | JP | Tokushima | 2015-10-22 / 20150303520 - NON-AQUEOUS ELECTROLYTE SECONDARY CELL | 3 |
Teruaki Kaieda | JP | Matsumoto-Shi | 2013-04-18 / 20130093811 - LIQUID EJECTING APPARATUS AND METHOD FOR CONTROLLING LIQUID EJECTING APPARATUS | 8 |
Teruaki Kaieda | JP | Matsumoto | 2012-11-15 / 20120287186 - FLUID DISCHARGE DEVICE, NOZZLE INSPECTION METHOD, AND MEDIUM ON WHICH NOZZLE INSPECTION PROGRAM IS RECORDED | 1 |
Kei Kaieda | JP | Hyogo | 2011-06-09 / 20110136601 - RACKET STRING AND METHOD FOR PRODUCING THE SAME AND RACKET STRUNG WITH THE SAME | 1 |
Akira Kaieda | JP | Kanagawa | 2015-05-21 / 20150141406 - HETEROCYCLIC COMPOUND | 1 |
Makoto Kaieda | JP | Miyazaki | 2015-10-08 / 20150287177 - IMAGE MEASURING DEVICE | 1 |
Teruaki Kaieda | JP | Matsumoto-Shi | 2013-04-18 / 20130093811 - LIQUID EJECTING APPARATUS AND METHOD FOR CONTROLLING LIQUID EJECTING APPARATUS | 8 |
Takeshi Kaieda | JP | Kanagawa | 2013-09-19 / 20130244137 - Catalyst for polymer electrolyte fuel cell and method for producing the same | 1 |
Yusuke Kaieda | JP | Komaki-Shi | 2013-01-03 / 20130000820 - CAPACITOR TO BE INCORPORATED IN WIRING SUBSTRATE, METHOD FOR MANUFACTURING THE CAPACITOR, AND WIRING SUBSTRATE | 3 |
Makoto Kaieda | JP | Miyazaki-City | 2009-12-24 / 20090314749 - Slit width adjusting device and microscope laser processing apparatus | 1 |
Masaru Kaieda | JP | Tokyo | 2009-08-27 / 20090215197 - TARGET SUBSTANCE CAPTURING MOLECULE | 3 |
Akira Kaieda | JP | Osaka-Shi | 2009-05-28 / 20090137603 - HETEROCYCLIC AMIDE COMPOUND AND USE THEREOF | 1 |
Mikhael Kaiel | US | Canby | 2013-09-26 / 20130252788 - STARTING BLOCK | 1 |
Angel Kaifer | US | Coral Gables | 2012-05-24 / 20120129199 - COMPOSITIONS, KITS AND METHODS FOR IN VITRO ANTIGEN PRESENTATION, ASSESSING VACCINE EFFICACY, AND ASSESSING IMMUNOTOXICITY OF BIOLOGICS AND DRUGS | 2 |
Elisabeth Kaifer | DE | Heidelberg | 2010-07-08 / 20100172825 - Molecular Hydrogen Stores and Hydrogen Transfer Reagents for Hydrogenation Reactions | 1 |
Teruaki Kaifu | JP | Kariya-City | 2014-11-13 / 20140331761 - AIR FLOW MEASURING DEVICE | 2 |
Katsuaki Kaifu | JP | Tokyo | 2011-08-04 / 20110189826 - Method of manufacturing field effect transistor having Ohmic electrode in a recess | 2 |
Noriyuki Kaifu | JP | Hachioji-Shi | 2016-02-04 / 20160035920 - PHOTOELECTRIC CONVERSION DEVICE AND IMAGING SYSTEM | 5 |
Noriyuki Kaifu | JP | Mobara-Shi | 2012-03-15 / 20120064651 - METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT DISPLAY APPARATUS | 3 |
Noriyuki Kaifu | JP | Tokyo | 2011-06-30 / 20110157443 - IMAGE SENSING APPARATUS | 8 |
Keita Kaifu | JP | Tokyo | 2015-08-13 / 20150226945 - ZOOM LENS AND OPTICAL APPARATUS | 17 |
Noriyuki Kaifu | JP | Tokyo | 2011-06-30 / 20110157443 - IMAGE SENSING APPARATUS | 8 |
Masakazu Kaifuku | JP | Okazaki-Shi | 2012-04-19 / 20120094800 - VEHICULAR SHIFT CONTROL DEVICE | 18 |
Masakazu Kaifuku | JP | Aichi | 2012-05-03 / 20120108384 - CONTROL DEVICE FOR VEHICLE DRIVE DEVICE | 1 |
Masakazu Kaifuku | JP | Toyota-Shi | 2010-05-20 / 20100125019 - CONTROL DEVICE FOR VEHICULAR POWER TRANSMITTING APPARATUS | 1 |
Masakazu Kaifuku | JP | Okazaki | 2013-03-28 / 20130079961 - HYBRID DRIVE SYSTEM | 1 |
Miho Kaiga | JP | Suntou-Gun | 2015-10-08 / 20150284201 - SHEET CONVEYING APPARATUS AND IMAGE FORMING APPARATUS | 4 |
Nobuyoshi Kaiga | JP | Tokyo | 2008-10-02 / 20080240997 - Ultraviolet Irradiation System and Water Quality Monitoring Instrument | 1 |
Yukinobu Kaiga | JP | Minato-Ku | 2012-08-02 / 20120192848 - METHOD OF SLICING SILICON INGOT USING WIRE SAW AND WIRE SAW | 1 |
Masahiro Kaiga | JP | Shizuoka | 2011-10-13 / 20110250091 - RACK TRANSPORT SYSTEM | 2 |
Takashi Kaiga | JP | Kawasaki | 2012-10-04 / 20120250739 - TRANSMISSION DEVICE AND TRANSMISSION METHOD | 2 |
Takashi Kaiga | JP | Utsunomiya | 2013-12-12 / 20130330075 - TRANSMISSION APPARATUS AND TRANSMISSION METHOD | 1 |
Govind Kaigala | CH | Rueschlikon | 2014-05-22 / 20140137962 - MICROFLUIDIC SURFACE PROCESSING SYSTEMS WITH SELF-REGULATED DISTANCE-TO SURFACE CONTROL | 3 |
Govind Kaigala | CA | Alberta | 2012-04-05 / 20120082978 - Cell Analysis On Microfluidic Chips | 1 |
Govind Kaigala | CH | Zurich | 2013-04-25 / 20130098481 - MICROFLUIDIC DEVICE WITH INTERCONNECTS | 1 |
Rajendra Kaigankar | IN | Pune | 2013-07-18 / 20130180713 - IN-SITU CROSSLINKING WITH ALUMINUM CARBOXYLATE FOR ACID STIMULATION OF A CARBONATE FORMATION | 1 |
Masato Kaigawa | JP | Aichi-Ken | 2010-06-24 / 20100161198 - VEHICULAR CONTROL APPARATUS AND METHOD | 2 |
Kazuyuki Kaigawa | JP | Kita-Nagoya City | 2011-01-13 / 20110006244 - PIEZOELECTRIC/ELECTROSTRICTIVE CERAMICS SINTERED BODY | 1 |
Kazuyuki Kaigawa | JP | Kita-Nagoya | 2010-01-28 / 20100019624 - PIEZOELECTRIC/ELECTROSTRICTIVE CERAMICS SINTERED BODY AND METHOD OF CALCULATING DIFFUSE SCATTERING INTENSITY RATIO | 1 |
Kazuyuki Kaigawa | JP | Kitanagoya-City | 2011-12-22 / 20110311437 - METHOD FOR PRODUCING SPINEL-TYPE LITHIUM MANGANATE | 11 |
Kazuyuki Kaigawa | JP | Kitanagoya | 2011-01-20 / 20110012051 - PIEZOELECTRIC/ELECTROSTRICTIVE CERAMIC COMPOSITION | 1 |
Masato Kaigawa | JP | Toyota-Shi | 2015-03-26 / 20150088390 - CONTROL DEVICE FOR VEHICLE DRIVE DEVICE | 21 |
Kazuyuki Kaigawa | JP | Kitanagoya-City | 2011-12-22 / 20110311437 - METHOD FOR PRODUCING SPINEL-TYPE LITHIUM MANGANATE | 11 |
Shinsuke Kaigawa | JP | Kitanagoya-Shi | 2015-04-02 / 20150092225 - FUNCTION EXECUTING DEVICE | 9 |
Shinsuke Kaigawa | JP | Kitanagoya-Shi | 2015-04-02 / 20150092225 - FUNCTION EXECUTING DEVICE | 9 |
Hiroyuki Kaigawa | JP | Mie | 2010-03-04 / 20100053114 - TOUCH PANEL APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 2 |
Hiroyuki Kaigawa | JP | Matsusaka-Shi | 2009-12-10 / 20090303214 - DISPLAY CONTROL SUBSTRATE, MANUFACTURING METHOD THEREOF, LIQUID CRYSTAL DISPLAY PANEL, ELECTRONIC INFORMATION DEVICE | 1 |
Hiroyuki Kaigawa | JP | Osaka | 2013-08-15 / 20130207190 - SEMICONDUCTOR DEVICE, AND METHOD FOR PRODUCING SAME | 4 |
Kazuyuki Kaigawa | JP | Nagoya-City | 2011-06-09 / 20110136011 - POSITIVE ELECTRODE ACTIVE ELEMENT AND LITHIUM SECONDARY BATTERY | 1 |
Hiroyuki Kaigawa | JP | Osaka-Shi | 2012-10-11 / 20120256304 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Kimberly Kaighn | US | Glen Mills | 2009-05-28 / 20090136570 - Taste-Masked Tablets and Granules | 1 |
Darnell Kaigler, Sr. | US | 2012-06-14 / 20120148984 - IMPLANTS AND METHODS FOR PERFORMING GINGIVA AND BONE AUGMENTATION AND PRESERVATION | 1 | |
Darnell Kaigler, Sr. | US | Detroit | 2012-11-15 / 20120290118 - Method and system for forming a dental prosthesis | 9 |
Eiji Kaihara | JP | Tokyo | 2014-12-25 / 20140379181 - CIRCUIT BREAKER CONTROLLER FOR ELECTRIC TRAIN | 1 |
Rie Kaihara | JP | Kyoto | 2012-02-23 / 20120044103 - PARALLEL INTERPOLATION A/D CONVERTER AND DIGITAL EQUALIZER | 2 |
Shinichi Kaihara | JP | Tokyo | 2016-05-12 / 20160130710 - FLUORINE-CONTAINING POLYMER, CATION EXCHANGE MEMBRANE, AND ELECTROLYSIS VESSEL | 1 |
Kuniaki Kaihara | JP | Toyota-Shi | 2014-12-04 / 20140358345 - VEHICLE CONTROL UNIT | 1 |
Ryu Kaihara | JP | Osaka-Shi | 2015-09-10 / 20150255673 - NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD FOR PRODUCING THE SAME | 2 |
Takanori Kaihara | JP | Susono-Shi | 2016-03-10 / 20160070182 - ELECTRO-CONDUCTIVE MEMBER, PROCESS CARTRIDGE, ELECTROPHOTOGRAPHIC APPARATUS, AND ADDITION-CURABLE SILICONE RUBBER MIXTURE | 1 |
Kuniaki Kaihara | JP | Tokyo | 2015-09-10 / 20150251543 - VEHICLE CONTROLLING SYSTEM | 2 |
Akihisa Kaihara | JP | Tokyo | 2015-05-07 / 20150123002 - DOSAGE RATE MEASUREMENT SYSTEM AND DOSAGE RATE MEASUREMENT METHOD | 1 |
Shoji Kaihara | JP | Yokohama-Shi | 2014-09-04 / 20140248047 - CONVERSION ADAPTOR APPARATUS AND INTERCHANGEABLE LENS SYSTEM | 5 |
Noriyasu Kaihara | JP | Kumamoto-Ken | 2010-08-05 / 20100198255 - SPRAY HEAD, AND APPARATUS AND METHOD FOR APPLYING BIOLOGICAL TISSUE ADHESIVE | 1 |
Akihisa Kaihara | JP | Hitachi | 2009-03-12 / 20090070070 - ENVIRONMENTAL RADIOACTIVITY MEASUREMENT SYSTEM OF ADMINISTRATION AND AN ANALYSIS METHOD OF THE RADIOACTIVITY | 1 |
Masanori Kaihatsu | JP | Mie | 2010-09-02 / 20100220020 - Automotive Glass Antenna | 1 |
Sunao Kaihatsu | JP | Ibo-Gun | 2012-03-15 / 20120061166 - ALL TERRAIN VEHICLE | 1 |
Minoru Kaihatsu | JP | Kanagawa | 2013-08-29 / 20130222554 - IMAGE PROCESSING APPARATUS AND METHOD, IMAGE PROCESSING SYSTEM, AND PROGRAM | 1 |
Yutaka Kaihatsu | JP | Hiroshima | 2012-01-26 / 20120018675 - ALUMINUM OXIDE PHOSPHOR AND METHOD FOR PRODUCING THE SAME | 1 |
Yuta Kaihatsu | JP | Chiyoda-Ku, Tokyo | 2016-01-07 / 20160007476 - ELECTROLESS PLATING METHOD AND CERAMIC SUBSTRATE | 1 |
Keiichiro Kaihatsu | JP | Kamagaya | 2011-09-29 / 20110234039 - SQUIRREL-CAGE INDUCTION MOTOR | 2 |
Nobukazu Kaihatsu | JP | Tokyo | 2012-07-26 / 20120189898 - POROUS MEMBRANE FOR A SECONDARY BATTERY AND A SECONDARY BATTERY | 1 |
Kunihiro Kaihatsu | JP | Suita-Shi | 2015-04-23 / 20150111961 - MEMBRANE FUSION INHIBITOR | 3 |
Masanori Kaihatsu | JP | Matsusaka | 2011-02-17 / 20110037668 - GLASS ANTENNA FOR VEHICLE | 1 |
Ai Kaiho | JP | Tokyo | 2015-05-14 / 20150132779 - Method for Determining Ubiqutin Chain Length | 1 |
Satoshi Kaiho | JP | Yokohama-Shi | 2011-11-17 / 20110279512 - INKJET RECORDING DEVICE | 23 |
Eiko Kaiho | JP | Nara-Shi | 2012-07-12 / 20120178911 - GENES WITH ES CELL-SPECIFIC EXPRESSION | 1 |
Satoshi Kaiho | JP | Kanagawa | 2012-06-07 / 20120139988 - IMAGE FORMING APPARATUS AND CONTROL METHOD OF THE IMAGE FORMING APPARATUS | 1 |
Tatsushi Kaiho | JP | Shizuoka-Ken | 2012-12-27 / 20120325883 - CONNECTING ROD FRACTURE SPLITTING METHOD AND APPARATUS THEREFOR | 1 |
Terumitsu Kaiho | JP | Chiyoda-Ku | 2014-07-03 / 20140186428 - PATCH AND METHOD FOR PRODUCING THE SAME | 1 |
Christopher H. Kaiho | US | North Chicago | 2016-01-28 / 20160024038 - Compounds For Stabilizing Ryanodine Receptors From Aberrant Levels Of Calcium Release | 1 |
Toshio Kaiho | JP | Tokyo | 2011-09-29 / 20110235831 - DRIVE DEVICE | 1 |
Akio Kaiho | JP | Tsukuba-Shi | 2013-02-28 / 20130052905 - METHOD OF MANUFACTURING LIGHT-EMITTING DEVICE | 4 |
Fumio Kaiho | JP | Tokyo | 2009-01-15 / 20090014932 - XY STAGE | 1 |
Hiroshi Kaiho | JP | Nagano-Ken | 2009-01-01 / 20090004593 - Method of Producing Liquid Developer and Liquid Developer Produced by the Method | 1 |
Takaaki Kaiho | JP | Kawasaki-Shi | 2014-12-04 / 20140357896 - SULFONIUM SALT AND PHOTO-ACID GENERATOR | 8 |
Keiji Kaiho | JP | Iyo-Gun | 2015-01-15 / 20150013296 - POLYPHENYLENE SULFIDE FIBER, FILTER CLOTH COMPRISING POLYPHENYLENE SULFIDE FIBER, AND METHOD FOR PRODUCING POLYPHENYLENE SULFIDE FIBER | 1 |
Satoshi Kaiho | JP | Kanagawa-Ken | 2012-04-19 / 20120092431 - RECORDING MEDIUM CARRYING DEVICE, IMAGE FORMING APPARATUS, AND RECORDING MEDIUM CARRYING METHOD | 22 |
Akio Kaiho | JP | Ehime | 2015-06-25 / 20150179971 - ORGANIC ELECTROLUMINESCENT ELEMENT | 1 |
Toshimitsu Kaiho | JP | Setagaya-Ku | 2013-07-11 / 20130174532 - EXTERNAL-COMBUSTION, CLOSED-CYCLE THERMAL ENGINE | 1 |
Tatsuo Kaiho | JP | Tokyo | 2012-07-19 / 20120183491 - IODINE- AND AMYLOSE-CONTAINING FIBERS, METHOD FOR PRODUCTION THEREOF, AND USE THEREOF | 1 |
Shu Kaiho | JP | Nagoya | 2015-05-07 / 20150126668 - POLYARYLENE SULFIDE RESIN COMPOSITION, MANUFACTURING METHOD OF RESIN COMPOSITION AND MOLDED PRODUCT OF RESIN COMPOSITION | 2 |
Takaaki Kaiho | JP | Kawasaki-Shi | 2014-12-04 / 20140357896 - SULFONIUM SALT AND PHOTO-ACID GENERATOR | 8 |
Shu Kaiho | JP | Nagoya-Shi | 2015-02-26 / 20150057429 - PRODUCTION METHOD OF POLYARYLENE SULFIDE, CYCLIC POLYARYLENE SULFIDE PELLET AND PRODUCTION METHOD THEREOF | 3 |
Yuya Kaiho | JP | Iwate | 2016-05-05 / 20160123323 - FLUID PUMP | 1 |
Shu Kaiho | JP | Nagoya-Shi, Aichi | 2016-05-19 / 20160137807 - POLYARYLENE SULFIDE RESIN COMPOSITION AND METHOD FOR PRODUCING SAME | 1 |
Hiroyuki Kaihoko | JP | Minami-Ashigara-Shi | 2009-12-31 / 20090322997 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Hiroyuki Kaihoko | JP | Kanagawa | 2015-07-30 / 20150212246 - CIRCULARLY POLARIZING PLATE, METHOD FOR MANUFACTURING SAME, AND OPTICAL LAMINATE | 10 |
Seigo Kaihoko | JP | Yokohama | 2009-03-19 / 20090077630 - AUTHENTICATION DEVICE AND AUTHENTICATION CONTROL METHOD | 1 |
Toshiya Kaihoko | JP | Tokyo | 2012-05-31 / 20120137327 - INFORMATION PROVIDING APPARATUS AND METHOD, DISPLAY CONTROLLING APPARATUS AND METHOD, INFORMATION PROVIDING SYSTEM, AS WELL AS TRANSMISSION MEDIUM | 1 |
Hiroyuki Kaihoko | JP | Kanagawa | 2015-07-30 / 20150212246 - CIRCULARLY POLARIZING PLATE, METHOD FOR MANUFACTURING SAME, AND OPTICAL LAMINATE | 10 |
Takahisa Kaihotsu | JP | Musashino Tokyo | 2015-09-10 / 20150253492 - LIGHTING APPARATUS AND DISPLAY APPARATUS | 1 |
Takahiro Kaihotsu | JP | Kanagawa | 2013-01-10 / 20130009564 - WHITE LIGHT EMITTING APPARATUS AND LINE ILLUMINATOR USING THE SAME IN IMAGE READING APPARATUS | 3 |
Takahisa Kaihotsu | JP | Musashino-Shi | 2012-01-05 / 20120002010 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING PROGRAM, AND IMAGE PROCESSING METHOD | 7 |
Takahisa Kaihotsu | JP | Tokyo | 2015-01-22 / 20150022425 - DISPLAY SYSTEM | 6 |
Takahiro Kaihotsu | JP | Saitama | 2014-07-03 / 20140185110 - IMAGE SENSOR UNIT AND IMAGE READING APPARATUS | 2 |
Kouichi Kaihotsu | JP | Osaka | 2014-09-18 / 20140262421 - TUBULAR CABLE PROTECTION AND GUIDE DEVICE | 6 |
Nikolai Kaihovirta | FI | Abo | 2011-07-21 / 20110175074 - COMPONENTS AND CIRCUIT ARRANGEMENTS INCLUDING AT LEAST ONE ORGANIC FIELD-EFFECT TRANSISTOR | 2 |
Heini Kaihu | FI | Espoo | 2014-03-13 / 20140075305 - METHOD AND APPARATUS FOR DEFINING AND RESPONDING TO HELP REQUEST IN VIRTUAL ENVIRONMENT SERVICE | 1 |
Miao Kaihua | CN | Kunshan | 2015-05-07 / 20150123381 - Foldable Carriage | 1 |
Murray Kaijala | US | Elkhart | 2016-04-14 / 20160102997 - Magnet Assembly for Vehicle Pedal Assembly and Other Rotary Position Sensors | 11 |
Murray Kaijala | US | Eikhart | 2011-05-05 / 20110100153 - Accelerator Pedal Assembly | 1 |
Murray Kaijala | US | Elkhart | 2016-04-14 / 20160102997 - Magnet Assembly for Vehicle Pedal Assembly and Other Rotary Position Sensors | 11 |
Natalia Kaijalainen | FI | Espoo | 2009-07-30 / 20090189887 - DIMENSIONS AND COMPUTER AIDED MODELING | 1 |
Seppo Kaijalainen | FI | Helsinki | 2008-09-11 / 20080222751 - Process for converting storage reserves of dicotyledonous seeds into compositions comprising one or more gene products | 1 |
Sawako Kaijima | SG | Singapore | 2016-04-28 / 20160114543 - METHODS FOR MANUFACTURING A LENS, LENS MANUFACTURING SYSTEMS, AND LENSES | 1 |
Soh Kaijima | JP | Kawasaki-Shi | 2012-01-26 / 20120023069 - BACKING UP AND RECOVERING A DATABASE | 1 |
Soh Kaijima | JP | Nakanoshima | 2012-06-28 / 20120166395 - CONTROLLING MIRRORING OF TABLES BASED ON ACCESS PREDICTION | 1 |
Soh Kaijima | JP | Kanagawa | 2009-12-03 / 20090300040 - TABLE PARTITIONING AND STORAGE IN A DATABASE | 1 |
Soh Kaijima | JP | Kanagawa-Ken | 2010-01-21 / 20100017442 - Information Management Server, Information Processing System, Communication Method and Program | 2 |
Haruhisa Kaiju | JP | Aichi | 2011-10-13 / 20110250364 - POWDER COATING APPARATUS AND POWDER COATING METHOD | 3 |
Chang Kai-Jun | TW | Hukou Shiang | 2014-02-20 / 20140051189 - METHOD FOR WAFER-LEVEL TESTING DICED MULTI-CHIP STACKED PACKAGES | 1 |
Nobuyoshi Kaiki | JP | Osaka | 2010-01-28 / 20100020906 - DIGITAL DEMODULATING APPARATUS, DIGITAL RECEIVER, CONTROLLING METHOD OF THE APPARATUS, COMPUTER PROGRAM PRODUCT, AND RECORDING MEDIUM RECORDING THEREON THE PRODUCT | 1 |
Nobuyoshi Kaiki | JP | Nara-Shi | 2010-04-15 / 20100091918 - DIGITAL RECEIVER, CONTROLLING METHOD OF THE APPARATUS, COMPUTER PROGRAM PRODUCT, AND RECORDING MEDIUM RECORDING THEREON THE PRODUCT | 3 |
Jorma Kaikkonen | FI | Oulu | 2016-05-12 / 20160135137 - INDICATION OF IN/OUT OF DUAL CONNECTIVITY SYNCHRONIZED CONDITION | 29 |
Markus Kaikkonen | FI | Oulu | 2012-05-24 / 20120131570 - METHOD AND APPARATUS FOR CUSTOMIZING DEVICE CONTENT | 1 |
Andrei Kaikkonen | SE | Jarfalla | 2015-09-17 / 20150264803 - Electrical Connection Interface For Connecting Electrical Leads For High Speed Data Transmission | 16 |
Markus Henrik Kaikkonen | FI | Oulu | 2015-12-17 / 20150365903 - METHODS, APPARATUSES AND COMPUTER PROGRAM PRODUCTS FOR UPDATING A CONTENT ITEM | 3 |
Lassi Kaikkonen | FI | Riihimaki | 2013-08-01 / 20130194207 - Contortion of an Electronic Apparatus | 1 |
Auvo Kaikkonen | MT | Sliema | 2016-03-17 / 20160074555 - Antipathogenic Compositions | 2 |
Jorma Kaikkonen | FI | Oulu | 2016-05-12 / 20160135137 - INDICATION OF IN/OUT OF DUAL CONNECTIVITY SYNCHRONIZED CONDITION | 29 |
Jari Kaikkonen | FI | Kuopio | 2011-05-12 / 20110111405 - Novel genes and markers in type 2 diabetes and obesity | 2 |
Jorma Johannes Kaikkonen | FI | Oulu | 2016-03-31 / 20160095011 - LOGGING MULTICAST-BROADCAST SINGLE-FREQUENCY NETWORK MEASUREMENTS | 10 |
Minna U Kaikkonen | FI | Kuopio | 2008-11-13 / 20080280363 - Pseudotyped Baculovirus and its Use | 1 |
Erno Kaikkonen | FI | Helsinki | 2011-04-28 / 20110099366 - Secure Transfer of Information | 1 |
Jorma Johannes Kaikkonen | FI | Oulu | 2016-03-31 / 20160095011 - LOGGING MULTICAST-BROADCAST SINGLE-FREQUENCY NETWORK MEASUREMENTS | 10 |
Andrei Kaikkonen | SE | Jaerfaella | 2015-10-15 / 20150296648 - Interconnect Structure For Coupling An Electronic Unit And An Optical Unit, And Optoelectronic Module | 3 |
Andrei Kaikkonen | SE | Jarfalla | 2015-09-17 / 20150264803 - Electrical Connection Interface For Connecting Electrical Leads For High Speed Data Transmission | 16 |
Pertti Kaikkonen | FI | Savonlinna | 2012-11-15 / 20120290258 - METHOD FOR MEASURING AND ALIGNING A ROTARY CYLINDRICAL APPARATUS | 1 |
Robert F. Kaiko | US | Weston | 2015-06-11 / 20150157625 - METHOD OF PROVIDING SUSTAINED ANALGESIA WITH BUPRENORPHINE | 14 |
Takayoshi Kaiko | JP | Fukui | 2010-11-18 / 20100287743 - FUSION-BONDABLE HOOK-OR-LOOP FASTENER | 1 |
Robert Francis Kaiko | US | Weston | 2013-01-10 / 20130012533 - CONTROLLED RELEASE OXYCODONE COMPOSITIONS | 4 |
Robert F. Kaiko | US | Weston | 2015-06-11 / 20150157625 - METHOD OF PROVIDING SUSTAINED ANALGESIA WITH BUPRENORPHINE | 14 |
Takaaki Kaikogi | JP | Hyogo | 2010-09-23 / 20100239204 - Bearing temperature monitoring device and bearing device provided with the monitoring device | 5 |
Takaaki Kaikogi | JP | Tokyo | 2016-02-18 / 20160047265 - ROTATING MACHINE | 3 |
Terho Kaikuranta | FI | Piispanristi | 2011-06-02 / 20110131663 - METHOD AND APPARATUS FOR PROVIDING ACCESS TO SOCIAL CONTENT | 4 |
Kevin J. Kail | US | Great Falls | 2012-09-06 / 20120223812 - Double wide forklift radio frequency | 4 |
Andreas Kail | DE | Bad Neustadt | 2015-12-10 / 20150355737 - Steering Wheel with Improved Interface to a Finger Navigation Module | 1 |
Zachary Kail | US | Cedar Falls | 2015-02-26 / 20150053488 - DRIVE SYSTEM FOR VEHICLE PULLING A TOWED IMPLEMENT | 1 |
Richard James Kail | US | Santa Ana | 2014-02-13 / 20140046167 - FIBER OPTIC SYSTEMS FOR MRI SUITES AND RELATED DEVICES AND METHODS | 1 |
Kevin J. Kail | US | Orlando | 2014-05-22 / 20140143029 - System and method for providing nutritional information and incentives via a mobile device | 2 |
Konrad Kail | US | Phoenix | 2010-08-12 / 20100204607 - REFLEXOMETRY AND HORMONE FUNCTION | 1 |
Jitendra C. Kaila | IN | Gujarat | 2009-12-10 / 20090306073 - Thiazole and Thiophene Analogues, and Their Use in Treating Autoimmune Diseases and Cancers | 1 |
Neelu Kaila | US | Lexington | 2016-03-31 / 20160090381 - Methyl- and Trifluoromethyl-Substituted Pyrrolopyridine Modulators of RORC2 and Methods of Use Thereof | 11 |
Ashish Kaila | CA | Etobicoke | 2014-05-29 / 20140149550 - Method and System for Management of Synchronization of a Mobile Electronic Device | 3 |
Sanjeev Kaila | CA | Sarnia | 2011-02-24 / 20110046532 - COMPOSITION INCLUDING A SILICONE-BASED POLYMER AND A METHOD OF TREATING SKIN DISORDERS USING THE COMPOSITION | 1 |
Ashish Kaila | CA | Etoblcoke | 2011-11-17 / 20110282896 - REPRESENTATION OF MEDIA TYPES | 2 |
Reetta Kaila | FI | Espoo | 2013-06-27 / 20130165721 - CATALYTIC REFINING OF TERPENES OF PULP ORIGIN | 1 |
Ashish Kaila | CA | Mississauga | 2012-12-06 / 20120310879 - METHOD AND SYSTEM FOR DATA SYNCHRONIZATION INCLUDING FILE SYSTEM ABSTRACTION | 1 |
Neelu Kaila | US | Lexington | 2016-03-31 / 20160090381 - Methyl- and Trifluoromethyl-Substituted Pyrrolopyridine Modulators of RORC2 and Methods of Use Thereof | 11 |
Jarmo Kaila | FI | Helsinki | 2010-09-23 / 20100236733 - METHOD AND SYSTEM TO GENERATE STEAM IN A DIGESTER PLANT OF A CHEMICAL PULP MILL | 1 |
Jarmo Kaila | US | Marietta | 2011-08-18 / 20110198049 - FIBERLINE SYSTEMS, PROCESSES AND METHODS | 1 |
Zhang Kailai | CN | Tianjin | 2015-12-10 / 20150354567 - SCROLL COMPRESSOR | 2 |
Ammar Kailani | US | Richardson | 2012-08-16 / 20120205328 - FRAME FOR RECEIVING A FILTER ELEMENT AND METHOD FOR PROVIDING | 4 |
Ammar Kailani | US | Carrollton | 2014-11-27 / 20140346237 - CONTROL TECHNIQUES IN A HEATING, VENTILATION AND AIR CONDITIONING NETWORK BASED ON ENVIRONMENTAL DATA | 1 |
Krishnan Kunjunny Kailas | US | Tarrytown | 2013-10-24 / 20130283010 - 3-D STACKED MULTIPROCESSOR STRUCTURES AND METHODS FOR MULTIMODAL OPERATION OF SAME | 8 |
Aravind Kailas | US | Atlanta | 2011-01-27 / 20110022332 - MONITORING WELLNESS USING A WIRELESS HANDHELD DEVICE | 1 |
Krishnan Kailas | US | Tarrytown | 2009-03-05 / 20090063823 - Method and System for Tracking Instruction Dependency in an Out-of-Order Processor | 1 |
Krishnan K. Kailas | US | Tarrytown | 2015-04-30 / 20150121052 - THREE-DIMENSIONAL PROCESSING SYSTEM HAVING INDEPENDENT CALIBRATION AND STATISTICAL COLLECTION LAYER | 10 |
Krishnan K. Kailas | US | Tarrytown | 2015-04-30 / 20150121052 - THREE-DIMENSIONAL PROCESSING SYSTEM HAVING INDEPENDENT CALIBRATION AND STATISTICAL COLLECTION LAYER | 10 |
Krishnan Kunjunny Kailas | US | Tarrytown | 2013-10-24 / 20130283010 - 3-D STACKED MULTIPROCESSOR STRUCTURES AND METHODS FOR MULTIMODAL OPERATION OF SAME | 8 |
Sivakumar Kailas | US | San Jose | 2015-12-31 / 20150381489 - TECHNIQUES FOR ENABLING THE EFFICIENT OPERATION OF ARBITRARILY INTERCONNECTED MESH NETWORKS | 7 |
Soumya Kailasa | IN | Bangalore | 2011-09-29 / 20110238793 - MANAGING DISTRIBUTED ADDRESS POOLS WITHIN NETWORK DEVICES | 1 |
Ganesh Kailasam | US | Pearland | 2013-05-02 / 20130109815 - PROCESS FOR MAKING POLYSILOXANE/POLYIMIDE COPOLYMER BLENDS | 4 |
Varadharajan Kailasam | US | Towanda | 2014-03-06 / 20140066529 - Nanoporous Silica Polyamine Composites with Surface-bound Zirconium (IV) and Methods of Use | 2 |
Sridhar Kailasam | US | Fremont | 2015-12-03 / 20150346575 - SPACERS FOR INSULATED GLASS UNITS | 9 |
Ganesh Kailasam | US | Evansville | 2015-11-26 / 20150337461 - Crystallizable Polyetherimides, Method of Manufacture, and Articles Derived Therefrom | 4 |
Sriram Kailasam | IN | Tamil Nadu | 2012-03-08 / 20120057191 - SYSTEM AND METHOD FOR AUTOMATED HANDLING OF DOCUMENT PROCESSING WORKLOAD | 1 |
Sivabalan Kailasam | KR | Gyeonggi-Do | 2014-09-25 / 20140286806 - MULTI-STAGE VACUUM PUMP | 1 |
Paramesh Kailasam | US | Thousand Oaks | 2009-07-30 / 20090190580 - Method and apparatus for Link aggregation using links having different link speeds | 1 |
Paramesh Kailasam | US | Los Angeles | 2009-06-04 / 20090144446 - Remediation management for a network with multiple clients | 1 |
Sridhar Kailasam | US | Fremont | 2015-12-03 / 20150346575 - SPACERS FOR INSULATED GLASS UNITS | 9 |
Kanakasabha Kailasam | US | Olathe | 2015-10-22 / 20150302153 - REVERSE DOCUMENT QUALITY REVIEW | 3 |
Sivabalan Kailasam | GB | Worthing | 2014-01-16 / 20140017062 - VACUUM PUMP WITH LONGITUDINAL AND ANNULAR SEALS | 1 |
Sridhar K. Kailasam | US | Fremont | 2016-05-19 / 20160139477 - CONTROLLING TRANSITIONS IN OPTICALLY SWITCHABLE DEVICES | 3 |
Sridhar K. Kailasam | US | Santa Clara | 2015-03-19 / 20150077831 - PINHOLE MITIGATION FOR OPTICAL DEVICES | 2 |
Sivabalan Kailasam | KR | Seongnam-Si, Gyeonggi-Do | 2015-10-29 / 20150308430 - VACUUM PUMP | 1 |
Sivan Kailasavadivoo | IN | Thiruvananthapuram | 2015-05-21 / 20150142254 - SYSTEM AND METHOD FOR DETECTING AND ISOLATING FAULTS IN PRESSURE SENSING OF FLUSH AIR DATA SYSTEM (FADS) | 2 |
Kailash Kailash | US | San Jose | 2016-02-18 / 20160048558 - OPTIMIZED QUERY PROCESSING USING AGGREGATES WITH VARYING GRAIN SIZES | 21 |
Karthik Kailash | US | San Francisco | 2014-07-10 / 20140195690 - ZERO CONFIGURATION COMMUNICATION BETWEEN A BROWSER AND A NETWORKED MEDIA DEVICE | 1 |
Mark Allen Kailburn | US | Tonawanda | 2012-01-12 / 20120009533 - MULTI-OUTPUT VALVE AND BURNER USEFUL TO PROMOTE NON-STATIONARY FLAME | 1 |
Karl Kailer | AT | Breitenwang | 2015-06-04 / 20150155581 - PROCESS FOR PRODUCING A SOLID OXIDE FUEL CELL BY DEPOSITING AN ELECTRICALLY CONDUCTIVE AND GAS PERMEABLE LAYER ON A POROUS SUPPORT SUBSTRATE | 3 |
Oliver Kailer | DE | Niedernhausen | 2012-02-09 / 20120031740 - ROLLER CONVEYOR FOR TRANSPORTING ITEMS | 1 |
Stefan Kailer | DE | Messstetten | 2012-12-06 / 20120305124 - Leno Device with Linkage Mechanism and Cover Part | 1 |
Walter F. Kailey | US | Broomfield | 2015-12-31 / 20150379383 - IMAGE PROCESSING COMPRESSION WITH A DEFINED PIXEL WINDOW IN A LINEAR ARRAY | 1 |
Julie R. Kailua | US | Koohoo Place Kailua | 2010-11-04 / 20100278762 - LONG-ACTING, WATERPROOF OR WATER-RESISTANT, TOPICAL SUN PROTECTION AGENT WITH ACTIVITY UP TO WEEKS | 1 |
Janakiraman Kailya | IN | Cuddalore | 2013-07-25 / 20130190337 - SOLID DOSAGE FORMS OF HIV PROTEASE INHIBITORS | 1 |
Kyeong-Man Kaim | KR | Yongin-Si | 2010-11-18 / 20100290066 - IMAGE FORMING APPARATUS AND COLOR CORRECTING METHOD THEREOF, AND HOST APPARATUS TO CORRECT THE COLOR OF AN OUTPUT IMAGE USING THE SAME | 1 |
Robert Kaim | US | Brookline | 2016-02-18 / 20160046849 - ENRICHED SILICON PRECURSOR COMPOSITIONS AND APPARATUS AND PROCESSES FOR UTILIZING SAME | 32 |
Nobuyoshi Kaima | JP | Tokyo | 2014-09-11 / 20140253984 - IMAGE FORMING APPARATUS AND METHOD FOR INCREASING IMAGE RESOLUTION AND MAGNIFICATION | 10 |
Nobuyoshi Kaima | JP | Tokyo | 2014-09-11 / 20140253984 - IMAGE FORMING APPARATUS AND METHOD FOR INCREASING IMAGE RESOLUTION AND MAGNIFICATION | 10 |
Norimitsu Kaimai | JP | Yokohama-Shi | 2013-02-07 / 20130034777 - MICROPOROUS MEMBRANE, BATTERY SEPARATOR AND BATTERY | 3 |
Norimitsu Kaimai | JP | Kanagawa | 2009-04-16 / 20090098449 - Microporous polyolefin membrane, and method of producing the same | 1 |
Norimitsu Kaimai | JP | Kanagawa-Ken | 2011-06-09 / 20110133355 - Extruder Having Dual Mixing Stages And Process For Preparing A Mixture Of Polymer And Diluent | 10 |
Aditya Kaimal | US | Wilmington | 2015-11-12 / 20150323434 - APPARATUSES FOR SCREEN TESTING AN OPTICAL FIBER AND METHODS FOR USING THE SAME | 2 |
Aravind Kaimal | US | San Francisco | 2015-10-15 / 20150294687 - Automatic Transitions, Wipes and Fades, In A Common Video Recording/Playback Device | 1 |
Sunil Kaimalettu | IN | Chennai | 2015-08-20 / 20150236777 - INDOOR PERSONAL RELAY | 5 |
Apostolos Kaimenopoulos | GR | Attica | 2010-04-29 / 20100101292 - SEAMLESS MULTILAYER COMPOSITE PIPE | 1 |
Apostolos Kaimenopoulos | GR | 15121 Pefki | 2011-12-01 / 20110290364 - SEAMLESS COMPOSITE METAL TUBE AND METHOD OF MANUFACTURING THE SAME | 1 |
Takashi Kaimi | JP | Yokkaichi-Shi | 2012-02-23 / 20120044029 - NOISE FILTER AND AN EMC FILTER USING THE SAME | 1 |
Masayuki Kaimi | JP | Mie-Ken | 2011-11-17 / 20110277291 - APPARATUS FOR MANUFACTURING HYDRO DYNAMIC BEARING DEVICE | 2 |
Takashi Kaimi | JP | Mie | 2014-10-30 / 20140321171 - POWER CONVERSION DEVICE | 1 |
Yoshiharu Kaimori | JP | Tokyo | 2014-07-10 / 20140193220 - BIT EXCHANGEABLE DRILL | 1 |
Tomoaki Kaimori | JP | Hitachinaka-Shi | 2014-07-03 / 20140184011 - Stator for Rotating Electrical Machine and Rotating Electrical Machine | 7 |
Shingo Kaimori | JP | Osaka-Shi | 2016-04-21 / 20160107376 - METAL-RESIN COMPOSITE BODY, WIRING MATERIAL, AND METHOD FOR PRODUCING METAL-RESIN COMPOSITE BODY | 3 |
Shingo Kaimori | JP | Osaka | 2011-12-15 / 20110305883 - ADHESIVE RESIN COMPOSITIONS, AND LAMINATES AND FLEXIBLE PRINTED WIRING BOARDS USING SAME | 14 |
Tomoaki Kaimori | JP | Hitachinaka | 2015-01-15 / 20150015110 - Electric Rotating Machine | 3 |
Hideki Kaimoto | JP | Shizuoka | 2016-02-04 / 20160030918 - MOISTURE-ABSORBING MATERIAL, METHOD FOR MANUFACTURING SAME, AND PACKAGING MATERIAL | 5 |
Hideki Kaimoto | JP | Kanagawa | 2011-03-24 / 20110070383 - INKJET RECORDING MEDIUM AND METHOD OF PRODUCING SAME | 2 |
Hideki Kaimoto | JP | Fujinomiya-Shi | 2009-10-01 / 20090246380 - INK-JET RECORDING MEDIUM AND RECORDING METHOD | 5 |
Hideki Kaimoto | JP | Shizuoka-Ken | 2011-03-17 / 20110064894 - INKJET RECORDING MEDIUM | 10 |
Robert C. Kain | US | Del Mar | 2009-12-03 / 20090298716 - COMPOSITE ARRAYS UTILIZING MICROSPHERES WITH A HYBRIDIZATION CHAMBER | 4 |
Robert C. Kain | US | San Diego | 2015-11-26 / 20150337370 - INDEPENDENTLY REMOVABLE NUCLEIC ACID SEQUENCING SYSTEM AND METHOD | 17 |
Kevin Charles Kain | CA | Toronto | 2010-05-06 / 20100113530 - S1P LYASE INHIBITORS FOR THE TREATMENT OF CEREBRAL MALARIA | 3 |
Rikki F. Kain | US | Elkhart | 2014-07-10 / 20140193294 - OZONE SANITIZING SYSTEM | 1 |
Melissa Mia Kain | US | Mulberry | / - | 1 |
Kevin Kain | CA | Toronto | 2014-06-26 / 20140179806 - BIOMARKERS FOR EARLY DETERMINATION OF A CRITICAL OR LIFE THREATENING RESPONSE TO ILLNESS AND/OR TREATMENT RESPONSE | 1 |
Robert L. Kain | US | Freeland | 2008-11-13 / 20080277926 - Fluid Transfer Assembly | 1 |
Pinky Kain | US | Riverside | 2015-12-31 / 20150377897 - METHODS FOR IDENTIFYING ARTHROPOD REPELLENTS BASED ON MODULATION OF SPECIFIC IONOTROPIC RECEPTORS, AND COMPOUNDS AND COMPOSITIONS IDENTIFIED BY SUCH METHODS | 1 |
Don Kain | US | Lake Arrowhead | 2008-10-30 / 20080263994 - Method and apparatus for protecting a substrate | 1 |
Michael Thomas Kain | US | Collegeville | 2011-06-16 / 20110145563 - SECURED FILE-BASED APPLICATION PROGRAMMING INTERFACE | 1 |
John Kain | US | New York | 2011-09-15 / 20110225081 - METHOD AND SYSTEM FOR CANCELING ORDERS FOR FINANCIAL ARTICLES OF TRADES | 1 |
James E. Kain | US | Santa Rosa Beach | 2009-12-03 / 20090295633 - Attitude estimation using intentional translation of a global navigation satellite system (GNSS) antenna | 1 |
Michael Kain | US | Collegeville | 2014-07-17 / 20140201829 - FILE-BASED APPLICATION PROGRAMMING INTERFACE PROVIDING SELECTABLE SECURITY FEATURES | 1 |
Robert Kain | US | San Diego | 2015-03-12 / 20150069267 - CONFOCAL IMAGING METHODS AND APPARATUS | 10 |
Jeffrey A. Kain | US | Oviedo | 2015-10-22 / 20150300189 - INTERSTAGE SEAL ASSEMBLY FOR GAS TURBINE ENGINE | 2 |
Elisabeth J. Kain | US | Santa Clara | 2016-03-10 / 20160070466 - USER INTERFACES FOR IMPROVING SINGLE-HANDED OPERATION OF DEVICES | 1 |
Lynn Kain | CA | Burlington | 2013-07-04 / 20130173308 - IMAGING DEVICE INFORMATION SYSTEM AND METHOD | 1 |
Clemens Kain | AT | Kammern | 2015-05-28 / 20150145520 - CIRCUIT AND METHOD FOR EVALUATING CELLS IN A BATTERY | 5 |
Stefan Kain | DE | Rauenberg | 2012-06-28 / 20120166422 - Generic Node Including Stored Script | 1 |
Kevin C. Kain | CA | Toronto | 2016-02-18 / 20160045566 - ANGIOPOIETIN-BASED INTERVENTIONS FOR TREATING CEREBRAL MALARIA | 2 |
Robert C. Kain | US | San Diego | 2015-11-26 / 20150337370 - INDEPENDENTLY REMOVABLE NUCLEIC ACID SEQUENCING SYSTEM AND METHOD | 17 |
Robert Kain | US | San Diego | 2015-03-12 / 20150069267 - CONFOCAL IMAGING METHODS AND APPARATUS | 10 |
Hillel Kain | IL | Pardesiya | 2015-03-19 / 20150075294 - PITOT TUBE | 1 |
Michael T. Kain | US | Collegeville | 2015-02-19 / 20150052347 - FILE-BASED APPLICATION PROGRAMMING INTERFACE PROVIDING SELECTABLE SECURITY FEATURES | 3 |
James S. Kain | US | Oakland | 2015-11-26 / 20150337377 - METHOD OF DIAGNOSIS OF COMPLEMENT-MEDIATED THROMBOTIC MICROANGIOPATHIES | 1 |
Julian M. Kain | US | Sunnyvale | 2014-03-27 / 20140089718 - CLOCK DOMAIN BOUNDARY CROSSING USING AN ASYNCHRONOUS BUFFER | 1 |
James Scott Kain | US | Houston | 2015-01-15 / 20150014142 - METHOD FOR PROCESSING WASTE WATER USING ZERO PROCESS WATER DISCHARGE | 10 |
Christopher J. Kain | US | Bremerton | 2009-12-10 / 20090307049 - Soft Co-Clustering of Data | 1 |
Michael Kain | US | Malvern | 2013-09-12 / 20130239180 - WEB-BASED CONFERENCE COLLABORATION TOOL WITH DYNAMIC CONTENT AND ROLES | 2 |
James Scott Kain | US | Houston | 2015-01-15 / 20150014142 - METHOD FOR PROCESSING WASTE WATER USING ZERO PROCESS WATER DISCHARGE | 10 |
Russell Kain | ZA | Pietermaritzburg | 2015-07-23 / 20150204067 - BUILDING SYSTEM AND METHOD | 1 |
Patrick Kain | US | Portland | 2014-03-27 / 20140085616 - UNIFORMITY ADJUSTMENT METHOD FOR A DIODE-LASER LINE-PROJECTOR | 1 |
Gottfried Kain | AT | Bad Goisern | 2012-11-22 / 20120291277 - METHOD FOR ESTABLISHING A SEALED CONNECTION | 1 |
Rachid Kaina | US | Hot Springs | 2012-06-07 / 20120140416 - METHOD AND STRUCTURE FOR OPTIMIZING HEAT EXCHANGER PERFORMANCE | 1 |
Soichiro Kainaga | JP | Tokyo | 2012-06-28 / 20120161912 - STATIONARY INDUCTION APPARATUS | 1 |
Masahiro Kainaga | JP | Yokohama | 2010-07-29 / 20100191934 - MICROCOMPUTER AND DIVIDING CIRCUIT | 1 |
Soichiro Kainaga | JP | Chiyoda-Ku | 2015-09-17 / 20150262773 - GAS INSULATED ELECTRICAL EQUIPMENT | 2 |
Masahiro Kainaga | JP | Yokohama-Shi | 2008-12-18 / 20080313444 - MICROCOMPUTER AND DIVIDING CIRCUIT | 1 |
Markus Kaindl | DE | Rohrbach | 2010-01-28 / 20100021809 - Energy Store with Guide Rod | 1 |
Stefan Kaindl | DE | Reutlingen | 2010-09-02 / 20100221632 - Method and Apparatus for Forming a Wetting Nominal Value for a Fuel Cell Unit | 1 |
Winfried Kaindl | DE | Unterhaching | 2015-05-28 / 20150145038 - SUPER JUNCTION SEMICONDUCTOR DEVICE HAVING COLUMNAR SUPER JUNCTION REGIONS | 15 |
Roland Kaindl | DE | Haimhausen | 2012-11-08 / 20120282913 - REMOTE ACTION SYSTEM FOR A VEHICLE | 2 |
Roland Kaindl | DE | Durneck | 2011-05-19 / 20110114741 - MOBILE HEATING DEVICE | 1 |
Winfried Kaindl | DE | Unterhaching | 2015-05-28 / 20150145038 - SUPER JUNCTION SEMICONDUCTOR DEVICE HAVING COLUMNAR SUPER JUNCTION REGIONS | 15 |
Peter Kaindl | AT | Wien | 2009-03-19 / 20090074613 - APPARATUS FOR DISTINGUISHING BETWEEN AIRCRAFT CONSUMABLES | 1 |
Gernot Kaindl | AT | Lenzing | 2016-05-19 / 20160138196 - POLYSACCHARIDE FIBERS AND METHOD FOR PRODUCING SAME | 1 |
Michael Kaindl | DE | Ergoldsbach | 2015-01-29 / 20150028810 - Device for Charging an Energy Storage Unit of One or More Electrically Operated Vehicles | 1 |
Benjamin Kaindl | DE | Fridolfing | 2015-11-05 / 20150318879 - METHOD FOR LOCATING DEFECTIVE POINTS IN A HIGH FREQUENCY (HF) SIGNAL TRANSMISSION PATH | 1 |
Isao Kaine | JP | Kawagoe | 2012-01-05 / 20120005147 - INFORMATION LEAK FILE DETECTION APPARATUS AND METHOD AND PROGRAM THEREOF | 2 |
Gregory D. Kaine | US | Santa Clara | 2010-06-24 / 20100162006 - ADAPTIVE POWER BUDGET ALLOCATION BETWEEN MULTIPLE COMPONENTS IN A COMPUTING SYSTEM | 1 |
Greg D. Kaine | US | Sunnyvale | 2014-01-02 / 20140002336 - PERIPHERAL DEVICE FOR VISUAL AND/OR TACTILE FEEDBACK | 1 |
Michel Kaine | CA | Montreal | 2009-12-17 / 20090311921 - Submersible Electrical Set-Screw Connector | 1 |
Edward Kaine | US | Stratford | 2009-09-24 / 20090240180 - Mechanical Trauma Protection device | 1 |
Greg D. Kaine | US | Santa Clara | 2014-03-27 / 20140085538 - TECHNIQUES AND APPARATUS FOR AUDIO ISOLATION IN VIDEO PROCESSING | 1 |
Christopher James Kaine | GB | Berkshire | 2010-12-02 / 20100303304 - X-RAY IMAGING | 1 |
Keith Kainec | US | Sagamore Hills | 2015-04-23 / 20150107323 - ROLL FORMED CONDUIT FITTING | 1 |
Keith D. Kainec | US | Sagamore Hills | 2010-07-08 / 20100171309 - COUPLING DEVICE FOR TUBULAR MEMBERS | 2 |
Martin H. Kainec | US | Youngsville | 2015-05-07 / 20150121690 - Tools for Seating Connectors on Substrates | 3 |
Stephen M. Kainec | US | South Euclid | 2010-09-16 / 20100230393 - WELD DATA ACQUISITION | 1 |
Stephen M. Kainec | US | S. Euclid | 2010-05-06 / 20100115067 - METHOD OF DISCOVERY AND COMMUNICATION WITH INDUSTRIAL EQUIPMENT | 1 |
Elan Kainen | US | Miami | 2014-01-30 / 20140027991 - DISPOSABLE SHOPPING CART | 1 |
Lawton J. Kainer | US | Houston | 2016-05-05 / 20160123616 - CONTROLLED HEAT DELIVERY | 1 |
Karl Ulrich Kainer | DE | Hohnstor | 2009-04-23 / 20090104070 - MAGNESIUM ALLOY | 1 |
Ulrich Kainer | DE | Hohnstorf | 2009-10-29 / 20090269236 - DUCTILE MAGNESIUM ALLOY | 1 |
Karl Ulrich Kainer | DE | Hohnstorf | 2011-07-14 / 20110172724 - BIOCOMPATIBLE MAGNESIUM MATERIAL | 2 |
Karl U. Kainer | DE | Hohnstorf | 2012-08-02 / 20120195789 - MAGNESIUM-ALUMINUM BASED ALLOY | 5 |
Don Kainer | US | Longmont | 2011-03-03 / 20110055145 - Method and apparatus for insightful dimensional clustering | 3 |
Gary Kainer | US | Tomball | 2015-05-21 / 20150136516 - ACOUSTIC RECEIVER ASSEMBLY FOR DOWNHOLE TOOLS | 6 |
John J. Kainer | US | Georgetown | 2015-05-14 / 20150131108 - SYSTEM AND METHOD FOR INSPECTING RAILROAD TIES | 2 |
Gary Kainer | US | Houston | 2016-01-07 / 20160003960 - ACOUSTIC ISOLATOR FOR DOWNHOLE TOOLS | 1 |
Pasi Kainiemi | FI | Tampere | 2014-03-20 / 20140078134 - METHOD FOR DETERMINING THREE-DIMENSIONAL VISUAL EFFECT ON INFORMATION ELEMENT USING APPARATUS WITH TOUCH SENSITIVE DISPLAY | 1 |
Ku Kai-Ning | TW | Baoshan/hsinchu | 2012-06-07 / 20120141069 - Waveguide Coupling Device with Properties of Forward and Backward Coupling as well as Manufacturing Method Thereof | 1 |
Masatomo Kaino | JP | Kyoto | 2014-03-20 / 20140080243 - METHOD OF MANUFACTURING RADIATION DETECTOR | 2 |
Hidemasa Kaino | JP | Miyagi | 2015-10-29 / 20150311045 - DRY CLEANING METHOD AND PLASMA PROCESSING APPARATUS | 1 |
Akira Kaino | JP | Hiroshima-City | 2015-08-27 / 20150243046 - DISPLAY CONTROL DEVICE FOR VEHICLE | 1 |
Yuriko Kaino | JP | Kanagawa | 2016-03-31 / 20160091771 - ELECTROPHORETIC DEVICE, DISPLAY UNIT, AND ELECTRONIC APPARATUS | 15 |
Tomomi Kaino | JP | Sumoto-Shi | 2013-01-03 / 20130002200 - RECHARGEABLE BATTERY CHARGING METHOD, CHARGING CONTROL APPARATUS, AND BATTERY PACK | 3 |
Takeshi Kaino | JP | Nisshin-Shi | 2013-08-01 / 20130196820 - VEHICLE ENGINE CONTROL DEVICE | 1 |
Toshiya Kaino | JP | Suntou-Gun | 2015-10-29 / 20150309454 - IMAGE FORMING APPARATUS | 9 |
Masatomo Kaino | JP | Kyoto-Fu | 2012-04-19 / 20120093290 - RADIATION DETECTOR MANUFACTURING METHOD, A RADIATION DETECTOR, AND A RADIOGRAPHIC APPARATUS | 1 |
Masazumi Kaino | JP | Saitama | 2014-05-01 / 20140118922 - ELECTRONIC APPARATUS AND COOLING METHOD THEREOF | 2 |
Akihiko Kaino | JP | Kanagawa | 2016-05-12 / 20160133058 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 10 |
Masatomo Kaino | JP | Soraku-Gun | 2012-06-07 / 20120140881 - RADIATION DETECTOR AND RADIOGRAPHIC APPARATUS | 1 |
Akihiko Kaino | JP | Tokyo | 2014-12-11 / 20140362084 - INFORMATION PROCESSING DEVICE, AUTHORING METHOD, AND PROGRAM | 1 |
Akihiko Kaino | JP | Kanagawa | 2016-05-12 / 20160133058 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 10 |
Yoichi Kaino | JP | Osaka | 2011-07-28 / 20110179872 - DRIVE CIRCUIT AND PHYSICAL QUANTITY SENSOR DEVICE | 6 |
Yuriko Kaino | JP | Kanagawa | 2016-03-31 / 20160091771 - ELECTROPHORETIC DEVICE, DISPLAY UNIT, AND ELECTRONIC APPARATUS | 15 |
Shigeyuki Kaino | JP | Nagano | 2014-11-13 / 20140331807 - ROTATING MECHANISM, INDUSTRIAL ROBOT AND METHOD FOR RETURNING ROTATING BODY TO ORIGINAL POSITION | 5 |
Mie Kaino | JP | Fujisawa | 2010-01-21 / 20100016319 - ARYLMETHYLENE UREA DERIVATIVE AND USE THEREOF | 1 |
Mie Kaino | JP | Kamakura-Shi | 2012-07-19 / 20120184735 - 2,3-DIHYDRO-1H-INDENE-2-YL UREA DERIVATIVE AND PHARMACEUTICAL APPLICATION OF SAME | 2 |
Toshiya Kaino | JP | Suntou-Gun | 2015-10-29 / 20150309454 - IMAGE FORMING APPARATUS | 9 |
Mie Kaino | JP | Kanagawa | 2013-06-13 / 20130150390 - THERAPEUTIC OR PROPHYLACTIC AGENT FOR ALLERGIC DERMATITIS | 3 |
Tomomi Kaino | JP | Hyogo | 2012-08-30 / 20120217931 - METHOD OF CHARGING A BATTERY ARRAY | 3 |
Masatomo Kaino | JP | Seika-Cho | 2014-09-04 / 20140246744 - METHOD OF MANUFACTURING RADIATION DETECTOR AND RADIATION DETECTOR | 2 |
Shigeyukl Kaino | JP | Nagano | 2014-02-06 / 20140033853 - ROTATING RANGE RESTRICTION MECHANISM FOR ROTATING BODY, AND INDUSTRIAL ROBOT | 1 |
Masatomo Kaino | JP | Sohraku-Gun | 2013-01-31 / 20130026468 - RADIATION DETECTOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Naoshi Kainoh | JP | Ehime | 2013-02-28 / 20130054014 - AUTOMATIC DRUG DISPENSER | 3 |
Naoshi Kainoh | JP | Ozu-Shi | 2009-11-12 / 20090276996 - HYDRODYNAMIC BEARING AND METHOD FOR MANUFACTURING THE SAME, AND SPINDLE MOTOR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Ayumi Kainose | JP | Tokyo | 2013-12-26 / 20130344147 - TABLET-FORMED PHARMACEUTICAL COMPOSITION FOR ORAL ADMINISTRATION AND METHOD FOR PRODUCING SAME | 1 |
Arvinder Kainth | GB | London | 2009-06-04 / 20090141706 - SYSTEM AND METHOD FOR THE AUTOMATIC PROVISIONING OF AN OPENLINE CIRCUIT | 1 |
Arvinder Pal Singh Kainth | US | Neenah | 2009-07-23 / 20090183846 - Multiple Ply Tissue Products Having Enhanced Interply Liquid Capacity | 1 |
Arvinder P. Singh Kainth | US | Neenah | 2013-06-13 / 20130150817 - Absorbent Composites Exhibiting Stepped Capacity Behavior | 2 |
Neenu Sohi Kainth | US | Coppell | 2016-04-28 / 20160117270 - SHARING CONTENT USING A DONGLE DEVICE | 2 |
Arvinder Pal Singh Kainth | CA | Calgary | 2015-01-15 / 20150017706 - CAPTURED CARBON DIOXIDE FOR ALGACULTURE | 3 |
Rajesh Kumar Kainthan | CA | Burnaby | 2008-11-27 / 20080292579 - Polymer-Based Serum Albumin Substitute | 1 |
Rajesh Kumar Kainthan | US | Cambridge | 2013-12-19 / 20130338210 - COMPOSITIONS FOR NUCLEIC ACID DELIVERY | 1 |
Rajesh Kumar Kainthan | US | Tappan | 2016-04-28 / 20160114040 - Derivatized Hyperbranched Polyglycerols | 4 |
Rajesh K. Kainthan | US | Quincy | 2010-12-23 / 20100324150 - HYPERBRANCHED POLYGLYCEROL FOR IMPROVING HEART FUNCTION | 1 |
Ramesh C. Kainthla | US | College Station | 2010-07-01 / 20100163011 - Oxygen Activated Heater and Method of Manufacturing Same | 3 |
Ryan C. Kaintz | US | Allison Park | 2016-05-05 / 20160120752 - MOLECULAR IMAGING VIAL TRANSPORT CONTAINER AND FLUID INJECTION SYSTEM INTERFACE | 2 |
Ryan Kaintz | US | Allison Park | 2015-11-19 / 20150328446 - DUAL ZONE SYRINGE FOR PHARMACEUTICAL DELIVERY DEVICE | 6 |
Ryan Kaintz | US | Pittsburgh | 2014-10-16 / 20140309771 - Secure Medicament Dispensing Cabinet, Method and System | 2 |
Ryan Christopher Kaintz | US | Allison Park | 2012-10-04 / 20120248017 - DIALYSIS SYSTEMS, COMPONENTS, AND METHODS | 1 |
Vesa Kainu | FI | Kangasala | 2015-11-05 / 20150316255 - Air Nozzle Arrangement in a Fluidized Bed Boiler, Grate for a Fluidized Bed Boiler, and a Fluidized Bed Boiler | 4 |
Antti P. Kainulainen | FI | Espoo | 2010-12-16 / 20100315289 - DISTANCE ESTIMATION | 1 |
Antti Kainulainen | FI | Espoo | 2015-10-29 / 20150309155 - Method and Apparatus for Determining the Position Using Radio Signals and Atmospheric Pressure | 9 |
Antti Paavo Tapani Kainulainen | FI | Nummela | 2015-11-26 / 20150338496 - POSITIONING | 7 |
Antti Paavotapani Kainulainen | FI | Espoo | 2011-06-23 / 20110151781 - DATA PACKET PROCESSING FOR ESTIMATION OF A DIRECTION TOWARDS A TRANSMITTER | 1 |
Antti Kainulainen | FI | Nummela | 2015-06-11 / 20150160329 - DETERMINING LOCATION AND ORIENTATION OF DIRECTIONAL TRANCEIVERS | 5 |
Antti Paavo Tapani Kainulainen | FI | Espoo | 2015-06-11 / 20150163622 - DATA PACKET PROCESSING FOR ESTIMATION OF A DIRECTION TOWARDS A TRANSMITTER | 15 |
Antti Paavo Tapani Kainulainen | FI | Espoo | 2015-06-11 / 20150163622 - DATA PACKET PROCESSING FOR ESTIMATION OF A DIRECTION TOWARDS A TRANSMITTER | 15 |
Antti Paavo Tapani Kainulainen | FI | Siilinjarvi | 2015-10-08 / 20150289082 - USING BLUETOOTH LOW ENERGY | 2 |
Antti Kainulainen | FI | Espoo | 2015-10-29 / 20150309155 - Method and Apparatus for Determining the Position Using Radio Signals and Atmospheric Pressure | 9 |
Marko Kainulainen | FI | Oulu | 2009-06-11 / 20090149127 - Method for saving power in a wireless terminal and a terminal | 1 |
Hiroyuki Kainuma | JP | Inuyama-Shi | 2016-03-10 / 20160068372 - Transfer Device and Carrier System | 2 |
Norio Kainuma | JP | Nagano | 2015-12-17 / 20150362675 - OPTICAL WAVEGUIDE SHEET, OPTICAL UNIT, AND METHOD FOR MANUFACTURING THE SAME | 5 |
Katsuhiko Kainuma | JP | Aichi | 2015-12-10 / 20150354433 - Method for Installing Inlet Pipe and Method for Supporting Inlet Pipe | 1 |
Hideyuki Kainuma | JP | Tachikawa | 2009-12-10 / 20090307427 - MEMORY CARD AND METHOD OF WRITING DATA | 1 |
Yoshihiro Kainuma | JP | Mie-Gun | 2014-05-08 / 20140125293 - STORED ELECTRIC POWER DISCHARGING CIRCUIT FOR INVERTER | 1 |
Yoshihiro Kainuma | JP | Tokyo | 2010-01-14 / 20100009532 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MANUFACTURING APPARATUS THEREFOR | 1 |
Takashi Kainuma | JP | Osaka | 2015-03-26 / 20150086241 - IMAGE FORMING APPARATUS | 3 |
Norio Kainuma | JP | Kawasaki | 2010-03-25 / 20100075493 - METHOD OF FORMING ELECTRODE CONNECTING PORTION | 7 |
Norikazu Kainuma | JP | Yamagata | 2010-12-02 / 20100300834 - SOLAR CELL MODULE CONVEYER LINE | 5 |
Yasuaki Kainuma | JP | Nagaokakyo-Shi | 2014-10-16 / 20140306183 - METHOD FOR MANUFACTURING FUNCTIONAL MATERIAL AND ELECTRONIC COMPONENT | 2 |
Ryosuke Kainuma | JP | Natori-Shi | 2013-05-02 / 20130110024 - DEVICE FOR CORRECTING HALLUX VALGUS AND METHOD FOR PRODUCING DEVICE FOR CORRECTING HALLUX VALGUS | 9 |
Hiroshi Kainuma | JP | Tokyo | 2015-12-31 / 20150377377 - THREE-WAY SOLENOID VALVE | 2 |
Yasuko Kainuma | JP | Shizuoka | 2011-11-17 / 20110280991 - FOOD MATERIAL FROM RICE AS RAW MATERIAL, PROCESSED FOOD USING SAME AND METHOD FOR PRODUCTION THEREOF | 1 |
Katsuhiko Kainuma | JP | Okazaki-Shi, Aichi | 2015-11-26 / 20150337699 - MUFFLER | 1 |
Takahiro Kainuma | JP | Kanagawa | 2016-01-07 / 20160005819 - SEMICONDUCTOR DEVICE | 1 |
Rysuke Kainuma | JP | Sendai-Shi | 2013-12-19 / 20130333812 - COPPER ALLOY AND PROCESS FOR PRODUCING COPPER ALLOY | 1 |
Ryosuke Kainuma | JP | Narito-Shi | 2010-06-24 / 20100156579 - FERROMAGNETIC SHAPE MEMORY ALLOY AND ITS USE | 1 |
Kazuhiro Kainuma | JP | Nagano-Shi | 2013-10-31 / 20130285254 - WIRING SUBSTRATE AND METHOD FOR MANUFACTURING WIRING SUBTRATE | 1 |
Ryosuke Kainuma | JP | Sendai-Shi | 2016-05-12 / 20160130683 - WROUGHT MATERIAL COMPRISING Cu-Al-Mn-BASED ALLOY EXCELLENT IN STRESS CORROSION RESISTANCE AND USE THEREOF | 3 |
Ryosuke Kainuma | JP | Miyagi-Ken | 2009-10-01 / 20090242083 - IRON-BASED ALLOY HAVING SHAPE MEMORY PROPERTIES AND SUPERELASTICITY AND ITS PRODUCTION METHOD | 1 |
Makoto Kainuma | JP | Chiryu-Shi | 2015-03-05 / 20150061416 - LINEAR MOTOR DEVICE | 1 |
Ryosuke Kainuma | JP | Natori-Shi | 2013-05-02 / 20130110024 - DEVICE FOR CORRECTING HALLUX VALGUS AND METHOD FOR PRODUCING DEVICE FOR CORRECTING HALLUX VALGUS | 9 |
Ryosuke Kainuma | JP | Miyagi | 2013-04-11 / 20130087074 - COPPER-BASED ALLOY AND STRUCTURAL MATERIAL COMPRISING SAME | 4 |
Katsuhiko Kainuma | JP | Okazaki-Shi | 2015-06-04 / 20150152760 - MUFFLER | 2 |
Bernhard Kainz | DE | Lauf | 2015-12-10 / 20150353674 - EPOXY RESIN HARDENER COMPOSITIONS AND EPOXY RESIN COMPOSITIONS CONTAINING SUCH HARDENER COMPOSITIONS | 19 |
Bernhard Kainz | DE | Rheinmuenster | 2015-10-22 / 20150299495 - COATING COMPOSITIONS | 1 |
Sabine Kainz | DE | Moers | 2008-11-13 / 20080279803 - Method and Composition for Restructuring Keratin Fibers | 2 |
Andreas Kainz | DE | Leingarten | 2009-01-01 / 20090004929 - Electrical Pressure Contact | 1 |
Robert Kainz | US | Cary | 2009-06-11 / 20090150868 - Method and System for Capturing Movie Shots at the Time of an Automated Graphical User Interface Test Failure | 3 |
Josef Kainz | DE | Salching | 2014-06-05 / 20140156170 - Method and Device for Controlling a Variable Valve Train of an Internal Combustion Engine | 2 |
Bernhard Kainz | DE | Lauf | 2015-12-10 / 20150353674 - EPOXY RESIN HARDENER COMPOSITIONS AND EPOXY RESIN COMPOSITIONS CONTAINING SUCH HARDENER COMPOSITIONS | 19 |
Andreas Kainz | AT | Thaya | 2009-12-10 / 20090301011 - REINFORCED CONCRETE CEILING AND PROCESS FOR THE MANUFACTURE THEREOF | 1 |
Alexander Kainz | AT | Viena | 2011-03-10 / 20110059857 - MARKERS OF ACUTE KIDNEY FAILURE | 1 |
Bernhard Kainz | US | Lake Jackson | 2013-06-06 / 20130143982 - COATING COMPOSITIONS | 1 |
Peter Kainz | AT | Graz | 2015-07-30 / 20150209850 - Straightening Roller Unit | 1 |
Alexander Kainz | AT | Vienna | 2014-10-09 / 20140303024 - MARKERS OF ACUTE KIDNEY FAILURE | 3 |
Sonja Kainz | AT | Wien | 2015-02-12 / 20150045752 - WOUND CARE ARRANGEMENT AND COVERING UNIT THEREFOR | 1 |
Regina Kainzbauer | DE | Worth An Der Donau | 2010-12-23 / 20100320460 - SYSTEM FOR SEPARATION OF AN ELECTRICALLY CONDUCTIVE CONNECTION | 1 |
Chandra Sekhar Reddy Kaipa | US | San Diego | 2015-12-31 / 20150380791 - RF Filter Circuit, RF Filter with Improved Attenuation and Duplexer with Improved Isolation | 1 |
Sam Prasad Kaipa | US | San Francisco | 2014-07-31 / 20140214489 - METHODS AND SYSTEMS FOR FACILITATING VISUAL FEEDBACK AND ANALYSIS | 1 |
Sirisha Suryanarayan Kaipa | US | Cupertino | 2011-09-15 / 20110225095 - SYSTEM AND METHOD TO DEFINE, VISUALIZE AND MANAGE A COMPOSITE SERVICE GROUP IN A HIGH-AVAILABILITY DISASTER RECOVERY ENVIRONMENT | 1 |
Olavi Yrjo Kaipainen | FI | Espoo | 2014-05-15 / 20140135061 - APPARATUS AND METHOD FOR SHARING ANTENNA | 1 |
Pasi Antero Kaipainen | FI | Jyvaskyla | 2009-06-11 / 20090146962 - MOBILE COMMUNICATION TERMINAL AND METHOD | 1 |
Arja Kaipainen | US | Boston | 2015-06-11 / 20150158928 - FLT4 (VEGFR-3) AS A TARGET FOR TUMOR IMAGING AND ANTI-TUMOR THERAPY | 3 |
Mikko Kaipainen | FI | Tampere | 2014-02-13 / 20140041511 - SYSTEM AND METHOD FOR PROVIDING EXERCISE IN PLAYING A MUSIC INSTRUMENT | 1 |
Pasi Kaipainen | US | Ann Arbor | 2011-06-02 / 20110126857 - ROBOTIC WASH MONITOR SYSTEM | 1 |
Yrjö Olavi Kaipainen | FI | Espoo | 2012-08-23 / 20120213183 - SCHEDULING OF DIRECT TO DIRECT COMMUNICATION | 1 |
Pasi Kaipainen | FI | Jyvaskyla | 2015-05-07 / 20150127252 - SYSTEM FOR INTERACTION OF NAVIGATION AND CONNECTIVITY APPLICATIONS AND PERSONAL INFORMATION MANAGEMENT APPLICATIONS | 2 |
Yrjo Kaipainen | FI | Espoo | 2014-05-01 / 20140118200 - Wireless Communication Arrangement | 2 |
Chiang Kaipeng | TW | Taoyuan County | 2013-04-18 / 20130093069 - PACKAGE STRUCTURE AND THE METHOD TO FABRICATE THEREOF | 1 |
Sreekumar Kaiplavil | GB | Sutton | 2016-04-21 / 20160109393 - SYSTEMS AND METHODS FOR PERFORMING TRUNCATED-CORRELATION PHOTOTHERMAL COHERENCE TOMOGRAPHY | 1 |
John Kaippallimalil | US | Richardson | 2015-09-03 / 20150249999 - System and Method for Connection Rehoming | 23 |
Raymond G. Kairawicz | US | Watertown | 2014-07-03 / 20140186666 - Modular CID Assembly for a Lithium Ion Battery | 4 |
Brad A. Kairdolf | US | Lilburn | 2014-11-06 / 20140329716 - DEVICES HAVING A CALIBRATION CONTROL REGION, SYSTEMS AND METHODS FOR IMMUNOHISTOCHEMICAL ANALYSES | 5 |
Isaac Kairey | US | Eatontown | 2011-02-17 / 20110037245 - Compass prayer book | 1 |
Suzanne Kairo | US | Houston | 2009-01-08 / 20090012746 - Predicting Sand-Grain Composition and Sand Texture | 1 |
Ryan A. Kaisaer | US | Leesburg | 2012-02-16 / 20120041486 - Method and Apparatus for Fracture Fixation | 1 |
Annika Kaisdotter Andersson | SE | Vasteras | 2015-08-06 / 20150219620 - BREATH TEST SYSTEM | 2 |
Tetsuya Kaise | JP | Tokyo | 2015-12-31 / 20150378813 - SEMICONDUCTOR MEMORY CARD, METHOD FOR CONTROLLING THE SAME, AND SEMICONDUCTOR MEMORY SYSTEM | 6 |
Yasuyoshi Kaise | JP | Osaka-Shi | 2015-10-01 / 20150277194 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Seiichi Kaise | JP | Nirasaki-Shi | 2012-11-22 / 20120292290 - SUBSTRATE PROCESSING METHOD, SYSTEM AND PROGRAM | 2 |
Fumihiko Kaise | JP | Tokyo | 2009-08-20 / 20090210645 - Recording control apparatus, one-time recording medium, recording system, and recording medium control method and program | 3 |
Chihiro Kaise | JP | Tokyo | 2011-04-07 / 20110081402 - Cosmetic base comprising collagen-modified liposome and skin cosmetic containing the same | 1 |
Naoki Kaise | JP | Ritto-Shi | 2011-03-24 / 20110069235 - Excellently Operable Projection Image Display Apparatus | 3 |
Yasuyoshi Kaise | JP | Osaka | 2015-07-16 / 20150198850 - LIQUID CRYSTAL DISPLAY DEVICE | 14 |
Takashi Kaise | JP | Tokyo | 2015-03-26 / 20150084564 - CONTROL DEVICE OF FAN MOTOR | 1 |
Tatsuya Kaise | JP | Tokyo | 2011-06-23 / 20110153896 - SEMICONDUCTOR MEMORY CARD, METHOD FOR CONTROLLING THE SAME, AND SEMICONDUCTOR MEMORY SYSTEM | 2 |
Yasuyoshi Kaise | JP | Mie | 2010-05-13 / 20100118248 - ACTIVE MATRIX TYPE LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Kikuo Kaise | JP | Kanagawa | 2011-10-13 / 20110249304 - Image reading apparatus and optical module using the same | 4 |
Naoki Kaise | JP | Higashi Osaka-Shi | 2009-11-12 / 20090278999 - Video Projector | 1 |
Seiichi Kaise | JP | Miyagi | 2015-02-12 / 20150040950 - PURGING APPARATUS AND PURGING METHOD FOR SUBSTRATE STORAGE CONTAINER | 2 |
Seiichi Kaise | JP | Yamanashi | 2012-02-23 / 20120046774 - SUBSTRATE PROCESSING APPARATUS, CONTROL METHOD ADOPTED IN SUBSTRATE PROCESSING APPARATUS AND PROGRAM | 1 |
Yasuyoshi Kaise | JP | Osaka | 2015-07-16 / 20150198850 - LIQUID CRYSTAL DISPLAY DEVICE | 14 |
Tomohiro Kaise | JP | Kanagawa | 2015-10-01 / 20150274989 - Metal Surface Processing Agent | 1 |
Yasuyoshi Kaise | JP | Osaka-Shi | 2015-10-01 / 20150277194 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Pierre J. Kaiser | US | Portland | 2014-07-31 / 20140210899 - CALIBRATION OF A RETRO-REFLECTIVE SENSOR | 3 |
Liselotte Kaiser | SE | Tyreso | 2014-12-11 / 20140364330 - Multiplexed Olfactory Receptor-Based Microsurface Plasmon Polariton Detector | 2 |
Nancy-Hope E. Kaiser | US | Pontoon Beach | 2015-11-12 / 20150320041 - NON-AEROSOL FOAMING ALCOHOL HAND SANITIZER | 4 |
Winfried Kaiser | DE | Wildberg | 2009-05-07 / 20090118595 - SENSOR FOR ACQUIRING PHYSIOLOGICAL SIGNALS OF A PATIENT | 1 |
Edward L. Kaiser | US | Orion | 2015-04-23 / 20150108866 - ROTOR ASSEMBLY FOR ELECTRIC MACHINE HAVING MECHANICAL RETENTION SYSTEM FOR MAGNETS | 48 |
Florian Kaiser | DE | Mannhiem | 2012-02-02 / 20120030841 - Isoxazoline compounds for combating invertebrate pests | 1 |
Clemens Kaiser | GB | Buckinghamshire | 2013-05-09 / 20130116554 - X-RAY IMAGING AT LOW CONTRAST AGENT CONCENTRATIONS AND/OR LOW DOSE RADIATION | 1 |
Michael Kaiser | DE | Koblenz | 2012-02-09 / 20120032414 - SEATPOST | 1 |
Christof Kaiser | NL | Eindhoven | 2014-01-23 / 20140025731 - Page Server for Navigation Data | 2 |
Benjamin J. Kaiser | US | Portland | 2013-05-16 / 20130118097 - MODULAR SAFETY SYSTEM | 1 |
Carlo Kaiser | NL | Gt Roden | 2011-04-07 / 20110079715 - COMPACT IMAGE INTENSIFIER TUBE AND NIGHT VISION SYSTEM FITTED WITH SUCH A TUBE | 1 |
Sven Alexander Kaiser | DE | Winnenden | 2013-09-05 / 20130228147 - HOLLOW PLASTIC PIECE | 1 |
Clive Kaiser | US | Milton-Freewater | 2012-02-16 / 20120042420 - FLEXIBLE FILMS AND METHODS OF MAKING AND USING FLEXIBLE FILMS | 1 |
Ute Kaiser | DE | Ulm | 2012-07-26 / 20120189813 - TEM-Lamella, Process for its Manufacture, and Apparatus for Executing the Process | 1 |
Joseph G. Kaiser | US | Barrington | 2016-04-14 / 20160101599 - METAL LAMINATE WITH METALLURGICAL BONDS AND REDUCED DENSITY METAL CORE LAYER AND METHOD FOR MAKING THE SAME | 2 |
Trent Michael Victor Kaiser | CA | Edmonton | 2014-03-27 / 20140083692 - METHOD FOR CONTROLLING FLUID INTERFACE LEVEL IN GRAVITY DRAINAGE OIL RECOVERY PROCESSES WITH CROSSFLOW | 2 |
Hans-Günter Kaiser | DE | Wuppertal | 2011-02-10 / 20110031767 - OPENING AND/OR CLOSING MECHANISM ON DOORS, GATES/FLAPS OR THE LIKE, IN PARTICULAR ON MOTOR VEHICLES | 5 |
Bronwyn Annette Kaiser | ZA | Springs | 2012-03-08 / 20120055717 - POLYCRYSTALLINE DIAMOND ELEMENT | 1 |
Monika Kaiser | DE | Bad Schoenbom | 2010-04-01 / 20100082497 - Providing Foundation Application as Enterprise Services | 1 |
Nick Kaiser | US | Batesville | 2012-03-15 / 20120060334 - CREMATION CONTAINER | 1 |
Joerg Kaiser | DE | Eggenstein | 2014-06-26 / 20140178720 - MEASURING METHOD FOR AN ELECTROCHEMICAL ENERGY STORAGE DEVICE AND MEASURING APPARATUS | 10 |
Thorsten Kaiser | DE | Antdorf | 2016-04-14 / 20160102149 - OPTIMIZING THE PRODUCTION OF ANTIBODIES | 2 |
Daniel Walter Kaiser | US | Palo Alto | 2016-02-04 / 20160030743 - SYSTEMS AND METHODS TO OPTIMIZE ANTI-TACHYCARDIAL PACING (ATP) | 1 |
Eduard Kaiser | DE | Forchheim | 2008-09-11 / 20080222564 - Hearing Aid Impression Buildability Index Computation Tool | 1 |
Joseph Kaiser | US | Bothell | 2012-07-12 / 20120179752 - SYSTEMS AND METHODS FOR CONSUMER-GENERATED MEDIA REPUTATION MANAGEMENT | 1 |
Christopher Charles Kaiser | US | Holliston | 2015-06-25 / 20150174380 - CUFF-RESISTANT ANCHORING BALLOON FOR MEDICAL DEVICE | 2 |
Thomas Kaiser | DE | Hohlstedt | 2015-07-16 / 20150198604 - ASSAYS | 11 |
Thomas Kaiser | ES | Aranjuez (madrid) | 2010-12-02 / 20100301733 - SPARK PLUG HAVING A REDUCED PHYSICAL VOLUME | 1 |
Thomas Kaiser | DE | Munchen | 2010-03-11 / 20100063708 - Diesel cycle internal combustion engine | 1 |
Thomas Kaiser | DE | Denkendorf | 2013-11-28 / 20130317723 - METHOD FOR DETERMINING A TYPE OF AIR-FUEL MIXTURE ERROR | 4 |
Thomas Kaiser | ES | Alcala De Henares (madrid) | 2010-02-25 / 20100045156 - Electrode for a spark plug | 1 |
Eva Kaiser | DE | Kelkheim | 2015-09-17 / 20150257520 - HEAD FOR AN ORAL CARE IMPLEMENT | 2 |
Thomas Kaiser | ES | Madrid | 2010-01-28 / 20100019643 - Sparkplug, in Particular for High Combustion Chamber Pressures | 1 |
Thomas Kaiser | DE | Stuttgart | 2010-01-14 / 20100007257 - Spark Plug | 1 |
Thomas Kaiser | DE | Hassloch | 2012-11-08 / 20120280228 - METAL OXIDE FIELD EFFECT TRANSISTORS ON A MECHANICALLY FLEXIBLE POLYMER SUBSTRATE HAVING A DIE-LECTRIC THAT CAN BE PROCESSED FROM SOLUTION AT LOW TEMPERATURES | 3 |
Thomas Kaiser | US | Boulder | 2009-07-02 / 20090165921 - COCHLEAR IMPLANT ASSEMBLY | 1 |
Thomas Kaiser | DE | Berlin | 2009-01-15 / 20090014311 - APPARATUS FOR AND METHOD OF TREATING WASTE CONTAINING PLASTIC MATERIAL | 1 |
Peter M. Kaiser | US | Edina | 2016-02-18 / 20160048894 - SYSTEM AND METHOD FOR INTERNET-BASED CUSTOMER CHECK-IN | 1 |
Hans Kaiser | DE | Neusass | 2011-10-20 / 20110253601 - AIR JET SIEVE DEVICE | 1 |
Lars Kaiser | DE | Markgroeningen | 2011-10-20 / 20110257853 - DRIVER ASSISTANCE SYSTEM AND METHOD FOR ADJUSTING A DRIVER ASSISTANCE SYSTEM | 1 |
Steward Kaiser | US | Boca Raton | 2011-10-20 / 20110254269 - HIGH EFFICIENCY COGENERATION SYSTEM AND RELATED METHOD OF USE | 1 |
Astrid Kaiser | DE | Berlin | 2014-10-09 / 20140303169 - Crystalline forms for 5-amino-2,3-dihydrophthalazine-1,4-dione sodium salt, pharmaceutical preparations containing the same and method for the production of said forms | 2 |
Stefan Kaiser | US | Greensboro | 2012-12-27 / 20120325950 - SHREDDER WITH MULTI-POINT CUTTERS | 1 |
Arnaud Kaiser | FR | Gif-Sur-Yvette | 2016-04-21 / 20160112300 - METHOD AND DEVICE FOR SELECTING A COMMUNICATION INTERFACE | 1 |
Roger Kaiser | US | Round Lake | 2014-07-31 / 20140213454 - METHODS TO INDUCE DROUGHT TOLERANCE IN CROPS | 2 |
Thomas Kaiser | BE | Antwerpen | 2014-06-19 / 20140172047 - IMPLANTABLE PULSE GENERATOR FOR STIMULATION OF A NEUROLOGICAL CELLULAR MASS | 1 |
Martin Kaiser | DE | Hassfurt | 2013-04-11 / 20130090540 - DEVICE FOR CLAMPING A HOSE LINE FOR DETERMINING THE CONCENTRATION OF A BLOOD CONSTITUENT | 2 |
Edward M. Kaiser | US | Redondo Beach | 2012-10-04 / 20120250150 - Projection Screen for Displaying Two-Dimensional and Three-Dimensional Motion Pictures and Method of Use Thereof | 2 |
Stefan Kaiser | DE | Bielefeld | 2012-12-20 / 20120319603 - METHOD TO SUPPLY POWER TO AN LED ARRAY AS WELL AS THE CIRCUIT ARRANGEMENT FOR IMPLEMENTING THE METHOD | 1 |
Thomas Kaiser | BE | Borgerhoot | 2014-06-12 / 20140163309 - IMPLANTABLE ACTUATOR FOR HEARING AID APPLICATION | 1 |
Gary F. Kaiser | US | Fort Collins | 2012-12-20 / 20120319410 - SYSTEM AND METHOD FOR THERMAL ENERGY STORAGE AND POWER GENERATION | 1 |
Robert J. Kaiser | US | Broomfield | 2012-12-20 / 20120322855 - Duplex Oligonucleotide Complexes and Methods for Gene Silencing by RNA Interference | 1 |
Christian M. Kaiser | US | San Jose | 2016-05-12 / 20160132267 - STORAGE NETWORK DATA ALLOCATION | 8 |
Hans-Dieter Kaiser | DE | Dormitz | 2015-11-26 / 20150338835 - APPARATUS AND METHOD FOR A SECURITY-CRITICAL APPLICATION | 1 |
Robert Kaiser | US | Boise | 2013-02-14 / 20130038612 - AUTOMATIC GENERATION OF TREND CHARTS | 1 |
Melanie Kaiser | DE | Grosskarolinenfeld | 2013-02-14 / 20130041193 - METHOD FOR PRODUCING A COMPOSITE MATERIAL | 1 |
Brent Kaiser | AU | Eden Hills | 2013-02-14 / 20130042373 - CATION CHANNEL ACTIVITY | 1 |
Alan Todd Kaiser | US | San Jose | 2013-02-14 / 20130041902 - TRAVEL SERVICES SEARCH | 1 |
Peter Robert Lawrence Kaiser | CA | Kinburn | 2014-07-31 / 20140209284 - MANUFACTURING PROCESS AND HEAT DISSIPATING DEVICE FOR FORMING INTERFACE FOR ELECTRONIC COMPONENT | 2 |
Peter Kaiser | GB | Lauder | 2012-04-26 / 20120102583 - RESISTANCE TO BACTERIAL INFECTION | 1 |
Rudi Kaiser | KR | Yongin-Si | 2012-05-24 / 20120125129 - PROTECTIVE DEVICE FOR A TEST INSTALLATION | 1 |
Jeffrey M. Kaiser | US | Highland | 2016-03-31 / 20160090101 - SPARK CONTROL SYSTEMS AND METHODS FOR ENGINE TORQUE ESTIMATION | 39 |
Carl Eric Kaiser | US | Lebanon | 2013-05-30 / 20130134233 - METHODS, DEVICES, COMPOSITIONS AND SYSTEMS FOR IMPROVED SCENT DELIVERY | 1 |
Sven Alexander Kaiser | DE | Waiblingen | 2012-12-13 / 20120312270 - INTAKE MANIFOLD SECTION AND INTAKE SYSTEM | 1 |
Timothy W. Kaiser | US | Sedan | 2015-11-19 / 20150327683 - SUPPORT ASSEMBLY | 1 |
Gunter Kaiser | DE | Jettingen | 2011-11-03 / 20110267158 - RELAY WITH INTEGRATED SAFETY WIRING | 2 |
Heinz-Georg Kaiser | DE | Wettringen | 2016-02-04 / 20160033072 - SHORT LINER FOR SEWER REHABILITATION | 1 |
Karl Kaiser | AT | Wiener Neustadt | 2012-12-06 / 20120310438 - HIGH DYNAMIC DC-VOLTAGE CONTROLLER FOR PHOTOVOLTAIC INVERTER | 1 |
Kimberly Kaiser | US | Lincoln Park | 2015-04-09 / 20150099419 - INTERACTIVE OFFICE PLAY STATION | 1 |
Thomas Kaiser | AT | Vorchdorf | 2014-05-15 / 20140135160 - TOOTHED WHEEL | 1 |
Norbert Kaiser | DE | Bad Soden | 2013-02-28 / 20130054100 - METHOD FOR OPERATING AN ENGINE | 1 |
Andreas Kaiser | CH | Zurich | 2014-10-09 / 20140300078 - DEVICE FOR THE PROPULSION AND ECCENTRIC BRAKING OF A VEHICLE | 2 |
Ulrich Kaiser | CH | Basel | 2016-01-28 / 20160025527 - Method for Selecting a Field Device for Ascertaining at Least One Process Parameter of a Measured Material in Process and Automation Technology | 9 |
Andreas Kaiser | FR | Villeneuve D'Ascq | 2016-02-18 / 20160047692 - Terahertz Imager | 8 |
Stefan Kaiser | CH | Binningen | 2009-08-06 / 20090198055 - METHOD OF SYNTHESIZING TETRAHYDROBIOPTERIN | 1 |
Daniel R. Kaiser | US | Saint Paul | 2009-08-06 / 20090198298 - METHODS AND SYSTEMS FOR USE IN SELECTING CARDIAC PACING SITES | 1 |
Roman Kaiser | CH | Uster | 2008-10-23 / 20080261859 - 4-Hepten-2-Yl Salicylate and Its Use as Fragrance Ingredient | 2 |
Heribert Kaiser | DE | Duesseldorf | 2009-06-18 / 20090156454 - GRANULATES FOR A SENSITIVE WASHING- OR CLEANING AGENT CONTAINING MATERIAL | 3 |
William Kaiser | US | San Jose | 2014-03-13 / 20140074094 - METHOD AND APPARATUS FOR PERFORMING ARTHROSCOPIC MICROFRACTURE SURGERY | 8 |
Toralf Kaiser | DE | Birkenwerder | 2014-02-20 / 20140050612 - FLOW CYTOMETER DISINFECTION MODULE | 2 |
Kristen J. Kaiser | US | Chicago | 2008-10-30 / 20080264964 - Serially Connected Packets with Grasping Portion | 1 |
Jon D. Kaiser | US | Mill Valley | 2015-09-10 / 20150250761 - COMPOSITIONS AND METHODS FOR TREATMENT OF CHRONIC FATIGUE | 8 |
Dirk Kaiser | DE | Eppertshausen | 2015-09-10 / 20150250820 - SUBSTANCE FOR THE TREATMENT OF INFECTIONS | 8 |
Stewart Kaiser | US | Boca Raton | 2015-07-23 / 20150204578 - HEAT AND ENERGY RECOVERY AND REGENERATION ASSEMBLY, SYSTEM AND METHOD | 19 |
John Joseph Kaiser | US | Dunlap | 2009-01-01 / 20090007231 - Secured systems and methods for tracking and management of logistical processes | 2 |
Kurt C. Kaiser | US | Monee | 2009-05-14 / 20090119829 - APPARATUS AND METHOD FOR RETROFITTING A BODY OF WATER WITH AN AREA OF LOWER DEPTH | 1 |
Pamela M. Kaiser | US | Chicago | 2009-11-05 / 20090272659 - Cosmetic case | 1 |
Doug Kaiser | US | Brunswick | 2013-03-28 / 20130074386 - SIGN CUBE SYSTEM | 1 |
Thomas Kaiser | US | Marion | 2015-09-03 / 20150245958 - ABSORBENT ARTICLE WITH MULTI-LAYER FOLDED ABSORBENT CORE | 1 |
Thomas Kaiser | BE | Borgerhout | 2015-09-03 / 20150245906 - IMPLANTABLE ACTUATOR FOR HEARING AID APPLICATION | 1 |
Nancy-Hope Elizabeth Kaiser | US | Pontoon Beach | 2009-12-03 / 20090298738 - Biodegradable scale control composition for use in highly concentrated Alkaline hard surface detergents | 5 |
Kersten Kaiser | DE | Rothenburg O.d. Tauber | 2012-05-31 / 20120132084 - PROCESSING CHAMBER, DEVICE FOR HOUSEHOLD AND INDUSTRIAL APPLICATION AND SORPTION CARTRIDGE | 3 |
Adam Kaiser | US | Everett | 2011-10-06 / 20110247010 - Device Control Call Allocation for Embedded System Design | 2 |
Jörg Kaiser | DE | Emmendingen | 2010-08-12 / 20100200751 - THERMAL IMAGING CAMERA COMPRISING A COVER PLATE | 1 |
Joachim Kaiser | DE | Darmstadt | 2016-05-19 / 20160141508 - MATERIALS FOR ELECTRONIC DEVICES | 30 |
Christian Kaiser | US | San Jose | 2016-04-28 / 20160119659 - AUDIO AND VIDEO DATA STREAMING FOR MEDIA EFFECTS | 11 |
Thomas Kaiser | DE | Hohlstedt | 2015-07-16 / 20150198604 - ASSAYS | 11 |
Willi Kaiser | DD | Baden | 2008-09-18 / 20080228091 - METHOD AND SYSTEM FOR PATIENT EVALUATION | 1 |
Dietmar Kaiser | DE | Wiesloch | 2014-01-02 / 20140006233 - Consistent Interface for Financial Accounting View of Cost Object Expense List and Financials View of Contract | 2 |
Willi Kaiser | DE | Emmendingen | 2011-08-18 / 20110201953 - METHOD AND SYSTEM FOR PATIENT EVALUATION | 2 |
Wolfgang Kaiser | DE | Garching | 2010-06-03 / 20100133121 - METHOD FOR EVALUATING TARGET MOLECULES | 1 |
Wolfgang Kaiser | DE | Burgebrach | 2009-10-29 / 20090268764 - Multisectional laser | 1 |
Werner Kaiser | DE | Erlangen | 2009-12-17 / 20090309047 - PARTICLE THERAPY SYSTEM | 5 |
William Kaiser | US | Los Angeles | 2015-12-24 / 20150366499 - SEM SCANNER SENSING APPARATUS, SYSTEM AND METHODOLOGY FOR EARLY DETECTION OF ULCERS | 8 |
Werner Kaiser | DE | Sundern | 2011-08-18 / 20110198786 - Method and device for the continuous creation of a bainite structure in a carbon steel, particularly in a strip steel | 2 |
Uwe Kaiser | DE | Rostock | 2010-02-04 / 20100029399 - ROTOR SHAFT FOR A WIND ENERGY PLANT AND WIND ENERGY PLANT | 1 |
William J. Kaiser | US | Los Angeles | 2015-10-15 / 20150289804 - NETWORKED SENSOR SYSTEMS FOR REMOTE PATIENT MONITORING | 11 |
Uwe Kaiser | DE | Marbach | 2010-07-08 / 20100170842 - Exchangeable Filter Insert | 1 |
Thorsten Kaiser | DE | Backnang | 2013-08-01 / 20130194972 - Network Reconfiguration Method | 2 |
Christian M. Kaiser | US | San Jose | 2016-05-12 / 20160132267 - STORAGE NETWORK DATA ALLOCATION | 8 |
Stephan Kaiser | DE | Altoetting | 2009-12-31 / 20090321016 - Epoxy-modified vinyl chloride-vinyl ester copolymer solid resin | 2 |
Stephan Kaiser | DE | Regensburg | 2015-08-20 / 20150236206 - OPTOELECTRONIC SEMICONDUCTOR CHIP, AND LIGHT SOURCE COMPRISING THE OPTOELECTRONIC SEMICONDUCTOR CHIP | 15 |
Stephan Kaiser | DE | Neumunster | 2009-06-11 / 20090145120 - METHOD AND CIRCUIT ARRANGEMENT OF THE SUPPLY OF PRESSUE MEDIUM TO AT LEAST TWO HYDRAULIC CONSUMERS | 1 |
Jörg Kaiser | DE | Kamenz | 2011-07-21 / 20110177385 - CURRENT COLLECTOR FOR AN ELECTROCHEMICAL CELL | 2 |
Hans-Günter Kaiser | DE | Wuppertal | 2011-09-29 / 20110236124 - DEVICE FOR MOUNTING AT LEAST ONE LEVER | 5 |
Matthias Kaiser | US | Mountain View | 2011-02-03 / 20110029912 - Identifying the Most Relevant Computer System State Information | 1 |
Christian Kaiser | US | San Jose | 2016-04-28 / 20160119659 - AUDIO AND VIDEO DATA STREAMING FOR MEDIA EFFECTS | 11 |
William Kaiser | US | San Jose | 2014-03-13 / 20140074094 - METHOD AND APPARATUS FOR PERFORMING ARTHROSCOPIC MICROFRACTURE SURGERY | 8 |
Steffen Kaiser | DE | Hanau | 2009-09-24 / 20090239732 - Optical component quartz glass | 2 |
Stefan Kaiser | DE | Augsburg | 2010-06-10 / 20100140974 - EMERGENCY FOLDING TOP | 1 |
Joseph R. Kaiser | US | Cottage Grove | 2011-05-05 / 20110100755 - BRAKE ASSEMBLY FOR A SELF-RETRACTING LIFELINE ASSEMBLY | 2 |
Joseph Robert Kaiser | US | Cottage Grove | 2015-11-26 / 20150337964 - DEVICE AND METHOD FOR EXTENDING THE LIFESPAN OF A SHAFT SEAL FOR AN OPEN-DRIVE COMPRESSOR | 2 |
Silvia Kaiser | DE | Hannover | 2009-06-04 / 20090139623 - PNEUMATIC VEHICLE TIRE WITH RUNFLAT CHARACTERISTICS | 1 |
Ronald Kaiser | DE | Berlin | 2016-04-14 / 20160103280 - METHOD FOR FABRICATING A MONOLITHIC OPTOELECTRONIC DEVICE | 2 |
Roman Kaiser | DE | Eschweiler | 2010-08-19 / 20100206169 - MIST ELIMINATOR SYSTEM FOR A GAS SCRUBBER | 1 |
Rolf Kaiser | DE | Augsburg | 2010-09-02 / 20100218490 - STATIC MIXING ELEMENT AND METHOD OF PRODUCING A STATIC MIXING ELEMENT | 4 |
Roger Kaiser | DE | Ludwigshafen | 2014-02-27 / 20140057822 - Container | 5 |
Robert Kaiser | DE | Wiesbaden | 2009-08-20 / 20090210879 - METHOD FOR DISTRIBUTING COMPUTING TIME IN A COMPUTER SYSTEM | 1 |
Richard Kaiser | DE | Bad Homburg | / - | 1 |
Ralf Kaiser | DE | Stuttgart | 2010-07-08 / 20100170842 - Exchangeable Filter Insert | 1 |
David H. Kaiser | US | Hillsborough | 2016-02-25 / 20160057458 - PLAYING AND EDITING LINKED AND ANNOTATED AUDIOVISUAL WORKS | 4 |
Timothy Roger Kaiser | US | Campbell | 2010-04-01 / 20100082426 - SITE ACTIVATION AND DEACTIVATION | 1 |
Tristan Kaiser | DE | Schweinfurt | 2011-02-24 / 20110045226 - Coating Arrangement | 2 |
Sylvian Kaiser | FR | Voiron | 2012-05-10 / 20120116735 - METHOD AND DEVICE FOR CREATING AND EXPLOITING THERMAL MODELS | 1 |
Daryl Arnold Kaiser | US | Los Gatos | 2009-06-11 / 20090147830 - Synchronization band selection of a frequency hopping wireless receiver | 2 |
Norbert Kaiser | DE | Jena | 2015-10-29 / 20150309214 - Method for Producing an Antireflection Layer on a Silicone Surface and Optical Element | 7 |
Kersten Kaiser | DE | Rothenburg | 2013-04-18 / 20130092034 - HOUSEHOLD APPLIANCE AND METHOD OF DISINFECTING A FUNCTIONAL SUB-UNIT OF A HOUSEHOLD APPLIANCE AND/OR REDUCING ODOURS | 2 |
William Kaiser | US | Mountain View | 2009-05-14 / 20090120819 - METHOD AND SYSTEM FOR PACKAGING OF MEDICAL DEVICES INCLUDING SHAPE MEMORY MATERIALS | 1 |
Nobert Kaiser | DE | Jena | 2009-01-08 / 20090009858 - Thermally Stable Multilayer Mirror for the EUV Spectral Range | 1 |
John E. Kaiser | US | Huntington Beach | 2009-02-26 / 20090050111 - Nested Three Chambers, Fluid Pulsation Dampener | 1 |
Michael Kaiser | DE | Heidelberg | 2014-01-23 / 20140022566 - METHOD FOR GENERATING DIGITAL IMAGING DATA, AND RASTER IMAGE PROCESSOR | 3 |
Thomas Kaiser | BE | Mechelen | 2013-06-06 / 20130144370 - COCHLEAR IMPLANT DRUG DELIVERY DEVICE | 2 |
Jon D. Kaiser | US | Mill Valley | 2015-09-10 / 20150250761 - COMPOSITIONS AND METHODS FOR TREATMENT OF CHRONIC FATIGUE | 8 |
Michael Kaiser | DE | Wuppertal | 2014-08-28 / 20140239661 - FLOOR LOCK FOR A MOTOR VEHICLE SEAT | 2 |
Mathias Kaiser | DE | Karlsbad | 2012-11-08 / 20120279448 - DEVICE FOR GENERATING PLASMA BY MEANS OF MICROWAVES | 4 |
Markus Kaiser | DE | Herdecke | 2010-01-28 / 20100022767 - DEVELOPMENT OF A SYNTHESIS OF SYRINGOLIN A AND B AND DERIVATIVES THEREOF | 1 |
Manfred Kaiser | DE | Kunzelsau | 2010-05-13 / 20100116633 - CIRCUIT ARRANGEMENT FOR LIMITING A VOLTAGE | 1 |
Karl Kaiser | US | Rossmoor | 2014-05-01 / 20140115762 - AQUATIC EYE COVER APPARATUS AND METHOD | 3 |
Sibylle Kaiser | DE | Zwingenberg | 2011-03-10 / 20110059084 - AGENT FOR TREATING DISEASE | 3 |
Lothar Kaiser | DE | Rheinfelden | 2010-07-08 / 20100171230 - Method for Production of Granular Sodium Percarbonate | 1 |
Klaus Kaiser | DE | Koln | 2010-04-15 / 20100093982 - DEVICE AND METHOD FOR PRECIPITATION OF PEPTIDES | 1 |
David Kaiser | DE | Rottenacker | 2015-05-07 / 20150122836 - DISPENSING MODULE | 3 |
Theo Kaiser | DE | Köfering | 2013-06-27 / 20130160608 - Process For Producing Silver Nanowires | 1 |
John Kaiser | US | Menlo Park | 2009-10-22 / 20090260418 - DISPOSABLE SENSOR FOR USE IN MEASURING AN ANALYTE IN A GASEOUS SAMPLE | 1 |
Richard E. Kaiser | US | Palo Alto | 2009-05-21 / 20090132432 - COMMODITY, PRICE AND VOLUME DATA SHARING SYSTEM FOR NON-PUBLICLY TRADED COMMODITIES | 1 |
Florian Kaiser | DE | Mannheim | 2015-12-31 / 20150376163 - Anthranilamide Compounds, Their Mixtures and the Use Thereof as Pesticides | 57 |
Pierre J. Kaiser | US | Vancouver | 2014-07-31 / 20140210895 - PRINTING SYSTEM WITH FORCE CONTROL MODE | 2 |
Klaus Kaiser | DE | Gladbach | 2009-11-19 / 20090286318 - Modules for Membrane Aeration | 1 |
Karl-Heinz Kaiser | DE | Schwanenkirchen | 2009-11-26 / 20090289468 - Convertible top for a convertible vehicle | 1 |
Matthias U. Kaiser | US | Mountain View | 2008-10-23 / 20080263086 - Systems and methods for information exchange using object warehousing | 1 |
Andreas Kaiser | DE | Werneck | 2015-12-03 / 20150343841 - WHEEL HUB ROLLING BEARING UNIT WITH A SIGNAL EMITTER ON A HIGHER AREA OF AN INNER RING WITHIN A SEALING CAP HAVING A PROTRUSION FOR SECURING A SENSOR | 5 |
Gunilla Kaiser | DE | Leimen | 2010-12-02 / 20100300181 - Metering Station and Process for Metering Highly Viscous Liquids | 2 |
Tim Kaiser | US | Campbell | 2011-05-19 / 20110119128 - Advertising Exchange System to Share Online Audiences | 1 |
Stephanie A Kaiser | US | Chicago | 2009-11-05 / 20090272659 - Cosmetic case | 1 |
Markus Kaiser | DE | Schonenberg | 2012-09-27 / 20120240347 - APPARATUS AND METHOD FOR PRODUCING ROUND BRUSHES | 1 |
Josef Kaiser | DE | Regensburg | 2014-06-19 / 20140165377 - BEARING PLATE WITH PRESSURE ELEMENTS | 2 |
Jörg Kaiser | DE | Emmendingen | 2010-08-12 / 20100200751 - THERMAL IMAGING CAMERA COMPRISING A COVER PLATE | 1 |
Mark Kaiser | US | Prospect Heights | 2011-06-23 / 20110147038 - OXIDATION-RESISTANT HIGH TEMPERATURE WIRES AND METHODS FOR THE MAKING THEREOF | 6 |
Nancy E. Kaiser | US | Pontoon Beach | 2012-10-18 / 20120264673 - Environmentally friendly, multi-purpose refluxing cleaner | 2 |
Jörg Kaiser | DE | Kamenz | 2010-06-17 / 20100151300 - DEVICE FOR STORING ELECTRICAL ENERGY | 1 |
Jorg Kaiser | DE | Lonnerstadt | 2009-12-31 / 20090321211 - CLAMPING DRIVE WITH MECHANICAL DAMPING FOR A TRACTION MECHANISM DRIVE | 1 |
Joerge Kaiser | DE | Emmendingen | 2009-01-29 / 20090025229 - Dry Shaver with Pivotal Shaving Head | 1 |
Herbert J. Kaiser | US | Pontoon Beach | 2014-09-25 / 20140287154 - REACTIVE SURFACE COATING HAVING CHEMICAL DECONTAMINATION AND BIOCIDAL PROPERTIES | 5 |
Joerg Kaiser | DE | Kamenz | 2012-11-29 / 20120301776 - BATTERY CELL HAVING ANGLED CONTACT SECTION | 7 |
Joerg Kaiser | DE | Lonnerstadt | 2011-08-25 / 20110207567 - LINEARLY ACTING TENSIONING ELEMENT | 3 |
Jens Kaiser | DE | Offenbach/main | 2009-12-10 / 20090301649 - Manufacturing method for packaging and advertising means | 1 |
Hinnerk Kaiser | DE | Hanover | 2009-04-30 / 20090107601 - Tire tread having three different sipe types | 1 |
Heinz Kaiser | DE | Berlin | 2010-09-16 / 20100233336 - Method for Producing a Protein-Containing Food Ingredient Consisting of a Flax Coarse Meal | 1 |
Harry Kaiser | DE | Leimen | 2010-12-09 / 20100311567 - REGENERATION OF ZEOLITE CARBONYLATION CATALYSTS | 2 |
Konrad Kaiser | DE | Geseke | 2009-06-04 / 20090139848 - WINDOW | 1 |
Jeffrey Kaiser | US | Roselle | 2011-04-14 / 20110084650 - BATTERY CHARGER | 1 |
Hans Kaiser | CH | Leuzigen | 2012-02-09 / 20120030955 - Motor Driver Power Tool | 15 |
Harry Kaiser | DE | Ilsfeld | 2010-08-05 / 20100192696 - CONNECTION UNIT FOR A PRESSURE MEASURING CELL | 1 |
Harry Kaiser | DE | Markgroeningen | 2014-03-27 / 20140084908 - POSITION ENCODER AND ASSOCIATED PEDAL UNIT | 4 |
Hanns M. Kaiser | DE | Hamburg | 2014-02-27 / 20140058106 - SYSTEM FOR FLUORINATING ORGANIC COMPOUNDS | 2 |
Wolfgang Kaiser | CH | Zurich | 2013-01-31 / 20130028283 - HIGH SPEED VERTICAL-CAVITY SURFACE-EMITTING LASER | 2 |
Frijof Kaiser | DE | Neubiberg | 2008-11-06 / 20080276198 - Method for Controlling a Device | 1 |
Florian Kaiser | DE | Mannheim | 2015-12-31 / 20150376163 - Anthranilamide Compounds, Their Mixtures and the Use Thereof as Pesticides | 57 |
Dirk Kaiser | DE | Eppertshausen | 2015-09-10 / 20150250820 - SUBSTANCE FOR THE TREATMENT OF INFECTIONS | 8 |
Nadja Kaiser | DE | Koblez | 2011-01-13 / 20110005387 - Piston-Cylinder Unit | 1 |
Daryl A. Kaiser | US | Los Gatos | 2012-04-05 / 20120081249 - RADIOLOCATION USING PATH LOSS DATA | 1 |
Laura R. Kaiser | US | Raleigh | 2014-12-25 / 20140379818 - AGGREGATING MESSAGE RESPONSES INTO TOPIC-ORGANIZED CONTENT | 2 |
Rolf Kaiser | DE | Burgrieden | 2013-09-12 / 20130237549 - Pharmaceutical combination | 2 |
Christian Kaiser | DE | Arnsberg | 2010-03-04 / 20100058460 - SYSTEM AND METHOD FOR AUTHENTICATING AN END USER | 1 |
Axel Kaiser | DE | Neuss | 2016-01-28 / 20160024941 - POROUS CERAMIC LAYER SYSTEM | 6 |
Alexander Kaiser | DE | Fellbach | 2013-08-29 / 20130219864 - Method for Operating an Internal Combustion Engine | 4 |
Joerg Kaiser | DE | Emmendingen | 2014-07-03 / 20140182135 - Dry Shaver with Pivotal Shaving Head | 2 |
Ian Kaiser | US | Malibu | 2011-09-08 / 20110214544 - FILM CUTTER ASSEMBLY | 2 |
Izhak Kaiser | IL | Petach Tikva | 2015-12-03 / 20150345177 - ELIMINATING MAXIMUM ADJACENT CUT SPECIFICATION RESTRICTIONS FOR TELESCOPING PINS | 1 |
Joerg Kaiser | DE | Schwieberdingen | 2012-12-20 / 20120318551 - Hand-Power Tool Comprising an Oscillation-Damping Device | 3 |
Matthias Kaiser | DE | Walldorf | 2014-10-02 / 20140297574 - PROBABILISTIC LANGUAGE MODEL IN CONTEXTUAL NETWORK | 2 |
James Kaiser | US | Saint David | 2012-03-29 / 20120075117 - ALERT SYSTEM FOR DETECTING AN EMERGENCY VEHICLE | 1 |
Markus Kaiser | DE | Muenster | 2012-09-20 / 20120237903 - DENTAL MODEL | 1 |
James M. Kaiser | US | Harrisburg | 2011-06-02 / 20110129413 - Bioconjugation of Calcium Phosphosilicate Nanoparticles For Selective Targeting of Cells In Vivo | 1 |
William Kaiser | US | Campbell | 2016-05-12 / 20160128682 - METHOD AND APPARATUS FOR ATTACHING TISSUE TO BONE, INCLUDING THE PROVISION AND USE OF A NOVEL KNOTLESS SUTURE ANCHOR SYSTEM, INCLUDING A NOVEL LOCKING ELEMENT | 6 |
Edward C. Kaiser | US | Seattle | 2008-09-11 / 20080221893 - SYSTEM AND METHOD FOR DYNAMIC LEARNING | 1 |
Nicholas Kaiser | US | Batesville | 2008-10-02 / 20080236053 - ROOM DISPLAY FOR FUNERARY PRODUCTS | 1 |
David A. Kaiser | US | Churchville | 2014-09-04 / 20140249444 - BURST SUPPRESSION MONITOR FOR INDUCED COMA | 2 |
Mary E. Kaiser | US | Madison | 2014-01-16 / 20140018332 - METHODS OF USE TO ORALLY AND TOPICALLY TREAT ACNE AND OTHER SKIN CONDITIONS BY ADMINISTERING A 19-NOR CONTAINING VITAMIN D ANALOG WITH OR WITHOUT A RETINOID | 3 |
Winfried Kaiser | DE | Aalen-Unterkochen | 2011-08-18 / 20110200946 - MICROLITHOGRAPHY PROJECTION EXPOSURE APPARATUS HAVING AT LEAST TWO OPERATING STATES | 1 |
Willi Kaiser | DE | Freidburg | 2011-08-18 / 20110201954 - METHOD AND SYSTEM FOR PATIENT EVALUATION | 1 |
Evan Robert Kaiser | US | Seekonk | 2008-11-06 / 20080273241 - Apparatus to enable an automobile driver to see above oversized vehicles and other obstacles | 1 |
Stefan Kaiser | DE | Dinkelscherben | 2011-08-18 / 20110198901 - Roller Blind Arrangement | 1 |
Edward L. Kaiser | US | Rochester Hills | 2008-12-11 / 20080303368 - MULTI-LAYER MAGNET ARRANGEMENT IN A PERMANENT MAGNET MACHINE FOR A MOTORIZED VEHICLE | 1 |
Nils Kaiser | DE | Bietigheim-Bissingen | 2014-12-04 / 20140356745 - ENERGY SYSTEM HAVING A FUEL CELL ARRANGEMENT | 2 |
Garry R. Kaiser | US | Spring | 2012-06-14 / 20120145403 - Intelligent Pressure Actuated Release Tool | 2 |
Hans-Günter Kaiser | DE | Wuppertal | 2011-02-10 / 20110031767 - OPENING AND/OR CLOSING MECHANISM ON DOORS, GATES/FLAPS OR THE LIKE, IN PARTICULAR ON MOTOR VEHICLES | 5 |
Rolf Kaiser | US | Bellevue | 2009-01-01 / 20090006321 - SYSTEM AND METHODS FOR THE AUTOMATIC TRANSMISSION OF NEW, HIGH AFFINITY MEDIA | 1 |
Todd J. Kaiser | US | Bozeman | 2009-03-12 / 20090065429 - STIFFENED SURFACE MICROMACHINED STRUCTURES AND PROCESS FOR FABRICATING THE SAME | 1 |
Michael W. Kaiser | US | Madison | 2009-06-04 / 20090142754 - RNA Detection Assays | 2 |
Carl Eric Kaiser | US | Mason | 2013-01-17 / 20130017123 - METHOD OF FRESHENING AIR | 5 |
Edward C. Kaiser | US | Portland | 2009-07-30 / 20090193342 - SYSTEM AND METHOD FOR DOCUMENT MARKUP | 1 |
James M. Kaiser | US | Austin | 2016-01-21 / 20160015931 - INTEGRATED CLEANING AND DISINFECTION DEVICE, SYSTEM AND METHOD | 2 |
Donald Kaiser | US | Clarence Center | 2009-09-24 / 20090239141 - BATTERY LID WITH INTEGRAL THICK BOSS SURROUNDING A TERMINAL HOLE | 2 |
Rock R. Kaiser | US | Greencastle | 2009-10-01 / 20090246363 - METHOD FOR REDUCING THE ICE, SNOW AND DUST ADHESION TO A SURFACE | 1 |
Martin Kaiser | DE | Limburgerhof | 2013-08-15 / 20130212066 - SYSTEM AND METHOD UTILIZING A GENERIC UPDATE MODULE WITH RECURSIVE CALLS | 1 |
Robert William Kaiser | US | Boise | 2009-11-19 / 20090287630 - BUILDING A KNOWLEDGEBASE OF ASSOCIATED TIME-BASED EVENTS | 1 |
William J. Kaiser | US | Los Angeles | 2015-10-15 / 20150289804 - NETWORKED SENSOR SYSTEMS FOR REMOTE PATIENT MONITORING | 11 |
David L. Kaiser | US | Fort Worth | 2009-11-19 / 20090287450 - VISION SYSTEM FOR SCAN PLANNING OF ULTRASONIC INSPECTION | 3 |
Bernd Kaiser | US | Plainsboro | 2009-12-10 / 20090306079 - 3,4-DI-SUBSTITUTED CYCLOBUTENE-1,2-DIONES AS CXC-CHEMOKINE RECEPTOR LIGANDS | 1 |
Ed Kaiser | US | Beaverfor | 2010-02-04 / 20100031315 - Systems and methods for protecting against denial of service attacks | 1 |
Lisa M. Kaiser | US | Parsippany | 2010-02-11 / 20100035215 - Machinable Porcelain Compositions and Mill Blanks Thereof | 1 |
Robert T. Kaiser | US | St. George | 2012-12-20 / 20120323148 - METHOD AND APPARATUS FOR PROVIDING A DYNAMICALLY LOADED FORCE AND/OR A STATIC PROGRESSIVE FORCE TO A JOINT OF A PATIENT | 2 |
William E. Kaiser | US | Anderson | 2010-09-09 / 20100224699 - PAINT SPRAYER | 1 |
James M.d. Kaiser | US | Harrisburg | 2014-06-05 / 20140154325 - IN VIVO PHOTODYNAMIC THERAPY OF CANCER VIA A NEAR INFRARED AGENT ENCAPSULATED IN CALCIUM PHOSPHATE NANOPARTICLES | 2 |
Robert Kaiser | US | Winchester | 2010-10-07 / 20100252066 - Method and apparatus for decontamination of sensitive equipment | 3 |
Udo Kaiser | DE | Bochum | 2011-07-28 / 20110181071 - GLASS SEAL | 1 |
Garry Kaiser | US | Spring | 2013-01-24 / 20130020094 - Gun Upset and No-Go System for Deployment of Perforating Gun Assemblies | 2 |
Geoffrey Wayne Kaiser | US | Westborough | 2010-11-04 / 20100277826 - HEAD RADIAL POSITIONING OVER CONTACT-START-STOP ZONE DURING SPIN-UP/SPIN-DOWN OF DISK | 1 |
Fredrick Kaiser | US | Bellingham | 2010-11-04 / 20100275968 - SOLAR POWER SYSTEMS OPTIMIZED FOR USE IN COLD WEATHER CONDITIONS | 1 |
Liselotte Kaiser | SE | Stockholm | 2009-06-18 / 20090156427 - Bio-Sensing Nanodevice | 1 |
Eward L. Kaiser | US | Rochester Hills | 2008-11-20 / 20080282531 - CONCENTRATED WINDING MACHINE WITH MAGNETIC SLOT WEDGES | 1 |
Richard J. Kaiser | US | Allentown | 2012-03-22 / 20120067916 - COMESTIBLE DISPENSING PACKAGE | 3 |
Micah J. Kaiser | US | Westland | 2014-01-30 / 20140032769 - Method and Apparatus for Communication Between a Vehicle Based Computing System and a Remote Application | 8 |
Richard S. Kaiser | US | Plymouth Meeting | 2008-10-02 / 20080243095 - Method and Apparatus for Ophthalmic Medication Delivery and Ocular Wound Recovery | 1 |
David W. Kaiser | US | Centralia | 2008-12-25 / 20080316523 - IDENTIFICATION CARD MANUFACTURING SECURITY | 1 |
David W. Kaiser | US | North Haven | 2009-07-30 / 20090188116 - FLOOR SCRAPER | 2 |
Kasey T. Kaiser | US | Cincinnati | 2010-02-18 / 20100037481 - Method of Using a Moisture Meter During a Laundering Process | 1 |
Werner A. Kaiser | DE | Jena | 2012-09-13 / 20120229766 - METHOD AND DEVICE FOR DETECTING DEPOSITS IN THE EYE | 1 |
Rodney F. Kaiser | US | Syracuse | 2016-02-18 / 20160045837 - Variable Speed System to Motorize Counterweight Line Sets | 2 |
Michael Kaiser | US | Madison | 2011-05-05 / 20110104682 - RNA DETECTION ASSAYS | 2 |
Richard James Kaiser | US | Allentown | 2008-12-18 / 20080311254 - Extinguisher Food Product and Method of Manufacture | 1 |
John M. Kaiser | US | Manheim | 2015-12-10 / 20150352163 - EDIBLE PRODUCTS HAVING A HIGH COCOA POLYPHENOL CONTENT AND IMPROVED FLAVOR AND THE MILLED COCOA EXTRACTS USED THEREIN | 2 |
Rob Kaiser | US | Broomfield | 2012-09-27 / 20120245219 - MINOR GROOVE BINDER (MGB)-OLIGONUCLEOTIDE MIRNA ANTAGONISTS | 3 |
Jeffrey R. Kaiser | US | Little Rock | 2010-05-06 / 20100114263 - Phototherapy garment | 1 |
Karine Kaiser | US | New York | 2010-08-19 / 20100210004 - PLASMODIUM AXENIC LIVER STAGES AS A NONINFECTIOUS WHOLE ORGANISM MALARIA VACCINE | 1 |
Martina Spinatsch Kaiser | US | Phoenix | 2014-07-03 / 20140187466 - LAUNDRY DETERGENTS AND METHODS FOR MAKING LAUNDRY DETERGENTS CONTAINING METHYL ESTER ETHOXYLATES | 4 |
Kenneth Kaiser | US | Bear | 2015-07-02 / 20150184851 - POROUS MEDIA BURNER FOR LOW CALORIFIC VALUE FUEL GASES | 3 |
Willi Kaiser | DE | Freiburg | 2015-05-28 / 20150149940 - Medical Test Result Presentation | 1 |
Ulrich Kaiser | CH | Basel | 2016-01-28 / 20160025527 - Method for Selecting a Field Device for Ascertaining at Least One Process Parameter of a Measured Material in Process and Automation Technology | 9 |
Jeffrey Allen Kaiser | US | Cedar City | 2015-08-06 / 20150222116 - CONTROL BOARD FOR CONTROLLING CHANNEL SEQUENCING OF POSITIVE AND NEGATIVE DC VOLTAGE AND CURRENT | 1 |
Lizanne Kaiser | US | Richmond | 2012-01-12 / 20120010876 - VOICE INTEGRATION PLATFORM | 1 |
Timothy David Kaiser | US | Clarksville | 2015-07-30 / 20150214720 - Door Latch Interruption Upon Detection of Current Leakage | 1 |
Claus Kaiser | DE | Frankenthal | 2014-07-10 / 20140190538 - System for Protecting Stored Goods | 3 |
Fritjof Kaiser | DE | Neubiberg | 2013-10-31 / 20130284570 - APPLIANCE COMPRISING AN OPERATING UNIT | 2 |
Joerg Kaiser | DE | Eggenstein | 2014-06-26 / 20140178720 - MEASURING METHOD FOR AN ELECTROCHEMICAL ENERGY STORAGE DEVICE AND MEASURING APPARATUS | 10 |
Bernd Kaiser | US | Wallingford | 2011-09-01 / 20110213029 - 3,4-Di-Substituted Cyclobutene-1,2-Diones as CXC-Chemokine Receptor Ligands | 1 |
Lloyd Kaiser | AU | Western Australia | 2009-07-30 / 20090189113 - Suppression for Dust | 1 |
Tina M. Kaiser | US | Carmel | 2013-08-15 / 20130212747 - HERBICIDE TOLERANT COTTON EVENT pDAB4468.19.10.3 | 3 |
Joachim Kaiser | DE | Darmstadt | 2016-05-19 / 20160141508 - MATERIALS FOR ELECTRONIC DEVICES | 30 |
Joachim Kaiser | DE | Erlangen | 2012-11-29 / 20120297872 - METHOD AND ARRANGEMENT FOR MONITORING MOTORIZED EQUIPMENT COMPONENTS | 3 |
Joachim Kaiser | DE | Bremen | 2009-04-30 / 20090109115 - RADOME WITH INTEGRATED PLASMA SHUTTER | 1 |
Jean-Luc Kaiser | LU | Erpeldange | 2015-12-31 / 20150379362 - IMAGING DEVICE BASED OCCUPANT MONITORING SYSTEM SUPPORTING MULTIPLE FUNCTIONS | 5 |
Andreas Kaiser | DK | Roskilde | 2008-11-13 / 20080280186 - Method for the manufacture of contacts between electrochemically active discs and interconnectors in high temperature fuel cells | 1 |
Ian Reed Kaiser | US | Malibu | 2015-12-03 / 20150344256 - MATERIAL DISPENSER | 2 |
Jost Eberhard Kaiser | AU | Kew | 2011-03-10 / 20110056918 - PLASMA TORCH ASSEMBLY | 1 |
Andreas Kaiser | FR | Villeneuve D'Ascq | 2016-02-18 / 20160047692 - Terahertz Imager | 8 |
Clement Kaiser | FR | Montauban | 2016-01-07 / 20160004955 - METHOD FOR PRODUCING AN IDENTIFICATION AND AUTHENTICATION LABEL AND ASSOCIATED DEVICE | 6 |
Kersten Kaiser | DE | Rothenburg Ob Der Tauber | 2011-05-19 / 20110114620 - COOKING APPLIANCE | 2 |
Alexander Kaiser | DE | Schemmerhofen | 2015-10-08 / 20150289373 - METHOD FOR PRODUCING A FLEXIBLE CIRCUIT CONFIGURATION, FLEXIBLE CIRCUIT CONFIGURATION, AND ELECTRICAL CIRCUIT CONFIGURATION HAVING SUCH A FLEXIBLE CIRCUIT CONFIGURATION | 5 |
Gail Kaiser | US | Leonia | 2013-12-05 / 20130326332 - SYSTEMS AND METHODS FOR CONTENT EXTRACTION | 1 |
Edgar Kaiser | DE | Probsteierhagen | 2015-03-19 / 20150080893 - INTRAMEDULLARY NAIL LOCKING HOLE ARRANGEMENT | 3 |
Susanna Kaiser | DE | Gilching | 2014-04-10 / 20140100776 - METHOD FOR DETERMINING THE POSITION OF MOVING OBJECTS | 1 |
Gunter Kaiser | DE | Dresden | 2011-11-17 / 20110278963 - Electrodynamic Linear Oscillating Motor | 1 |
Theo Kaiser | DE | Köfering | 2013-06-27 / 20130160608 - Process For Producing Silver Nanowires | 1 |
Ed Kaiser | US | Bellevue | 2011-09-22 / 20110231913 - SYSTEM AND METHODS OF DETERMINING COMPUTATIONAL PUZZLE DIFFICULTY FOR CHALLENGE-RESPONSE AUTHENTICATION | 1 |
Larry Kaiser | US | Philadelphia | 2011-08-11 / 20110195053 - Treatment of Non-Neuronal Cancer using HSV-1 Variants | 1 |
Donald L. Kaiser | US | Kent | 2013-02-28 / 20130054176 - SYSTEMS AND METHODS FOR AUTOMATED ANOMALY LOCATION AND CLASSIFICATION | 1 |
Kimberly Jyl Kaiser | US | Kent | 2013-02-28 / 20130054176 - SYSTEMS AND METHODS FOR AUTOMATED ANOMALY LOCATION AND CLASSIFICATION | 1 |
Geoffrey Kaiser | US | Westborough | 2013-01-17 / 20130017421 - Battery Pack Safety Techniques | 1 |
Emma Kathleen Kaiser | US | La Grange Park | 2015-10-29 / 20150308385 - PRESSURE REDUCING DEVICE FOR GASEOUS FUEL SYSTEM | 1 |
Peter Kaiser | US | Irvine | 2015-10-29 / 20150307519 - SMALL MOLECULES FOR RESTORING FUNCTION TO P53 CANCER MUTANTS | 1 |
Devin Jay Kaiser | CA | Kitchener | 2014-09-18 / 20140267043 - ELECTRONIC DEVICE INCLUDING TOUCH-SENSITIVE KEYBOARD AND METHOD OF CONTROLLING SAME | 4 |
Todd Kaiser | US | Bayville | 2013-11-21 / 20130305459 - PORTABLE VARIABLE-POSITION HEADBOARD APPARATUS | 1 |
Daniel R. Kaiser | US | Plymouth | 2011-02-03 / 20110029034 - ALGORITHM TO MODULATE ATRIAL-VENTRICULAR DELAY AND RATE RESPONSE BASED ON AUTONOMIC FUNCTION | 5 |
Alexander Kaiser | DE | Heddesheim | 2014-10-16 / 20140308982 - ARRANGEMENT FOR PHYSICALLY LOCATING FIELD DEVICES IN PROCESS INSTALLATIONS | 3 |
Clayton A. Kaiser | US | Mountain View | 2014-04-17 / 20140107722 - MECHANISM FOR DETECTING CORONARY ISCHEMIA | 1 |
Daniel W. Kaiser | US | Nashville | 2014-04-17 / 20140107722 - MECHANISM FOR DETECTING CORONARY ISCHEMIA | 1 |
Lance Kaiser | US | Salem | 2011-12-22 / 20110309043 - UNIVERSAL PRODUCT DISPLAY AND SHELF THEREFOR | 2 |
Timotheus Kaiser | DE | Stuttgart | 2013-08-22 / 20130213218 - COOLING DUCT PISTON AND METHOD FOR PRODUCING THE SAME | 2 |
Eric A. Kaiser | US | Iowa City | 2012-11-22 / 20120294822 - USE OF ANTI-CGRP ANTIBODIES AND ANTIBODY FRAGMENTS TO TREAT DIARRHEA IN SUBJECTS WITH DISEASES OR TREATMENTS THAT RESULT IN ELEVATED CGRP LEVELS | 2 |
Moritz Kaiser | DE | Munchen | 2010-01-07 / 20100001294 - LED module having a heat sink | 1 |
Markus Kaiser | DE | Forchheim | 2015-01-15 / 20150015582 - METHOD AND SYSTEM FOR 2D-3D IMAGE REGISTRATION | 2 |
Ralf Kaiser | DE | Althuette | 2014-11-20 / 20140341255 - DEVICE FOR MEASURING A PRESSURE AND A TEMPERATURE OF A FLUID MEDIUM FLOWING IN A DUCT | 2 |
Rudi Kaiser | DE | Bamberg | 2015-10-22 / 20150303422 - BATTERY UNIT COMPRISING AN ACCOMMODATING DEVICE AND A PLURALITY OF ELECTROCHEMICAL CELLS AND BATTERY MODULE COMPRISING A PLURALITY OF SUCH BATTERY UNITS | 1 |
Ryan A. Kaiser | US | Leesburg | 2016-04-21 / 20160106414 - METHOD AND APPARATUS FOR COUPLING SOFT TISSUE TO A BONE | 42 |
David Richard Kaiser | US | Apple Valley | 2015-10-15 / 20150293705 - FORMATTING AND CAPACITY EXPANSION IN A DATA STORAGE DEVICE | 1 |
Tristan Kaiser | DE | Talheim | 2013-10-31 / 20130286494 - BEARING DEVICE AND SOLAR POWER PLANT UNIT USING THE SAME | 1 |
Andreas Kaiser | FR | Villeneuve D' Ascq | 2013-10-24 / 20130281039 - Reconfigurable Wide-Band Receiver with Positive Feed-Back Translational Loop | 1 |
William Kaiser | US | Los Angeles | 2015-12-24 / 20150366499 - SEM SCANNER SENSING APPARATUS, SYSTEM AND METHODOLOGY FOR EARLY DETECTION OF ULCERS | 8 |
Martin Kaiser | DE | Mannheim | 2014-12-04 / 20140359594 - REPOSITORY LAYER STRATEGY ADAPTATION FOR SOFTWARE SOLUTION HOSTING | 4 |
Stewart Ronald Kaiser | US | Boyton Beach | 2009-01-08 / 20090008463 - CLIMATE ZONE CONTROL | 1 |
Michael Kent Kaiser | US | Crestview | 2009-11-19 / 20090285450 - IMAGE-BASED SYSTEM AND METHODS FOR VEHICLE GUIDANCE AND NAVIGATION | 1 |
Bruce A. Kaiser | US | Clearwater | 2011-01-06 / 20110000143 - Symmetric Roof Spoiler | 2 |
Stewart Ronald Kaiser | US | Boca Raton | 2008-10-02 / 20080236561 - Combination gas-fired furnace and gas-powered electrical generator | 1 |
Stewart R. Kaiser | US | Boynton Beach | 2009-01-01 / 20090000611 - Solar and heat pump powered electric forced hot air hydronic furnace | 1 |
Peter K. Kaiser | US | Shaker Hts. | 2014-05-01 / 20140119624 - VOLUMETRIC ANALYSIS OF PATHOLOGIES | 1 |
Nathan K. Kaiser | US | Tallahassee | 2009-09-03 / 20090218485 - ION-TRAPPING DEVICES PROVIDING SHAPED RADIAL ELECTRIC FIELD | 1 |
Robert Kaiser | US | Melbourne | 2010-09-09 / 20100226570 - SYSTEM AND METHOD FOR FUSION OF IMAGE PAIRS UTILIZING ATMOSPHERIC AND SOLAR ILLUMINATION MODELING | 1 |
Daniel Robert Kaiser | US | Plymouth | 2014-09-25 / 20140288619 - SWITCHED DIVERTER CIRCUITS FOR MINIMIZING HEATING OF AN IMPLANTED LEAD AND/OR PROVIDING EMI PROTECTION IN A HIGH POWER ELECTROMAGNETIC FIELD ENVIRONMENT | 6 |
Ryan A. Kaiser | US | Lessburg | 2012-04-19 / 20120095470 - Method and Apparatus for Coupling Soft Tissue to a Bone | 1 |
Sven A. Kaiser | DE | Winnenden | 2015-04-09 / 20150096273 - AIR FILTER | 4 |
Stewart Kaiser | US | Boca Raton | 2015-07-23 / 20150204578 - HEAT AND ENERGY RECOVERY AND REGENERATION ASSEMBLY, SYSTEM AND METHOD | 19 |
Peter Kaiser | US | Edina | 2013-10-17 / 20130275163 - SYSTEM AND METHOD FOR MANAGING A WAITING LIST USING INTERNET-BASED CUSTOMER CHECK-IN | 3 |
John J. Kaiser | US | St. Paul | 2011-05-26 / 20110121069 - Barcodes with Graphical Elements | 2 |
Gordon Kaiser | CA | Fairview | 2010-08-05 / 20100193263 - TRACTION APPARATUS TO IMPROVE WINTER OPERATION OF RUBBER TRACKS ON ENDLESS TRACK VEHICLES | 1 |
Tina Marie Kaiser | US | Carmel | 2015-12-03 / 20150344949 - COMBINED METHODOLOGY USED TO DETECT THE PRESENCE OF POSSIBLE CONTAMINATING EVENTS | 4 |
Daniel J. Kaiser | US | Bartlett | 2011-12-01 / 20110290292 - BOAT STORAGE CANOPY APPARATUS FOR BOATS WITH WAKE BOARD TOWERS | 1 |
Nadja Kaiser | DE | Koblenz | 2011-12-08 / 20110297471 - Spring Device At A Swivelable Hatch of A Motor Vehicle | 3 |
Danja Kaiser | DE | Glashutten | 2011-12-01 / 20110291830 - CLEANING INDICATOR, ASSOCIATED TEST SPECIMEN AND METHOD FOR TESTING CLEANING PROCESSES | 1 |
Reiner K. Kaiser | US | Redondo Beach | 2015-12-03 / 20150347772 - FAST ACCESS RIGHTS CHECKING OF CONFIGURED STRUCTURE DATA | 7 |
Sergio Kaiser | CH | Riehen | 2011-12-01 / 20110294684 - GENE EXPRESSION SIGNATURES FOR LUNG CANCERS | 1 |
Roberto Kaiser | DE | Dresden | 2011-12-08 / 20110297077 - IN-LINE VACUUM COATING SYSTEM | 1 |
Andreas Kaiser | FR | Strasbourg | 2016-04-21 / 20160108162 - NITRILE RUBBERS HAVING LOW EMISSION VALUES | 6 |
Rer Nat. Joerg Kaiser | DE | Eggenstein | 2012-11-08 / 20120282517 - ELECTROCHEMICAL CELL AND METHOD FOR PRODUCING SUCH A CELL | 1 |
Bill Kaiser | US | Lindenhurst | 2013-07-25 / 20130186811 - FILTER | 1 |
Kersten Kaiser | DE | Rothenburg O. D. Tauber | 2015-05-28 / 20150149120 - COOKING CONTROL DEVICE, COOKING CONTROL SYSTEM AND COMPUTER PROGRAM PRODUCT | 3 |
Jason Kaiser | US | Redwood City | 2013-09-19 / 20130245430 - CATHETER SYSTEMS FOR CROSSING TOTAL OCCLUSIONS IN VASCULATURE | 1 |
Peter Albert Kaiser | US | Edina | 2016-02-11 / 20160041812 - SORTING PROCESSES AND ARRANGEMENTS FOR ELECTRONIC MESSAGES | 1 |
Richard Kaiser | DE | Bad Homburg Vor Der Hoehe | 2015-05-28 / 20150145194 - CLAMPING DEVICE | 3 |
Stephan Kaiser | DE | Regensburg | 2015-08-20 / 20150236206 - OPTOELECTRONIC SEMICONDUCTOR CHIP, AND LIGHT SOURCE COMPRISING THE OPTOELECTRONIC SEMICONDUCTOR CHIP | 15 |
Shawn Kaiser | US | Gardner | 2012-10-25 / 20120272234 - Scoring of Computing Equipment for Virtualized Computing Environments | 1 |
Thomas Kaiser | DE | Munich | 2016-03-24 / 20160086904 - Method and a System for Producing a Semi-Conductor Module | 2 |
Shawn M. Kaiser | US | Baton Rouge | 2014-09-18 / 20140261886 - Flowable Stock Sampling Apparatus | 1 |
Dieter Kaiser | DE | Dresden | 2016-03-17 / 20160079182 - METHOD FOR PROCESSING A CARRIER AND A CARRIER | 7 |
Hans-Georg Kaiser | DE | Ostheim R.d. Rhon | 2009-12-31 / 20090322124 - AIR-CONDITIONED SEAT | 1 |
Andreas Kaiser | JP | Yamanashi | 2013-10-17 / 20130269432 - Electromechanical Fill-Level Measuring Device | 1 |
Micah J. Kaiser | US | Westland | 2014-01-30 / 20140032769 - Method and Apparatus for Communication Between a Vehicle Based Computing System and a Remote Application | 8 |
John J. Kaiser | US | Urbandale | 2011-05-26 / 20110119918 - CHUCKS AND USE IN PROCESSING TOROIDAL STRUCTURES | 1 |
Andreas Franz-Josef Kaiser | CH | Elgg | 2012-06-07 / 20120141916 - Structured Body for an Anode Used in Fuel Cells | 1 |
Nickolaus W. Kaiser | US | Meridian | 2011-12-15 / 20110303929 - MULTI-DIMENSIONAL LED ARRAY SYSTEM AND ASSOCIATED METHODS AND STRUCTURES | 1 |
Dietmar Kaiser | DE | Moosburg | 2012-04-19 / 20120096318 - Method for Computer-Aided Detection of Errors During the Execution of One or More Software-Based Programs in a System of Components | 1 |
Gottfried Kaiser | AT | Gunskirchen | 2015-02-05 / 20150034060 - EXHAUST VALVE ASSEMBLY FOR A TWO-STROKE ENGINE | 1 |
Joseph Andrew Kaiser | US | Alexandria | 2016-02-18 / 20160045838 - OLFACTORY DEVICE | 2 |
Ingo Kaiser | DE | Luenen | 2012-04-19 / 20120091672 - APPARATUS FOR TRANSPORTING STAGE EQUIPMENT | 1 |
Eberhard Kaiser | DE | Marienheide | 2008-12-04 / 20080296815 - Pressure Medium Connector Unit for a Vehicle Gas Spring | 1 |
Claus Kaiser | DE | Mannheim | 2011-05-26 / 20110120001 - INSECTICIDE-IMPREGNATED NETS AND USE THEREOF FOR PROTECTING AGAINST PESTS | 1 |
Monika Kaiser | DE | Bad Schoenborn | 2012-06-21 / 20120158797 - SADL Query View - A Model-Driven Approach to Speed-Up Read-Only Use Cases | 3 |
Tom Kaiser | US | Marion | 2013-01-17 / 20130018339 - Disposable Absorbent Article Design Utilizing Unbleached Pulp Fibers for the Absorbent CoreAANM Kaiser; TomAACI MarionAAST OHAACO USAAGP Kaiser; Tom Marion OH USAANM Linton; Steven D.AACI SnellvilleAAST GAAACO USAAGP Linton; Steven D. Snellville GA US | 1 |
Rudolf Kaiser | DE | Lauchringen | 2013-03-21 / 20130067707 - METHOD FOR WRAPPING A BODY IN FIBER MATERIAL | 1 |
Lance R. Kaiser | US | Salem | 2011-12-29 / 20110315680 - DISPLAY CASE | 1 |
Stefan Kaiser | DE | Wadrill | 2011-12-29 / 20110320031 - MACHINING DEVICE FOR MACHINING A WORKPIECE | 2 |
Manuel Kaiser | DE | Leverkusen | 2015-11-12 / 20150322240 - COLORING PROCESS FOR POLY(METH)ACRYLATES WITH WATER-BASED LIQUID DYES, AND WATER-BASED LIQUID DYES | 2 |
Terrance Z. Kaiserman | US | Loxahatchee | 2016-04-28 / 20160117074 - AREA INPUT DEVICE AND VIRTUAL KEYBOARD | 15 |
Terrance Kaiserman | US | Loxahatchee | 2008-08-28 / 20080202912 - PROXIMITY SENSOR FOR A VEHICLE | 1 |
Meghan C. Kaiserman | US | Middleton | 2008-09-11 / 20080218154 - Integrated energy metering system | 1 |
Terrance Z. Kaiserman | US | Loxahatchee | 2016-04-28 / 20160117074 - AREA INPUT DEVICE AND VIRTUAL KEYBOARD | 15 |
Tayler Kaiserman | US | Brooklyn | 2016-04-07 / 20160100137 - SMART DEVICE AND RELATED SYSTEMS AND METHODS | 5 |
Martin Kaisermayr | FR | Antibes | 2014-01-09 / 20140012620 - CONSISTENT SET OF INTERFACES FOR BUSINESS OBJECTS ACROSS HETEROGENEOUS SYSTEMS | 8 |
Kabushiki Kaisha Tokai-Rika-Denki-Seisakusho | JP | Aichi-Ken | 2013-08-08 / 20130200195 - WEBBING TAKE-UP DEVICE | 8 |
Kabushiki Kaisha Tokai-Rika-Denki-Seisakusho | JP | Aichi-Ken | 2013-08-08 / 20130200195 - WEBBING TAKE-UP DEVICE | 8 |
Kabushiki Kaisha Tokai-Rikai-Rika-Denki-Seisakusho | JP | Aichi-Ken | 2013-05-23 / 20130126656 - WEBBING RETRACTOR | 1 |
Chang Kai-Sheng | TW | Longtan Shiang | 2008-11-13 / 20080280146 - Pre-cut wafer structure with heat stress effect suppressed | 1 |
Elizabet Kaisheva | US | Brisbane | 2011-09-22 / 20110229507 - STABLE ANTHRAX VACCINE FORMULATIONS | 1 |
Elizabet A. Kaisheva | US | Belmont | 2011-12-29 / 20110318343 - Stable Liquid Pharmaceutical Formulation Of IgG Antibodies | 3 |
Elizabet Kaisheva | US | Belmont | 2015-01-22 / 20150023998 - Stable Anthrax Vaccine Formulations | 1 |
Steven C. Kaishian | US | Milwaukee | 2008-08-28 / 20080202899 - POWER ELECTRONIC SWITCHING DEVICE WITH LAMINATED BUS | 1 |
Steven C. Kaishian | US | Wauwatosa | 2014-09-25 / 20140287633 - POWER CIRCUIT ELECTRICAL CONNECTION SYSTEM | 4 |
Steven Charles Kaishian | US | Wauwatosa | 2014-11-06 / 20140326420 - STRETCHED ARTIST CANVAS WITH RIGID FOAM BACK | 2 |
Tsuneyasu Kaisho | JP | Kanagawa | 2010-11-04 / 20100280095 - THERAPEUTIC AGENT FOR WOUNDS AND SCREENING METHOD FOR THE SAME | 2 |
Tsuneyasu Kaisho | JP | Yokohama-Shi | 2012-08-16 / 20120208861 - IFN TYPE-I PRODUCTION INHIBITOR AND METHOD FOR SCREENING FOR SAME | 1 |
Pekka Kaisko | FI | Palkane | 2009-02-26 / 20090049986 - Method and scrubber for scrubbing flue gas flows | 1 |
Jarkko Kaislaoja | FI | Lahti | 2011-09-29 / 20110232512 - METHOD AND APPARATUS FOR IMPROVING VENEER QUALITY | 2 |
Shuhei Kaiso | JP | Hiroshima-Shi | 2012-03-15 / 20120061995 - DEVICE REMOVING/RE-INSERTING STRUCTURE, AND DEVICE REMOVING/RE-INSERTING METHOD FOR CONSTRUCTION MACHINE | 2 |
Kouji Kaiso | JP | Yamaguchi | 2012-06-21 / 20120157707 - METHOD FOR PRODUCING ALCOHOL COMPOUND | 3 |
Koji Kaiso | JP | Yamaguchi | 2014-11-13 / 20140332449 - METHOD AND APPARATUS FOR SEPARATION OF MIXTURE | 4 |
Raimund Kaiss | DE | Neuoetting | 2012-04-26 / 20120098100 - Support Ring For Supporting A Semiconductor Wafer Composed Of Monocrystalline Silicon During A Thermal Treatment, Method For The Thermal Treatment of Such A Semiconductor Wafer, and Thermally Treated Semiconductor Wafer Composed of Monocrystalline Silicon | 1 |
Michael Kaisser | DE | Darmstadt | 2015-08-13 / 20150227851 - METHOD AND SYSTEM FOR CROWD DETECTION | 1 |
Michael Kaisser | DE | Berlin | 2016-01-28 / 20160026919 - SYSTEM AND METHOD FOR SOCIAL EVENT DETECTION | 3 |
Bruce A. Kaisser | US | Clearwater | 2013-07-11 / 20130176656 - Static Electricity Dissipation Drain and Standoffs for By-Pass Conductors of Floating Roof Tanks | 1 |
Michael Kaisser | CH | Trimmis | 2011-06-16 / 20110143113 - SEALING STRIPS FOR VEHICLE WINDOWS | 2 |
Richard Kaisser | DE | Waeschenbeuren | 2014-05-08 / 20140126976 - DEVICE FOR THE POSITIONAL SECURING OF A UNIT | 1 |
Amit Kaistha | US | Skokie | 2009-06-25 / 20090160783 - TRANSLUCENT TOUCH SCREENS INCLUDING INVISIBLE ELECRONITC COMPONENT CONNECTIONS | 2 |
Aya Kaisumi | JP | Sendai | 2015-12-24 / 20150367506 - ROBOT SYSTEM | 3 |
Kihoko Kaita | JP | Tokyo | 2009-10-01 / 20090243339 - NET STRUCTURES, VEHICLES INCLUDING NET STRUCTURES, AND METHODS | 1 |
Keiji Kaita | JP | Miyosh-Shi | 2014-04-24 / 20140114523 - ELECTRICALLY-POWERED VEHICLE | 1 |
Shojiro Kaita | JP | Oizumi-Machi | 2015-12-24 / 20150368382 - POLYMERIZATION CATALYST COMPOSITION, METHOD FOR PRODUCING SYNTHETIC POLYISOPRENE, AND SYNTHETIC POLYISOPRENE | 9 |
Shojiro Kaita | JP | Oizumi-Machi | 2015-12-24 / 20150368382 - POLYMERIZATION CATALYST COMPOSITION, METHOD FOR PRODUCING SYNTHETIC POLYISOPRENE, AND SYNTHETIC POLYISOPRENE | 9 |
Shojiro Kaita | JP | Nerima-Ku | 2015-03-19 / 20150080525 - RUBBER COMPOSITION AND TIRE CONTAINING RUBBER COMPOSITION | 10 |
Kihoko Kaita | JP | Saitama | 2011-03-03 / 20110049946 - BACKREST STRUCTURE FOR MOTORCYCLE | 3 |
Keiji Kaita | JP | Miyoshi-Shi, Aichi-Ken | 2015-12-10 / 20150357856 - ELECTRICITY STORAGE SYSTEM | 1 |
Kihoko Kaita | JP | Wako-Shi | 2014-10-02 / 20140291961 - REAR STRUCTURE AND REAR EQUIPMENT FOR STRADDLE TYPE VEHICLE | 4 |
Shojiro Kaita | JP | Tokyo | 2015-12-24 / 20150368383 - ISOPRENE POLYMERIZATION CATALYST COMPOSITION, METHOD FOR PRODUCING SYNTHETIC POLYISOPRENE, AND SYNTHETIC POLYISOPRENE | 4 |
Shojiro Kaita | JP | Nerima-Ku | 2015-03-19 / 20150080525 - RUBBER COMPOSITION AND TIRE CONTAINING RUBBER COMPOSITION | 10 |
Keiji Kaita | JP | Toyota-Shi | 2012-11-01 / 20120276440 - BATTERY PACK | 1 |
Yoshio Kaita | JP | Tokyo | 2010-02-04 / 20100026282 - Angle detecting apparatus and angle detecting method | 2 |
Shojiro Kaita | JP | Oizumi-Machi , Nerima-Ku, Tokyo | 2015-07-16 / 20150197630 - METHOD FOR MANUFACTURING POLYMER COMPOSITION AND THE POLYMER COMPOSITION | 1 |
Keiji Kaita | JP | Nishikamo-Gun | 2010-08-12 / 20100204861 - CONTROL SYSTEM FOR SECONDARY BATTERY, ELECTRICALLY POWERED VEHICLE HAVING SAME, AND METHOD FOR CONTROLLING SECONDARY BATTERY | 3 |
Akihiro Kaita | JP | Ebina | 2016-04-14 / 20160103649 - Display Device | 3 |
Keiji Kaita | JP | Aichi-Ken | 2012-04-26 / 20120099618 - SECONDARY BATTERY TEMPERATURE-ESTIMATING APPARATUS AND METHOD | 3 |
Shojiro Kaita | JP | Kodaira-Shi | 2013-07-18 / 20130184402 - COPOLYMER, RUBBER COMPOSITION, CROSSLINKED RUBBER COMPOSITION, AND TIRE | 2 |
Keiji Kaita | JP | Miyoshi-Shi | 2015-09-10 / 20150255979 - ELECTRICAL STORAGE SYSTEM | 14 |
Shojiro Kaita | JP | Wako-Shi | 2011-06-09 / 20110136995 - AROMATIC VINYL COMPOUND-CONJUGATED DIENE COMPOUND COPOLYMER AND METHOD OF PRODUCING THE SAME AS WELL AS RUBBER COMPOSITION AND TIRE | 3 |
Keiji Kaita | JP | Miyoshi-Shi | 2015-09-10 / 20150255979 - ELECTRICAL STORAGE SYSTEM | 14 |
Hiroshi Kaita | JP | Hyogo | 2009-12-31 / 20090324984 - METHOD FOR PRODUCING CLAD MATERIAL, AND CLAD MATERIAL | 1 |
Shojiro Kaita | JP | Saitama | 2011-11-17 / 20110282014 - NORBORNENE COPOLYMER AND PRODUCTION METHOD THEREOF | 2 |
Kazunari Kaitani | JP | Osaka | 2009-05-14 / 20090122040 - DRIVE DEVICE AND DRIVE METHOD | 1 |
Subramaniam Kaitharam | IN | Andhra Pradesh | 2008-10-02 / 20080244498 - NETLIST SYNTHESIS AND AUTOMATIC GENERATION OF PC BOARD SCHEMATICS | 1 |
Sridevi Kaiti | US | Broadview Heights | 2014-02-06 / 20140038112 - AQUEOUS BASE-DEVELOPABLE NEGATIVE-TONE FILMS BASED ON FUNCTIONALIZED NORBORNENE POLYMERS | 2 |
Sridevi Kaiti | US | North Royalton | 2011-03-24 / 20110070543 - Aqueous Base-Developable Negative-Tone Films Based On Functionalized Norbornene Polymers | 1 |
Jyrki Kaitila | DE | Riemerling | 2012-12-20 / 20120319530 - BULK ACOUSTIC RESONATOR COMPRISING NON-PIEZOELECTRIC LAYER | 8 |
Jyrki Kaitila | DE | Riemerling | 2012-12-20 / 20120319530 - BULK ACOUSTIC RESONATOR COMPRISING NON-PIEZOELECTRIC LAYER | 8 |
Takahiro Kaito | JP | Kanagawa | 2010-07-29 / 20100190071 - FUEL CELL SYSTEM AND OPERATING METHOD THEREFOR | 4 |
Yuko Kaito | JP | Ibaraki | 2011-12-22 / 20110311899 - POLYMER, POLYARYLENE BLOCK COPOLYMER, POLYELECTROLYTE, POLYELECTROLYTE MEMBRANE, AND FUEL CELL | 1 |
Takahiro Kaito | JP | Yokosuka-Shi | 2014-11-13 / 20140335430 - FUEL CELL SYSTEM AND OPERATING METHOD THEREFOR | 1 |
Yuriko Kaito | JP | Yamaguchi | 2010-07-22 / 20100183860 - REFORMED ALUMINUM NITRIDE SINTERED BODY AND METHOD OF PRODUCING THE SAME | 1 |
Hiroyoshi Kaito | JP | Fukuoka | 2013-12-12 / 20130331514 - POLYCARBONATE RESIN COMPOSITION AND MOLDED ARTICLE | 1 |
Takahiro Kaito | JP | Sendai | 2014-09-18 / 20140281613 - FREQUENCY CONTROL DEVICE AND FREQUENCY CONTROL METHOD | 1 |
Ryouzou Kaito | JP | Kanagawa-Ken | 2012-03-22 / 20120067425 - ALUMINUM BASE MATERIAL, METAL SUBSTRATE HAVING INSULATING LAYER EMPLOYING THE ALUMINUM BASE MATERIAL, SEMICONDUCTOR ELEMENT, AND SOLAR BATTERY | 1 |
Kiyohisa Kaito | JP | Hiratsuka-Shi | 2012-03-29 / 20120074093 - METALLIC CONTAINER CLOSURE HAVING INTERNAL PRESSURE RELEASE | 1 |
Takeo Kaito | JP | Mie-Gun | 2012-06-21 / 20120153769 - ROTOR CORE AND METHOD OF MANUFACTURING THE SAME | 1 |
Ryozo Kaito | JP | Ashigara-Kami-Gun | 2014-04-10 / 20140097090 - ANODIZING DEVICE, CONTINUOUS ANODIZING DEVICE, AND FILM FORMING METHOD | 2 |
Takeo Kaito | JP | Yokkaichi | 2014-04-03 / 20140091672 - METHOD OF MANUFACTURING STATOR CORE AND THE STATOR CORE | 1 |
Akira Kaito | JP | Ibaraki | 2011-12-22 / 20110311899 - POLYMER, POLYARYLENE BLOCK COPOLYMER, POLYELECTROLYTE, POLYELECTROLYTE MEMBRANE, AND FUEL CELL | 1 |
Takashi Kaito | JP | Chiba-Shi | 2008-11-27 / 20080289954 - Method of Manufacturing Sample for Atom Probe Analysis by FIB and Focused Ion Beam Apparatus Implementing the Same | 1 |
Hiroyoshi Kaito | JP | Tokyo | 2010-03-18 / 20100068615 - RESIN COMPOSITION FOR METAL PLATING, MOLDED ARTICLE THEREOF, AND METAL-PLATED MOLDED ARTICLE | 1 |
Takashi Kaito | JP | Chiba | 2010-11-18 / 20100288924 - COMPOSITE FOCUSED ION BEAM DEVICE, PROCESS OBSERVATION METHOD USING THE SAME,AND PROCESSING METHOD | 2 |
Takuo Kaito | JP | Tokyo | 2015-12-17 / 20150364721 - DISPLAY DEVICE | 1 |
Yoshihiko Kaito | JP | Kawasaki | 2010-06-03 / 20100137040 - MOBILE TERMINAL APPARATUS | 3 |
Ryoichi Kaito | JP | Tokyo | 2010-08-26 / 20100216375 - CYLINDRICAL GRINDER AND CYLINDRICAL GRINDING METHOD OF INGOT | 4 |
Isao Kaito | JP | Ibaraki | 2011-12-22 / 20110311899 - POLYMER, POLYARYLENE BLOCK COPOLYMER, POLYELECTROLYTE, POLYELECTROLYTE MEMBRANE, AND FUEL CELL | 1 |
Ryoichi Kaito | JP | Yokkaichi-Shi | 2014-01-02 / 20140004377 - POLYCRYSTALLINE SILICON ROD | 1 |
Takuo Kaitoh | JP | Mobara | 2014-04-17 / 20140106640 - LIQUID CRYSTAL DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 35 |
Takuo Kaitou | JP | Mobara | 2013-01-24 / 20130021781 - DISPLAY DEVICE WITH TAPERED LIGHT REFLECTING LAYER AND MANUFACTURING METHOD FOR SAME | 1 |
Isatake Kaitsu | JP | Kawasaki | 2012-01-26 / 20120019959 - MAGNETIC RECORDING MEDIUM AND STORAGE APPARATUS | 5 |
Isatake Kaitsu | JP | Higashine | 2010-01-14 / 20100007988 - MAGNETIC RECORDING MEDIUM AND INFORMATION STORAGE APPARATUS | 4 |
Charalambos Kaittanis | US | New York | 2016-03-17 / 20160074434 - Application Device for Inducing Cytotoxicity to Tumor Cells Via Coated Cerium Oxide Nanoparticles | 4 |
Charalambos Kaittanis | US | Orlando | 2015-03-26 / 20150087605 - Compositions and Methods Comprising Carboxylic Acid-Containing Small Molecules | 1 |
Gordon Thomas Kaitting | CA | Oakville | 2008-11-27 / 20080290038 - Magnetic hydrocarbon treatment device and method | 1 |
Tal Kaitz | IL | Kiryat Ono | 2008-11-27 / 20080291831 - Dynamic Management of Wireless Transmissions | 1 |
Pavan Kaivaram | US | San Diego | 2016-04-28 / 20160119804 - ADAPTIVE CONTROL CHANNEL DETECTION IN WIRELESS COMMUNICATIONS | 4 |
Matti Kaivola | FI | Helsinki | 2008-09-18 / 20080226242 - Method of Generating Supercontinuum Optical Radiation, Supercontinuum Optical Radiation Source, and Use Thereof | 1 |
Sanna Kaivosaari | FI | Nummela | 2010-04-08 / 20100087493 - METHOD FOR IMPROVING THE PHARMACOKINETICS OF DRUGS METABOLIZED BY UGT2B10 | 1 |
Vesa Kaivosoja | FI | Espoo | 2015-05-28 / 20150146570 - NETWORK ELEMENT AND A CONTROLLER FOR MANAGING THE NETWORK ELEMENT | 1 |
Nakaba Kaiwa | JP | Tokyo | 2015-09-24 / 20150269985 - SEMICONDUCTOR DEVICE HAVING A MEMORY AND CALIBRATION CIRCUIT THAT SELECTIVELY ADJUSTS AN IMPEDANCE OF AN OUTPUT BUFFER DEPENDENT UPON REFRESH COMMANDS | 8 |
Nakaba Kaiwa | JP | Tokyo | 2015-09-24 / 20150269985 - SEMICONDUCTOR DEVICE HAVING A MEMORY AND CALIBRATION CIRCUIT THAT SELECTIVELY ADJUSTS AN IMPEDANCE OF AN OUTPUT BUFFER DEPENDENT UPON REFRESH COMMANDS | 8 |
Diwakar Kaiwar | IN | Bangalore | 2013-11-21 / 20130311984 - Design and Deployment of Mobile Enterprise Application Platform | 1 |
Tan Kaixie | US | San Francisco | 2010-07-22 / 20100184292 - SYSTEMS, METHODS AND SLURRIES FOR CHEMICAL-MECHANICAL ROUGH POLISHING OF GAAS WAFERS | 2 |
Hiroyuki Kaiya | JP | Toyota-Shi, Aichi | 2015-11-26 / 20150338468 - BATTERY SYSTEM | 1 |
Mitsuhiro Kaiya | JP | Chiba-Shi | 2010-04-08 / 20100086341 - Printer | 1 |
Teruaki Kaiya | JP | Yamagata | 2013-11-28 / 20130315435 - SPEAKER EDGE, METHOD FOR MANUFACTURING SAME AND SPEAKER | 4 |
Teruaki Kaiya | JP | Tendo-Shi | 2010-04-15 / 20100092024 - SPEAKER DEVICE | 3 |
Kazuhiro Kaiya | JP | Tokyo | 2009-09-03 / 20090222929 - METHOD, PROGRAM, AND SERVER FOR BACKUP AND RESTORE | 2 |
Yoshihide Kaiya | JP | Tokyo | 2009-09-03 / 20090218274 - Hollow Fiber Membrane Module | 1 |
Ichirou Kaiya | JP | Nishimurayama-Gun, Yamagata | / - | 1 |
Keiichi Kaiya | JP | Hadano | 2013-04-04 / 20130086349 - COMPUTER SYSTEM | 4 |
Naohide Kaiya | JP | Kanagawa | 2011-09-08 / 20110218939 - TRANSLATION SUPPORT APPARATUS AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Hiroyuki Kaiya | JP | Toyota-Shi, Aichi-Ken | 2016-02-25 / 20160052419 - BATTERY SYSTEM | 2 |
Hideo Kaiya | JP | Chigasaki-Shi | 2010-01-28 / 20100024002 - Authentication system | 3 |
Haruhiko Kaiya | JP | Tokyo | 2010-06-10 / 20100145144 - ENDOSCOPE | 1 |
Teruaki Kaiya | JP | Tendo | 2010-08-05 / 20100195863 - SPEAKER | 1 |
Hiroyuki Kaiya | JP | Toyota-Shi | 2015-07-30 / 20150212158 - ELECTRIC STORAGE SYSTEM | 2 |
Muhammad Kaiyal | IL | Gdeide-Maker | 2011-06-23 / 20110151525 - ENZYMATIC PRODUCTION OF UNSATURATED FATTY ACIDS ESTERS OF ASCORBIC ACID IN SOLVENT-FREE SYSTEM | 1 |
Muhammad Kaiyal | IL | Maker | 2010-12-30 / 20100330629 - ROBUST MULTI-ENZYME PREPARATION FOR THE SYNTHESIS OF FATTY ACID ALKYL ESTERS | 2 |
Kazuhiro Kaizaki | JP | Tokyo | 2016-03-24 / 20160082840 - INFORMATION DISPLAY SYSTEM AND INFORMATION DISPLAY DEVICE | 1 |
Yasuhiro Kaizaki | JP | Hyogo | 2014-01-02 / 20140004390 - BATTERY PACK | 1 |
Yasuhiro Kaizaki | JP | Gifu | 2012-03-29 / 20120075038 - WIRING SUBSTRATE, FILTER DEVICE AND PORTABLE EQUIPMENT | 1 |
Sumio Kaizaki | JP | Suita-Shi | 2010-05-13 / 20100121090 - OPTICALLY ACTIVE ORGANIC ACIDATE-BRIDGED DINUCLEAR PLATINUM(II) COMPLEX | 1 |
Yasuhiro Kaizaki | JP | Osaka | 2010-11-18 / 20100290331 - WIRING STRUCTURE AND OPTICAL DISK APPARATUS | 1 |
Kazuhiro Kaizaki | JP | Yokohama | 2016-04-14 / 20160100788 - BRAIN DYSFUNCTION ASSESSMENT METHOD, BRAIN DYSFUNCTION ASSESSMENT DEVICE, AND PROGRAM THEREOF | 3 |
Hiroshi Kaizaki | JP | Chiryu-City | 2015-12-24 / 20150369230 - HANDY PUMP | 1 |
Hiroyuki Kaizawa | JP | Tokyo | 2013-11-07 / 20130296329 - QUINOXALINE COMPOUND | 6 |
Hiroyuki Kaizawa | JP | Tsukuba-Shi | 2010-06-03 / 20100137585 - FUSED HETEROARYL DERIVATIVES | 3 |
Satoshi Kaizawa | JP | Machida | 2010-05-06 / 20100110543 - LAMINATED QUARTER WAVE PLATE | 1 |
Ellen Kaizer | US | Dallas | 2008-09-18 / 20080227709 - GENE EXPRESSION IN PERIPHERAL BLOOD MONONUCLEAR CELLS FROM CHILDREN WITH DIABETES | 1 |
Hagai Kaizer | IL | Shearei Tikva | / - | 1 |
Jacob Kaizerman | US | Redwood City | 2009-04-16 / 20090099173 - Pyridopyridazine compounds, compositions and methods of use | 2 |
Jacob Kaizerman | US | South San Francisco | 2011-07-21 / 20110178074 - ANTIPATHOGENIC BENZAMIDE COMPOUNDS | 2 |
Amy Kaizerman | US | Salem | 2014-01-30 / 20140031355 - HETEROCYCLIC COMPOUNDS AND THEIR USES | 1 |
Jacob A. Kaizerman | US | Redwood City | 2009-10-01 / 20090247513 - BENZOTHIOPHENE COMPOUNDS HAVING ANTIINFECTIVE ACTIVITY | 1 |
Asaf Kaizerman | IL | Givataim | 2015-11-26 / 20150339420 - DESIGN OF DUAL MODE LOGIC CIRCUITS | 2 |
Jacob Kaizerman | US | Salem | 2014-01-30 / 20140031355 - HETEROCYCLIC COMPOUNDS AND THEIR USES | 1 |
Jacob Kaizerman | US | Menlo Park | 2013-11-14 / 20130303778 - PHOSPHORAMIDATE ALKYLATOR PRODRUGS | 2 |
Idan Kaizerman | IL | Meitar | 2016-02-04 / 20160035076 - REGISTRATION OF CAD DATA WITH SEM IMAGES | 7 |
Alfred Kaizik | DE | Marl | 2015-01-15 / 20150018576 - METHOD AND DEVICE FOR THE HYDROFORMYLATION OF ISOBUTENE AND FOR THE SEPARATION OF THE PRODUCT MIXTURE | 17 |
Hiroyuki Kaizo | JP | Kyoto | 2014-09-18 / 20140265948 - ELECTRIC POWER TOOL | 5 |
Hiroyuki Kaizo | JP | Osaka | 2012-01-12 / 20120006574 - ELECTRIC POWER TOOL | 1 |
Hiroyuki Kaizo | JP | Neyagawa-Shi | 2012-01-12 / 20120010043 - Electric power tool | 1 |
Hiroyuki Kaizo | JP | Neyagawa | 2010-08-26 / 20100216415 - Wireless Communications System for tool | 1 |
Hiroyasu Kaizu | JP | Anpachi-Cho | 2011-03-03 / 20110050392 - COMMUNICATION DEVICE | 1 |
Tatsuo Kaizu | JP | Tokyo | 2010-12-16 / 20100316356 - INFORMATION PROCESSING APPARATUS AND METHOD, AND PROGRAM STORAGE MEDIUM | 1 |
Shun Kaizu | JP | Tokyo | 2015-01-29 / 20150029358 - IMAGE PROCESSING APPARATUS, IMAGING DEVICE, IMAGE PROCESSING METHOD, AND PROGRAM | 16 |
Tomohiro Kaizu | JP | Seiro | 2010-12-23 / 20100319964 - CAST INSULATION RESIN FOR ELECTRIC APPARATUS AND HIGH VOLTAGE ELECTRIC APPARATUS USING THE SAME | 1 |
Akimasa Kaizu | JP | Tokyo | 2013-10-03 / 20130258514 - MICROWAVE-ASSISTED MAGNETIC RECORDING DEVICE AND METHOD USING NON-CONSTANT MICROWAVE | 8 |
Tetsuya Kaizu | JP | Kawasaki | 2009-01-29 / 20090027991 - Information processing apparatus, memory unit erroneous write preventing method, and information processing system | 2 |
Tomohiro Kaizu | JP | Seirou | 2009-06-25 / 20090162254 - Recycle of Electrical Equipment | 2 |
Hiroo Kaizu | JP | Nishio-Shi | 2014-12-18 / 20140368067 - ROTOR FOR ROTATING ELECTRIC MACHINE | 2 |
Akimasa Kaizu | JP | Tokyo | 2013-10-03 / 20130258514 - MICROWAVE-ASSISTED MAGNETIC RECORDING DEVICE AND METHOD USING NON-CONSTANT MICROWAVE | 8 |
Kenichi Kaizu | JP | Fuji-Shi | 2010-03-04 / 20100057315 - AUTOMATIC TRANSMISSION SYSTEM AND HYDRAULIC CONTROL DEVICE AND METHOD THEREOF | 1 |
Kazuhiro Kaizu | JP | Yokohama-Shi | 2014-07-10 / 20140194522 - Emulsion Composition | 1 |
Shun Kaizu | JP | Tokyo | 2015-01-29 / 20150029358 - IMAGE PROCESSING APPARATUS, IMAGING DEVICE, IMAGE PROCESSING METHOD, AND PROGRAM | 16 |
Shunichi Kaizu | JP | Kawasaki-Shi | 2011-09-08 / 20110219156 - BUS ARBITRATION APPARATUS AND METHOD | 3 |
He Kaizuan | CN | Guangdong | 2015-10-22 / 20150305178 - TELEVISION MONITOR COMBINATION STAND AND WALL MOUNT | 2 |
Satoshi Kaizuka | JP | Aomori | 2011-05-19 / 20110115515 - PROBE FOR ELECTRICAL TEST, ELECTRICAL CONNECTING APPARATUS USING THE SAME, AND METHOD FOR MANUFACTURING PROBE | 1 |
Masaaki Kaizuka | JP | Utsunomiya-Shi | 2009-01-01 / 20090001914 - Electric-Motor-Equipped Vehicle | 1 |
Kazuyoshi Kaizuka | JP | Tokyo | 2011-05-12 / 20110107948 - BIOMASS-MIXED-FIRING PULVERIZED COAL FIRED BOILER AND OPERATION METHOD OF THE BOILER | 1 |
Masaaki Kaizuka | JP | Wako | 2011-09-01 / 20110213520 - INFORMATION CONTROL DEVICE FOR ELECTRIC VEHICLE, ELECTRIC VEHICLE, AND METHOD FOR GUIDING TIMING OF POWER CHARGE | 1 |
Masaki Kaizuka | JP | Kobe-Shi | 2015-05-28 / 20150147223 - BEARING STEEL MATERIAL WITH EXCELLENT ROLLING FATIGUE PROPERTY AND BEARING PART | 6 |
Kazutoshi Kaizuka | JP | Fukuoka | 2014-11-20 / 20140338211 - DISCHARGE NOZZLES FOR HAIRDRYERS | 4 |
Takayoshi Kaizuka | JP | Tokyo | 2011-03-03 / 20110048973 - CONTACT LENS STORAGE CASE | 2 |
Kei Kaizuka | JP | Tokyo | 2014-06-19 / 20140166335 - UNDERSEA CABLE, MULTILAYER TAPE FOR WATER SHIELDING LAYER OF UNDERSEA CABLE, AND METHOD FOR IMPROVING FATIGUE CHARACTERISTICS OF UNDERSEA CABLE | 1 |
Hiroshi Kaizuka | JP | Tokyo | 2014-01-16 / 20140015926 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD AND PROGRAM | 1 |
Atsushi Kaizuka | JP | Moriguchi-City | 2012-03-29 / 20120077085 - POSITIVE ELECTRODE FOR NON-AQUEOUS ELECTROLYTE BATTERYAND NON-AQUEOUS ELECTROLYTE BATTERY | 2 |
Atsushi Kaizuka | JP | Osaka | 2009-10-01 / 20090246630 - Positive electrode for non-aqueous electrolyte battery and non-aqueous electrolyte battery | 1 |
Kazutoshi Kaizuka | JP | Fukouka City | 2009-05-28 / 20090133709 - HAIR CURLER | 1 |
Kazutoshi Kaizuka | JP | Fukuoka City | 2013-08-22 / 20130212896 - HAIR DRYER | 5 |
Yasuaki Kaizumi | JP | Tokyo | 2013-02-21 / 20130044503 - VEHICLE DECORATIVE LIGHTING DEVICE AND VEHICLE LAMP | 2 |
Maria E. Kaj | US | Castro Valley | 2010-06-17 / 20100153502 - TEXT CHAT FOR AT-RISK CUSTOMERS | 1 |
Dean M. Kaja | US | Milwaukee | 2010-08-05 / 20100193621 - NO-LOAD BEARING FOR A CONE CRUSHER | 1 |
Dean Michael Kaja | US | Milwaukee | 2016-02-25 / 20160051988 - TOP SERVICE CLAMPING CYLINDERS FOR A GYRATORY CRUSHER | 1 |
Nikolai Kajakine | FI | Helsinki | 2010-02-25 / 20100046679 - AUTOMATIC GAIN CONTROL SYSTEM | 1 |
Matti Kajala | FI | Tampere | 2011-03-03 / 20110051953 - CALIBRATING MULTIPLE MICROPHONES | 1 |
Vikrant Kajale | DE | Oberding | 2015-07-23 / 20150202996 - Seat Assembly Having an Actuator Member | 1 |
Risto Kajan | FI | Vaasa | 2008-08-28 / 20080204976 - Fastening Arrangement | 1 |
Richard Emil Kajander | US | Plover | 2010-10-28 / 20100273376 - FIBER GLASS MAT, METHOD AND LAMINATE | 1 |
Richard Emil Kajander | US | Toledo | 2012-12-20 / 20120321807 - METHOD OF MAKING TOUGH, FLEXIBLE MATS AND TOUGH, FLEXIBLE MATS | 2 |
Richard E. Kajander | US | Plover | 2012-05-17 / 20120121899 - GLOSSING ADDITIVE FOR PAPER COATINGS | 1 |
Vesa Kajander | FI | Klaukkala | 2012-02-02 / 20120029844 - DETERMINING ELASTIC MODULUS FOR CONTINUOUS MATERIAL WEB | 1 |
Kajsa Kajander | FI | Helsinki | 2011-01-27 / 20110020303 - NOVEL USE OF PROBIOTICS | 1 |
Janice Kajanoff | US | Seattle | 2009-12-17 / 20090308330 - ANIMAL GARMENT ARTICLE AND METHOD | 1 |
Veijo Kajanus | US | San Diego | 2014-12-04 / 20140355812 - Shielded Audio Apparatus | 1 |
Vesa Kajanus | FI | Oulunsalo | 2014-05-29 / 20140145836 - DISPLAY APPARATUS PRODUCING AUDIO AND HAPTIC OUTPUT | 3 |
Veijo Kajanus | US | Sunnyvale | 2015-05-28 / 20150146914 - Audio Transducer With Electrostatic Discharge Protection | 1 |
Sachin Kajarekar | US | Portland | 2011-06-23 / 20110153326 - SYSTEM AND METHOD FOR COMPUTING AND TRANSMITTING PARAMETERS IN A DISTRIBUTED VOICE RECOGNITION SYSTEM | 1 |
Sachin S. Kajarekar | US | Sunnyvale | 2016-03-31 / 20160093304 - SPEAKER IDENTIFICATION AND UNSUPERVISED SPEAKER ADAPTATION TECHNIQUES | 4 |
Sachin Kajarekar | US | Sunnyvale | 2014-06-12 / 20140161416 - SYSTEM AND METHOD FOR QUESTION DETECTION BASED VIDEO SEGMENTATION, SEARCH AND COLLABORATION IN A VIDEO PROCESSING ENVIRONMENT | 6 |
Sachin Kajarèkar | US | Sunnyvale | 2013-08-01 / 20130195422 - SYSTEM AND METHOD FOR CREATING CUSTOMIZED ON-DEMAND VIDEO REPORTS IN A NETWORK ENVIRONMENT | 1 |
Saurabh Kajaria | US | Houston | 2015-12-03 / 20150345272 - Remote Mobile Operation and Diagnostic Center for Frac Services | 16 |
Saurabh Kajaria | US | 2015-07-02 / 20150184491 - Line Manifold for Concurrent Fracture Operations | 1 | |
Saurabh Kajaria | US | Houston | 2015-12-03 / 20150345272 - Remote Mobile Operation and Diagnostic Center for Frac Services | 16 |
Jyrki Kajaste | FI | Nummela | 2010-08-12 / 20100199933 - HYDRAULIC ACTUATOR | 2 |
Jyrki Kajaste | FI | Vihti | 2015-04-16 / 20150104332 - ADAPTIVE HYDRAULIC PRESSURE GENERATOR | 1 |
Juha Kajava | FI | Naantali | 2008-09-04 / 20080212711 - IQ-Imbalance | 1 |
Mikko Kajava | FI | Helsinki | 2015-04-09 / 20150100185 - ARRANGEMENT AND METHOD IN A SHIP | 3 |
Andrey Kajava | CH | Lausanne | 2010-04-29 / 20100105876 - Method for the oligomerisation of peptides | 1 |
Tuomo Kajava | ES | Mijas Costa | 2014-08-21 / 20140236842 - PAYMENT SYSTEM | 1 |
Andrey Kajava | FR | Montpellier Cedex | 2009-02-26 / 20090053265 - Antigenic peptides and their use | 1 |
Hamed Kajbaf | US | Sunnyvale | 2015-06-25 / 20150177301 - EMISSION SOURCE MICROSCOPY FOR ELECTROMAGNETIC INTERFERENCE APPLICATIONS | 1 |
Jay T. Kajdas | US | Getzville | 2011-03-31 / 20110072771 - OPERATING ROOM AIR RECIRCULATION SYSTEM FILTER WITH FILTER FLAPS | 4 |
Ralph J. Kajdasz | US | Huntington Beach | 2011-11-03 / 20110266217 - METHOD FOR CLEANING FILTER SEPARATION SYSTEMS | 1 |
Radhika Kajekar | US | Radnor | 2013-02-07 / 20130035559 - STIMULATION OF SATIETY HORMONE RELEASE | 2 |
Preetham Kajekar | IN | Bangalore | 2012-01-12 / 20120008508 - Method and System for Removing Dead Access Control Entries (ACEs) | 1 |
Sachin Kajekar | IN | Bangalore | 2011-04-21 / 20110093694 - Pattern Recognition Using Transition Table Templates | 1 |
Gregory John Kajfasz | US | Cincinnati | 2013-09-26 / 20130251520 - SCALLOPED SURFACE TURBINE STAGE | 2 |
Satoru Kaji | JP | Tokyo | 2016-04-28 / 20160115318 - Curable Resin Composition and Cured Product Thereof, Sealing Material for Optical Semiconductor, Die Bonding Material, and Optical Semiconductor Light-Emitting Element | 1 |
Hiroo Kaji | JP | Tokyo | 2015-03-05 / 20150064573 - Separator for Electrochemical Element, Process for Producing Separator and Electrochemical Element Using Separator | 3 |
Mamiko Kaji | JP | Kawasaki-Shi | 2016-03-17 / 20160075899 - IMAGE RECORDING METHOD AND INK SET | 10 |
Ryuhei Kaji | JP | Sakai-Shi | 2014-09-11 / 20140250936 - HEAT EXCHANGE UNIT AND REFRIGERATION DEVICE | 3 |
Masamune Kaji | US | Brooklyn | 2015-04-16 / 20150103306 - VIRTUAL OR AUGMENTED REALITY HEADSETS HAVING ADJUSTABLE INTERPUPILLARY DISTANCE | 1 |
Eiichi Kaji | JP | Shunan-City | 2015-02-26 / 20150057418 - METHOD FOR MANUFACTURING A SMALL PARTICLE DIAMETER PRODUCT OF SOLID POLYMETHYLALUMINOXANE COMPOSITION | 1 |
Shiori Kaji | JP | Kawasaki-Shi | 2015-03-26 / 20150082899 - STRAIN SENSING ELEMENT, PRESSURE SENSOR, MICROPHONE, BLOOD PRESSURE SENSOR, AND TOUCH PANEL | 3 |
Gozo Kaji | JP | Toyota-Shi | 2012-05-17 / 20120121476 - ELECTRICALLY-HEATED CATALYST | 1 |
Hideyuki Kaji | JP | Fujisawa-Shi | 2012-05-31 / 20120131862 - DOUBLE FLOOR STRUCTURE AND SUPPORT LEG FOR DOUBLE FLOOR STRUCTURE | 1 |
Shiori Kaji | JP | Kawasaki | 2015-09-24 / 20150268116 - METHOD OF MANUFACTURING PRESSURE SENSOR, DEPOSITION SYSTEM, AND ANNEALING SYSTEM | 7 |
Eiichi Kaji | JP | Hakusan-Shi | 2012-07-12 / 20120176358 - DISPLAY DEVICE, COMPUTER PROGRAM, STORAGE MEDIUM, AND IMAGE DISPLAYING METHOD | 2 |
Kazutoshi Kaji | JP | Hitachi | 2012-09-27 / 20120241611 - Electron Microscope | 4 |
Koichi Kaji | JP | Hidaka-Shi | 2014-01-02 / 20140004795 - ELECTRONIC APPARATUS AND NON-CONTACT COMMUNICATION CONTROL METHOD | 5 |
Noritada Kaji | JP | Nagoya-Shi | 2010-09-30 / 20100248373 - METHOD FOR INTRODUCING FOREIGN SUBSTANCE INTO CELL HAVING CELL WALL | 2 |
Masayo Kaji | JP | Kawasaki | 2010-09-30 / 20100250110 - SELECTION ITEM DISPLAY DEVICE, SELECTION ITEM DISPLAY METHOD, SELECTION ITEM DISPLAY PROGRAM, AND RECORDING MEDIUM IN WHICH SELECTION ITEM DISPLAY PROGRAM IS RECORDED | 1 |
Toshihiko Kaji | JP | Itami-Shi | 2010-09-16 / 20100229395 - METHOD FOR MANUFACTURING RIGID INTERNAL GEAR OF WAVE GEAR DEVICE | 1 |
Chizuko Kaji | JP | Kanagawa-Ken | 2010-07-22 / 20100184746 - LINCOSAMIDE DERIVATIVES AND ANTIMICROBIAL AGENTS COMPRISING THE SAME AS ACTIVE INGREDIENT | 2 |
Hirotaka Kaji | JP | Shizuoka | 2010-06-10 / 20100145558 - MARINE VESSEL MANEUVERING SUPPORTING APPARATUS AND MARINE VESSEL INCLUDING THE SAME | 7 |
Toru Kaji | JP | Kyoto-Shi | 2015-12-31 / 20150377829 - CAPILLARY UNIT FOR ELECTROPHORESIS AND ELECTROPHORESIS DEVICE COMPRISING THE CAPILLARY UNIT | 2 |
Atsushi Kaji | JP | Nagaokakyo-Shi | 2015-01-22 / 20150022092 - Optical Sensor | 1 |
Keiichi Kaji | JP | Saitama | 2011-03-31 / 20110073627 - SIDE TRUNK MOUNTING STRUCTURE FOR TWO-WHEELED MOTOR VEHICLE | 1 |
Ryuji Kaji | JP | Tokushima-Ken | 2011-05-05 / 20110105940 - METHOD FOR QUANTIFICATION OF TITER OF NEUTRALIZING ANTIBODY TO NEUROTOXIN | 3 |
Norimasa Kaji | JP | Tsu | 2010-02-11 / 20100032189 - LED PACKAGE AND ATTACHMENT STRUCTURE OF MOLDED CIRCUIT COMPONENT | 1 |
Hiroaki Kaji | JP | Ichihara-Shi | 2011-04-07 / 20110079507 - MANUFACTURING METHOD OF SEMICONDUCTOR ELEMENT | 1 |
Katsuyuki Kaji | JP | Tokyo | 2016-04-14 / 20160105490 - COMMUNICATIONS MANAGEMENT SYSTEM AND COMMUNICATIONS MANAGEMENT METHOD | 6 |
Keigo Kaji | JP | Abiko-Shi | 2014-09-25 / 20140286656 - IMAGE HEATING DEVICE | 5 |
Hirokazu Kaji | JP | Sendai-Shi | 2011-02-24 / 20110046509 - METHOD OF MEASURING ELECTRICAL RESISTANCE VALUE OF CORNEAL TRANS-EPITHELIUM | 1 |
Makoto Kaji | JP | Hitachi-Shi | 2011-12-22 / 20110313122 - BORAZINE-BASED RESIN, PROCESS FOR ITS PRODUCTION, BORAZINE-BASED RESIN COMPOSITION, INSULATING FILM AND METHOD FOR ITS FORMATION | 2 |
Toru Kaji | JP | Kyoto | 2009-09-10 / 20090223822 - METHOD FOR PRETREATMENT OF ELECTROPHORESIS, SUBSTRATE FOR ANALYSIS, AND PRETREATMENT APPARATUS FOR ELECTROPHORESIS | 1 |
Makoto Kaji | JP | Hiroshima-Ken | 2010-12-30 / 20100326297 - PRINTING PRESS AND PRINTING METHOD | 1 |
Hiroaki Kaji | JP | Chiba-Shi | 2010-12-30 / 20100327311 - GROUP III NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND PRODUCTION METHOD THEREOF, AND LAMP | 5 |
Kanya Kaji | JP | Tokyo | 2008-11-20 / 20080283192 - METHOD AND APPARATUS FOR BONDING OPTICAL DISC SUBSTRATES TOGETHER, AND METHOD FOR SUPPLYING LIQUID MATERIAL | 1 |
Ryosuke Kaji | JP | Kyoto-Shi | 2010-10-14 / 20100262145 - Medical cutting device and medical cutting training device | 1 |
Mitsuru Kaji | JP | Osaka | 2016-02-18 / 20160048118 - FREQUENCY CONTROL METHOD AND FREQUENCY CONTROL SYSTEM | 10 |
Shinichi Kaji | JP | Osaka | 2010-08-26 / 20100212793 - Pneumatic Tire | 2 |
Toshimitsu Kaji | JP | Wako | 2010-08-19 / 20100211261 - VEHICLE BEHAVIOR CONTROL SYSTEM | 1 |
Toshiyuki Kaji | JP | Tokyo | 2010-07-08 / 20100171891 - DIGITIZER FUNCTION-EQUIPPED LIQUID CRYSTAL DISPLAY DEVICE INFORMATION PROCESSING ELECTRONIC DEVICE, AND GAME DEVICE | 1 |
Yoshio Kaji | JP | Kodaira-Shi | 2015-11-12 / 20150321518 - PNEUMATIC TIRE | 3 |
Tokiko Kaji | JP | Itami-Shi | 2013-11-07 / 20130292802 - NITRIDE CRYSTAL, NITRIDE CRYSTAL SUBSTRATE, EPILAYER-CONTAINING NITRIDE CRYSTAL SUBSTRATE, SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 4 |
Masashi Kaji | JP | Fukuoka | 2010-03-11 / 20100063217 - FLAME-RETARDANT ADHESIVE RESIN COMPOSITION AND ADHESIVE FILM USING THE SAME | 4 |
Ryosaku Kaji | JP | Tsukuba-Shi | 2010-01-28 / 20100019759 - OBJECT STATE DETECTION APPARATUS AND METHOD | 1 |
Ryuichi Kaji | JP | Osaka | 2011-09-01 / 20110213501 - METHOD FOR CONTROLLING AIR CONDITIONER, AIR CONDITIONER, AND ENVIRONMENTAL TESTER | 2 |
Nahoko Kaji | JP | Chiba | 2009-12-17 / 20090309988 - Recording apparatus and method, reproducing apparatus and method, and program | 1 |
Masayo Kaji | JP | Saitama | 2009-12-10 / 20090306989 - VOICE INPUT SUPPORT DEVICE, METHOD THEREOF, PROGRAM THEREOF, RECORDING MEDIUM CONTAINING THE PROGRAM, AND NAVIGATION DEVICE | 1 |
Hajime Kaji | JP | Abiko-Shi | 2012-02-02 / 20120027465 - IMAGE FORMING APPARATUS CAPABLE OF PERFORMING ROTATIONAL PHASE CONTROL OF IMAGE BEARING MEMBER | 6 |
Daisuke Kaji | JP | Tokyo | 2013-10-17 / 20130274608 - ULTRASOUND DIAGNOSTIC IMAGING APPARATUS | 5 |
Tadashi Kaji | JP | Fujisawa | 2009-10-15 / 20090259842 - METHOD, PRODUCT AND APPARATUS FOR ACCELERATING PUBLIC-KEY CERTIFICATE VALIDATION | 1 |
Toshimitsu Kaji | JP | Wako-Shi | 2009-10-01 / 20090248247 - CONTROL DEVICE FOR A WHEEL SUSPENSION SYSTEM | 2 |
Shinya Kaji | JP | Oura-Gun | 2009-09-24 / 20090239076 - FRICTION MATERIAL | 1 |
Katzutoshi Kaji | JP | Hitachi | 2009-08-06 / 20090194691 - ELECTRONIC MICROSCOPE APPARATUS | 1 |
Hiroaki Kaji | JP | Chiba | 2009-06-11 / 20090146161 - GROUP III NITRIDE COMPOUND SEMICONDUCTOR STACKED STRUCTURE | 1 |
Yuichi Kaji | JP | Nara | 2009-05-14 / 20090122981 - Encryption Key Generation Device | 1 |
Masaya Kaji | JP | Ebina-Shi | 2009-04-30 / 20090111672 - FOLD PROCESSING DEVICE, IMAGE FORMING APPARATUS, PRINT DIRECTING DEVICE, IMAGE FORMING SYSTEM, FOLD PROCESSING METHOD AND COMPUTER READABLE MEDIA STORING PROGRAM | 1 |
Masayuki Kaji | JP | Hokkaido | 2009-04-09 / 20090090255 - Agricultural product peeling apparatus | 1 |
Shoichi Kaji | JP | Yamanashi | 2009-01-29 / 20090025548 - Spring-return, pneumatic actuator | 1 |
Takanori Kaji | JP | Tokyo | 2011-11-03 / 20110268107 - Registry Proxy Server Apparatus, Communication System, and Operation Mode Changing Method | 4 |
Tetsunori Kaji | JP | Tokuyama-Shi | 2009-01-08 / 20090008363 - Plasma processing apparatus and a plasma processing method | 1 |
Katsuhiro Kaji | JP | Fujimi-Shi | 2008-12-25 / 20080314123 - LEAKAGE DETECTOR | 1 |
Keiko Kaji | JP | Akishima-Shi | 2008-12-04 / 20080296047 - PRINTED WIRING BOARD ASSEMBLY, METHOD OF MOUNTING COMPONENTS ON PRINTED WIRING BOARD AND ELECTRONIC APPARATUS | 1 |
Tomoyuki Kaji | JP | Kamakura | 2012-09-27 / 20120246430 - STORAGE SYSTEM AND DATA RELOCATION CONTROL DEVICE | 2 |
Hirokazu Kaji | JP | Miyagi | 2013-10-17 / 20130273136 - SUSTAINED DRUG DELIVERY SYSTEM | 3 |
Toshimitsu Kaji | JP | Saitama | 2008-09-25 / 20080234896 - CONTROL APPARATUS OF VARIABLE DAMPING FORCE DAMPER | 1 |
Kunihide Kaji | JP | Hachioji-Shi | 2016-05-05 / 20160121084 - Method for inserting endoscopic device into hollow organ using guide wire | 6 |
Daisuke Kaji | JP | Chiba-Shi | 2013-06-20 / 20130153818 - METHOD FOR INCREASING THERMAL HYSTERESIS ACTIVITY, METHOD FOR REDUCING THERMAL INACTIVATION OF THERMAL HYSTERESIS ACTIVITY, AND COMPOSITION FOR INCREASING THERMAL HYSTERESIS ACTIVITY | 1 |
Takuto Kaji | JP | Minato-Ku | 2013-08-08 / 20130203500 - AMUSEMENT SYSTEM HAVING COMMUNICATION FUNCTION | 1 |
Nahoko Kaji | JP | Tokyo | 2015-09-17 / 20150264261 - RECORDING APPARATUS AND METHOD, REPRODUCING APPARATUS AND METHOD, AND PROGRAM | 2 |
Ryosuke Kaji | JP | Kyoto | 2013-12-12 / 20130330686 - DENTAL OPTICAL MEASURING DEVICE AND DENTAL OPTICAL MEASURING/DIAGNOSING TOOL | 1 |
Koichi Kaji | JP | Saitama | 2014-01-02 / 20140003612 - INFORMATION TERMINAL AND COMPUTER PROGRAM PRODUCT | 1 |
Takao Kaji | JP | Miyazaki | 2015-07-30 / 20150214298 - TRENCH ISOLATION STRUCTURE HAVING ISOLATING TRENCH ELEMENTS | 3 |
Masashi Kaji | DE | Dusseldorf | 2013-01-03 / 20130005624 - LUBRICANT COMPOSITION | 1 |
Ryohei Kaji | JP | Kurume-Shi | 2013-01-03 / 20130006142 - SUCTION PUNCTURE METHOD AND SUCTION PUNCTURE DEVICE | 1 |
Shiori Kaji | JP | Kanagawa-Ken | 2014-12-18 / 20140369530 - PRESSURE SENSOR, ACOUSTIC MICROPHONE, BLOOD PRESSURE SENSOR, AND TOUCH PANEL | 6 |
Tadashi Kaji | JP | Yokohama-Shi | 2013-08-29 / 20130227690 - PROGRAM ANALYSIS SYSTEM AND METHOD THEREOF | 2 |
Shinichi Kaji | JP | Osaka-Shi | 2015-06-11 / 20150158340 - PNEUMATIC TIRE | 1 |
Tokiko Kaji | JP | Hyogo | 2014-11-27 / 20140349112 - NITRIDE CRYSTAL, NITRIDE CRYSTAL SUBSTRATE, EPILAYER-CONTAINING NITRIDE CRYSTAL SUBSTRATE, SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hayato Kaji | JP | Wako | 2012-07-12 / 20120178004 - FUEL CELL | 1 |
Masashi Kaji | DE | Duesseldorf | 2013-05-23 / 20130129264 - LUBRICANT COMPOSITION FOR LOW-FRICTION SLIDING MATERIAL AND SLIDING MECHANISM USING SAME | 1 |
Mamiko Kaji | JP | Kawasaki-Shi | 2016-03-17 / 20160075899 - IMAGE RECORDING METHOD AND INK SET | 10 |
Toru Kaji | JP | Tokyo | 2012-07-26 / 20120189114 - TELEPHONE RELAY DEVICE, METHOD OF RELAYING TELEPHONES, AND PROGRAM THEREFOR | 1 |
Hiroyuki Kaji | JP | Ibaraki | 2015-10-15 / 20150293104 - EPITHELIAL OVARIAN CANCER DIFFERENTIATION MARKER | 3 |
Takefumi Kaji | JP | Nagoya-Shi | 2016-03-24 / 20160086691 - INSULATED WIRE | 1 |
Nobuaki Kaji | JP | Kanagawa-Ken | 2012-02-23 / 20120044462 - PROJECTOR | 1 |
Katsuyuki Kaji | JP | Ohta-Ku, Tokyo | 2016-04-14 / 20160105490 - COMMUNICATIONS MANAGEMENT SYSTEM AND COMMUNICATIONS MANAGEMENT METHOD | 1 |
Satoshi Kaji | JP | Otake-Shi | 2015-07-02 / 20150184941 - METHOD FOR PRODUCING CARBON FIBER BUNDLE AND HEATING FURNACE FOR CARBON FIBER PRECURSOR FIBER BUNDLE | 1 |
Hinako Kaji | JP | Tokyo | 2012-08-09 / 20120203245 - NERVE STIMULATOR | 1 |
Hirotaka Kaji | JP | Hadano-Shi | 2016-02-04 / 20160029940 - DRIVING ASSISTANCE DEVICE, DRIVING ASSISTANCE METHOD, INFORMATION-PROVIDING DEVICE, INFORMATION-PROVIDING METHOD, NAVIGATION DEVICE AND NAVIGATION METHOD | 2 |
Makoto Kaji | JP | Hiroshima | 2012-03-22 / 20120069117 - PRINTING PRESS | 2 |
Nobuaki Kaji | JP | Yokohama-Shi | 2009-08-06 / 20090196148 - MASTER RECORDING APPARATUS AND MASTER RECORDING METHOD | 13 |
Hironori Kaji | JP | Hitachinaka | 2013-05-02 / 20130104631 - PUMP FOR LIQUID CHROMATOGRAPH, AND LIQUID CHROMATOGRAPH | 13 |
Atsutoshi Kaji | JP | Aichi | 2014-10-30 / 20140321940 - Clip | 4 |
Ryota Kaji | JP | Akita | 2011-07-21 / 20110178303 - PIGMENT COMPOUND, METHOD OF PRODUCING THE SAME, AND COLORING AGENT | 1 |
Tadashi Kaji | JP | Yokohama | 2012-10-04 / 20120254942 - CONNECTION DESTINATION DETERMINATION DEVICE, CONNECTION DESTINATION DETERMINATION METHOD, AND SERVICE COLLABORATION SYSTEM | 19 |
Yuuichi Kaji | JP | Kanagawa | 2015-08-20 / 20150237285 - COMPARATOR, SOLID-STATE IMAGING DEVICE, ELECTRONIC APPARATUS, AND DRIVING METHOD | 3 |
Atsutoshi Kaji | JP | Toyota-Shi | 2015-10-22 / 20150298623 - CLIP | 3 |
Masaya Kaji | JP | Toyota-Shi | 2015-08-13 / 20150229206 - ELECTRICAL POWER CONVERTER | 5 |
Hayato Kaji | JP | Saitama | 2012-10-04 / 20120251900 - FUEL CELL SYSTEM | 1 |
Hasit Kaji | IN | Chennai | 2014-09-25 / 20140289175 - System and Method for Determining an Expert of a Subject on a Web-based Platform | 1 |
Hironori Kaji | JP | Uji-Shi | 2014-10-09 / 20140303334 - CATALYST FOR LIVING RADICAL POLYMERIZATION AND POLYMERIZATION METHOD | 1 |
Eiichi Kaji | JP | Yamaguchi | 2013-03-07 / 20130059990 - SOLID SUPPORT-POLYMETHYLALUMINOXANE COMPLEX, METHOD FOR PRODUCING SAME, OLEFIN POLYMERIZATION CATALYST, AND METHOD FOR PRODUCING POLYOLEFIN | 1 |
Naohiro Kaji | JP | Kanagawa | 2012-11-01 / 20120273979 - EXHAUST GAS TREATMENT APPARATUS | 2 |
Toshiyuki Kaji | JP | Shinagawa-Ku | 2015-10-08 / 20150288223 - POWER FAILURE DETECTION METHOD, POWER FAILURE DETECTOR, LIGHTING DEVICE, AND POWER SUPPLY DEVICE | 1 |
Takahide Kaji | JP | Yokohama-Shi | 2011-11-24 / 20110289607 - METHOD OF INHIBITING GENE EXPRESSION | 1 |
Kunihide Kaji | JP | Tokyo | 2015-05-07 / 20150126994 - PUNCTURE TOOL AND ULTRASOUND ENDOSCOPE | 26 |
Yoshio Kaji | JP | Tokyo | 2015-10-15 / 20150290978 - PNEUMATIC TIRE | 1 |
Yoshio Kaji | JP | Higashimurayama-Shi | 2015-09-24 / 20150266346 - PNEUMATIC TIRE | 3 |
Eiichi Kaji | JP | Shunan-Shi | 2011-11-17 / 20110282017 - SOLID POLYMETHYLALUMINOXANE COMPOSITION AND METHOD FOR MANUFACTURING SAME | 1 |
Eiji Kaji | JP | Kanagawa | 2011-10-13 / 20110249167 - VIEW FINDER | 3 |
Toshihiko Kaji | JP | Kanagawa | 2009-03-05 / 20090063313 - Witness system | 1 |
Shiori Kaji | JP | Kanagawa | 2015-04-09 / 20150096308 - MAGNETIC REFRIGERATING DEVICE AND MAGNETIC REFRIGERATING SYSTEM | 7 |
Masaya Kaji | JP | Kanagawa | 2012-09-27 / 20120246417 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING PROGRAM | 4 |
Satoshi Kaji | JP | Kanagawa | 2010-06-10 / 20100143630 - CHLORINATED RUBBER COMPOSITION AND HOSE | 1 |
Makoto Kaji | JP | Chiyoda-Ku | 2013-11-14 / 20130298398 - PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE ELEMENT, METHOD FOR FORMING RESIST PATTERN, AND METHOD FOR MANUFACTURING PRINTED WIRING BOARD | 1 |
Fumihiko Kaji | JP | Sendai City | 2014-08-28 / 20140238607 - PLASMA PROCESSING APPARATUS | 1 |
Nobuyuki Kaji | JP | Kawasaki-Shi | 2015-03-26 / 20150084048 - BOTTOM GATE TYPE THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND DISPLAY APPARATUS | 17 |
Kenta Kaji | JP | Hyogo | 2013-12-05 / 20130320607 - POSITIONING APPARATUS | 1 |
Mitsuru Kaji | JP | Osaka | 2016-02-18 / 20160048118 - FREQUENCY CONTROL METHOD AND FREQUENCY CONTROL SYSTEM | 10 |
Tadashi Kaji | JP | Tokyo | 2015-10-22 / 20150302213 - SYSTEM SECURITY DESIGN SUPPORT DEVICE, AND SYSTEM SECURITY DESIGN SUPPORT METHOD | 3 |
Hironori Kaji | JP | Kyoto | 2013-12-19 / 20130338273 - EMULSION BINDER, AQUEOUS PIGMENT INK FOR INKJET CONTAINING SAME, AND METHOD FOR PRODUCING EMULSION BINDER | 1 |
Hiroyuki Kaji | JP | Tsukuba-Shi | 2015-11-19 / 20150329602 - MODIFIED LECTIN DERIVED FROM WISTERIA FLORIBUNDA | 1 |
Nobufuji Kaji | JP | Kyoto | 2013-02-21 / 20130043758 - STATOR | 1 |
Satoshi Kaji | JP | Hiroshima | 2016-01-07 / 20160002828 - FLAME-RESISTANT HEAT TREATMENT FURNACE | 2 |
Ryuji Kaji | JP | Tokushima-Shi | 2013-12-26 / 20130344081 - METHOD OF TREATING AMYOTROPHIC LATERAL SCLEROSIS | 1 |
Yasuyuki Kaji | JP | Kyoto | 2013-08-15 / 20130209294 - FAN | 3 |
Hironori Kaji | JP | Uji-City | 2014-02-27 / 20140058099 - NOVEL COMPOUND, CHARGE TRANSPORT MATERIAL, AND ORGANIC DEVICE | 1 |
Yohsuke Kaji | JP | Chiba | 2015-11-26 / 20150341607 - PROJECTION TYPE IMAGE DISPLAY DEVICE, IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD, AND COMPUTER PROGRAM | 7 |
Makoto Kaji | JP | Mihara-Shi, Hiroshima | 2015-12-03 / 20150343827 - PRINTING DEVICE, BOOKBINDING DEVICE, AND PRINTING/BOOKBINDING SYSTEM | 1 |
Keiko Kaji | JP | Kawasaki Kanagawa | 2016-05-05 / 20160126172 - SEMICONDUCTOR DEVICE PACKAGE AND ELECTRONIC DEVICE INCLUDING THE SAME | 1 |
Shiori Kaji | JP | Kawasaki Kanagawa | 2015-03-26 / 20150082901 - STRAIN SENSING ELEMENT, PRESSURE SENSOR, MICROPHONE, BLOOD PRESSURE SENSOR, AND TOUCH PANEL | 2 |
Damir Kajic | SE | Lund | 2014-11-20 / 20140340598 - TOUCH PANEL | 2 |
Kazuhiko Kajigaya | JP | Iruma-Shi | 2008-11-06 / 20080273413 - SEMICONDUCTOR DEVICE | 2 |
Tomio Kajigaya | JP | Tokyo | 2013-08-29 / 20130224520 - BISMUTH-SUBSTITUTED RARE-EARTH IRON GARNET CRYSTAL FILM AND OPTICAL ISOLATOR | 2 |
Keisuke Kajigaya | JP | Tokyo | 2016-05-12 / 20160132343 - INFORMATION PROCESSING DEVICE, LIBRARY LOADING METHOD, AND COMPUTER READABLE MEDIUM | 1 |
Tomio Kajigaya | JP | Hokkaido | 2010-01-28 / 20100021373 - Lithium tantalate substrate and process for its manufacture | 2 |
Kazuhiko Kajigaya | JP | Chuo-Ku | 2013-06-20 / 20130155798 - SEMICONDUCTOR DEVICE HAVING HIERARCHICAL BIT LINE STRUCTURE | 4 |
Sachiko Kajigaya | US | Rockville | 2015-06-04 / 20150152384 - COMPOSITIONS AND METHODS FOR PREVENTION OR TREATMENT OF NEOPLASTIC DISEASE IN A MAMMALIAN SUBJECT | 2 |
Kazuhiko Kajigaya | JP | Tokyo | 2016-04-07 / 20160099041 - Semiconductor Device | 89 |
Suguru Kajigaya | JP | Yokohama-Shi | 2016-02-18 / 20160046164 - SUSPENSION COIL SPRING | 3 |
Kazuhiko Kajigaya | JP | Iruma | 2009-06-11 / 20090150604 - Semiconductor Device | 4 |
Akira Kajigaya | JP | Atsugi-Shi | 2011-01-20 / 20110015012 - BELT-DRIVE CVT | 1 |
Rie Kajihara | JP | Minoo-Shi | 2015-05-14 / 20150131128 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING APPARATUS | 16 |
Daisuke Kajihara | JP | Osaka | 2014-05-08 / 20140124247 - TRANSPARENT CONDUCTIVE FILM | 2 |
Katsura Kajihara | JP | Kobe-Shi, Hyogo | 2016-05-19 / 20160141922 - GENERATOR | 1 |
Rie Kajihara | JP | Yokohama-Shi | 2012-05-10 / 20120114268 - DEVICE FOR SEARCHING PRINT TARGET IMAGE ADAPTED FOR PRINTABLE AREA | 2 |
Yuji Kajihara | JP | Matsumoto-Shi | 2016-05-19 / 20160143183 - ELECTRONIC APPARATUS | 1 |
Yasuhiro Kajihara | JP | Osaka | 2014-12-25 / 20140377807 - METHOD FOR PRODUCING POLYPEPTIDE FRAGMENT WITH HIGH EFFICIENCY, WHICH IS SUITABLE FOR NCL METHOD | 11 |
Yoshimichi Kajihara | JP | Okayama | 2016-05-12 / 20160134972 - LOUDSPEAKER-PURPOSE VIBRATION PLATE, LOUDSPEAKER USING THAT VIBRATION PLATE, ELECTRONIC DEVICE, AND MOBILE APPARATUS | 2 |
Katsura Kajihara | JP | Hyogo | 2015-12-24 / 20150368742 - HIGH-STRENGTH COLD-ROLLED STEEL SHEET HAVING EXCELLENT BENDABILITY | 9 |
Yuri Kajihara | JP | Tokyo | 2015-11-26 / 20150337106 - Low-Melting-Point Glass Resin Composite Material and Electronic/Electric Apparatus Using Same | 1 |
Ryo Kajihara | JP | Hiroshima-Shi | 2010-10-14 / 20100260888 - METHOD OF PRODUCING MATURED FOOD | 1 |
Kentaro Kajihara | JP | Osaka | 2015-11-19 / 20150329307 - Image Forming Apparatus and Method for Forming Image | 2 |
Seiji Kajihara | JP | Kitakyushu-Shi | 2010-04-15 / 20100095179 - TEST PATTERN GENERATION METHOD FOR AVOIDING FALSE TESTING IN TWO-PATTERN TESTING FOR SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Yoshimichi Kajihara | JP | Osaka | 2012-05-10 / 20120114165 - DIAPHRAGM FOR SPEAKER, FRAME FOR SPEAKER, DUST CAP FOR SPEAKER, SPEAKER AND APPARATUS USING THEM, AND METHOD FOR MANUFACTURING COMPONENT FOR SPEAKER | 9 |
Seiji Kajihara | JP | Fukuoka-Shi | 2009-01-15 / 20090019327 - GENERATING DEVICE, GENERATING METHOD, PROGRAM AND RECORDING MEDIUM | 2 |
Sunao Kajihara | JP | Hiroshima | 2008-10-02 / 20080242380 - PORTABLE ELECTRONIC DEVICE | 1 |
Seiji Kajihara | JP | Fukuoka | 2015-09-03 / 20150247898 - FAULT DETECTION SYSTEM, GENERATION CIRCUIT, AND PROGRAM | 9 |
Rie Kajihara | JP | Higashikagawa-Shi | 2010-09-30 / 20100245446 - PRINTING APPARATUS AND PRINTING METHOD | 2 |
Tetsuo Kajihara | JP | Tokyo | 2013-01-24 / 20130019646 - METHOD OF CONTROLLING OPERATION OF TANDEM ROLLING MILL AND METHOD OF MANUFACTURING HOT-ROLLED STEEL SHEET USING THE SAME | 1 |
Yasuhiro Kajihara | JP | Kanagawa | 2012-02-23 / 20120047586 - POLYPEPTIDE HAVING ENHANCED EFFECTOR FUNCTION | 4 |
Kimberly Kajihara | US | San Francisco | 2015-12-31 / 20150376266 - GRAM-POSITIVE BACTERIA SPECIFIC BINDING COMPOUNDS | 6 |
Takayuki Kajihara | JP | Funabashi-Shi | 2008-09-11 / 20080220193 - Catalyst for olefin polymerization, method for producing olefin polymer, method for producing propylene-based copolymer, propylene polymer, propylene-based polymer composition, and use of those | 1 |
Kenichi Kajihara | JP | Osaka | 2010-05-06 / 20100112066 - Prostaglandin fat emulsion, method for producing the same, method for stabilizing the same, and emulsifying agent | 1 |
Mikihiro Kajihara | JP | Suwa-Shi | 2014-10-16 / 20140307273 - LABEL DATA GENERATING METHOD, RECORDING MEDIUM, LABEL DATA GENERATING APPARATUS, AND LABEL CREATING SYSTEM | 3 |
Shigeki Kajihara | JP | Kyoto-Shi | 2011-06-02 / 20110127425 - Mass Spectrometer | 3 |
Daisuke Kajihara | JP | Ibaraki-Shi | 2015-03-26 / 20150086789 - TRANSPARENT CONDUCTIVE FILM | 3 |
Kazuki Kajihara | JP | Ogaki | 2015-02-05 / 20150034378 - PRINTED WIRING BOARD | 3 |
Kazuki Kajihara | JP | Ogaki-Shi | 2014-03-06 / 20140060904 - PRINTED WIRING BOARD AND METHOD FOR MANUFACTURING PRINTED WIRING BOARD | 3 |
Katsura Kajihara | JP | Hyogo | 2015-12-24 / 20150368742 - HIGH-STRENGTH COLD-ROLLED STEEL SHEET HAVING EXCELLENT BENDABILITY | 9 |
Shinji Kajihara | JP | Kobe-Shi | 2010-12-30 / 20100332032 - Displacement correcting method and displacement correcting program in automatic operation system | 1 |
Shigeki Kajihara | JP | Kyoto | 2010-11-11 / 20100282965 - MASS ANALYSIS METHOD AND MASS ANALYSIS SYSTEM | 1 |
Ryuji Kajihara | JP | Saitama | 2015-03-12 / 20150070108 - ELASTIC WAVE FILTER | 2 |
Kei Kajihara | JP | Osaka-Shi | 2013-01-03 / 20130000721 - SUBSTRATE FOR PHOTOELECTRIC CONVERSION DEVICE, PHOTOELECTRIC CONVERSION DEVICE USING THE SUBSTRATE, AND METHOD FOR PRODUCING THE SUBSTRATE AND DEVICE | 1 |
Shigeru Kajihara | JP | Kunitachi-Shi | 2010-04-01 / 20100083235 - DEBUG SYSTEM FOR DIAGRAM OF PROGRAMMABLE CONTROLLER, ITS PROGRAMMING DEVICE AND ITS PROGRAM | 1 |
Katsura Kajihara | JP | Kobe-Shi | 2016-01-07 / 20160002745 - HOT-ROLLED STEEL SHEET HAVING EXCELLENT DRAWABILITY AND POST-PROCESSING SURFACE HARDNESS | 11 |
Takayuki Kajihara | JP | Chiba | 2011-09-29 / 20110233448 - COPOLYMER, RUBBER COMPOSITION, CROSS-LINKED RUBBER, CROSS-LINKED FOAM, AND USES THEREOF | 4 |
Shigeki Kajihara | JP | Uji-Shi | 2015-03-05 / 20150066387 - SUBSTANCE IDENTIFICATION METHOD AND MASS SPECTROMETER USING THE SAME | 10 |
Nobuki Kajihara | JP | Tokyo | 2011-12-29 / 20110320769 - PARALLEL COMPUTING DEVICE, INFORMATION PROCESSING SYSTEM, PARALLEL COMPUTING METHOD, AND INFORMATION PROCESSING DEVICE | 6 |
Kunihiro Kajihara | JP | Suginami | 2009-06-25 / 20090160690 - D/A CONVERTER CIRCUIT, INTEGRATED CIRCUIT DEVICE, AND ELECTRONIC APPARATUS | 1 |
Kentaro Kajihara | JP | Daito-Shi | 2014-06-05 / 20140152900 - INFORMATION TERMINAL APPARATUS | 1 |
Rie Kajihara | JP | Minoh-Shi | 2011-09-29 / 20110234661 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 4 |
Kazunobu Kajihara | JP | Tokyo | 2012-03-22 / 20120067990 - Grinding type vertical grain milling machine | 1 |
Takehiro Kajihara | JP | Aichi-Ken | 2013-02-28 / 20130049433 - VEHICLE SEAT | 1 |
Takahiro Kajihara | JP | Osaka | 2009-03-12 / 20090064947 - OIL-COOLED ENGINE | 1 |
Katsura Kajihara | JP | Kobe-Shi | 2016-01-07 / 20160002745 - HOT-ROLLED STEEL SHEET HAVING EXCELLENT DRAWABILITY AND POST-PROCESSING SURFACE HARDNESS | 11 |
Satoru Kajihara | JP | Kirishima-Shi | 2009-01-29 / 20090025855 - Insulating Substrate and Manufacturing Method Therefor, and Multilayer Wiring Board and Manufacturing Method Therefor | 1 |
Yoshimichi Kajihara | JP | Mie | 2015-11-05 / 20150319532 - DIAPHRAGM, LOUDSPEAKER USING DIAPHRAGM, ELECTRONIC DEVICE AND MOBILE DEVICE USING LOUDSPEAKER, AND METHOD FOR PRODUCING DIAPHRAGM | 8 |
Takehiro Kajihara | JP | Toyota-Shi | 2013-04-18 / 20130093233 - SEAT GAP HIDING STRUCTURE | 1 |
Mikihiro Kajihara | JP | Matsumoto-Shi | 2013-08-08 / 20130201499 - CONTROL DEVICE, PRINT SYSTEM, PRINT DEVICE, PRINT CONTROL METHOD AND PROGRAM | 4 |
Takumi Kajihara | JP | Nagasaki | 2011-11-17 / 20110279724 - SOLID-STATE IMAGING DEVICE AND CAMERA SYSTEM | 1 |
Masami Kajihara | JP | Osaka | 2010-08-26 / 20100213359 - MEASURING APPARATUS AND MEASURING METHOD FOR MEASURING AXIS TILT OF SHAFT OF MOTOR FOR POLYGON MIRROR | 1 |
Takeshi Kajihara | JP | Hiratsuka | 2013-02-07 / 20130032188 - THERMOELECTRIC POWER MODULE | 1 |
Eisuke Kajihara | JP | Wako-Shi | 2016-03-17 / 20160076634 - VIBRATION ISOLATION STRUCTURE OF POWER UNIT INCLUDING TORQUE DAMPERS | 5 |
Seiji Kajihara | JP | Fukuoka | 2015-09-03 / 20150247898 - FAULT DETECTION SYSTEM, GENERATION CIRCUIT, AND PROGRAM | 9 |
Yoshimichi Kajihara | JP | Mie | 2015-11-05 / 20150319532 - DIAPHRAGM, LOUDSPEAKER USING DIAPHRAGM, ELECTRONIC DEVICE AND MOBILE DEVICE USING LOUDSPEAKER, AND METHOD FOR PRODUCING DIAPHRAGM | 8 |
Yoshimichi Kajihara | JP | Osaka | 2012-05-10 / 20120114165 - DIAPHRAGM FOR SPEAKER, FRAME FOR SPEAKER, DUST CAP FOR SPEAKER, SPEAKER AND APPARATUS USING THEM, AND METHOD FOR MANUFACTURING COMPONENT FOR SPEAKER | 9 |
Junji Kajihara | JP | Tokyo | 2015-10-29 / 20150308959 - BASE SEQUENCE ANALYSIS APPARATUS | 12 |
Noboru Kajihara | JP | Handa-City | 2014-08-21 / 20140231019 - SUSCEPTOR | 1 |
Yasuhiro Kajihara | JP | Osaka | 2014-12-25 / 20140377807 - METHOD FOR PRODUCING POLYPEPTIDE FRAGMENT WITH HIGH EFFICIENCY, WHICH IS SUITABLE FOR NCL METHOD | 11 |
Yasuhiro Kajihara | JP | Toyonaka-Shi | 2012-07-12 / 20120178905 - PROCESS FOR PRODUCTION OF PEPTIDE THIOESTER | 2 |
Kazunobu Kajihara | JP | Hiroshima | 2015-04-30 / 20150113856 - GRAIN PEST CONTROL APPARATUS AND METHOD | 1 |
Hirotsugu Kajihara | JP | Yokohama-Shi | 2013-12-05 / 20130324052 - WIRELESS COMMUNICATION DEVICE AND WIRELESS COMMUNICATION SYSTEM | 7 |
Junji Kajihara | JP | Tokyo | 2015-10-29 / 20150308959 - BASE SEQUENCE ANALYSIS APPARATUS | 12 |
Keisuke Kajihara | JP | Tokyo | 2009-03-19 / 20090075079 - Rubber-Reinforcing Cord, Method for Manufacturing Same, and Rubber Article Using Same | 1 |
Yuji Kajihara | JP | Fuchu-Shi | 2014-08-21 / 20140230728 - VACUUM PROCESSING APPARATUS | 5 |
Yasuhiro Kajihara | JP | Yokohama-Shi | 2013-12-26 / 20130345129 - SUGAR CHAIN ADDED GLP-1 PEPTIDE | 5 |
Takahiro Kajihara | JP | Tsurugashima-Shi | 2011-04-14 / 20110083628 - PLUGHOLE WATERPROOFING DEVICE FOR ENGINE | 1 |
Shigeki Kajihara | JP | Uji-Shi | 2015-03-05 / 20150066387 - SUBSTANCE IDENTIFICATION METHOD AND MASS SPECTROMETER USING THE SAME | 10 |
Yasuhiro Kajihara | JP | Toyonaka-Shi Osaka | 2016-02-25 / 20160052987 - POLYPEPTIDE HAVING SIALYLATED SUGAR CHAINS ATTACHED THERETO | 1 |
Seiji Kajihara | JP | Iizuka-Shi | 2013-08-08 / 20130205180 - FAULT DETECTION SYSTEM, ACQUISITION APPARATUS, FAULT DETECTION METHOD, PROGRAM, AND NON-TRANSITORY COMPUTER-READABLE MEDIUM | 2 |
Saori Kajihara | JP | Tokyo | 2010-03-25 / 20100073804 - Thin-Film Magnetic Head Having Microwave Magnetic Exciting Function And Magnetic Recording And Reproducing Apparatus | 2 |
Kazunobu Kajihara | JP | Chiyoda-Ku | 2015-05-07 / 20150122924 - GRAIN-MILLING MACHINE | 1 |
Takayuki Kajihara | JP | Ehime-Ken | 2014-10-02 / 20140292035 - Cabin for Work Vehicles | 2 |
Hirotsugu Kajihara | JP | Kanagawa | 2010-07-01 / 20100169675 - SYNCHRONIZING CIRCUIT | 2 |
Fumihiko Kajii | JP | Ohtsu-Shi | 2014-04-10 / 20140100591 - METHOD FOR THE MANUFACTURE OF NERVE REGENERATION-INDUCING TUBE | 3 |
Tatsuhiko Kajii | JP | Seto-Shi | 2014-07-31 / 20140214006 - CATHETER | 3 |
Shinji Kajii | JP | Yamaguchi | 2013-04-11 / 20130089705 - SiC FIBER-BONDED CERAMIC COATED WITH SiC | 3 |
Shigeo Kajii | JP | Kanagawa | 2016-05-12 / 20160130215 - CYCLOPROPANEAMINE COMPOUND | 1 |
Shinji Kajii | JP | Ube-Shi | 2015-05-21 / 20150137411 - Method for Producing Inorganic Fiber-Bonded Ceramic Material | 1 |
Takeshi Kajii | JP | Kanagawa | 2013-12-26 / 20130344967 - Game Control Program, Game Device, Game Server, And Game Control Method | 2 |
Yusuke Kajii | JP | Minato-Ku, Tokyo | 2016-01-07 / 20160004320 - TRACKING DISPLAY SYSTEM, TRACKING DISPLAY PROGRAM, TRACKING DISPLAY METHOD, WEARABLE DEVICE USING THESE, TRACKING DISPLAY PROGRAM FOR WEARABLE DEVICE, AND MANIPULATION METHOD FOR WEARABLE DEVICE | 2 |
Yoshihisa Kajii | JP | Ishikawa | 2008-12-11 / 20080302856 - Conductive ball arraying apparatus | 1 |
Yoshihisa Kajii | JP | Kanazawa-Shi | 2011-07-21 / 20110174442 - BONDING APPARATUS | 4 |
Yoshio Kajii | JP | Kawasaki | 2008-12-25 / 20080315259 - Semiconductor memory device | 1 |
Fumihiko Kajii | JP | Shiga | 2011-11-24 / 20110288569 - NERVE REGENERATION-INDUCING TUBE | 3 |
Yasushi Kajii | JP | Osaka | 2010-10-28 / 20100273787 - KYNURENINE-AMINOTRANSFERASE INHIBITORS | 1 |
Yoshizumi Kajii | JP | Tokyo | 2009-01-29 / 20090026374 - APPARATUS FOR GAS CONCENTRATION MEASUREMENT ACCORDING TO GAS CORRELATION METHOD | 1 |
Kiyoshi Kajii | JP | Yokohama-Shi | 2013-05-02 / 20130109168 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Tomoyo Kajii | JP | Sakura-Shi | 2015-07-23 / 20150203700 - METAL NANOPARTICLE COMPOSITE BODY, METAL COLLOIDAL SOLUTION, AND METHODS FOR PRODUCING THESE | 2 |
Masunori Kajikawa | JP | Nagano | 2013-05-16 / 20130121914 - TREATMENT DRUG FOR AUTOIMMUNE DISEASES AND ALLERGIC DISEASES | 1 |
Toshikazu Kajikawa | JP | Kobe-Shi | 2012-10-18 / 20120261453 - LASER SCRIBE PROCESSING METHOD | 1 |
Koji Kajikawa | JP | Muroran | 2008-09-04 / 20080213099 - Ni-Fe BASED FORGING SUPERALLOY EXCELLENT IN HIGH-TEMPERATURE STRENGTH AND HIGH-TEMPERATURE DUCTILITY, METHOD OF MANUFACTURING THE SAME, AND STEAM TURBINE ROTOR | 1 |
Yasuteru Kajikawa | JP | Hyogo | 2008-10-30 / 20080269507 - Methods for Oxidizing Organic Compounds | 1 |
Kazuki Kajikawa | JP | Daito-Shi | 2008-10-30 / 20080266700 - Disc Apparatus | 1 |
Takeshi Kajikawa | JP | Sunto-Gun | 2008-11-13 / 20080280757 - Thermosensitive recording material | 1 |
Kenji Kajikawa | JP | Shizuoka | 2016-01-07 / 20160006136 - TERMINAL | 7 |
Kenji Kajikawa | JP | Fujieda-Shi | 2015-01-22 / 20150024639 - CONNECTOR | 3 |
Yoshifumi Kajikawa | JP | Nagoya-Shi | 2015-07-02 / 20150185654 - IMAGE FORMING APPARATUS AND NON-TRANSITORY COMPUTER-READABLE MEDIUM STORING CONTROLLING PROGRAM | 2 |
Mihoko Kajikawa | JP | Tokyo | 2011-11-24 / 20110288195 - DENTAL FILLING/RESTORATION KIT | 1 |
Kenji Kajikawa | JP | Makinohara-Shi | 2009-10-29 / 20090269966 - Lever-fitting connector | 1 |
Atsushi Kajikawa | JP | Hekinan-Shi | 2016-05-05 / 20160123455 - LUBRICATING STRUCTURE FOR SPEED REDUCER | 4 |
Yasuteru Kajikawa | JP | Himeji-Shi | 2015-10-22 / 20150298101 - METHOD FOR PRODUCING 3-HYDROXYTETRAHYDROFURAN AND METHOD FOR PRODUCING 1, 3-BUTANE DIOL | 2 |
Yasushi Kajikawa | JP | Kitakatsuragi-Gun | 2012-07-12 / 20120178541 - COUPLING YOKE FOR DOUBLE CARDAN JOINT | 2 |
Ken Kajikawa | JP | Kawasaki-Shi | 2009-12-24 / 20090316187 - DOCUMENT MANAGEMENT SYSTEM, DOCUMENT MANAGEMENT APPARATUS, COMPUTER-READABLE MEDIUM AND COMPUTER DATA SIGNAL | 1 |
Katsuharu Kajikawa | JP | Tokyo | 2010-05-20 / 20100124002 - Molded component, electronic apparatus, and method of producing a molded component | 1 |
Mihoko Kajikawa | JP | Ibaraki | 2011-04-28 / 20110098375 - DENTAL ADHESIVE COMPOSITION | 1 |
Fujio Kajikawa | JP | Yamagata | 2011-03-03 / 20110052857 - ORGANIC EL LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE ORGANIC EL LIGHT-EMITTING DEVICE | 2 |
Koji Kajikawa | JP | Muroran-Shi | 2016-02-11 / 20160040277 - NI-BASED SUPERALLOY WITH EXCELLENT UNSUSCEPTIBILITY TO SEGREGATION | 2 |
Shunji Kajikawa | JP | Ama-Gun | 2010-10-28 / 20100273025 - BRAZING METHOD AND BRAZED STRUCTURE | 1 |
Akinobu Kajikawa | JP | Aoba-Ku | 2010-10-21 / 20100266545 - OBLIGATELY ANAEROBIC MUTANT LACTIC ACID BACTERIUM AND PREPARATION METHOD THEREFOR, AND EXPRESSION VECTOR FUNCTIONING IN OBLIGATELY ANAEROBIC LACTIC ACID BACTERIUM | 1 |
Tatsuyoshi Kajikawa | JP | Tokyo | 2010-05-06 / 20100114399 - DIGITAL CONTROLLER FOR CONTROLLING OUTPUT VOLTAGE UNDER LARGE VARIATIONS IN LOAD AND SUPPLY VOLTAGE | 2 |
Kazuki Kajikawa | JP | Osaka | 2015-01-22 / 20150023143 - Optical Disc Device and Focus Jump Method | 4 |
Takeshi Kajikawa | JP | Tokyo | 2010-02-11 / 20100036796 - IMAGE FORMING APPARATUS, LOG STORING METHOD, AND COMPUTER PROGRAM PRODUCT | 3 |
Yasushi Kajikawa | JP | Hiroshima | 2010-01-07 / 20100001997 - Information Processing Method | 1 |
Katsuhiro Kajikawa | JP | Hyogo | 2009-12-31 / 20090321682 - Production Method Of Water Absorbent Resin Powder And Package Of Water Absorbent Resin Powder | 1 |
Koji Kajikawa | JP | Hokkaido | 2012-10-18 / 20120261038 - LOW ALLOY STEEL FOR GEOTHERMAL POWER GENERATION TURBINE ROTOR, AND LOW ALLOY MATERIAL FOR GEOTHERMAL POWER GENERATION TURBINE ROTOR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Takeshi Kajikawa | JP | Shizuoka | 2016-01-07 / 20160001583 - THERMOSENSITIVE RECORDING MATERIAL | 1 |
Koji Kajikawa | JP | Tokushima-Shi | 2015-05-14 / 20150131043 - METHOD OF MANUFACTURING IMAGE DISPLAY DEVICE AND METHOD OF SELECTING COLOR FILTER | 1 |
Koji Kajikawa | JP | Anan-Shi | 2015-07-02 / 20150184067 - FLUORIDE FLUORESCENT MATERIAL AND METHOD FOR PRODUCING THE SAME | 1 |
Masataka Kajikawa | JP | Kawasaki-Shi | 2012-03-29 / 20120077298 - NITRIDE SEMICONDUCTOR CRYSTAL WITH SURFACE TEXTURE | 1 |
Katsuhiro Kajikawa | JP | Toyota | 2015-08-27 / 20150239198 - ATTACHING STRUCTURE OF INSERT MEMBER TO BLOW MOLDED ARTICLE | 2 |
Masataka Kajikawa | JP | Ikoma-Shi | 2014-06-12 / 20140165232 - NOVEL GENE INDUCING ELONGATION OF ROOTS OR INCREASING BIOMASS, AND USE THEREFOR | 1 |
Hiroko Kajikawa | JP | Hiroshima-City | 2016-02-11 / 20160039271 - VIEW ADJUSTMENT DEVICE OF VEHICLE | 1 |
Masahiro Kajikawa | JP | Aichi | 2015-07-09 / 20150192055 - MUFFLER | 1 |
Toshikazu Kajikawa | JP | Hyogo | 2012-01-19 / 20120012758 - LASER IRRADIATION DEVICE AND LASER PROCESSING METHOD | 2 |
Yohei Kajikawa | JP | Machida-Shi | 2016-01-28 / 20160025609 - Method of Acquiring Viscosity of A Downhole Fluid in A Downhole Tool with A Vibrating Wire Viscometer | 1 |
Masahiro Kajikawa | JP | Okazaki-Shi | 2015-06-04 / 20150152760 - MUFFLER | 1 |
Masunori Kajikawa | JP | Komagane-Shi | 2015-05-21 / 20150140018 - ANTI-CXADR ANTIBODY | 4 |
Tomoya Kajikawa | JP | Nishitama-Gun | 2013-09-12 / 20130238322 - ELECTRONIC DEVICE WITH A DICTIONARY FUNCTION AND DICTIONARY INFORMATION DISPLAY METHOD | 1 |
Shuichi Kajikawa | JP | Shizuoka | 2015-02-12 / 20150043862 - CAGE OF ROLLER BEARING AND ROLLER BEARING STRUCTURE | 1 |
Shinichi Kajikawa | JP | Hiroshima | / - | 1 |
Kotaro Kajikawa | JP | Kanagawa | 2015-07-23 / 20150203652 - METAL FINE-PARTICLE COMPOSITE AND METHOD FOR FABRICATING THE SAME | 2 |
Yoshifumi Kajikawa | JP | Nagoya | 2012-05-31 / 20120134732 - Printing Apparatus | 1 |
Masataka Kajikawa | JP | Nara City | 2015-08-06 / 20150218575 - INCREASING LEVELS OF NICOTINIC ALKALOIDS IN PLANTS | 1 |
Takeshi Kajikawa | JP | Ohta-Ku, Tokyo | 2016-01-07 / 20160001583 - THERMOSENSITIVE RECORDING MATERIAL | 1 |
Kazuhiro Kajikawa | JP | Fukuoka | 2014-10-02 / 20140296074 - Superconducting Magnet and Nuclear Magnetic Resonance Device | 1 |
Hiroyuki Kajikawa | JP | Ibaraki | 2015-12-17 / 20150362643 - DIFFRACTIVE LENS AND OPTICAL DEVICE USING THE SAME | 1 |
Kouta Kajikawa | JP | Toyota-Shi | 2016-04-21 / 20160107612 - FRONT DEFROSTER NOZZLE APPARATUS | 1 |
Kousuke Kajiki | JP | Tokyo | 2014-09-18 / 20140264038 - TOMOGRAPHY APPARATUS AND ELECTROMAGNETIC PULSE TRANSMITTING APPARATUS | 11 |
Ryu Kajiki | JP | Shizuoka | 2013-04-25 / 20130102468 - 6-ACYL-1,2,4-TRIAZINE-3,5-DIONE DERIVATIVE AND HERBICIDES | 2 |
Yoshihiro Kajiki | JP | Tokyo | 2011-09-08 / 20110218999 - SYSTEM, METHOD AND PROGRAM FOR INFORMATION PROCESSING | 5 |
Mikio Kajiki | JP | Tokyo | 2015-01-08 / 20150007438 - LEVEL | 1 |
Kousuke Kajiki | JP | Kasuga-Shi | 2015-05-21 / 20150136987 - TERAHERTZ WAVE GENERATOR, TERAHERTZ WAVE DETECTOR, AND TERAHERTZ TIME DOMAIN SPECTROSCOPY DEVICE | 1 |
Yuichiro Kajiki | JP | Toyota-Shi, Aichi | 2016-04-14 / 20160102707 - SLIDING BEARING | 2 |
Junko Kajiki | JP | Kawasaki | 2015-01-29 / 20150029042 - TRAFFIC FLOW MEASURING APPARATUS AND METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 8 |
Atsunori Kajiki | JP | Nagano-Shi | 2013-01-03 / 20130001767 - PACKAGE AND METHOD FOR MANUFACTURING PACKAGE | 4 |
Koichi Kajiki | JP | Tokyo | 2015-09-24 / 20150266690 - SHEET MATERIAL TAKE-IN APPARATUS, SHEET MATERIAL CONVEYING APPARATUS, IMAGE READING APPARATUS, AND IMAGE FORMING APPARATUS | 1 |
Takeshi Kajiki | JP | Amagasaki-Shi | 2015-05-21 / 20150137025 - ESTER FOR REFRIGERATOR OILS AND METHOD FOR PRODUCING SAME | 2 |
Kousuke Kajiki | JP | Tokyo | 2014-09-18 / 20140264038 - TOMOGRAPHY APPARATUS AND ELECTROMAGNETIC PULSE TRANSMITTING APPARATUS | 11 |
Junko Kajiki | JP | Kawasaki | 2015-01-29 / 20150029042 - TRAFFIC FLOW MEASURING APPARATUS AND METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 8 |
Kazuki Kajima | JP | Tokyo | 2016-05-19 / 20160141163 - MASS SPECTROMETRIC DEVICE AND MASS SPECTROMETRIC DEVICE CONTROL METHOD | 1 |
Koji Kajima | JP | Shizuoka | 2011-05-26 / 20110123581 - SOFT CAPSULE | 1 |
Atsuo Kajima | JP | Kawasaki-Shi | 2015-01-15 / 20150013917 - PROCESSING METHOD AND PROCESSING APPARATUS | 1 |
Hideki Kajima | JP | Aichi | 2010-08-26 / 20100217733 - NEURON DEVICE, NEURAL NETWORK DEVICE, FEEDBACK CONTROL DEVICE, AND INFORMATION RECORDING MEDIUM | 2 |
Isao Kajima | JP | Osaka | 2012-09-20 / 20120235948 - LIQUID CRYSTAL DEVICE | 3 |
Yosuke Kajima | JP | Iida-Shi | 2014-06-12 / 20140159865 - REMOTE CONTROL DEVICE FOR VEHICLE | 1 |
Naoko Kajima | JP | Aichi | 2009-07-02 / 20090172578 - IMAGING DEVICE | 4 |
Hideki Kajima | JP | Toyota-Shi | 2010-12-02 / 20100305841 - TRAVELING APPARATUS, CONTROL METHOD THEREFOR, AND CONTROL PROGRAM | 2 |
Koji Kajima | JP | Fuji-Shi | 2011-07-07 / 20110162783 - METHOD FOR MANUFACTURING SOFT CAPSULE AND APPARATUS FOR MANUFACTURING THE SAME | 1 |
Kazuo Kajimoto | JP | Yokohama | 2011-10-20 / 20110258289 - MEDIA CONTENTS DISTRIBUTION SYSTEM AND METHOD | 2 |
Shinshi Kajimoto | JP | Aki-Gun | 2015-10-01 / 20150273982 - AIR-CONDITIONING CONTROL DEVICE FOR VEHICLE | 1 |
Kazuo Kajimoto | JP | Osaka | 2015-03-05 / 20150066169 - COORDINATION PROCESSING EXECUTION METHOD AND COORDINATION PROCESSING EXECUTION SYSTEM | 2 |
Minori Kajimoto | JP | Kanagawa-Ken | 2012-08-30 / 20120217569 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Norihiro Kajimoto | JP | Kawasaki-Shi | 2009-07-02 / 20090165447 - EXHAUST PURIFICATION DEVICE FOR ENGINE | 1 |
Hiroyuki Kajimoto | JP | Chofu-Shi | 2013-04-18 / 20130093501 - ELECTRICAL STIMULATION DEVICE AND ELECTRICAL STIMULATION METHOD | 1 |
Hiroyuki Kajimoto | JP | Matsumata-Shi | 2011-06-30 / 20110159251 - RADIATION-CURABLE INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER | 1 |
Minori Kajimoto | JP | Yokkaichi-Shi | 2011-10-20 / 20110254097 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE WITH TWIN-WELL | 5 |
Kimihiko Kajimoto | JP | Osaka | 2010-03-18 / 20100068115 - SILICON RECLAMATION APPARATUS AND METHOD OF RECLAIMING SILICON | 1 |
Minori Kajimoto | JP | Fujisawa | 2012-02-09 / 20120032266 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hiroyuki Kajimoto | JP | Tokyo | 2010-06-17 / 20100151426 - ELECTRIC TACTILE DISPLAY | 2 |
Kyousuke Kajimoto | JP | Mizuho-Shi | 2009-02-19 / 20090048545 - ULTRASONIC THERAPEUTIC APPARATUS | 1 |
Haruhiko Kajimoto | JP | Higashisumiyoshi-Ku | 2012-02-16 / 20120041396 - Preparation-At-Use Device Comprising Pre-Formed Hydrogel Product | 2 |
Kyosuke Kajimoto | JP | Mizuho-Shi | 2012-05-10 / 20120116270 - Ultrasonic Therapy Apparatus | 1 |
Norifumi Kajimoto | JP | Tokyo | 2016-04-28 / 20160118607 - SILSESQUIOXANE COMPOUND, ORGANIC LIGHT EMITTING ELEMENT, DISPLAY APPARATUS, IMAGE INFORMATION PROCESSING APPARATUS, AND IMAGE FORMING APPARATUS | 3 |
Takashi Kajimoto | JP | Kanagawa | 2011-01-13 / 20110006010 - Interlayer Ion Replacing Method for Hydrotalcite-Like Substance, Regenerating Method, and Interlayer Ion Replacing Apparatus | 2 |
Minori Kajimoto | JP | Fujiswawa-Shi | 2009-11-12 / 20090278190 - Nonvolatile semiconductor memory | 1 |
Masato Kajimoto | JP | Chiba | 2015-10-08 / 20150288848 - MARK INFORMATION RECORDING APPARATUS, MARK INFORMATION PRESENTING APPARATUS, MARK INFORMATION RECORDING METHOD, AND MARK INFORMATION PRESENTING METHOD | 16 |
Sadaaki Kajimoto | JP | Gunma | 2011-09-01 / 20110211977 - Oil Separator Built-In Compressor | 1 |
Kyousuke Kajimoto | JP | Gifu | 2010-07-01 / 20100168623 - ULTRASONIC TREATMENT APPARATUS AND PROGRAM | 1 |
Kazuaki Kajimoto | JP | Sapporo | 2009-09-17 / 20090234271 - COMPOSITION COMPRISING siRNA-POLYCATION COMPLEX FOR IONTOPHORESIS | 2 |
Norifumi Kajimoto | JP | Chiba-Shi | 2013-02-14 / 20130038640 - ORGANIC ELECTROLUMINESCENCE ELEMENT, AND LIGHT EMITTING APPARATUS, IMAGE FORMING APPARATUS, LIGHT EMITTING ELEMENT ARRAY, DISPLAY APPARATUS, AND IMAGING APPARATUS EACH USING THE ELEMENT | 4 |
Hiroyuki Kajimoto | JP | Osaka-Shi | 2014-10-02 / 20140292911 - INK JET RECORDING APPARATUS | 1 |
Hiroyuki Kajimoto | JP | Kanagawa | 2008-10-09 / 20080245955 - Optical Tactile Sensor | 1 |
Naoko Kajimoto | JP | Gifu | 2010-07-01 / 20100168623 - ULTRASONIC TREATMENT APPARATUS AND PROGRAM | 1 |
Kazuhiro Kajimoto | JP | Fuchu-Cho | 2010-09-30 / 20100244526 - AUTOMOBILE SEAT AND HEADREST THEREOF | 1 |
Takeshi Kajimoto | JP | Tokyo | 2011-05-26 / 20110122696 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 3 |
Naoko Kajimoto | JP | Mizuho-Shi | 2012-05-10 / 20120116270 - Ultrasonic Therapy Apparatus | 2 |
Minori Kajimoto | JP | Fujisawa-Shi | 2013-09-05 / 20130228842 - SEMICONDUCTOR STORAGE DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Katsuhiko Kajimoto | JP | Kodaira-Shi | 2014-02-06 / 20140034201 - PNEUMATIC TIRE FOR TWO-WHEELED MOTOR VEHICLE | 2 |
Yoshiyuki Kajimoto | JP | Osaka | 2015-06-04 / 20150156298 - PORTABLE TERMINAL AND SKIN PROPERTY MEASURING INSTRUMENT | 1 |
Yoshinaga Kajimoto | JP | Takatsuki-Shi | 2013-01-10 / 20130011337 - THERAPEUTIC AGENT AND DIAGNOSTIC AGENT FOR MITOCHONDRIAL DYSFUNCTION BRAIN DISEASES | 2 |
Masato Kajimoto | JP | Chiba | 2015-10-08 / 20150288848 - MARK INFORMATION RECORDING APPARATUS, MARK INFORMATION PRESENTING APPARATUS, MARK INFORMATION RECORDING METHOD, AND MARK INFORMATION PRESENTING METHOD | 16 |
Tomoko Kajimoto | JP | Osaka | 2015-09-24 / 20150268828 - INFORMATION PROCESSING DEVICE AND COMPUTER PROGRAM | 1 |
Minori Kajimoto | JP | Yokkaichi | 2013-06-06 / 20130140644 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 8 |
Hiroyuki Kajimoto | JP | Matsumoto-Shi | 2011-10-13 / 20110251298 - RADIATION-CURABLE INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER | 3 |
Kazuaki Kajimoto | JP | Hokkaido | 2013-11-28 / 20130315925 - METHOD FOR TREATING AND PREVENTING TYPE 2 DIABETES | 1 |
Hiroyuki Kajimoto | JP | Matsumoto | 2016-03-24 / 20160083599 - RADIATION-CURABLE INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER | 6 |
Minori Kajimoto | JP | Yokkaichi | 2013-06-06 / 20130140644 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 8 |
Masato Kajimoto | JP | Shiba | 2013-09-19 / 20130243302 - AUTOMATED SYNCHRONIZED NAVIGATION SYSTEM FOR DIGITAL PATHOLOGY IMAGING | 1 |
Haruhiko Kajimura | JP | Tokyo | 2010-11-25 / 20100294402 - FERRITE-AUSTENITE STAINLESS STEEL SHEET FOR STRUCTURAL COMPONENT EXCELLENT IN WORKABILITY AND IMPACT-ABSORBING PROPERTY AND METHOD FOR PRODUCING THE SAME | 5 |
Norihiko Kajimura | JP | Koto-Ku | 2013-06-13 / 20130145605 - NUT-HOLDING MEMBER AND BOLT-JOINING METHOD | 1 |
Fumihiro Kajimura | JP | Kawasaki-Shi | 2015-10-08 / 20150288867 - IMAGE PROCESSING APPARATUS, IMAGE CAPTURING APPARATUS, AND CONTROL METHOD THEREOF | 12 |
Toshiyuki Kajimura | JP | Osaka | 2009-06-18 / 20090154120 - ELECTRONIC COMPONENT AND CIRCUIT BOARD | 2 |
Shuhei Kajimura | JP | Tokyo | 2015-12-10 / 20150354825 - FUEL SPRAY NOZZLE | 2 |
Haruhiko Kajimura | JP | Hikari-Shi | 2014-09-11 / 20140255244 - DUPLEX STAINLESS STEEL, DUPLEX STAINLESS STEEL SLAB, AND DUPLEX STAINLESS STEEL MATERIAL | 5 |
Fumihiro Kajimura | JP | Kawasaki-Shi | 2015-10-08 / 20150288867 - IMAGE PROCESSING APPARATUS, IMAGE CAPTURING APPARATUS, AND CONTROL METHOD THEREOF | 12 |
Haruhiko Kajimura | JP | Kobe-Shi | 2009-09-24 / 20090239738 - Titanium Oxide Photocatalyst, Process For Producing The Same And Application | 1 |
Masato Kajinami | JP | Tokyo | 2015-06-04 / 20150155210 - SEMICONDUCTOR MANUFACTURING APPARATUSES AND METHODS THEREOF | 1 |
Masato Kajinami | JP | Yokohama | 2015-01-22 / 20150024551 - SEMICONDUCTOR CHIP BONDING APPARATUS AND METHOD OF FORMING SEMICONDUCTOR DEVICE USING THE SAME | 1 |
Yoshitomo Kajinami | JP | Nagoya-Shi | 2015-01-22 / 20150022301 - INJECTION-MOLDED REACTOR AND COMPOUND USED IN SAME | 3 |
Masato Kajinami | JP | Kanagawa | 2015-06-11 / 20150159681 - FLUID PRESSURE ACTUATOR | 1 |
Kuniyuki Kajinishi | JP | Hiroshima | 2008-10-30 / 20080267352 - Radiotherapy system for performing radiotherapy with presice irradiation | 1 |
Kiichi Kajino | JP | Sapporo-Shi | 2010-06-03 / 20100136098 - AVIAN INFLUENZA VACCINE | 1 |
Yoshio Kajino | JP | Kanagawa | 2009-03-05 / 20090061295 - Battery tray, electronic apparatus, and vertical grip device | 1 |
Tatsuya Kajino | JP | Shiga | 2010-08-05 / 20100193428 - SUPPORT FOR SEPARATION MEMBRANE, AND METHOD FOR PRODUCTION THEREOF | 1 |
Kiichi Kajino | JP | Suwa-Shi | 2010-09-09 / 20100225291 - DC-DC CONVERTER CIRCUIT, ELECTRO-OPTIC DEVICE, AND ELECTRONIC DEVICE | 2 |
Itsuki Kajino | JP | Kyoto-Shi | 2012-06-21 / 20120154500 - IMAGE RECORDING APPARATUS | 1 |
Tadashi Kajino | JP | Okazaki-Shi | 2009-01-22 / 20090021725 - Lens Meter | 3 |
Masahiro Kajino | JP | Osaka-Shi | 2011-02-03 / 20110028476 - PROTON PUMP INHIBITORS | 2 |
Hitoshi Kajino | JP | Ageo-Shi | 2008-11-06 / 20080274871 - JIG FOR CALCINING ELECTRONIC COMPONENT | 1 |
Hidenori Kajino | JP | Nagoya-Shi | 2011-06-30 / 20110160960 - SUSPENSION SYSTEM FOR VEHICLE | 4 |
Hisaki Kajino | JP | Hiratsuka | 2013-08-08 / 20130204009 - PROCESS FOR PREPARING BENZOIC ACID ESTERS | 5 |
Hisaki Kajino | JP | Hiratsuka-Shi | 2011-04-21 / 20110092555 - Thiazolidinedione compound | 1 |
Hideonori Kajino | JP | Nagoya-Shi | 2010-08-12 / 20100204885 - SUSPENSION SYSTEM | 1 |
Masahiro Kajino | JP | Osaka | 2014-11-20 / 20140343070 - PROTON PUMP INHIBITORS | 14 |
Toru Kajino | JP | Tokyo | 2013-01-24 / 20130021893 - Optical Pickup Apparatus | 2 |
Kiichi Kajino | JP | Matsumoto | 2016-02-18 / 20160049574 - PIEZOELECTRIC DRIVING DEVICE, ROBOT, AND DRIVING METHOD OF THE SAME | 1 |
Hidetada Kajino | JP | Nagoya-City | 2015-04-23 / 20150110477 - RADIATION HEATER APPARATUS | 2 |
Katsuhiro Kajino | JP | Kawasaki-Shi | 2014-03-13 / 20140069758 - POLE-ATTACHED CABLE RELAY CARRIAGE AND POWER SUPPLY SYSTEM TO ELECTRIC WORK MACHINE | 2 |
Itsuki Kajino | JP | Kyoto | 2008-10-02 / 20080237188 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 1 |
Toru Kajino | JP | Kodaira-City | 2012-12-13 / 20120314555 - LENS, LENS MOUNTING METHOD AND OPTICAL PICKUP DEVICE | 1 |
Sadayoshi Kajino | JP | Nagoya-City | 2008-08-21 / 20080196544 - Starter having excessive-torque-absorbing device | 1 |
Isamu Kajino | JP | Saitama | 2011-06-30 / 20110156387 - DUCT AND THE MANUFACTURING METHOD | 1 |
Takashi Kajino | JP | Tokyo | 2012-03-08 / 20120056709 - MULTILAYER PTC THERMISTOR | 2 |
Tetsurou Kajino | JP | Tokyo | 2010-08-26 / 20100212689 - CAMERA DEVICE, CLEANING CONTROL METHOD, AND CLEANING CONTROL PROGRAM | 3 |
Hiroki Kajino | JP | Tokyo | 2012-05-24 / 20120127622 - TANK-TYPE LIGHTNING ARRESTER | 3 |
Keisuke Kajino | JP | Tokyo | 2016-02-11 / 20160042614 - GAMING MACHINE | 1 |
Kiichi Kajino | JP | Hara-Mura | 2011-11-10 / 20110273761 - DC-DC CONVERTER, ELECTROPHORETIC DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 2 |
Tetsuro Kajino | JP | Fukuoka | 2013-04-18 / 20130094848 - DOME CAMERA | 2 |
Keiichi Kajino | JP | Tokyo | 2010-12-02 / 20100302545 - PARTICULATE DETECTOR SYSTEM | 2 |
Tomonori Kajino | JP | Nagoya-Shi | 2014-07-24 / 20140206908 - METHOD FOR PRODUCING POLYCYCLIC AROMATIC COMPOUND SUBSTITUTED BY ARYL GROUP | 1 |
Hisaki Kajino | JP | Kanagawa | 2016-05-19 / 20160137644 - METHOD FOR PRODUCING TRICYCLIC HETEROCYCLIC COMPOUND | 5 |
Tetsuro Kajino | JP | Tokyo | 2014-08-21 / 20140233935 - DOME CAMERA | 1 |
Fumie Kajino | JP | Yasu-Shi | 2016-02-11 / 20160039786 - METHOD FOR PRODUCING 3,4-DIHYDROISOQUINOLINE DERIVATIVES AND PRODUCTION INTERMEDIATES OF SAME | 2 |
Koji Kajino | JP | Sakai-Shi | 2015-08-06 / 20150217634 - Working Vehicle | 1 |
Osamu Kajino | JP | Osaka | 2015-04-09 / 20150098132 - SPLIT UNIT | 15 |
Yutaka Kajino | JP | Iyo-Gun | 2012-11-22 / 20120296532 - ENGINE CONTROL DEVICE FOR TRACTOR | 1 |
Yukio Kajino | JP | Saitama-Ken | 2009-04-09 / 20090090100 - INTERNAL COMBUSTION ENGINE HAVING EXHAUST GAS BYPASSING CONTROL MECHANISM | 1 |
Isamu Kajino | JP | Kounosu-Shi | 2009-08-13 / 20090200801 - DUCT AND THE MANUFACTURING METHOD | 1 |
Kiichi Kajino | JP | Suwa | 2012-03-15 / 20120062617 - ELECTROPHORETIC DISPLAY DEVICE DRIVING METHOD, ELECTROPHORETIC DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 3 |
Hitoshi Kajino | JP | Saitama | 2009-12-24 / 20090314525 - Mold for Wiring Substrate Formation and Process for Producing the Same, Wiring Substrate and Process for Producing the Same, Process for Producing Multilayered Laminated Wiring Substrate and Method for Viahole Formation | 1 |
Tsutomu Kajino | JP | Aichi-Ken | 2010-02-18 / 20100040910 - ELECTROCATALYST AND ENZYMATIC ELECTRODE | 1 |
Tsutomu Kajino | JP | Toyoake-Shi | 2013-10-24 / 20130277209 - PHOTOCHEMICAL REACTION DEVICE | 2 |
Hisaki Kajino | JP | Tokyo | 2009-01-22 / 20090023929 - Intermediate of 6-Substituted 1-Methyl-1-H-Benzimidazole Derivative and Method for Producing Same | 1 |
Sadayoshi Kajino | JP | Nagoya | 2010-04-29 / 20100101524 - Starter equipped with planetary speed reducer and shock absorber | 1 |
Jiro Kajino | JP | Osaka | 2010-06-24 / 20100159423 - Tactile Pin Display Apparatus | 2 |
Kenichiro Kajio | JP | Kawasaki | 2008-11-13 / 20080277705 - SEMICONDUCTOR DEVICE, SEMICONDUCTOR WAFER STRUCTURE AND METHOD FOR MANUFACTURING THE SEMICONDUCTOR WAFER STRUCTURE | 1 |
Fusaki Kajio | JP | Tatebayashi-Shi | 2015-05-07 / 20150125582 - METHOD FOR PRODUCING COOKED NOODLE | 1 |
Hideki Kajio | JP | Toyota-Shi | 2015-08-20 / 20150232011 - ASSIST GRIP | 4 |
Fusaki Kajio | JP | Gunma | 2015-10-01 / 20150272175 - MACARONI | 1 |
Yusuke Kajio | JP | Tokyo | 2015-09-17 / 20150260994 - OPTICAL DEVICE, HEAD MOUNTED DISPLAY, ASSEMBLING METHOD FOR THE SAME, HOLOGRAPHIC DIFFRACTION GRATING, DISPLAY DEVICE, AND ALIGNMENT DEVICE | 5 |
Fusaki Kajio | JP | Chuo-Ku | 2015-04-30 / 20150118364 - FROZEN PASTA | 1 |
Naohiko Kajio | JP | Kawasaki | 2014-07-17 / 20140198471 - CONNECTING SYSTEM OF CIRCUIT BOARDS | 4 |
Hiroshi Kajio | JP | Kawasaki-Shi | 2008-11-20 / 20080284638 - RADAR DEVICE AND METHOD FOR ADJUSTING AMONG RADAR SITES | 1 |
Shigenori Kajio | JP | Minamitsuru-Gun | 2012-08-23 / 20120213623 - CONVEYING DEVICE FOR ROD | 2 |
Fusaki Kajio | JP | Tokyo | 2015-01-29 / 20150030745 - GROOVED NOODLE | 2 |
Hideki Kajio | JP | Aichi | 2012-04-05 / 20120080898 - ASSIST GRIP | 1 |
Fusaki Kajio | JP | Saitama | 2012-05-31 / 20120135123 - GROOVED NOODLE | 1 |
Hiroshi Kajioka | JP | Chiyoda-Ku | 2013-05-23 / 20130128262 - DEFOCUSED OPTICAL ROTATION MEASUREMENT APPARATUS, OPTICAL ROTATION MEASUREMENT METHOD AND DEFOCUSED OPTICAL FIBER SYSTEM | 1 |
Shigeru Kajioka | JP | Kariya-City | 2009-01-08 / 20090009314 - Display system and program | 1 |
Hideki Kajioka | JP | Kobe-Shi | 2012-08-09 / 20120200450 - RADAR DEVICE | 4 |
Jitsuo Kajioka | JP | Tokyo | 2013-12-26 / 20130344124 - AGENT FOR VIRUS INACTIVATION | 2 |
Nobuyoshi Kajioka | JP | Aki-Gun | 2008-11-20 / 20080287021 - SMC SHEET AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hiroshi Kajioka | JP | Tokyo | 2012-03-22 / 20120071738 - METHODOLOGY AND EQUIPMENT OF OPTICAL ROTATION MEASUREMENTS | 1 |
Masayuki Kajioka | JP | Kiyosu-Shi | 2014-03-27 / 20140084005 - FILLER NECK | 1 |
Toshiyuki Kajioka | JP | Otsu-Shi | 2015-01-22 / 20150020745 - COVER MEMBER FOR EXHIBIT ITEM OR DISPLAY | 1 |
Masamichi Kajiro | JP | Aichi-Ken | 2010-04-01 / 20100078919 - Airbag | 2 |
Hiroshi Kajiro | JP | Chiba | 2009-06-04 / 20090142589 - Composite Coated Metal Sheet, Treatment Agent and Method of Manufacturing Composite Coated Metal Sheet | 2 |
Yoichi Kajiro | JP | Tokyo | 2013-02-21 / 20130044202 - DIGITAL MICROSCOPE | 1 |
Masamichi Kajiro | JP | Kiyosu-Shi | 2014-04-24 / 20140113516 - COATING MATERIAL FOR AIRBAG BASE FABRIC, AND AIRBAG BASE FABRIC | 1 |
Hiroshi Kajiro | JP | Kimitsu-Shi | 2015-10-15 / 20150290618 - POROUS POLYMER METAL COMPLEX, GAS ADSORBENT, AND GAS SEPARATION DEVICE AND GAS STORAGE DEVICE USING SAME | 1 |
Lisa T. Kajisa | US | Palo Alto | 2012-02-09 / 20120035083 - Antireflective Coatings for High-Resolution Photolithographic Synthesis of DNA Array | 2 |
Eisuke Kajisa | JP | Toyota-Shi | 2012-07-26 / 20120188192 - TACTILE DISPLAY AND CAD SYSTEM | 1 |
Yuki Kajisa | JP | Osaka | 2013-02-14 / 20130040200 - LITHIUM SECONDARY BATTERY AND ANODE THEREFOR | 2 |
Takeshi Kajisa | JP | Chiyoda-Ku, Tokyo | 2016-02-11 / 20160040261 - BLAST FURNACE OPERATION METHOD AND LANCE | 1 |
Taira Kajisa | JP | Yokohama-Shi | 2015-05-28 / 20150144194 - Solar Cell Protective Sheet and Solar Cell Module | 2 |
Yuki Kajisa | JP | Ibaraki-Shi | 2016-05-19 / 20160141621 - POWER STORAGE DEVICE ELECTRODE, METHOD OF MANUFACTURING SAME, AND POWER STORAGE DEVICE INCLUDING SAME | 3 |
Tadahiro Kajita | JP | Abiko-Shi | 2009-11-12 / 20090280507 - METHOD FOR MEASUREMENT OF SARS VIRUS NUCLEOCAPSID PROTEIN, REAGENT KIT FOR THE MEASUREMENT, TEST DEVICE, MONOCLONAL ANTIBODY DIRECTED AGAINST SARS VIRUS NUCLEOCAPSID PROTEIN, AND HYBRIDOMA CAPABLE OF PRODUCING THE MONOCLONAL ANTIBODY | 1 |
Tetsuya Kajita | JP | Minato-Ku | 2012-09-27 / 20120244420 - SECONDARY BATTERY | 1 |
Hidenobu Kajita | JP | Obu-Shi | 2013-08-01 / 20130193748 - CHECK VALVE AND BRAKING SYSTEM USING THE SAME | 1 |
Hidenobu Kajita | JP | Obu-Shi, Aichi-Ken | 2013-08-01 / 20130192697 - CHECK VALVE | 1 |
Tsuyoshi Kajita | JP | Fujisawa | 2013-09-19 / 20130244658 - HANDOVER MANAGEMENT APPARATUS, BASE STATION, AND HANDOVER MANAGEMENT METHOD | 1 |
Koji Kajita | JP | Kawasaki-Shi | 2013-09-19 / 20130242358 - IMAGE READING DEVICE AND IMAGE PROCESSING METHOD UTILIZING THE SAME | 1 |
Ko Kajita | JP | Nakatsugawa-City | 2014-04-03 / 20140091655 - Bus Bar for Use in Electric Motor | 1 |
Tsuyoshi Kajita | JP | Tokyo | 2014-04-03 / 20140094171 - WIRELESS COMMUNICATION METHOD, TRACKING ERROR MANAGEMENT DEVICE, AND MOBILITY MANAGEMENT DEVICE | 2 |
Tooru Kajita | JP | Tokyo | 2013-08-22 / 20130217832 - NOVEL COPOLYMER | 3 |
Saori Kajita | JP | Ibaraki-Shi | 2014-07-10 / 20140194334 - Detergent Composition | 1 |
Tetsuya Kajita | JP | Kanagawa | 2013-10-24 / 20130280594 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 4 |
Akihiro Kajita | JP | Tokyo | 2015-07-02 / 20150183084 - POLISHING END POINT DETECTION METHOD AND POLISHING END POINT DETECTION APPARATUS | 1 |
Masahiro Kajita | JP | Takasaki-Shi | 2013-05-23 / 20130130242 - METHOD FOR DETERMINING PRESENCE OR ABSENCE OF CANCER CELL IN BIOLOGICAL SAMPLE, AND MOLECULAR MARKER AND KIT FOR DETERMINATION | 1 |
Noriko Kajita | JP | Kawasaki | 2014-11-27 / 20140351710 - VIRTUAL SOCIAL GROUP MANAGEMENT SYSTEM, VIRTUAL SOCIAL GROUP MANAGEMENT METHOD, AND COMPUTER PROGRAM | 1 |
Keiichi Kajita | JP | Tokyo | 2012-08-02 / 20120196049 - MANUFACTURING METHOD OF MAGNETIC RECORDING MEDIUM | 1 |
Hideki Kajita | JP | Kakogawa-Shi | 2012-08-02 / 20120197295 - BIOABSORBABLE SUTURE | 1 |
Tetsuya Kajita | JP | Sagamihara-Shi | 2013-04-25 / 20130101899 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Hiroshi Kajita | JP | Kawasaki-Shi | 2014-03-06 / 20140065655 - SCREENING METHOD FOR SUBSTANCE ACTING ON MAINTENANCE OF EPITHELIAL PROPERTIES OF CELL | 1 |
Hiroshi Kajita | JP | Osaka-Shi | 2013-09-05 / 20130231236 - Glass Substrate For Information Recording Medium | 2 |
Shigeo Kajita | JP | Tokyo | 2016-01-07 / 20160002889 - ENGINE-ASSIST DEVICE AND INDUSTRIAL MACHINE | 1 |
Daisuke Kajita | JP | Hitachi | 2012-02-23 / 20120044442 - Liquid Crystal Display Device | 11 |
Susumu Kajita | JP | Ikoma | 2012-01-26 / 20120023349 - INFORMATION PROCESSING APPARATUS AND POWER SAVING MEMORY MANAGEMENT METHOD | 1 |
Masakazu Kajita | JP | Kobe-Shi | 2013-06-06 / 20130139950 - ROTATIONAL MISALIGNMENT MEASURING DEVICE OF BONDED SUBSTRATE, ROTATIONAL MISALIGNMENT MEASURING METHOD OF BONDED SUBSTRATE, AND METHOD OF MANUFACTURING BONDED SUBSTRATE | 1 |
Tetsuro Kajita | JP | Tokyo | 2014-01-30 / 20140028090 - SHIP | 1 |
Yuichi Kajita | JP | Kanagawa | 2016-01-28 / 20160024049 - HETEROCYCLIC COMPOUND | 5 |
Akihiro Kajita | JP | Yokohama-Shi | 2015-11-12 / 20150325524 - SEMICONDUCTOR DEVICE COMPRISING A GRAPHENE WIRE | 11 |
Tetsuya Kajita | JP | Tokyo | 2016-05-12 / 20160133935 - ELECTRODE BINDER COMPOSITION AND ELECTRODE | 13 |
Laura Kajita | US | Chicago | 2014-05-22 / 20140141481 - CLAY IN ETHANOL PRODUCTION | 1 |
Kentarou Kajita | JP | Nagoya-City | 2015-11-12 / 20150325034 - THREE-DIMENSIONAL IMAGE GENERATION APPARATUS AND THREE-DIMENSIONAL IMAGE GENERATION METHOD | 1 |
Koh Kajita | JP | Gifu | 2008-09-04 / 20080209713 - WINDING METHOD FOR STATOR OF MOTOR | 1 |
Yusuke Kajita | JP | Ibaraki | 2008-09-18 / 20080223205 - Hydraulic Drive Apparatus | 1 |
Toru Kajita | JP | Chuo-Ku | 2008-09-25 / 20080233515 - RADIATION SENSITIVE RESIN COMPOSITION FOR FORMING A PROTECTIVE FILM, METHOD OF FORMING A PROTECTIVE FILM FROM THE COMPOSITION, LIQUID CRYSTAL DISPLAY DEVICE AND SOLID-STATE IMAGE SENSING DEVICE | 1 |
Takuya Kajita | JP | Aichi-Ken | 2011-11-10 / 20110274542 - TURBOCHARGER AND MANUFACTURING METHOD FOR TURBOCHARGER | 1 |
Tatsuya Kajita | JP | Aizuwakamatsu | 2008-10-30 / 20080265309 - Semiconductor memory device and manufacturing method thereof | 1 |
Akihiro Kajita | JP | Yokkaichi-Shi | 2015-09-17 / 20150262940 - Semiconductor Device and Method of Manufacturing the Same | 10 |
Yusuke Kajita | JP | Tsuchiura-Shi | 2014-04-03 / 20140090369 - Hydraulic Drive Device for Working Machine | 1 |
Masakazu Kajita | JP | Hyogo | 2012-01-05 / 20120002213 - SHAPE DETERMINING DEVICE | 2 |
Daisuke Kajita | JP | Osaka | 2015-10-29 / 20150309362 - DISPLAY DEVICE | 5 |
Kaoru Kajita | JP | Okayama | 2009-01-08 / 20090009909 - Case Member and Cartridge | 1 |
Nobuhiko Kajita | JP | Shiga | 2009-01-22 / 20090023580 - Catalyst Composition | 1 |
Daisuke Kajita | JP | Chiba | 2012-08-30 / 20120218497 - LIQUID CRYSTAL PANEL AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Yusuke Kajita | US | 2014-04-17 / 20140103874 - CONSTRUCTION MACHINE | 1 | |
Akihiro Kajita | JP | Yokkaichi Mie | 2016-03-17 / 20160079176 - SEMICONDUCTOR DEVICE | 4 |
Yukinobu Kajita | JP | Takahama-City | 2009-04-30 / 20090107215 - ALCOHOL DENSITY SENSOR DISPOSED IN FUEL TANK OF AUTOMOTIVE VEHICLE | 2 |
Tomio Kajita | JP | Kakogawa-Shi | 2009-05-21 / 20090130431 - RESIN-COATED HOT DIP GALVANIZED STEEL SHEET SUPERIOR IN WELDABILITY AND CORROSION REISTANCE AND METHOD FOR PRODUCING THE SAME | 1 |
Ryoko Kajita | JP | Ibaraki | 2011-09-15 / 20110220265 - Preparation for External Use | 2 |
Akihito Kajita | JP | Saitama | 2009-05-21 / 20090129250 - INFORMATION RECORDING APPARATUS AND METHOD AND COMPUTER PROGRAM | 1 |
Satoshi Kajita | JP | Takaoka-Shi | 2009-06-04 / 20090143574 - Carbapenem Compound | 1 |
Yusuke Kajita | JP | Ushiku-Shi | 2014-01-23 / 20140020375 - HYDRAULIC WORKING MACHINE | 5 |
Noriko Kajita | JP | Kagawa | 2009-10-01 / 20090248436 - Virtual social group management system, virtual social group management method, and computer program | 1 |
Jiro Kajita | JP | Sunto-Gun | 2009-10-01 / 20090247522 - Hsp90 FAMILY PROTEIN INHIBITORS | 1 |
Daiki Kajita | JP | Yokohama | 2013-11-07 / 20130293045 - Method for Connecting Wire Material and Twisted Wire, and Stator of Electric Motor or Generator | 1 |
Hisashi Kajita | JP | Gotemba-Shi | 2013-01-31 / 20130030665 - METHOD AND APPARATUS FOR VEHICLE SWAY DETECTION AND REDUCTION | 1 |
Tooru Kajita | JP | Yokkaichi-Shi | 2014-09-11 / 20140256884 - NOVEL COPOLYMER | 1 |
Atsushi Kajita | JP | Yokohama-Shi | 2015-06-25 / 20150180003 - SEPARATOR FOR RECHARGEABLE LITHIUM BATTERY AND RECHARGEABLE LITHIUM BATTERY INCLUDING SAME | 1 |
Satoshi Kajita | JP | Toyama | 2015-02-12 / 20150045557 - COMPOUND, METHOD FOR PRODUCING COMPOUND, AND METHOD FOR PURIFYING COMPOUND | 1 |
Yasuhito Kajita | JP | Tokyo | 2015-08-06 / 20150221824 - SUBSTRATE FOR SEMICONDUCTOR LIGHT EMITTING DEVICE AND SEMICONDUCTOR LIGHT EMITTING DEVICE, AND MANUFACTURING METHODS THEREOF | 1 |
Akihiro Kajita | JP | Yokkaichi-Shi | 2015-09-17 / 20150262940 - Semiconductor Device and Method of Manufacturing the Same | 10 |
Shoji Kajita | JP | Himeji-Shi | 2015-06-25 / 20150178692 - VALUABLE MEDIUM PROCESSING APPARATUS | 1 |
Youzou Kajita | JP | Kobe-Shi | 2015-08-13 / 20150226574 - ELECTRONIC APPARATUS AND PROGRAM | 1 |
Tsunehiro Kajita | JP | Tokyo | 2012-06-28 / 20120167099 - Intelligent Retry Method Using Remote Shell | 2 |
Osamu Kajita | JP | Kyoto | 2009-11-26 / 20090291302 - Method for producing nanometer-size wires and nanometer-size wire | 2 |
Akihiro Kajita | JP | Kanagawa | 2011-08-18 / 20110198554 - NON-VOLATILE MEMORY DEVICE | 3 |
Ryota Kajita | JP | Tokyo | 2009-05-28 / 20090137338 - WOOD-TYPE GOLF CLUB HEAD | 1 |
Masahito Kajita | JP | Nagoya | 2009-12-03 / 20090297180 - Fixing Device | 1 |
Kazuaki Kajita | JP | Hino-Shi | 2010-01-21 / 20100016043 - Slide Mechanism and Electronic Apparatus | 1 |
Satoshi Kajita | JP | Osaka | 2013-04-11 / 20130089149 - IMAGE DECODING APPARATUS, IMAGE DECODING METHOD, INTEGRATED CIRCUIT, AND PROGRAM | 2 |
Satoshi Kajita | JP | Fukuoka | 2010-02-04 / 20100026810 - MONITOR VIDEO ACCUMULATION SYSTEM | 2 |
Yasuyuki Kajita | JP | Chiba-Shi | 2010-03-04 / 20100056746 - OPTICAL POLYURETHANE RESIN COMPOSITION AND OPTICAL POLYURETHANE RESIN | 1 |
Takuya Kajita | JP | Yokohama-Shi | 2012-09-13 / 20120231359 - FUEL CELL SYSTEM | 1 |
Kirk Ben Kajita | US | Newcastle | 2012-11-15 / 20120286091 - Composite Aircraft Joint | 1 |
Akihiro Kajita | JP | Kanagawa-Ken | 2010-03-04 / 20100052173 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 2 |
Eishi Kajita | US | Thousand Oaks | 2013-06-20 / 20130152753 - PYROTECHNIC ACTUATOR AND POWER CUTTING TOOL WITH SAFETY REACTION SYSTEM HAVING SUCH PYROTECHNIC ACTUATOR | 1 |
Masahito Kajita | JP | Nagoya-Shi | 2014-08-28 / 20140241746 - Image Forming Apparatus Adequately Controlling Motor | 5 |
Yuji Kajita | JP | Chita-Gun | 2013-08-08 / 20130200816 - IGNITION SYSTEM | 3 |
Keiichi Kajita | SG | Singapore | 2011-08-25 / 20110206947 - METHOD OF PRODUCING A PERPENDICULAR MAGNETIC RECORDING MEDIUM | 1 |
Koji Kajita | JP | Yokohama-Shi | 2013-08-08 / 20130201530 - IMAGE READING DEVICE AND IMAGE PROCESSING METHOD UTILIZING THE SAME | 2 |
Masahiro Kajita | JP | Hyogo | 2011-08-04 / 20110189656 - Method for Determining Presence or Absence of Abnormal Cell | 1 |
Marcos Suguru Kajita | US | Houston | 2014-09-18 / 20140277772 - FRACTURING PUMP IDENTIFICATION AND COMMUNICATION | 1 |
Shinji Kajita | JP | Tokyo | 2014-09-18 / 20140259728 - SUBSTRATE DRYING APPARATUS, SUBSTRATE DRYING METHOD AND CONTROL PROGRAM | 5 |
Tetsuya Kajita | JP | Tokyo | 2016-05-12 / 20160133935 - ELECTRODE BINDER COMPOSITION AND ELECTRODE | 13 |
Kaoru Kajita | JP | Toyohashi-Shi | 2015-04-30 / 20150118546 - BATTERY AND METHOD FOR PRODUCING THE BATTERY | 1 |
Mikihiro Kajita | JP | Tokyo | 2011-02-03 / 20110025346 - POWER SUPPLY NOISE MEASURING CIRCUIT AND POWER SUPPLY NOISE MEASURING METHOD | 6 |
Haruo Kajita | JP | Hamamatsu-Shi | 2010-09-30 / 20100246857 - ELECTRONIC DEVICE | 1 |
Yukihiro Kajita | JP | Anjo-City | 2011-10-06 / 20110242136 - MAP DISPLAY DEVICE | 2 |
Takuya Kajita | JP | Kanagawa | 2011-02-03 / 20110027674 - HYDROGEN PRODUCTION APPARATUS AND FUEL CELL SYSTEM USING THE SAME | 1 |
Shinichi Kajita | JP | Kobe-Shi | 2015-05-14 / 20150132194 - SYSTEM FOR LOW-CONCENTRATION-METHANE GAS OXIDATION EQUIPPED WITH MULTIPLE OXIDIZERS | 6 |
Yoshiki Kajita | JP | Kawasaki-Shi | 2015-04-30 / 20150116359 - DISPLAY APPARATUS WITH IMAGE-CAPTURING FUNCTION, IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE DISPLAY SYSTEM | 4 |
Akihiro Kajita | JP | Yokohama-Shi | 2015-11-12 / 20150325524 - SEMICONDUCTOR DEVICE COMPRISING A GRAPHENE WIRE | 11 |
Atsushi Kajita | JP | Fukushima | 2015-09-17 / 20150263321 - SEPARATOR AND NONAQUEOUS ELECTROLYTE BATTERY | 14 |
Yusuke Kajita | JP | Ushiku | 2014-07-03 / 20140188321 - CONSTRUCTION MACHINE | 4 |
Takuya Kajita | JP | Yokohama | 2011-03-24 / 20110067303 - REFORMING DEVICE | 1 |
Daisuke Kajita | JP | Hyogo | 2016-03-03 / 20160062203 - LIQUID CRYSTAL DISPLAY AND METHOD OF MANUFACTURING THE SAME | 2 |
Hisashi Kajita | JP | Shizuoka-Ken | 2012-01-26 / 20120022760 - WEIGHT-RELATED PHYSICAL QUANTITY ESTIMATING SYSTEM AND CONTROL DEVICE FOR VEHICLES | 2 |
Kirk B. Kajita | US | New Castle | 2013-01-10 / 20130008259 - Method and Apparatus for Testing Attachment Joints | 2 |
Kirk B. Kajita | US | Newcastle | 2015-05-07 / 20150125655 - LAMINATED COMPOSITE RADIUS FILLER WITH GEOMETRIC SHAPED FILLER ELEMENT AND METHOD OF FORMING THE SAME | 6 |
Masahiro Kajita | JP | Kobe-Shi | 2012-08-30 / 20120219949 - METHOD OF DETECTING METHYLATED DNA IN SAMPLE | 12 |
Naofumi Kajita | JP | Otsu-Shi | 2015-03-05 / 20150064485 - BIAXIALLY STRETCHED POLYAMIDE RESIN FILM | 2 |
Ryo Kajitani | JP | Osaka | 2015-07-09 / 20150194483 - SEMICONDUCTOR DEVICE | 6 |
Masaru Kajitani | JP | Niihama-Shi | 2011-11-24 / 20110285326 - DISPLAY DEVICE SUBSTRATE AND MANUFACTURING METHOD THEREFOR | 3 |
Koichiro Kajitani | JP | Otsu-Shi | 2014-07-17 / 20140198950 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 1 |
Takashi Kajitani | JP | Aichi | 2009-01-29 / 20090030227 - Polyisocyanide Derivative Having Controlled Helical Main Chain Structure | 1 |
Osamu Kajitani | JP | Kawasaki | 2010-03-18 / 20100067146 - MAGNETIC HEAD SLIDER | 1 |
Noriyuki Kajitani | JP | Kanagawa | 2009-07-30 / 20090193335 - DOCUMENT MANAGEMENT DEVICE, DOCUMENT MANAGEMENT METHOD, COMPUTER READABLE RECORDING MEDIUM AND DATA SIGNAL | 1 |
Tetsuya Kajitani | JP | Tokyo | 2008-12-18 / 20080312866 - THREE-DIMENSIONAL MEASURING EQUIPMENT | 1 |
Kazuo Kajitani | JP | Tsuruga-Shi | 2009-10-29 / 20090269825 - METHOD FOR STABILIZATION OF BIOLOGICAL MOLECULE AND COMPOSITION | 1 |
Ichiro Kajitani | JP | Higashi Shirakawa-Gun | 2013-06-20 / 20130157726 - MOBILE INFORMATION DEVICE, CONTROL METHOD, AND PROGRAM | 1 |
Ichiro Kajitani | JP | Osaka | 2015-02-26 / 20150058786 - MOBILE TERMINAL AND STORAGE MEDIUM STORING MOBILE TERMINAL CONTROLLING PROGRAM | 2 |
Takashi Kajitani | JP | Tottori | 2011-10-20 / 20110257880 - VEHICLE-MOUNTED ELECTRONIC DEVICE | 1 |
Fumito Kajitani | JP | Tokyo | 2015-12-17 / 20150360278 - SWAGE APPARATUS AND SWAGE METHOD | 2 |
Noriyuki Kajitani | JP | Kawasaki-Shi | 2009-07-30 / 20090190145 - PRINT CONTROL APPARATUS, PRINT APPARATUS, PRINT CONTROL METHOD, COMPUTER-READABLE MEDIUM AND COMPUTER-DATA SIGNAL | 1 |
Koichiro Kajitani | JP | Kusatsu-City | 2014-05-29 / 20140146172 - DISTRIBUTED IMAGE PROCESSING SYSTEM | 1 |
Fujio Kajitani | JP | Osaka | 2009-05-14 / 20090122575 - Surface Light Emitting Apparatus and Method of Light Emission for Surface Light Emitting Apparatus | 1 |
Ichiro Kajitani | JP | Higashishirakawa-Gun | 2013-09-19 / 20130240339 - INPUT DEVICE AND MOBILE TERMINAL | 1 |
Hiroshi Kajitani | JP | Tokyo | 2016-02-18 / 20160049809 - STORAGE BATTERY AND OPERATION METHOD OF STORAGE BATTERY | 18 |
Kohichi Kajitani | JP | Kanagawa | 2014-01-23 / 20140025365 - SIMULATION METHOD, SYSTEM, AND PROGRAM | 1 |
Yoshimi Kajitani | JP | Aichi-Ken | 2008-10-23 / 20080258669 - Walking Robot by Using Passive Changes in Joint Angles and Control Method Thereof | 1 |
Koichi Kajitani | JP | Kanagawa-Ken | 2009-12-10 / 20090306952 - SIMULATION METHOD, SYSTEM AND PROGRAM | 1 |
Hiroshi Kajitani | JP | Tokyo | 2016-02-18 / 20160049809 - STORAGE BATTERY AND OPERATION METHOD OF STORAGE BATTERY | 18 |
Masaaki Kajitani | JP | Osaka | 2012-10-11 / 20120259067 - Method for Producing 2-Cyanoethyl Group-Containing Organic Compound | 4 |
Mitsuhiro Kajitani | JP | Kato | 2013-09-26 / 20130250957 - WIRELESS COMMUNICATION APPARATUS AND WIRELESS COMMUNICATION APPARATUS CONTROLLING METHOD | 1 |
Koichi Kajitani | JP | Kawasaki-Shi | 2009-11-12 / 20090281779 - CONTROL UNIT SIMULATION METHOD, SYSTEM, AND PROGRAM | 1 |
Hidenobu Kajitani | JP | Okayama | 2014-01-09 / 20140012057 - METHOD FOR PRODUCING CONJUGATED DIENE | 1 |
Ichiro Kajitani | JP | Fukushima | 2012-03-15 / 20120062495 - INPUT DEVICE | 1 |
Ichiro Kajitani | JP | Kyoto | 2015-07-16 / 20150198994 - BROADCAST RECEPTION MOBILE TERMINAL | 2 |
Fumito Kajitani | JP | Hiroshima | 2008-08-28 / 20080202368 - PRINTING METHOD AND PRINTING PRESS | 1 |
Toshiyuki Kajitani | JP | Tokyo | 2011-05-05 / 20110103996 - CONTINUOUS CAST SLAB AND PRODUCING METHOD THEREFOR | 1 |
Haruo Kajitani | JP | Tokyo | 2014-06-12 / 20140164885 - TIME INFORMATION OBTAINING DEVICE AND RADIO-CONTROLLED TIMEPIECE | 4 |
Ichiro Kajitani | JP | Daito-Shi | 2012-05-03 / 20120105731 - BROADCAST RECEPTION MOBILE TERMINAL | 1 |
Masaru Kajitani | JP | Ehime | 2013-01-17 / 20130017752 - METHOD FOR MANUFACTURING SUBSTRATE FOR LIGHT-EMITTING DEVICEAANM Kajitani; MasaruAACI EhimeAACO JPAAGP Kajitani; Masaru Ehime JP | 2 |
Yoji Kajitani | JP | Yokohama-Shi | 2008-10-02 / 20080244495 - METHOD OF DETERMINING WIRE PATTERN ON BOARD AND BOARD DESIGNED BY THE METHOD | 1 |
Hiroshi Kajitani | JP | Minato-Ku | 2012-07-26 / 20120188847 - POSITION DETECTION APPARATUS, POSITION DETECTION METHOD, MOBILE, AND RECEIVER | 2 |
Taichiro Kajitani | JP | Ishikawa | 2010-09-30 / 20100245024 - PROTECTIVE ELEMENT | 1 |
Minoru Kajitani | JP | Shiga | 2012-12-06 / 20120308414 - INVERTER-INTEGRATED ELECTRIC COMPRESSOR | 1 |
Kayoko Kajitani | JP | Tsuruga-Shi | 2009-10-29 / 20090269825 - METHOD FOR STABILIZATION OF BIOLOGICAL MOLECULE AND COMPOSITION | 1 |
Hitoshi Kajitani | JP | Osaka | 2009-08-13 / 20090203783 - THERAPEUTIC AGENT FOR ACUTE CEREBRAL INFARCT | 1 |
Masafumi Kajitani | JP | Kashiba-Shi | 2014-05-22 / 20140139207 - STEERING SYSTEM | 1 |
Kazuo Kajitani | JP | Tokyo | 2016-02-18 / 20160048011 - SAMPLE OBSERVATION DEVICE AND SAMPLE OBSERVATION METHOD | 6 |
Tsuyoshi Kajitani | JP | Osaka-Shi | 2014-11-27 / 20140349240 - HEAT TREATMENT FURNACE | 1 |
Mitsuhiro Kajitani | JP | Kanagawa | 2008-10-02 / 20080244092 - ELECTRONIC FILE PROCESSOR, ELECTRONIC FILE PROCESSING PROGRAM RECORDING MEDIUM, AND ELECTRONIC FILE PROCESSING METHOD | 1 |
Kyotaro Kajitori | JP | Saitama | 2011-06-09 / 20110133511 - REAR STRUCTURE OF STRADDLE-RIDE TYPE VEHICLE | 1 |
Satoshi Kajiura | JP | Suita-Shi | 2009-12-17 / 20090311729 - DIAGNOSIS OF ACUTE ENTEROCOLITIS BY DETERMINATION OF INTESTINAL FATTY ACID-BINDING PROTEIN IN THE BLOOD | 1 |
Hayato Kajiura | JP | Tokyo | 2012-01-05 / 20120003352 - LIQUID-CENTER GUM COMPOSITION | 2 |
Hisashi Kajiura | JP | Tokyo | 2010-04-01 / 20100080749 - CARBON NANOTUBES, A METHOD OF PREPARING THE SAME AND AN ELEMENT USING THE SAME | 5 |
Hiroyuki Kajiura | JP | Chiba | 2008-12-18 / 20080313682 - Near Video-on-Demand System, Near Video-on-Demand System Control Method, and Program and Recording Medium for the Same | 1 |
Mikihiro Kajiura | JP | Inagi-Shi | 2012-05-10 / 20120112585 - Actuator for Variable Valve Operating Apparatus | 2 |
Shunsuke Kajiura | JP | Tokyo | 2014-12-04 / 20140354888 - CONNECTION DEVICE | 1 |
Hideki Kajiura | JP | Hyogo | 2010-03-11 / 20100063000 - FOOD CONTAINING GLYCOGEN AND USE THEREOF | 1 |
Mikihiro Kajiura | JP | Hitachinaka | 2016-02-04 / 20160032795 - Valve Timing Control Device for Internal Combustion Engine | 1 |
Mikihiro Kajiura | JP | Tokyo | 2016-05-05 / 20160123194 - VALVE TIMING CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 7 |
Takeji Kajiura | JP | Isehara-Shi | 2015-12-17 / 20150361534 - STEEL FOR TRACKED UNDERCARRIAGE COMPONENT, AND TRACK LINK | 1 |
Hisashi Kajiura | CN | Shanghai | 2013-03-07 / 20130059391 - TEST PIECE FOR HEAVY METAL ION, PROCESS FOR DETECTING HEAVY METAL ION, KIT AND SENSOR | 5 |
Hiroaki Kajiura | JP | Nagoya | 2012-01-12 / 20120007462 - Stator for rotary electric machine and rotary electric machine using same | 2 |
Takayuki Kajiura | JP | Kawasaki-Shi | 2010-05-13 / 20100120172 - SUBSTANCE WITH ANTITHROMBOTIC ACTIVITY AND METHOD FOR DETECTING GLYCOKALLIDIN | 1 |
Hisashi Kajiura | JP | Kanagawa | 2009-05-14 / 20090121605 - METHOD OF MANUFACTURING TUBULAR CARBON MOLECULE AND TUBULAR CARBON MOLECULE, METHOD OF MANUFACTURING RECORDING APPARATUS AND RECORDING APPARATUS, METHOD OF MANUFACUTRING FIELD ELECTRON EMISSION DEVICE AND FIELD ELECTRON EMISSION DEVICE | 2 |
Katsuyuki Kajiura | JP | Kariya-Shi | 2009-04-30 / 20090107655 - SEMICONDUCTOR COOLING APPARATUS | 1 |
Morimasa Kajiura | JP | Ichinomiya-Shi | 2011-06-09 / 20110134191 - PRINTHEAD AND METHOD OF MANUFACTURING PRINTHEAD | 4 |
Goichi Kajiura | JP | Chiyoda-Ku | 2014-07-24 / 20140203756 - INVERTER SYSTEM AND COMMUNICATION METHOD | 1 |
Noriko Kajiura | JP | Tokyo | 2013-01-10 / 20130011637 - WATER-SOLUBLE AZO COMPOUND OR SALT THEREOF, INK COMPOSITION, AND COLORED BODY | 12 |
Tomoyoshi Kajiura | JP | Tokyo | 2010-04-29 / 20100104635 - PROCESS FOR PREPARING GRANULES OF HYDROPHILIC VITAMINS | 1 |
Noriko Kajiura | JP | Kita-Ku, Tokyo | 2009-11-19 / 20090286051 - ANTHRAPYRIDONE COMPOUND OR SALT THEREOF, MAGENTA INK COMPOSITION CONTAINING THE SAME, AND COLORED PRODUCT | 1 |
Motomu Kajiura | JP | Tokyo | 2016-03-17 / 20160079692 - Low Profile Circuit Connector | 6 |
Takahiro Kajiwara | JP | Tokyo | 2013-06-20 / 20130152944 - Skin Patch Sheet, Use Thereof, and Method for Attaching Skin Patch Sheet | 1 |
Ryoichi Kajiwara | JP | Hitachi | 2013-09-05 / 20130228907 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 8 |
Hirofumi Kajiwara | JP | Shizuoka | 2010-08-05 / 20100192378 - METHOD FOR MANUFACTURING A FLUID DYNAMIC BEARING, A FLUID DYNAMIC BEARING, A MOTOR, AND A DISK DRIVE DEVICE | 1 |
Hisayoshi Kajiwara | JP | Kodaira | 2014-07-31 / 20140210750 - TOUCH PANEL CONTROLLER AND SEMICONDUCTOR DEVICE | 1 |
Yoichi Kajiwara | JP | Kyoto | 2015-10-29 / 20150311790 - DRIVING DEVICE | 5 |
Ryuji Kajiwara | JP | Hiroshima | 2010-10-21 / 20100263131 - AIR MATTRESS | 2 |
Shoichi Kajiwara | JP | Osaka | 2011-11-03 / 20110267239 - PORTABLE RADIO DEVICE | 3 |
Yosuke Kajiwara | JP | Yokohama | 2016-03-24 / 20160087052 - SEMICONDUCTOR DEVICE | 1 |
Toshihisa Kajiwara | JP | Fukuoka | 2012-05-03 / 20120107589 - COMPOSITE SHAPED BODY AND SILICA GLASS, AND METHOD FOR PRODUCING THE SAME | 1 |
Shinji Kajiwara | JP | Tokyo | 2010-11-18 / 20100289596 - IMPEDANCE MATCHED CIRCUIT BOARD | 1 |
Masayuki Kajiwara | JP | Koshi City | 2015-12-31 / 20150375170 - TREATMENT SOLUTION SUPPLY METHOD, TREATMENT SOLUTION SUPPLY APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM | 1 |
Akihito Kajiwara | JP | Aichi-Ken | 2011-10-20 / 20110252907 - FITTING STRUCTURE | 1 |
Masanari Kajiwara | JP | Yokohama-Shi | 2015-08-20 / 20150234268 - MASK PATTERN CORRECTION METHOD AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM CONTAINING A MASK PATTERN CORRECTION PROGRAM | 1 |
Hitomi Kajiwara | JP | Shizuoka | 2010-12-09 / 20100311076 - METHOD OF BINDING PROTEINS TO CARRIERS BY MAKING USE OF TAMAVIDINS | 2 |
Yuki Kajiwara | JP | Kawasaki-Shi | 2016-01-28 / 20160023663 - CONTROL SYSTEM AND SEMICONDUCTOR DEVICE | 1 |
Mitsugu Kajiwara | JP | Tokyo | 2014-07-31 / 20140208780 - COOLING SYSTEM AND COOLING METHOD OF ROLLING STEEL | 3 |
Yoshifumi Kajiwara | JP | Kawasaki | 2012-11-01 / 20120273244 - ELECTRONIC DEVICE | 22 |
Shuichi Kajiwara | JP | Hyogo | 2010-12-16 / 20100313420 - THROUGH-HOLE MANUFACTURING METHOD FOR CYLINDRICAL BODY WALL AND CYLINDRICAL BODY STRUCTURE | 1 |
Morimitsu Kajiwara | JP | Tokyo | 2015-09-17 / 20150260176 - CONTROL VALVE FOR VARIABLE DISPLACEMENT COMPRESSOR | 4 |
Kentaro Kajiwara | JP | Shiga | 2011-02-24 / 20110045231 - LEATHER-LIKE SHEET AND PRODUCTION PROCESS THEREOF | 1 |
Keishi Kajiwara | JP | Osaka | 2013-05-02 / 20130107976 - COMMUNICATION DEVICE AND COMMUNICATION SYSTEM | 1 |
Youichi Kajiwara | JP | Kyoto | 2014-10-09 / 20140299970 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 3 |
Shunsuke Kajiwara | JP | Tokyo | 2013-06-27 / 20130165570 - LAMINATE OF AN ACRYLIC RESIN COMPOSITION LAYER CONTAINING TRIAZINE BASED ULTRA-VIOLET COMPOUNDS AND AN ORGANOSILOXANE RESIN COMPOSITION LAYER | 3 |
Yosuke Kajiwara | JP | Miyagi | 2011-04-14 / 20110084349 - THERMOELECTRIC CONVERSION DEVICE | 3 |
Hajime Kajiwara | JP | Wako | 2009-04-30 / 20090107779 - Magneto-rheological damper | 1 |
Toshikazu Kajiwara | JP | Ise-City | 2011-04-14 / 20110083717 - Solar Cell Module and Method of Manufacturing the Same | 1 |
Takenori Kajiwara | SG | Singapore | 2013-09-05 / 20130230647 - PERPENDICULAR MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME | 8 |
Kouichi Kajiwara | JP | Hitachinaka | 2015-08-13 / 20150228936 - PRISMATIC SECONDARY BATTERY | 4 |
Takehiro Kajiwara | JP | Inagi-Shi | 2015-08-13 / 20150224474 - FIBROUS METAL-ADSORBING MATERIAL | 3 |
Akiharu Kajiwara | JP | Tsukuba-Shi | 2009-08-27 / 20090215795 - CYCLIC AMIDINE DERIVATIVES | 1 |
Koji Kajiwara | JP | Yamaguchi | 2013-03-21 / 20130072776 - CEREBRAL LOCAL PORTION COOLING PROBE AND CEREBRAL FUNCTION MAPPING DEVICE | 1 |
Yasuyuki Kajiwara | JP | Tokushima | 2013-03-21 / 20130071587 - MULTILAYER FILM AND BAG FORMED OF MULTILAYER FILM | 1 |
Youichi Kajiwara | JP | Kyoto-Shi | 2012-09-27 / 20120241969 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Koichi Kajiwara | JP | Tokyo | 2010-08-19 / 20100207050 - DRYING DEVICE AND METHOD OF AQUEOUS MOISTURE GEL | 1 |
Shigeto Kajiwara | JP | Okazaki-Shi | 2016-05-19 / 20160137194 - VEHICLE WITH FUEL CELLS MOUNTED THEREON AND CONTROL METHOD OF THE VEHICLE | 14 |
Kentaro Kajiwara | JP | Otsu | 2009-05-21 / 20090130371 - Leather-Like Sheet and Production Method Thereof | 1 |
Takashi Kajiwara | JP | Gotemba-Shi | 2015-12-24 / 20150372321 - FUEL CELL | 3 |
Yoshinori Kajiwara | JP | Yaita-Shi | 2014-08-07 / 20140223456 - WOBBLE DETERMINING APPARATUS AND METHOD, OPTICAL DISK DEVICE, AND COMPUTER PROGRAM | 1 |
Hideki Kajiwara | JP | Koshi-Shi | 2012-04-26 / 20120099951 - INSPECTION DEVICE, INSPECTION METHOD AND NON-TRANSITORY STORAGE MEDIUM FOR INSPECTING DEFORMATION OF SUBSTRATE HOLDING MEMBER, AND SUBSTRATE PROCESSING SYSTEM INCLUDING THE INSPECTION DEVICE | 1 |
Kenji Kajiwara | JP | Kanagawa | 2016-03-31 / 20160091804 - IMAGE FORMING METHOD, IMAGE FORMING APPARATUS, AND PROCESS CARTRIDGE | 16 |
Shigeto Kajiwara | JP | Aichi | 2009-06-25 / 20090162710 - FUEL CELL SYSTEM | 1 |
Satoru Kajiwara | JP | Hitachi | 2009-07-23 / 20090184274 - ELECTRICAL CONTACT FOR VACUUM VALVE | 2 |
Shigeru Kajiwara | JP | Toyota-Shi | 2012-03-22 / 20120070109 - BUSH BEARING | 1 |
Ichiro Kajiwara | JP | Tokyo | 2009-08-20 / 20090209705 - THERMOPLASTIC RESIN COMPOSITION, OPTICAL FILM AND RETARDATION FILM | 2 |
Keiko Kajiwara | JP | Ibaraki-Shi | 2015-09-17 / 20150259683 - AGENT FOR TREATING RENAL FIBROSIS | 2 |
Kazutomo Kajiwara | JP | Nagoya-Shi | 2016-05-05 / 20160121697 - AIR BLOWING DEVICE | 1 |
Yasuhiro Kajiwara | JP | Kanagawa | 2015-07-23 / 20150203036 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM | 3 |
Keiko Kajiwara | JP | Osaka | 2013-01-31 / 20130028967 - THERAPEUTIC AGENT FOR PULMONARY FIBROSIS | 3 |
Makoto Kajiwara | JP | Saitama | 2013-07-18 / 20130181410 - INTERNAL COMBUSTION ENGINE OIL RING | 2 |
Yoshifumi Kajiwara | JP | Sapporo | 2016-05-19 / 20160143172 - ELECTRONIC DEVICE | 6 |
Hideki Kajiwara | JP | Koshi City | 2012-09-20 / 20120235335 - SUBSTRATE HOLDING DEVICE | 1 |
Hirofumi Kajiwara | JP | Fujieda City | 2012-12-20 / 20120317794 - METHOD FOR MANUFACTURING DISK DRIVE DEVICE AND DISK DRIVE DEVICE MANUFACTURED BY SAME | 1 |
Hiroyuki Kajiwara | JP | Shimonoseki-Shi | 2015-03-12 / 20150069772 - BUMPER REINFORCEMENT AND METHOD FOR MANUFACTURING THE SAME | 2 |
Takenori Kajiwara | SG | Singapore | 2013-09-05 / 20130230647 - PERPENDICULAR MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME | 8 |
Takumi Kajiwara | JP | Kanagawa | 2014-05-29 / 20140147190 - BALLPOINT PEN TIP AND BALLPOINT PEN USING THE SAME | 1 |
Hajime Kajiwara | JP | Wako-Shi | 2009-10-01 / 20090241706 - TELESCOPIC ACTUATOR | 2 |
Hidehiro Kajiwara | JP | Tokyo | 2015-11-26 / 20150336062 - STIRRING DEVICE | 1 |
Yasuhiro Kajiwara | JP | Kariya-City | 2009-10-22 / 20090265083 - VALVE TIMING CONTROL APPARATUS AND VALVE TIMING CONTROL ARRANGEMENT | 1 |
Masayuki Kajiwara | JP | Tochigi | 2015-08-06 / 20150221777 - Semiconductor Device and Method for Manufacturing the Same | 2 |
Hiroo Kajiwara | JP | Yamanashi-Ken | 2009-10-29 / 20090267423 - ELECTROMAGNETIC EXCITER | 1 |
Rintaro Kajiwara | JP | Tokyo | 2013-05-09 / 20130111908 - FAN ROTOR BLADE AND FAN | 1 |
Seiji Kajiwara | JP | Kuwana | 2009-12-03 / 20090298274 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE | 1 |
Mikio Kajiwara | JP | Osaka | 2015-11-26 / 20150337837 - SCROLL MEMBER, METHOD OF MANUFACTURING SAME, COMPRESSION MECHANISM AND SCROLL COMPRESSOR | 11 |
Yasuhiro Kajiwara | JP | Yokkaichi, Mie | 2016-05-05 / 20160121821 - BAND CLIP AND ASSEMBLY | 1 |
Takeshi Kajiwara | JP | Osaka | 2013-12-26 / 20130345444 - PRODUCTION METHOD OF OPTICALLY ACTIVE DIHYDROBENZOFURAN DERIVATIVE | 1 |
Shintaro Kajiwara | JP | Tokyo | 2015-07-02 / 20150187386 - EDITING APPARATUS, REPRODUCTION APPARATUS, EDITING METHOD, REPRODUCTION METHOD, AND PROGRAM | 1 |
Yoshitatsu Kajiwara | JP | Fukuoka | 2009-12-17 / 20090310461 - LIGHT SOURCE DRIVE, OPTICAL PICKUP UNIT WHEREIN THE LIGHT SOURCE DRIVE IS INSTALLED, OPTICAL DISC DRIVE WHEREIN THE OPTICAL PICKUP UNIT IS INSTALLED, AND INFORMATION TERMINAL WHEREIN THE OPTICAL DISC DRIVE IS INSTALLED | 1 |
Yuto Kajiwara | JP | Kawasaki-Shi | 2015-06-25 / 20150181083 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM | 5 |
Yasushi Kajiwara | JP | Saitama | 2014-12-18 / 20140366668 - Gear | 2 |
Yasuhiro Kajiwara | JP | Mie | 2016-02-18 / 20160046245 - BAND CLIP, BAND-CLIP-EQUIPPED WIRE HARNESS, AND ASSEMBLY | 7 |
Hajime Kajiwara | JP | Saitama | 2012-02-23 / 20120043736 - SUSPENSION DEVICE | 3 |
Naoyuki Kajiwara | JP | Tokyo | 2013-01-10 / 20130011013 - MEASUREMENT APPARATUS, MEASUREMENT METHOD, AND FEATURE IDENTIFICATION APPARATUS | 3 |
Hisayoshi Kajiwara | JP | Yokohama | 2010-04-08 / 20100085322 - COORDINATE INPUT DEVICE AND DISPLAY DEVICE WITH THE SAME | 2 |
Takehiro Kajiwara | JP | Aichi-Ken | 2014-02-13 / 20140042792 - CLIP | 1 |
Nozomu Kajiwara | JP | Gyoda City | 2016-03-10 / 20160069807 - IMAGING SYSTEM | 2 |
Takashi Kajiwara | JP | Shizuoka-Ken | 2010-04-29 / 20100104912 - FUEL CELL AND VEHICLE HAVING FUEL CELL | 4 |
Hiroshi Kajiwara | JP | Inagi-Shi | 2010-06-10 / 20100142840 - IMAGE ENCODING APPARATUS AND METHOD OF CONTROLLING THE SAME | 5 |
Takamasa Kajiwara | JP | Hamamatsu-Shi | 2015-04-30 / 20150118546 - BATTERY AND METHOD FOR PRODUCING THE BATTERY | 1 |
Kazutomo Kajiwara | JP | Nagoya-Shi, Aichi | 2016-05-19 / 20160137137 - GLOVE BOX | 1 |
Takeshi Kajiwara | JP | Niiharigun | 2010-06-24 / 20100160163 - Fused heterocyclic sulfonylurea compound, herbicide containing the same, and method for controlling weed with the same | 1 |
Yukari Kajiwara | JP | Niiharigun | 2010-06-24 / 20100160163 - Fused heterocyclic sulfonylurea compound, herbicide containing the same, and method for controlling weed with the same | 1 |
Junichi Kajiwara | JP | Osaka | 2010-07-22 / 20100183327 - IMAGE FORMING APPARATUS | 1 |
Itsuro Kajiwara | JP | Hokkaido | 2013-04-11 / 20130090868 - METHOD FOR MEASUREMENT OF VIBRATION PROPERTY OF STRUCTURE, AND VIBRATION PROPERTY MEASUREMENT DEVICE | 1 |
Ryoichi Kajiwara | JP | Tokyo | 2014-09-18 / 20140264383 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 2 |
Makoto Kajiwara | JP | Saitama-Shi | 2015-08-13 / 20150225836 - PISTON RING | 3 |
Yasuhiro Kajiwara | JP | Itami-Shi | 2014-02-27 / 20140056658 - CUTTING TOOL WITH REMOVABLE HEAD | 1 |
Masatoshi Kajiwara | JP | Kyoto | 2013-05-16 / 20130122536 - METHOD FOR INDUCING DIFFERENTIATION OF HUMAN PLURIPOTENT STEM CELL INTO INTERMEDIATE MESODERM CELL | 1 |
Yoshiyuki Kajiwara | JP | Tokyo | 2012-07-05 / 20120170434 - INFORMATION RECORDER, INFORMATION REPRODUCER, RECORDING MEDIA MANUFACTURING SYSTEM, AND INFORMATION RECORDING MEDIA, METHOD AND PROGRAM | 1 |
Koji Kajiwara | JP | Tokyo | 2015-08-13 / 20150229095 - LASER DEVICE | 2 |
Keigo Kajiwara | JP | Tokyo | 2011-09-29 / 20110236446 - HYDROGEL PARTICLES | 1 |
Ryuji Kajiwara | JP | Chiba | / - | 1 |
Ryoichi Kajiwara | JP | Chiyoda-Ku, Tokyo | 2015-12-17 / 20150366086 - Vehicle-Mounted Electronic Module | 1 |
Ichiro Kajiwara | JP | Minato-Ku | 2015-08-27 / 20150240039 - COMPOSITION FOR PRODUCING PROTECTIVE FILM, PROTECTIVE FILM, AND ELECTRICAL STORAGE DEVICE | 1 |
Masatoshi Kajiwara | JP | Nagaokakyo-Shi | 2011-09-15 / 20110221304 - Ultrasonic Transducer | 1 |
Masahiro Kajiwara | JP | Niiza-Shi | 2015-02-19 / 20150050744 - DIAGNOSTIC AGENT AND DIAGNOSTIC METHOD FOR IRRITABLE BOWEL SYNDROME INDUCED BY ABNORMAL PROLIFERATION OF ENTEROBACTERIA | 1 |
Takenori Kajiwara | JP | Tokyo | 2015-01-29 / 20150027877 - MAGNETIC DISK AND METHOD FOR MANUFACTURING SAME | 2 |
Masanari Kajiwara | JP | Kanagawa | 2012-09-27 / 20120246601 - PATTERN CORRECTING METHOD, MASK FORMING METHOD, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Kenji Kajiwara | JP | Kanagawa | 2016-03-31 / 20160091804 - IMAGE FORMING METHOD, IMAGE FORMING APPARATUS, AND PROCESS CARTRIDGE | 16 |
Yukari Kajiwara | JP | Takarazuka-Shi | 2015-10-01 / 20150272122 - METHOD OF CONTROLLING PEST | 2 |
Satomi Kajiwara | JP | Matsumoto-Shi | 2009-09-03 / 20090218313 - METHOD FOR MANUFACTURING PATTERNED MAGNETIC RECORDING MEDIUM | 1 |
Kouichi Kajiwara | JP | Hitachinaka-Shi | 2011-09-15 / 20110223454 - PRISMATIC CELL AND PRODUCTION METHOD FOR THE SAME | 2 |
Ryoichi Kajiwara | JP | Hitachi-Shi | 2013-10-10 / 20130264696 - SEMICONDUCTOR DEVICE | 3 |
Yuta Kajiwara | JP | Odawara | 2012-03-15 / 20120066413 - STORAGE APPARATUS FOR CONTROLLING RUNNING OF COMMANDS AND METHOD THEREFOR | 1 |
Ryoichi Kajiwara | JP | Mito | 2011-02-03 / 20110024156 - ENAMELED INSULATED WIRE AND MANUFANTURING METHOD THEREOF | 1 |
Itsuro Kajiwara | JP | Sapporo-Shi | 2013-09-19 / 20130239690 - MRE EXCITATION APPARATUS, EXCITATION SYSTEM, AND EXCITATION METHOD | 1 |
Kenji Kajiwara | JP | Saitama | 2013-11-28 / 20130317157 - VINYL CHLORIDE RESIN COMPOSITION | 1 |
Kei Kajiwara | JP | Hokkaido | 2013-09-19 / 20130241838 - INFORMATION PROCESSING TERMINAL AND METHOD FOR CONTROLLING OPERATION THEREOF | 1 |
Ichiro Kajiwara | JP | Yokkaichi-Shi | 2014-02-06 / 20140038041 - ELECTRODE FOR ELECTRICITY STORAGE DEVICE, SLURRY FOR ELECTRODE, BINDER COMPOSITION FOR ELECTRODE, AND ELECTRICITY STORAGE DEVICE | 2 |
Kentaro Kajiwara | JP | Otsu-Shi | 2015-11-05 / 20150318558 - CARBON-FIBER NONWOVEN CLOTH AND GAS DIFFUSION ELECTRODE FOR POLYMER ELECTROLYTE FUEL CELL USING SAME, POLYMER ELECTROLYTE FUEL CELL, METHOD FOR MANUFACTURING CARBON-FIBER NONWOVEN CLOTH, AND COMPOSITE SHEET | 3 |
Junichi Kajiwara | JP | Nara-Shi | 2008-09-18 / 20080226330 - APPARATUS FOR IMAGE FORMATION | 1 |
Yoshiyuki Kajiwara | JP | Kanagawa | 2012-06-14 / 20120147723 - INFORMATION RECORDING DEVICE, INFORMATION REPRODUCING DEVICE, RECORDING MEDIUM MANUFACTURING DEVICE, INFORMATION RECORDING MEDIUM, METHOD, AND PROGRAM | 1 |
Syunsuke Kajiwara | JP | Kanagawa | 2008-11-06 / 20080274445 - Reversal Magnetic Display Panel | 1 |
Takahiro Kajiwara | JP | Higashihiroshima-Shi | 2009-03-12 / 20090070084 - SIMULATION METHOD AND SIMULATION APPARATUS FOR LDMOSFET | 1 |
Yasushi Kajiwara | JP | Kawaguchi-Shi | 2009-04-09 / 20090093317 - Rotary shaft coupling | 1 |
Alan Kajiwara | US | San Diego | 2013-09-12 / 20130232660 - CONVERTIBLE DRESS AND POUCH STORAGE GARMENT | 1 |
Takatoshi Kajiwara | JP | Yokohama | 2010-12-23 / 20100325295 - COMMUNICATION APPARATUS | 2 |
Takashi Kajiwara | JP | Gotenba-Shi | 2015-10-22 / 20150303494 - FUEL CELL | 3 |
Satomi Kajiwara | JP | Nagano | 2011-01-06 / 20110000879 - METHOD OF MANUFACTURING A MASTER INFORMATION CARRIER FOR MAGNETIC TRANSFER AND A METHOD OF MANUFACTURING A MAGNETIC RECORDING MEDIUM | 3 |
Seiji Kajiwara | JP | Mie | 2014-01-02 / 20140004691 - PATTERN FORMING METHOD | 3 |
Ryoichi Kajiwara | JP | Hitachi | 2013-09-05 / 20130228907 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 8 |
Hitomi Kajiwara | JP | Iwata-Shi | 2012-11-08 / 20120282659 - NOVEL BETA-GALACTOSIDE-ALPHA2,6-SIALYLTRANSFERASE, A GENE ENCODING THEREOF, AND A METHOD FOR ENHANCING ENZYME ACTIVITY | 2 |
Toru Kajiwara | JP | Fukuoka | 2012-04-05 / 20120083920 - PROCESSING SYSTEM, ROBOT, AND PRODUCT MANUFACTURING METHOD | 1 |
Shigeto Kajiwata | JP | Okazaki-Shi, Aichi-Ken | 2010-02-04 / 20100028738 - FUEL CELL SYSTEM | 1 |
Shinichi Kajiya | JP | Shizuoka-Ken | 2008-10-30 / 20080264501 - FUEL TANK | 4 |
Mikihito Kajiya | US | Brookline | 2013-10-10 / 20130266540 - MAPK INHIBITION BY H2 | 1 |
Takafumi Kajiya | JP | Minamitsuru-Gun | 2013-08-15 / 20130207505 - ROTOR WITH REINFORCED SQUIRREL-CAGE CONDUCTIVE BODY AND MANUFACTURING METHOD THEREOF | 2 |
Takafumi Kajiya | JP | Yamanashi | 2012-08-30 / 20120217839 - SQUIRREL-CAGE ROTOR FOR INDUCTION MOTOR | 1 |
Atsuhiro Kajiya | JP | Hyogo | 2010-06-17 / 20100148275 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Hiroshi Kajiya | JP | Fukuoka City | 2013-10-17 / 20130269857 - FLOOR SEAMING COMPOUND AND METHOD OF SEALING FLOORING SEAMS | 1 |
Satoshi Kajiya | JP | Chiyoda-Ku | 2012-06-21 / 20120153132 - ELEMENT CARRIER AND LIGHT RECEIVING MODULE | 1 |
Hirokatsu Kajiya | JP | Yoshikawa | 2014-07-17 / 20140198063 - DATA PROCESSING DEVICE AND DATA PROCESSING METHOD | 1 |
Kentaro Kajiya | JP | Yokohama-Shi, Kanagawa | 2015-11-19 / 20150328137 - VEGFC PRODUCTION PROMOTER | 2 |
Masatoshi Kajiya | JP | Hirakata-Shi | 2015-07-09 / 20150192051 - REDUCING AGENT TANK AND WORK VEHICLE | 1 |
Yoshio Kajiya | JP | Ibaraki | 2016-02-18 / 20160049654 - Positive Electrode Active Material For Lithium-Ion Battery, Positive Electrode For Lithium-Ion Battery, And Lithium-Ion Battery | 7 |
Atsushi Kajiya | JP | Oyama-Shi | 2012-11-08 / 20120281411 - LIGHTING DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Shunichi Kajiya | JP | Miyagi | 2013-10-31 / 20130284497 - TRANSPARENT CONDUCTIVE ELEMENT, INPUT DEVICE, AND DISPLAY DEVICE | 14 |
Yoshio Kajiya | JP | Kitaibaraki-Shi | 2013-07-11 / 20130175470 - Cathode Active Material For Lithium Ion Battery And Method For Producing The Same | 10 |
Yoshinori Kajiya | JP | Tokyo | 2015-08-27 / 20150241059 - AIR POLLUTION CONTROL SYSTEM AND AIR POLLUTION CONTROL METHOD | 2 |
Kentaro Kajiya | CH | Zurich | 2013-10-24 / 20130280311 - METHODS OF REDUCING SKIN DAMAGE AND EDEMA | 1 |
James Thomas Kajiya | US | Duvall | 2011-02-17 / 20110041098 - MANIPULATION OF 3-DIMENSIONAL GRAPHICAL OBJECTS OR VIEW IN A MULTI-TOUCH DISPLAY | 2 |
Kei Kajiya | JP | Kariya-City | 2010-02-11 / 20100035534 - Air conditioner for vehicle | 1 |
Satoshi Kajiya | JP | Tokyo | 2013-12-26 / 20130343767 - OPTICAL TRANSMITTER | 1 |
Hirokatsu Kajiya | JP | Yoshikawa-Shi | 2009-11-12 / 20090280872 - MOBILE APPARATUS | 1 |
Yoshio Kajiya | JP | Kitaibaraki-Shi | 2013-07-11 / 20130175470 - Cathode Active Material For Lithium Ion Battery And Method For Producing The Same | 10 |
Shunichi Kajiya | JP | Kanagawa | 2015-01-29 / 20150027759 - CONDUCTIVE ELEMENT AND METHOD OF MANUFACTURING THE SAME, WIRING ELEMENT, AND MASTER COPY | 4 |
Kentaro Kajiya | JP | Yokohama | 2015-01-29 / 20150030611 - Monitoring and Modulating HGF/HGFR Activity | 3 |
Kentaro Kajiya | JP | Kanagawa | 2013-03-07 / 20130058926 - METHOD FOR ALLEVIATING AND/OR PREVENTING SKIN REDDENING | 3 |
Nobuyuki Kajiya | JP | Hitachinaka-Shi | 2009-07-23 / 20090184591 - Rotating Electrical Machine | 1 |
Shunichi Kajiya | JP | Miyagi | 2013-10-31 / 20130284497 - TRANSPARENT CONDUCTIVE ELEMENT, INPUT DEVICE, AND DISPLAY DEVICE | 14 |
James T. Kajiya | US | Duvall | 2014-10-02 / 20140293210 - LIQUID CRYSTAL DISPLAY (LCD) | 6 |
Atsushi Kajiya | JP | Minato-Ku | 2014-05-08 / 20140124257 - FLEXIBLE CIRCUIT BODY AND METHOD FOR PRODUCTION THEREOF | 4 |
Jim Kajiya | US | Duvall | 2010-01-07 / 20100005089 - PERFORMING A COLLABORATIVE SEARCH IN A COMPUTING NETWORK | 2 |
Atsushi Kajiya | JP | Tokyo | 2013-08-01 / 20130194735 - SEALING STRUCTURE | 3 |
Ryuji Kajiya | JP | Tokyo | 2009-04-30 / 20090111954 - POLYMER PRODUCING METHOD AND APPARATUS AND POLYMER DEGASSING METHOD AND APPARATUS | 1 |
Takehisa Kajiyama | JP | Shizuoka | 2009-10-29 / 20090271017 - MACHINE TOOL AND ITS PROGRAM CONVERSION METHOD | 1 |
Kenta Kajiyama | JP | Tokyo | 2016-05-19 / 20160141341 - DISPLAY DEVICE | 1 |
Akihisa Kajiyama | JP | Sanyo Onoda-Shi, Yamaguchi-Ken | 2016-05-05 / 20160126547 - LITHIUM MANGANATE PARTICLES FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERIES AND PROCESS FOR PRODUCING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Kouichi Kajiyama | JP | Kanagawa | 2014-11-27 / 20140347744 - LENS AND LASER PROCESSING APPARATUS EQUIPPED WITH THE LENS | 1 |
Kenta Kajiyama | JP | Yotsukaido | 2016-01-07 / 20160005361 - DISPLAY DEVICE | 11 |
Hirohisa Kajiyama | JP | Fukuoka | 2014-11-20 / 20140338575 - WASTE GASIFICATION MELTING FURNACE | 1 |
Tomoharu Kajiyama | JP | Higashiyamato | 2015-06-11 / 20150159202 - METHODS FOR QUANTITATIVE cDNA ANALYSIS IN SINGLE-CELL | 8 |
Hiroshi Kajiyama | JP | Otsu-Shi | 2015-02-05 / 20150038035 - FIBER STRUCTURE | 4 |
Kazuhiko Kajiyama | JP | Utsunomiya-Shi | 2016-05-19 / 20160139376 - ZOOM LENS AND IMAGE PICKUP APPARATUS INCLUDING THE SAME | 15 |
Norikazu Kajiyama | JP | Kariya-Shi | 2012-01-12 / 20120006099 - GAS SENSOR ELEMENT AND GAS SENSOR | 1 |
Akihisa Kajiyama | JP | Yamagushi-Ken | 2013-09-19 / 20130244114 - Lithium titanate particles and process for producing the lithium titante particles, MG-Containing lithium titanate particles and process for producing the MG-Containing lithium particles, negative electrode active substance particles for non-aqueous electrolyte secondary batteries, and non-aqeous electrolyte secondary battery | 1 |
Norikazu Kajiyama | JP | Chiryu-Shi | 2014-10-16 / 20140305798 - A/F SENSOR ELEMENT AND METHOD OF MANUFACTURING THE SAME | 7 |
Tomoharu Kajiyama | JP | Chiyoda-Ku | 2015-01-15 / 20150018243 - Plant Tissue Sampling Method and Plant Gene Analysis Method | 1 |
Akihisa Kajiyama | JP | Sanyo Onoda-Shi | 2015-01-22 / 20150024273 - LITHIUM COMPOSITE OXIDE PARTICLES FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERIES AND PROCESS FOR PRODUCING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Masahiro Kajiyama | JP | Fujisawa | 2014-10-02 / 20140290223 - EXHAUST GAS PURIFICATION DEVICE OF INTERNAL COMBUSTION ENGINE | 1 |
Hiroaki Kajiyama | JP | Hyogo | 2016-02-25 / 20160056685 - VIBRATION GENERATING APPARATUS | 1 |
Shingo Kajiyama | JP | Ichihara-Shi | 2016-02-11 / 20160040335 - MELT-BLOWN NONWOVEN FABRIC, AND PRODUCTION PROCESS AND APPARATUS FOR THE SAME | 3 |
Takeshi Kajiyama | JP | Yokohama-Shi | 2015-05-21 / 20150137290 - MAGNETIC RANDOM ACCESS MEMORY | 33 |
Kenta Kajiyama | JP | Mobara | 2011-01-06 / 20110001767 - IMAGE DISPLAY DEVICE | 8 |
Hiroaki Kajiyama | JP | Nagoya-Shi | 2015-01-29 / 20150030693 - ANTI-TUMOR AQUEOUS SOLUTION, ANTI-CANCER AGENT, AND METHODS FOR PRODUCING SAID AQUEOUS SOLUTION AND SAID ANTI-CANCER AGENT | 1 |
Akihisa Kajiyama | JP | Yamaguchi-Ken | 2015-02-05 / 20150037677 - POSITIVE ELECTRODE ACTIVE SUBSTANCE PARTICLES FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERIES AND PROCESS FOR PRODUCING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 9 |
Maria Claudia Custodio Kajiyama | US | San Jose | 2011-03-10 / 20110059007 - Lithium niobate SAW wafer containing Ta impurities | 2 |
Yoshitaka Kajiyama | CA | Waterloo | 2015-10-08 / 20150284839 - DEPOSITION MASK, PRODUCING METHOD THEREFOR AND FORMING METHOD FOR THIN FILM PATTERN | 3 |
Takeshi Kajiyama | KR | Seoul | 2015-06-04 / 20150155332 - MAGNETIC MEMORY AND MANUFACTURING METHOD THEREOF | 3 |
Hiroyuki Kajiyama | JP | Kawasaki-Shi | 2016-01-28 / 20160028933 - IMAGING APPARATUS AND CONTROLLING METHOD THEREFORE | 2 |
Takashi Kajiyama | JP | Kudamatsu-Shi | 2008-09-04 / 20080211926 - Imaging device and control method of imaging device | 1 |
Tisato Kajiyama | JP | Fukuoka-Shi | 2008-10-23 / 20080259254 - Liquid Crystal Display Device | 1 |
Hiroshi Kajiyama | JP | Otsu | 2009-03-12 / 20090068463 - Crimped Yarn, Method for Manufacture thereof, and Fiber Structure | 1 |
Koji Kajiyama | JP | Chiba | 2009-03-12 / 20090066328 - Nuclear Magnetic Resonance Imaging Apparatus and Method | 1 |
Keigo Kajiyama | JP | Kanagawa | 2009-03-26 / 20090079259 - BRAKE CONTROL DEVICE | 1 |
Satoshi Kajiyama | JP | Hikone-Shi | 2009-05-14 / 20090124940 - MASSAGE MACHINE | 2 |
Masayuki Kajiyama | JP | Osaka | 2009-05-21 / 20090126187 - MEMBER SUPPORTING METHOD | 1 |
Hiroshi Kajiyama | JP | Ebina-Shi | 2009-07-02 / 20090166956 - Sheet feed device and image forming appraratus including same | 1 |
Hisanori Kajiyama | JP | Yokohama | 2009-08-20 / 20090210413 - K-NEAREST NEIGHBOR SEARCH METHOD, K-NEAREST NEIGHBOR SEARCH PROGRAM, AND K-NEAREST NEIGHBOR SEARCH DEVICE | 1 |
Tomoharu Kajiyama | JP | Higashiyamato | 2015-06-11 / 20150159202 - METHODS FOR QUANTITATIVE cDNA ANALYSIS IN SINGLE-CELL | 8 |
Seiji Kajiyama | JP | Gifu | 2009-10-01 / 20090245072 - OPTICAL PICKUP APPARATUS | 1 |
Satoshi Kajiyama | JP | Hikone | 2009-10-08 / 20090254013 - Massage machine | 3 |
Koichi Kajiyama | JP | Kanagawa | 2016-01-07 / 20160006518 - OPTICAL INTERCONNECTION DEVICE | 9 |
Hajime Kajiyama | JP | Kanagawa | 2009-11-05 / 20090273802 - IMAGE OUTPUT SETTING CONTROL SYSTEM, IMAGE OUTPUT SETTING CONTROL APPARATUS, IMAGE OUTPUT INSTRUCTION APPARATUS, MANAGEMENT SERVER, IMAGE OUTPUT APPARATUS, COMPUTER-READABLE MEDIUM AND COMPUTER DATA SIGNAL | 1 |
Hiroyuki Kajiyama | JP | Fujieda-Shi | 2009-11-12 / 20090279195 - OUTER MIRROR | 1 |
Hiroshi Kajiyama | JP | Fuchu | 2009-11-19 / 20090284521 - PLASMA DISPLAY DEVICE | 2 |
Masaoki Kajiyama | JP | Osaka | 2009-11-19 / 20090283855 - SEMICONDUCTOR DEVICE AND PROCESS FOR MANUFACTURING THE SAME | 2 |
Yohki Kajiyama | JP | Yokohama | 2009-12-24 / 20090319084 - Method and means for tracking corrosion-related plant operation costs | 1 |
Masahiro Kajiyama | JP | Kanagawa | 2009-05-21 / 20090132150 - ENGINE STOP CONTROL DEVICE | 1 |
Naoki Kajiyama | JP | Noda-Shi | 2010-11-18 / 20100291623 - PROCESS FOR PRODUCING ALPHA-GLYCOSYLATED DIPEPTIDE AND METHOD OF ASSAYING ALPHA-GLYCOSYLATED DIPEPTIDE | 1 |
Shinya Kajiyama | JP | Kodaira | 2011-11-03 / 20110267016 - SWITCHING REGULATION CONTROLLER, SWITCHING REGULATOR AND CONTROLLING METHOD FOR SWITCHING REGULATION | 3 |
Kimio Kajiyama | JP | Kumagaya-Shi | 2010-12-30 / 20100328361 - Display Device and Display Method | 1 |
Naoki Kajiyama | JP | Chiba | 2011-01-06 / 20110003361 - NOVEL FRUCTOSYL PEPTIDE OXIDASE | 2 |
Takeshi Kajiyama | JP | Kanagawa-Ken | 2011-01-20 / 20110012179 - MAGNETORESISTIVE RANDOM ACCESS MEMORY DEVICE | 2 |
Kazuhiko Kajiyama | JP | Utsunomiya-Shi | 2016-05-19 / 20160139376 - ZOOM LENS AND IMAGE PICKUP APPARATUS INCLUDING THE SAME | 15 |
Yuuko Kajiyama | JP | Hitachiohta | 2011-03-10 / 20110058311 - VACUUM INSULATED SWITCHGEAR | 1 |
Koichi Kajiyama | JP | Yokohama-Shi | 2015-10-08 / 20150284839 - DEPOSITION MASK, PRODUCING METHOD THEREFOR AND FORMING METHOD FOR THIN FILM PATTERN | 16 |
Keiichi Kajiyama | JP | Ota-Ku | 2012-04-26 / 20120100694 - DIVIDING METHOD FOR WAFER HAVING DIE BONDING FILM ATTACHED TO THE BACK SIDE THEREOF | 7 |
Yuko Kajiyama | JP | Hitachiota | 2010-02-04 / 20100025376 - VACUUM INSULATED SWITCH-GEAR AND ITS HEIGHT ADJUSTING METHOD | 2 |
Hiroshi Kajiyama | JP | Ebina City | 2010-03-25 / 20100072691 - Recording sheet feeder and image forming apparatus providing easy maintenance with simple structure | 1 |
Akihisa Kajiyama | JP | Yamaguchi-Ken | 2015-02-05 / 20150037677 - POSITIVE ELECTRODE ACTIVE SUBSTANCE PARTICLES FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERIES AND PROCESS FOR PRODUCING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 9 |
Yasufumi Kajiyama | JP | Setagaya-Ku | 2011-06-09 / 20110133791 - OUTPUT BUFFER CIRCUIT, INPUT BUFFER CIRCUIT, AND INPUT/OUTPUT BUFFER CIRCUIT | 1 |
Riki Kajiyama | JP | Mobara-Shi | 2013-08-01 / 20130193842 - Desiccant And The Same Desiccant-Employing Organic EL Element | 1 |
Motoharu Kajiyama | JP | Takahagi | 2016-05-05 / 20160125973 - CABLE | 5 |
Masaki Kajiyama | JP | Tottori | 2011-12-08 / 20110299017 - LIQUID CRYSTAL DISPLAY MANUFACTURING METHOD, LIQUID CRYSTAL DISPLAY, AND ELECTRONIC APPARATUS | 1 |
Kenta Kajiyama | JP | Yotsukaido-Shi | 2013-06-27 / 20130162621 - DISPLAY DEVICE AND DRIVING METHOD OF THE DISPLAY DEVICE | 1 |
Junpei Kajiyama | JP | Yamato-Shi | 2014-05-01 / 20140116608 - FLEXIBLE SHAFT COUPLING AND METHOD OF MANUFACTURING THE SAME | 1 |
Tohru Kajiyama | JP | Mito | 2012-04-19 / 20120092493 - MONITORING SYSTEM | 1 |
Shinichiro Kajiyama | JP | Osaka | 2014-04-17 / 20140104608 - OPTICAL MICROSCOPE AND OPTICAL INSTRUMENTATION | 2 |
Koichi Kajiyama | JP | Yokohama-Shi | 2015-10-08 / 20150284839 - DEPOSITION MASK, PRODUCING METHOD THEREFOR AND FORMING METHOD FOR THIN FILM PATTERN | 16 |
Norikazu Kajiyama | JP | Chiryu-Shi, Aichi-Ken | 2015-10-15 / 20150293051 - ELECTRODE FOR USE IN GAS SENSOR AND GAS SENSOR ELEMENT USING THE SAME | 1 |
Kenta Kajiyama | JP | Yotsukaido | 2016-01-07 / 20160005361 - DISPLAY DEVICE | 11 |
Kazuki Kajiyama | JP | Shizuoka | 2015-04-16 / 20150103544 - VEHICLE LAMP | 1 |
Kunihiro Kajiyama | JP | Kanagawa | 2013-07-11 / 20130176261 - INFORMATION TERMINAL DEVICE AND TOUCH PANEL DISPLAY METHOD | 1 |
Kenichi Kajiyama | JP | Hyogo | 2011-08-18 / 20110199720 - LID SEALING STRUCTURE, AND ELECTRONIC DEVICE EQUIPPED WITH SAME | 2 |
Takashi Kajiyama | JP | Tokyo | 2012-12-13 / 20120314800 - TRANSMITTER | 1 |
Tomohiro Kajiyama | JP | Natori City | 2016-03-17 / 20160075058 - MANUFACTURING METHOD OF COIL COMPONENT AND COIL COMPONENT | 2 |
Katsuhisa Kajiyama | JP | Makinohara-Shi | 2014-05-15 / 20140134297 - INFUSION BAG | 1 |
Keigo Kajiyama | JP | Tokyo | 2008-09-25 / 20080234909 - BRAKE CONTROL APPARATUS AND PUMP-UP SYSTEM | 3 |
Keiichi Kajiyama | JP | Tokyo | 2009-02-12 / 20090042368 - Wafer processing method | 1 |
Masayoshi Kajiyama | JP | Tokyo | 2009-04-09 / 20090091122 - PERSONAL INFORMATION PROTECTING SHEET, METHOD OF MANUFACTURING THE SAME, AND ENVELOPE WITH PERSONAL INFORMATION PROTECTING SHEET | 1 |
Ikuo Kajiyama | JP | Tokyo | 2009-07-02 / 20090168993 - Echo Canceler | 1 |
Kunihiro Kajiyama | JP | Tokyo | 2013-06-20 / 20130157725 - INFORMATION INPUT DEVICE, INFORMATION INPUT METHOD, INFORMATION INPUT CONTROL PROGRAM, AND ELECTRONIC DEVICE | 2 |
Takeshi Kajiyama | JP | Tokyo | 2011-11-17 / 20110283299 - DISK LAMINATE, DISK CARTRIDGE, DISK LOADING/UNLOADING MECHANISM, DISK CONVEYING DEVICE, DISK CONVEYING MECHANISM, AND THIN DISK DRIVING SYSTEM | 2 |
Hiroshi Kajiyama | JP | Chiba | 2011-09-29 / 20110236677 - GALVANIZED STEEL SHEET AND METHOD FOR PRODUCING THE SAME | 2 |
Hiroshi Kajiyama | JP | Tokyo | 2012-04-05 / 20120082845 - ZINC-BASED METAL PLATED STEEL SHEET | 3 |
Yasufumi Kajiyama | JP | Tokyo | 2015-03-12 / 20150070084 - SEMICONDUCTOR DEVICE | 1 |
Masahiro Kajiyama | JP | Fujisawa-Shi | 2015-12-17 / 20150361909 - VEHICLE | 1 |
Hiroaki Kajiyama | JP | Akashi-Shi, Hyogo | 2014-07-10 / 20140190031 - Crushing Drying Device | 1 |
Koichi Kajiyama | JP | Kanagawa | 2016-01-07 / 20160006518 - OPTICAL INTERCONNECTION DEVICE | 9 |
Shinji Kajiyama | JP | Kyoto | 2015-06-25 / 20150180301 - INNER-ROTOR MOTOR | 1 |
Hiromitsu Kajiyama | JP | Fukuoka | 2015-06-25 / 20150180909 - COMMUNICATION SYSTEM, COMMUNICATION METHOD, AND CALL CONTROL SERVER | 1 |
Koichi Kajiyama | JP | Ibaraki-Shi | 2013-06-13 / 20130149572 - BATTERY UNIT | 2 |
Matti Kajola | FI | Helsinki | 2014-11-20 / 20140343882 - METHOD AND DEVICE FOR RECOGNIZING AND REMOVING UNDESIRED ARTIFACTS IN MULTICHANNEL MAGNETIC FIELD OR ELECTRIC POTENTIAL MEASUREMENTS | 3 |
George W. Kajos | US | Westborough | 2010-06-24 / 20100161716 - METHOD AND APPARATUS FOR STREAMING MULTIPLE SCALABLE CODED VIDEO CONTENT TO CLIENT DEVICES AT DIFFERENT ENCODING RATES | 2 |
Lateef A. Kajouke | US | San Pedro | 2013-07-04 / 20130170267 - SUPPRESSION OF CHARGE PUMP VOLTAGE DURING SWITCHING IN A MATRIX CONVERTER | 20 |
John Patrick Kajs | US | Austin | 2014-06-05 / 20140156101 - System and Method For Routing Power Across Multiple Microgrids Having DC and AC Buses | 2 |
Jan Kajstura | US | Brookline | 2013-08-22 / 20130216508 - HUMAN LUNG STEM CELLS AND USES THEREOF | 12 |
Pete Kajuch | US | Brookfield | 2014-09-18 / 20140263760 - SPLASHLESS SPRAY HEAD | 4 |
Payal D. Kak | IN | Bangalore | 2016-02-25 / 20160053193 - Novel water based metal working fluid composition | 1 |
Ashish Kak | IN | Bangalore | 2016-01-28 / 20160026236 - METHOD FOR DISPLAYING ITEMS IN AN ELECTRONIC DEVICE WHEN THE DISPLAY SCREEN IS OFF | 1 |
Sanjeev Kak | US | Fremont | 2013-01-10 / 20130012796 - Smart device audio power harvesting glucose meter | 1 |
Avinash Kak | US | West Lafayette | 2013-03-07 / 20130061211 - SYSTEMS, METHODS, AND COMPUTER-READABLE MEDIA FOR MEASURING QUALITY OF APPLICATION PROGRAMMING INTERFACES | 5 |
Avinash C. Kak | US | West Lafayette | 2014-05-29 / 20140149435 - BUG LOCALIZATION USING VERSION HISTORY | 1 |
Zurab Kakabadze | GE | Tbilisi | 2015-11-26 / 20150335453 - Treatment for Obesity by Selectively Clipping the Gastric Fundus to Modify Blood Flow | 1 |
Sotirios Kakabakos | GR | Attikis | 2010-09-30 / 20100248993 - METHOD FOR MAKING A MICROARRAY | 1 |
Prashant Kakade | US | Princeton | 2016-05-12 / 20160129208 - ENHANCED EDUCTOR DESIGN | 2 |
Vinay Kakade | US | Santa Clara | 2010-12-02 / 20100306049 - METHOD AND SYSTEM FOR MATCHING ADVERTISEMENTS TO WEB FEEDS | 1 |
Vinay Kakade | US | Sunnyvale | 2012-06-28 / 20120166428 - METHOD AND SYSTEM FOR IMPROVING QUALITY OF WEB CONTENT | 6 |
Prashant Kakade | US | Mountain View | 2013-11-21 / 20130306061 - METHODS AND APPARATUS FOR DELIVERING AEROSOLIZED MEDICATION | 2 |
Satish Vasant Kakade | IN | Sangli | 2013-07-04 / 20130167391 - FOOT-LENGTH CALIPER FOR MEASUREMENT OF FOOT LENGTH AND SCREENING OF BIRTH WEIGHT GROUPS | 1 |
Bhalchandra A. Kakade | IN | Maharashtra | 2011-07-14 / 20110171561 - COMPOSITION WITH ENHANCED PROTON CONDUCTIVITY | 1 |
Sagar Kakade | US | San Diego | 2015-04-02 / 20150094003 - SYSTEMS AND METHODS FOR TRANSMIT ANTENNA SWITCHING | 1 |
Sham M. Kakade | US | Philadelphia | 2011-10-20 / 20110258052 - DYNAMIC MECHANISM FOR SELLING ONLINE ADVERTISING SPACE | 1 |
Sunilkumar Narayan Kakade | US | Gilberts | 2014-01-16 / 20140019977 - SYSTEM AND METHOD FOR ECONOMICAL MIGRATION OF LEGACY APPLICATIONS FROM MAINFRAME AND DISTRIBUTED PLATFORMS | 1 |
Manoj Ashok Kakade | US | San Diego | 2015-12-17 / 20150364438 - BALANCED CURRENT DISTRIBUTION STRUCTURE FOR LARGE CURRENT DELIVERY | 1 |
Rupesh S. Kakade | IN | Maharashtra | 2015-11-26 / 20150336441 - SYSTEMS AND METHODS FOR CONTROLLING A CLIMATE CONTROL SYSTEM | 1 |
Prashant Kakade | IN | Pune | 2015-10-22 / 20150302326 - SYSTEMS AND METHODS FOR BUSINESS IMPACT ANALYSIS AND DISASTER RECOVERY | 1 |
Sham Kakade | US | Chicago | 2010-10-21 / 20100268710 - PERSONALIZED WEB SEARCH RANKING | 1 |
Prashant P. Kakade | US | Sunnyville | 2008-09-04 / 20080210231 - METERED DOSE INHALER CLEANING METHOD AND APPARATUS | 2 |
Rupesh S. Kakade | IN | New Panvel Raigad | 2015-04-09 / 20150096733 - SYSTEM AND METHOD FOR COMPENSATING FOR SOLAR LOAD | 1 |
Prashant Kakade | US | Sunnyvale | 2010-01-14 / 20100006096 - Methods and apparatus for delivering aerosolized medication | 1 |
Madhu Kakade | US | Roseville | 2015-01-29 / 20150030719 - HYDROLIZED LIQUID SWEETENER FOR LIVESTOCK | 4 |
Dharmesh Kakadia | IN | Hyderabad | 2014-05-15 / 20140136688 - OPTIMIZING PARTITION PLACEMENT IN VIRTUALIZED ENVIRONMENTS | 1 |
Deepak Kumar Kakadia | US | Union City | 2009-08-06 / 20090196183 - OPTIMIZED SIP ROUTING ARCHITECTURE USING AN INTEGRATED NETWORK AND SYSTEMS APPROACH | 1 |
Deepak Kakadia | US | Union City | 2015-03-05 / 20150063186 - PRIVATE MULTICAST NETWORKS | 45 |
Deepak Kakadia | US | Union City | 2015-03-05 / 20150063186 - PRIVATE MULTICAST NETWORKS | 45 |
Deepak Kakadia | US | Antioch | 2016-03-10 / 20160073290 - FAULT ANALYTICS FRAMEWORK FOR QOS BASED SERVICES | 25 |
Sarkis R. Kakadjian | US | Houston | 2015-12-31 / 20150377002 - ENVIRONMENTALLY FRIENDLY BASE FLUIDS AND METHODS FOR MAKING AND USING SAME | 11 |
Sarkis R. Kakadjian | US | The Woodlands | 2016-04-07 / 20160096753 - Long Term Dual Biocide and Hydrogen Sulfide Remediation | 5 |
Sarkis R. Kakadjian | US | San Antonio | 2012-11-08 / 20120279727 - ENHANCING DELAYING IN SITU GELATION OF WATER SHUTOFF SYSTEMS | 24 |
Sakis R. Kakadjian | US | San Antonio | 2010-05-20 / 20100122815 - FOAMED GEL SYSTEMS FOR FRACTURING SUBTERRANEAN FORMATIONS, AND METHODS FOR MAKING AND USING SAME | 1 |
Sarkis Kakadjian | VE | San Antonio | 2009-11-26 / 20090291862 - DRILLING FLUID WITH CIRCULATION LOSS REDUCING ADDITIVE PACKAGE | 1 |
Sarkis R. Kakadjian | US | The Woodland | 2013-03-28 / 20130075100 - AGGREGATING REAGENTS AND METHODS FOR MAKING AND USING SAME | 1 |
Sarkis R. Kakadjian | US | San Anstonio | 2008-11-20 / 20080287325 - NOVEL BOROZIRCONATE SYSTEMS IN COMPLETION SYSTEMS | 1 |
Sarkis R. Kakadjian | US | Houston | 2015-12-31 / 20150377002 - ENVIRONMENTALLY FRIENDLY BASE FLUIDS AND METHODS FOR MAKING AND USING SAME | 11 |
Sarkis Kakadjian | US | San Antonio | 2008-10-23 / 20080257554 - FOAMED FLUID ADDITIVE FOR UNDERBALANCE DRILLING | 1 |
Sarkis Kakadjian | US | The Woodlands | 2016-03-10 / 20160069160 - TREATMENT OF MICROBIAL-INFLUENCED CORROSION | 4 |
Isak Kakai | SE | Gavle | 2013-05-30 / 20130136550 - ROUND TOOL BLANK AND METHOD AND DEVICE FOR MAKING THE SAME | 4 |
Russell Kakaley | US | Charlotte | 2014-09-11 / 20140251084 - METHODS AND SYSTEMS FOR REDUCING CHROMIUM CONTAINING RAW MATERIAL | 2 |
Anastasios Kakalis | GB | London | 2016-05-05 / 20160127442 - METHODS AND SYSTEMS FOR INSERTING CONTENT IN A MOBILE APPLICATION | 2 |
Masayasu Kakami | JP | Osaka-Shi | 2015-09-24 / 20150271562 - ELECTRONIC PROGRAMMING GUIDE DISPLAY DEVICE, METHOD OF DISPLAYING INFORMATION, AND NON-TRANSITORY RECORDING MEDIUM | 1 |
Toshifumi Kakami | JP | Kiyosu-Shi | 2015-06-04 / 20150151622 - GLASS RUN FOR VEHICLE | 1 |
Toshifumi Kakami | JP | Aichi-Ken | 2009-01-01 / 20090000206 - Weather strip for motor vehicle | 1 |
Masayasu Kakami | JP | Osaka | 2011-03-24 / 20110069941 - RECORDING APPARATUS | 2 |
Kentaro Kakami | JP | Kanagawa | 2009-03-26 / 20090083857 - DIGITAL RIGHT MANAGEMENT SYSTEM, CONTENT SERVER, AND MOBILE TERMINAL | 2 |
Kazumasa Kakamoto | JP | Kanagawa | 2010-07-01 / 20100166910 - Flavor-Retention Agent | 1 |
Tomoya Kakamu | JP | Gifu | 2014-12-04 / 20140354458 - SAR ANALOG-TO-DIGITAL CONVERSION METHOD AND SAR ANALOG-TO-DIGITAL CONVERSION CIRCUIT | 2 |
Takeshi Kakamu | JP | Gifu-Ken | 2008-11-20 / 20080285899 - LINEAR MOTION GUIDE UNIT | 1 |
Katsumi Kakamu | JP | Kuwana-Shi | 2016-03-31 / 20160093794 - PIEZOELECTRIC ACTUATOR AND METHOD FOR MANUFACTURING PIEZOELECTRIC ACTUATOR | 2 |
Akihiro Kakamu | JP | Gifu | 2016-01-07 / 20160002969 - WINDOW OPENING-CLOSING CONTROL SYSTEM AND WINDOW OPENING-CLOSING CONTROL APPARATUS | 2 |
Hiroyuki Kakamu | JP | Nagoya-Shi, Aichi | 2016-01-28 / 20160028835 - AIRCRAFT COMMUNICATION SYSTEM, AIRCRAFT COMMUNICATION METHOD, AND COMMUNICATION DEVICE | 1 |
Tomoya Kakamu | JP | Kasugai | 2012-07-05 / 20120169383 - LEVEL CONVERSION CIRCUIT AND SEMICONDUCTOR DEVICE | 1 |
Katsumi Kakamu | JP | Kasugai | 2009-06-11 / 20090149031 - Method of making a semiconductor device with residual amine group free multilayer interconnection | 1 |
Joseph K. Kakande | US | Holmdel | 2015-02-19 / 20150050032 - DIGITALLY LOCKING COHERENT RECEIVER AND METHOD OF USE THEREOF | 1 |
Joseph K. Kakande | US | Jersey City | 2016-05-12 / 20160134375 - COMMUNICATION METHOD FOR A CLUSTER OF NETWORK HOSTS | 4 |
Venkata Srikanth Kakani | US | Santa Clara | 2011-12-29 / 20110320272 - System and Method for Advertisement of Brand Specific Content on a Media Player Graphical User Interface | 1 |
Naveen K. Kakani | US | Irving | 2011-06-09 / 20110134821 - SCHEDULING DATA TRANSMISSIONS TO IMPROVE POWER EFFICIENCY IN A WIRELESS NETWORK | 2 |
Naveen Kumar Kakani | US | Coppell | 2015-12-31 / 20150382381 - METHODS AND APPARATUSES FOR MANAGING ACKNOWLEDGMENTS FOR MULTICAST DATA IN A WIRELESS NETWORK | 5 |
Sudhakar R. Kakani | US | Lafayette | 2008-12-04 / 20080295791 - Engine system having dedicated thermal management system | 1 |
Naveen Kakani | US | Denton | 2012-04-26 / 20120099551 - SYSTEM FOR UPLINK SCHEDULING PACKET BASED DATA TRAFFIC IN WIRELESS SYSTEM | 1 |
Naveen Kakani | US | Coppell | 2012-01-12 / 20120008604 - ENABLEMENT FOR REALLOCATED BANDWIDTH ENVIRONMENTS | 1 |
Nirmal Kakani | CA | London | 2015-01-15 / 20150018685 - ACTUATOR FOR MOVING AN ULTRASOUND PROBE | 1 |
Naveen Kumar Kakani | US | Irving | 2011-03-17 / 20110065440 - DATA PATH TRANSFER FOR MULTIBAND COMMUNICATION | 3 |
Naveen Kumar Kakani | US | Texas | 2010-12-16 / 20100315999 - USE OF BLOCK ACKNOWLEDGEMENT POLICY FOR WIRELESS NETWORKS | 1 |
Naveen Kakani | US | Irving | 2012-01-19 / 20120014305 - POWER SAVE MECHANISM FOR WIRELESS COMMUNICATION DEVICES | 3 |
Srikanth Kakani | US | Fremont | 2014-09-18 / 20140282088 - METHOD AND APPARATUS FOR SHARING, INTERACTING AND RESPONDING TO ADVERTISING | 5 |
Padmaja Kakani | IN | Chennai | 2015-09-24 / 20150269854 - Computer Implemented Network Enabled Learning Aid and a System for Measuring a Learner's Progress | 1 |
Vishal Kakapuri | IN | Bangalore | 2014-10-02 / 20140293313 - Printing of Confidential Documents | 1 |
Ashish Kakar | IN | New Delhi | 2012-08-09 / 20120202165 - APPARATUS AND METHOD FOR MEASURING DENTIN HYPERSENSITIVITY | 1 |
Parveen Kakar | US | Novi | 2016-01-28 / 20160023509 - CAST ALUMINUM WHEEL | 1 |
Sanzar F. Kakar | US | Chantilly | 2014-11-27 / 20140351067 - System and Method for Increasing the Efficiency and Profitability of Deliveries from a Point-of-Sale Provider to a Retail Consumer | 1 |
Sham S. Kakar | US | Prospect | 2011-05-05 / 20110104074 - METHODS FOR TARGETED CANCER TREATMENT AND DETECTION | 1 |
Samir Kakar | US | Centreville | 2010-11-11 / 20100287188 - Method and system for publishing a document, method and system for verifying a citation, and method and system for managing a project | 1 |
Sandeep Kakar | US | Rego Park | 2008-11-20 / 20080288317 - Resource Demand Capacity Mechanism | 1 |
Ramakrishna Kakarala | SG | Singapore | 2014-06-12 / 20140161320 - METHOD AND SYSTEM FOR TRACKING MOTION OF A DEVICE | 1 |
Srimannarayana Kakarala | US | Troy | 2015-08-20 / 20150232656 - SLUSH MOLDING COMPOSITION | 1 |
Ramakrishna Kakarala | US | Santa Clara | 2010-03-18 / 20100066680 - METHODS AND SYSTEMS FOR MEASURING SPECKLE TRANSLATION WITH SPATIAL FILTERS | 5 |
Kartikeya Kakarala | US | Irving | 2016-03-10 / 20160071054 - VEHICLE INVENTORY VERIFICATION SYSTEM, APPARATUS AND METHOD CROSS REFERENCE TO RELATED APPLICATIONS | 2 |
Srinivas Kakarala | US | Ellicott City | 2016-03-10 / 20160071054 - VEHICLE INVENTORY VERIFICATION SYSTEM, APPARATUS AND METHOD CROSS REFERENCE TO RELATED APPLICATIONS | 2 |
Srimannarayana Kakarala | US | Bloomfield Hills | 2015-05-21 / 20150137491 - PANEL WITH INTEGRAL HIDDEN DOOR COVER AND METHOD OF MANUFACTURE AND MATERIALS THEREOF | 2 |
Ramesh Kakarla | US | South Glastonbury | 2013-04-04 / 20130085147 - Hepatitis C Virus Inhibitors | 5 |
Sri Satya Teja Kakarla | US | Canton | 2012-08-02 / 20120193490 - SHOCK MOUNT SUPPORT ASSEMBLY FOR HEAVY-DUTY VEHICLES | 2 |
Amarnath Kakarla | IN | Prakasam | 2013-04-18 / 20130094947 - ROTOR SUPPORT THERMAL CONTROL SYSTEM | 1 |
Rajendra Kakarla | US | Dublin | 2014-04-24 / 20140110925 - FRONT SUBFRAME FOR A NARROW OFFSET COLLISION | 1 |
Sarat Kakarla | US | Sunnyvale | 2011-07-21 / 20110179169 - Special Values In Oracle Clusterware Resource Profiles | 1 |
Sarat B. Kakarla | US | Sunnyvale | 2015-03-26 / 20150088805 - AUTOMATIC CACHING OF SCAN AND RANDOM ACCESS DATA IN COMPUTING SYSTEMS | 4 |
Kashinath Kakarla | IN | Bangalore | 2012-09-27 / 20120245989 - METHOD AND SYSTEM FOR PROVIDING REFINED LOCATION RELEVANT MARKETING DEVICES | 8 |
Janardhan Kakarla | US | Dublin | 2010-06-10 / 20100146613 - SYSTEM AND METHOD FOR PROVIDING SILENT SIGN ON ACROSS DISTRIBUTED APPLICATIONS | 1 |
Murali Mohan Kakarla | IN | Hyderabad | 2010-06-10 / 20100146198 - OPTIMAL POWER USAGE IN DECODING A CONTENT STREAM STORED IN A SECONDARY STORAGE | 1 |
Kashinath Kakarla | IN | Bangalore | 2012-09-27 / 20120245989 - METHOD AND SYSTEM FOR PROVIDING REFINED LOCATION RELEVANT MARKETING DEVICES | 8 |
Sarat B. Kakarla | US | Santa Clara | 2008-09-11 / 20080222642 - Dynamic resource profiles for clusterware-managed resources | 1 |
Subbarao Kakarlamudi | US | Milpitias | 2009-05-21 / 20090132488 - Allocation of resources for concurrent query execution via adaptive segmentation | 1 |
Subbarao Kakarlamudi | US | Milpitas | 2012-08-09 / 20120203762 - SYSTEMS AND METHODS FOR HOLDING A QUERY | 2 |
Geetha Kakarlapudi | US | San Francisco | 2016-04-14 / 20160105381 - DISTRIBUTED VIRTUAL SWITCH CONFIGURATION AND STATE MANAGEMENT | 2 |
Alexandros Kakaroglou | BE | Brussels | 2015-05-14 / 20150132590 - Active Corrosion Protection Coatings | 1 |
Margit Kakas | DE | Karlstein | 2010-08-12 / 20100203173 - SILICA DISPERSION | 1 |
Tomokazu Kake | JP | Tokyo | 2012-01-12 / 20120007893 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND INFORMATION STORAGE MEDIUM | 7 |
Yasunori Kakebayashi | JP | Takasago-Shi | 2012-04-05 / 20120079868 - Calibration method for multi-component force measuring spindle unit used in tire testing machine | 1 |
Toru Kakebayashi | JP | Tokyo | 2012-05-10 / 20120112671 - POWER-CONSUMPTION CALCULATING METHOD OF MOTOR DRIVING DEVICE, AND CONTROL METHOD OF MOTOR DRIVING DEVICE USING THE POWER-CONSUMPTION CALCULATING METHOD | 5 |
Toru Kakebayashi | JP | Nerima-Ku | 2013-10-24 / 20130278189 - INVERTER CONTROL SYSTEM | 1 |
Tomoaki Kakeda | JP | Yokohama | 2012-10-18 / 20120266177 - MANAGEMENT SYSTEM, COMPUTER SYSTEM INCLUDING THE MANAGEMENT SYSTEM, AND MANAGEMENT METHOD | 4 |
Tomoaki Kakeda | JP | Tokyo | 2015-07-30 / 20150213103 - COMPUTER SYSTEM AND ASYNCHRONOUS REPLICATION MANAGEMENT METHOD | 1 |
Kenji Kakeda | JP | Izumiotsu-Shi | 2010-02-25 / 20100047140 - APPARATUS FOR PRODUCING LACTIC ACID | 2 |
Masahide Kakeda | JP | Hyogo | 2009-07-23 / 20090187903 - VIRTUAL MULTIPROCESSOR SYSTEM | 2 |
Minoru Kakeda | JP | Gunma | 2012-04-19 / 20120093785 - HUMAN ARTIFICIAL CHROMOSOME (HAC) VECTOR | 1 |
Masahide Kakeda | JP | Ibaraki | 2012-01-26 / 20120023311 - PROCESSOR APPARATUS AND MULTITHREAD PROCESSOR APPARATUS | 2 |
Minoru Kakeda | JP | Tokyo | 2010-01-14 / 20100011454 - HUMAN ARTIFICIAL CHROMOSOME (HAC) VECTOR AND HUMAN CELL MEDICINE COMPRISING SAME | 1 |
Akihiro Kakee | JP | Nasushiobara | 2015-11-12 / 20150324957 - SIGNAL PROCESSING APPARATUS | 8 |
Atsuyuki Kakee | JP | Tokyo | / - | 1 |
Akihiro Kakee | JP | Nasushiobara-Shi | 2015-07-30 / 20150209006 - ULTRASOUND DIAGNOSIS APPARATUS | 18 |
Mituhiro Kakefu | JP | Matsumoto City | 2012-08-02 / 20120193749 - SEMICONDUCTOR DEVICE | 2 |
Shinichi Kakefuda | JP | Ibaraki | 2014-02-20 / 20140047722 - CHAIN SAW | 1 |
Akio Kakefuda | JP | Tokyo | 2014-09-18 / 20140275105 - CRYSTAL OF ANDROGEN RECEPTOR ANTAGONISTIC COMPOUND | 9 |
Shinichi Kakefuda | JP | Hitachinaka-Shi | 2012-03-29 / 20120073144 - Portable Working Machine | 1 |
Ikuo Kakefuda | JP | Tochigi | 2014-05-29 / 20140147662 - HARD COAT FILM | 3 |
Akio Kakefuda | JP | Tokyo | 2014-09-18 / 20140275105 - CRYSTAL OF ANDROGEN RECEPTOR ANTAGONISTIC COMPOUND | 9 |
Shinichi Kakefuda | JP | Hitachinaka | 2015-10-01 / 20150276182 - ILLUMINATING DEVICE | 2 |
Kouji Kakefuda | JP | Akishima-Shi | 2015-05-28 / 20150146860 - OPTICAL AXIS ADJUSTMENT DEVICE FOR X-RAY ANALYZER | 2 |
Tomoo Kakegawa | JP | Aichi-Ken | 2009-02-12 / 20090043457 - Vehicle control device | 2 |
Tomoyasu Kakegawa | JP | Tokyo | 2014-01-23 / 20140021428 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR | 8 |
Keiko Kakegawa | JP | Kanagawa | 2015-08-13 / 20150225361 - FUSED HETEROCYCLIC COMPOUND | 4 |
Makoto Kakegawa | JP | Shizuoka | 2011-05-26 / 20110121192 - SCINTILLATOR, METHOD FOR MANUFACTURING SCINTILLATOR, AND RADIATION DETECTOR | 1 |
Tomoyasu Kakegawa | JP | Mie | 2016-02-04 / 20160035738 - Contact Hole Collimation Using Etch-Resistant Walls | 1 |
Norishige Kakegawa | JP | Chofu-Shi | 2014-08-07 / 20140217063 - METAL STRUCTURAL BODY-CONTAINING POLYMER FILM, METHOD FOR MANUFACTURING METAL STRUCTURAL BODY-CONTAINING POLYMER FILM, AND METHOD FOR MANUFACTURING PATTERNED STRUCTURAL BODY | 8 |
Takashi Kakegawa | JP | Nirasaki | 2015-11-12 / 20150322571 - SUBSTRATE PROCESSING APPARATUS | 1 |
Satoshi Kakegawa | JP | Gunma | 2010-09-30 / 20100244872 - INSPECTION SOCKET AND METHOD OF PRODUCING THE SAME | 1 |
Ayako Kakegawa | JP | Kawasaki-Shi | 2010-09-09 / 20100227820 - Effect of Porcine Sheath Proteins on the Regeneration Activity of Periodontal Ligament | 1 |
Tomoyasu Kakegawa | JP | Tokyo | 2014-01-23 / 20140021428 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR | 8 |
Takashi Kakegawa | JP | Nirasaki-Shi | 2012-05-10 / 20120115400 - SURFACE TREATMENT METHOD, SHOWER HEAD, PROCESSING CONTAINER, AND PROCESSING APPARATUS USING THEM | 5 |
Norishige Kakegawa | JP | Chofu-Shi | 2014-08-07 / 20140217063 - METAL STRUCTURAL BODY-CONTAINING POLYMER FILM, METHOD FOR MANUFACTURING METAL STRUCTURAL BODY-CONTAINING POLYMER FILM, AND METHOD FOR MANUFACTURING PATTERNED STRUCTURAL BODY | 8 |
Tomoo Kakegawa | JP | Toyota-Shi | 2010-08-05 / 20100192329 - DOOR HANDLE DEVICE | 2 |
Daisuke Kakegawa | JP | Ibaraki | 2010-06-24 / 20100154161 - DUST COLLECTOR | 1 |
Chika Kakegawa | JP | Kanagawa | 2010-02-04 / 20100025841 - Semiconductor device and method of designing the same | 1 |
Daisuke Kakegawa | JP | Hitachinaka-Shi | 2008-09-25 / 20080229719 - Dust Collection Device | 1 |
Tomoyoshi Kakegawa | JP | Matsumoto-Shi | 2012-02-02 / 20120026217 - PRINTING APPARATUS | 3 |
Shinji Kakegawa | JP | Tokyo | 2015-10-08 / 20150288953 - Stereo Image Processing Device and Stereo Image Processing Method | 2 |
Tomoyasu Kakegawa | JP | Yokkaichi | 2016-05-05 / 20160126179 - Buried Etch Stop Layer for Damascene Bit Line Formation | 3 |
Takahiro Kakegawa | JP | Tsukuba | 2013-07-04 / 20130171712 - CULTURE METHOD AND CULTURE DEVICE | 1 |
Satoru Kakegawa | JP | Tokyo | 2015-07-23 / 20150205365 - APPARATUS, SYSTEM, METHOD FOR DESIGNATING DISPLAYED ITEMS AND FOR CONTROLLING OPERATION BY DETECTING MOVEMENT | 2 |
Norishige Kakegawa | JP | Tokyo | 2016-05-05 / 20160124121 - OPTICAL MEMBER AND IMAGE PICKUP APPARATUS | 2 |
Eiichirou Kakehashi | JP | Tokyo | 2012-05-03 / 20120104344 - SEMICONDUCTOR DEVICE | 2 |
Nobuharu Kakehashi | JP | Kariya-City | 2016-05-12 / 20160129756 - VEHICULAR HEAT MANAGEMENT SYSTEM | 3 |
Yuichi Kakehashi | JP | Tokyo | 2016-02-18 / 20160047349 - INTERNAL COMBUSTION ENGINE IGNITION DEVICE | 1 |
Hidenori Kakehashi | JP | Takatsuki-Shi | 2011-04-28 / 20110095691 - Lighting Apparatus And Lighting Fixture | 3 |
Nobuharu Kakehashi | JP | Toyoake-City | 2016-03-17 / 20160075213 - VEHICLE HEAT MANAGEMENT DEVICE | 23 |
Yasushi Kakehashi | JP | Osaka | 2011-02-03 / 20110027565 - HIGHLY THERMALLY CONDUCTIVE RESIN MOLDED ARTICLE | 1 |
Yoichi Kakehashi | JP | Suwa-Shi | 2010-10-21 / 20100265293 - TRANSPORTING METHOD AND RECORDING APPARATUS | 1 |
Yoichi Kakehashi | JP | Nagoya-Shi | 2010-09-02 / 20100220140 - RECORDING APPARATUS AND TRANSPORTING METHOD | 1 |
Misako Kakehashi | JP | Aichi | 2009-10-01 / 20090249513 - Method for Expression and Accumulation of Peptide in Plant | 1 |
Shoji Kakehashi | JP | Aichi | 2009-09-03 / 20090220234 - Optical Waveband Demultiplexer, Optical Waveband Multiplexer, and Optical Waveband Selective Switch | 1 |
Tatsuya Kakehashi | JP | Yokohama | 2009-08-27 / 20090213561 - ELECTRONIC DEVICE | 1 |
Yoichi Kakehashi | JP | Nagoyu-Shi | 2008-10-16 / 20080253607 - LINE POSITION CALCULATING METHOD, CORRECTION VALUE OBTAINING METHOD, AND STORAGE MEDIUM HAVING PROGRAM STORED THEREON | 1 |
Nobuharu Kakehashi | JP | Toyoake-City | 2016-03-17 / 20160075213 - VEHICLE HEAT MANAGEMENT DEVICE | 23 |
Taigo Kakehashi | JP | Hachioji-Shi | 2013-06-13 / 20130150673 - ENDOSCOPE | 1 |
Elichirou Kakehashi | JP | Tokyo | 2013-05-23 / 20130126963 - SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME | 1 |
Tatsuya Kakehashi | JP | Tokyo | 2015-09-03 / 20150249949 - COMMUNICATION APPARATUS | 2 |
Eiichiro Kakehashii | JP | Chuo-Ku | 2010-03-04 / 20100054018 - SEMICONDUCTOR MEMORY DEVICE AND INFORMATION PROCESSING SYSTEM | 1 |
Tetsuya Kakehata | JP | Isehara | 2014-11-06 / 20140329371 - SOI SUBSTRATE, METHOD FOR MANUFACTURING THE SAME, AND SEMICONDUCTOR DEVICE | 35 |
Kazunori Kakehi | JP | Oita | 2015-11-12 / 20150325614 - METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE AND SOLID-STATE IMAGING DEVICE | 4 |
Tooru Kakehi | JP | Toyoake-City | 2009-08-20 / 20090209296 - In-vehicle handsfree apparatus | 1 |
Yuji Kakehi | JP | Tokyo | 2016-03-10 / 20160073311 - MOBILE COMMUNICATION SYSTEM | 9 |
Jumpei Kakehi | JP | Toyota-Shi | 2014-01-02 / 20140001768 - CONTROL DEVICE FOR ENGINE, METHOD OF CONTROLLING ENGINE, ENGINE STARTING DEVICE, AND VEHICLE | 12 |
Kouzou Kakehi | JP | Mie | 2009-02-26 / 20090051124 - Seal Ring | 1 |
Yuji Kakehi | JP | Saitama | 2010-07-15 / 20100178361 - METHOD OF STABILIZING IRON COMPOSITIONS WITH MILK PROTEIN | 2 |
Kazunori Kakehi | JP | Oita-Shi | 2013-03-21 / 20130069130 - SOLID STATE IMAGING DEVICE | 1 |
Yutaka Kakehi | JP | Kashiwa-Shi | 2015-12-03 / 20150346645 - IMAGE FORMING APPARATUS | 10 |
Yuji Kakehi | JP | Tokyo | 2016-03-10 / 20160073311 - MOBILE COMMUNICATION SYSTEM | 9 |
Toru Kakehi | JP | Toyoake-City | 2010-08-19 / 20100210317 - In-vehicle apparatus with handsfree function | 2 |
Junpei Kakehi | JP | Toyota-Shi | 2015-08-06 / 20150219058 - CONTROL DEVICE OF VEHICLE AND CONTROL METHOD OF VEHICLE | 1 |
Tatsuya Kakehi | JP | Anjo-Shi | 2010-01-07 / 20100001618 - PIEZOELECTRIC ACTUATOR DRIVE DEVICE | 1 |
Sakiko Kakehi | JP | Kanagawa | 2013-07-18 / 20130183939 - MOBILE APPARATUS | 1 |
Jumpei Kakehi | JP | Toyota-Shi | 2014-01-02 / 20140001768 - CONTROL DEVICE FOR ENGINE, METHOD OF CONTROLLING ENGINE, ENGINE STARTING DEVICE, AND VEHICLE | 12 |
Ryoichi Kakehi | JP | Shizuoka | 2010-09-09 / 20100224789 - CHARGED PARTICLE BEAM WRITING APPARATUS AND OPTICAL AXIS DEVIATION CORRECTING METHOD FOR CHARGED PARTICLE BEAM | 1 |
Sakiko Kakehi | JP | Tokyo | 2010-02-04 / 20100026848 - MOVING PICTURE IMAGING APPARATUS WITH ZOOM FUNCTION, IMAGE PROCESSING AND DISPLAY METHOD AND PROGRAM | 1 |
Kazunori Kakehi | JP | Aichi | 2011-04-14 / 20110085961 - CARBON NANO-TUBE MANFUACTURING METHOD AND CARBON NANO-TUBE MANUFACTURING APPARATUS | 1 |
Kennosuke Kakehi | JP | Tottori | 2011-05-05 / 20110102719 - HORIZONTAL-ELECTRIC-FIELD LIQUID CRYSTAL DISPLAY APPARATUS | 1 |
Yukihisa Kakehi | JP | Kawasaki-Shi,kanagawa | 2014-08-07 / 20140216393 - DIRECT-INJECTION ENGINE COMBUSTION CHAMBER STRUCTURE | 1 |
Takamaro Kakehi | JP | Osaka | 2009-02-26 / 20090050850 - Binder resin composition and inorganic fine particle-dispersed paste composition | 2 |
Rumiko Kakehi | JP | Minato-Ku | 2010-12-23 / 20100325690 - INFORMATION PROCESSING APPARATUS AND COMPUTER READABLE MEDIUM | 2 |
Yutaka Kakehi | JP | Kashiwa-Shi | 2015-12-03 / 20150346645 - IMAGE FORMING APPARATUS | 10 |
Kennosuke Kakehi | JP | Tottori-Shi | 2009-11-12 / 20090279009 - LIQUID CRYSTAL DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Minoru Kakehi | JP | Tokyo | 2009-07-30 / 20090192973 - Multi-Objective Optimization Using Weight Adjustment of Initial and Corrected Solutions | 1 |
Rumiko Kakehi | JP | Tokyo | 2011-06-16 / 20110145702 - DOCUMENT USE MANAGING SYSTEM, DOCUMENT PROCESSING APPARATUS, MANIPULATION AUTHORITY MANAGING APPARATUS, DOCUMENT MANAGING APPARATUS AND COMPUTER READABLE MEDIUM | 5 |
Kazuaki Kakehi | JP | Nara | 2013-03-28 / 20130074585 - PROCESS FOR PRODUCING SUGAR CHAIN DERIVATIVE, STRUCTURE ANALYSIS METHOD, AND SUGAR CHAIN DERIVATIVE | 3 |
Kazuaki Kakehi | JP | Nara-Shi | 2008-09-04 / 20080214798 - Sugar chain asparagine derivatives, sugar chain asparagine, sugar chain and processes for producing these | 1 |
Yoshiyuki Kakehi | JP | Kagawa | 2008-12-04 / 20080299535 - Preservative Solution for Cells, Tissues and Organs Comprising Rare Sugar and Preservation Method with the Use of the Same | 1 |
Tatsuya Kakehi | JP | Anjo-City | 2009-02-26 / 20090051247 - PIEZOELECTRIC ACTUATOR DRIVE DEVICE AND DC/DC CONVERTER THEREFOR | 3 |
Kiichi Kakei | JP | Osaka | 2014-02-06 / 20140034709 - FRICTION STIR PROCESSING APPARATUS AND FRICTION STIR PROCESSING METHOD | 1 |
Shigeki Kakei | JP | Gifu-Ken | 2010-03-25 / 20100074564 - LINEAR MOTION GUIDE SYSTEM WITH WIPER SEAL | 4 |
Shinichiro Kakei | JP | Tokyo | 2010-10-14 / 20100260981 - DIELECTRIC DEVICE AND METHOD OF MANUFACTURING DIELECTRIC DEVICE | 3 |
Shigeki Kakei | JP | Mino-Shi | 2009-03-05 / 20090060400 - Slider For Linear Motion Rolling Guide Unit | 1 |
Shinji Kakei | JP | Tokyo | 2016-03-17 / 20160073936 - MOTOR FUNCTION ANALYSIS SYSTEM AND OPERATIONAL METHOD OF SYSTEM | 3 |
Jani Kakela | FI | Pirkkala | 2014-08-07 / 20140222300 - MOBILE MACHINE, BRAKING SYSTEM AND METHOD OF CONTROLLING MOBILE MACHINE | 1 |
Teruaki Kakema | JP | Tokyo | 2011-08-25 / 20110207178 - METHOD FOR PRODUCING HYALURONIC ACID | 1 |
Masahito Kakema | JP | Tochigi-Ken | 2011-05-19 / 20110114781 - WIRE WINDING DEVICE | 1 |
Masahito Kakema | JP | Wako-Shi | 2011-10-27 / 20110260572 - MOTOR STATOR AND MANUFACTURING METHOD OF MOTOR STATOR | 1 |
Teruaki Kakema | JP | Machida-Shi | 2013-08-08 / 20130203697 - CROSSLINKED HYALURONIC ACID COMPOSITION AND SELF-CROSSLINKING HYALURONIC ACID PARTICLES | 1 |
Mitsuaki Kakemizu | JP | Kawasaki | 2014-02-27 / 20140056299 - INFORMATION PROCESSING SYSTEM, RELAY DEVICE, INFORMATION PROCESSING DEVICE, AND INFORMATION PROCESSING METHOD | 1 |
Gentaro Kakemizu | JP | Ehime | 2012-09-06 / 20120224055 - ROE MATURITY DETERMINATION DEVICE AND ROE MATURITY DETERMINATION METHOD | 1 |
Hironori Kakemizu | JP | Tsukuba | 2011-02-03 / 20110026718 - VIRTUALIZER WITH CROSS-TALK CANCELLATION AND REVERB | 1 |
Kenji Kakemizu | JP | Ehime | 2013-07-11 / 20130176187 - ANTENNA | 1 |
Kenichiro Kakemizu | JP | Wako-Shi | 2015-04-02 / 20150094176 - V-BELT CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Takahiko Kakemizu | JP | Tokyo | 2013-03-28 / 20130076887 - MICROSCOPE SYSTEM AND MICROSCOPE FRAME | 3 |
Mitsuaki Kakemizu | JP | Sagamihara | 2014-04-24 / 20140115183 - INFORMATION PROCESSING METHOD, RECORDING MEDIUM, AND INFORMATION PROCESSING APPARATUS | 1 |
Terumi Kakemoto | JP | Sumida-Ku | 2013-05-30 / 20130136226 - X-RAY PHOTOGRAPHING DEVICE | 1 |
Paul H. Kakemoto | US | Santa Fe | 2009-01-15 / 20090015373 - METHODS AND SYSTEMS FOR SECURE KEYLESS ENTRY FOR VEHICLE FLEET MANAGEMENT | 1 |
Yasuto Kakemura | JP | Chino-Shi | 2015-06-11 / 20150159996 - MEASURING METHOD OF UTILIZATION DEPTH OF ACTIVE MATERIAL, MANUFACTURING METHOD OF LITHIUM SECONDARY BATTERY, AND THE LITHIUM SECONDARY BATTERY | 1 |
Yuji Kakeno | JP | Nisshin-Shi | 2016-05-19 / 20160141681 - Fuel Cell System, Fuel Cell Vehicle, and Method for Controlling Fuel Cell System | 7 |
Mitsutaka Kakeno | JP | Nagakute-Shi | 2015-10-15 / 20150294744 - DEVICE FOR QUANTUM BEAM GENERATION, METHOD FOR QUANTUM BEAM GENERATION, AND DEVICE FOR LASER FUSION | 1 |
Takashi Kakeno | JP | Ibaraki | 2012-12-20 / 20120319057 - Sintered Compact, Amorphous Film and Crystalline Film of Composite Oxide, and Process for Producing the Films | 3 |
Tadashi Kakeya | JP | Kyoto | 2015-09-17 / 20150263346 - NICKEL HYDROXIDE FOR ALKALINE SECONDARY BATTERY AND ALKALINE SECONDARY BATTERY | 23 |
Mitsuo Kakeya | JP | Akashi-Shi | 2013-05-02 / 20130105429 - CRANE EQUIPPED WITH TRAVELABLE COUNTERWEIGHT UNIT | 1 |
Tadashi Kakeya | JP | Kyoto-Shi | 2014-02-06 / 20140038027 - BATTERY | 5 |
Hideaki Kakeya | JP | Kyoto | 2013-02-21 / 20130045977 - TGF-beta SIGNAL TRANSDUCTION INHIBITOR | 1 |
Abhijit Kakhandiki | US | San Jose | 2016-04-28 / 20160119285 - SYSTEM AND METHOD FOR COMPLIANCE BASED AUTOMATION | 3 |
Hirokazu Kaki | JP | Kyoto-Shi | 2012-08-23 / 20120211351 - METHOD AND APPARATUS FOR FORMING SILICON DOTS AND METHOD AND APPARATUS FOR FORMING A SUBSTRATE WITH SILICON DOTS AND INSULATING FILM | 1 |
Abdullah Kaki | SA | Jeddah | 2016-04-21 / 20160106481 - Systems and Methods for Performing Spinal Surgery | 1 |
Mika Kaki | FI | Tampere | 2010-04-29 / 20100107116 - INPUT ON TOUCH USER INTERFACES | 3 |
Kenichi Kaki | JP | Yokohama-Shi | 2012-01-12 / 20120011307 - SEMICONDUCTOR STORAGE DEVICE | 3 |
Gowrisankar Rao Kaki | IN | Hyderabad | 2016-04-21 / 20160108058 - An improved process for the preparation of Dolutegravir | 2 |
Hirokazu Kaki | JP | Kyoto | 2010-10-14 / 20100260944 - METHOD FOR FORMING SILICON DOTS | 1 |
Sudhakar Kaki | US | Pleasanton | 2011-04-21 / 20110093781 - DECLARATIVE TASK-BASED USER INTERFACES | 1 |
Akiko Kakibe | JP | Miyagi | 2015-12-24 / 20150372278 - HEAT-RESISTANT MICROPOROUS FILM AND BATTERY SEPARATOR | 4 |
Kazumasa Kakibuchi | JP | Kagawa | 2011-11-17 / 20110281807 - PLANT DISEASE CONTROL AGENT COMPRISING D-TAGATOSE AS ACTIVE INGREDIENT, AND PLANT DISEASE CONTROL METHOD | 3 |
Shota Kakibuti | JP | Osaka | 2015-05-28 / 20150148921 - WIRELESS DEVICE, CONTROLLED DEVICE CONTROLLED BY THE SAME, CONTROL SYSTEM INCLUDING A WIRELESS DEVICE AND CONTROLLED DEVICE, AND PROGRAM FOR CAUSING A COMPUTER IN A WIRELESS DEVICE TO CONTROL A CONTROLLED DEVICE | 1 |
Megumi Kakichi | JP | Imizu-Gun | 2008-12-25 / 20080317828 - Fentanyl compound-containing edible patch to be applied to oral mucosa | 1 |
Michito Kakie | JP | Kawasaki | 2011-11-24 / 20110289449 - INFORMATION PROCESSING APPARATUS, DISPLAY CONTROL METHOD, AND DISPLAY CONTROL PROGRAM | 6 |
Anthony M. Kakiel | US | Coral Springs | 2014-08-28 / 20140238183 - ROTARTY CONTROL | 5 |
Yutaka Kakigahara | JP | Kariya-Shi | 2015-07-02 / 20150183219 - LIQUID EJECTING APPARATUS | 8 |
Yutaka Kakigahara | JP | Nagoya-Shi | 2015-02-05 / 20150035888 - LIQUID DISCHARGE APPARATUS, LIQUID DISCHARGE METHOD, AND STORAGE MEDIUM STORING INSTRUCTIONS FOR LIQUID DISCHARGE APPARATUS | 2 |
Yutaka Kakigahara | JP | Kariya-Shi | 2015-07-02 / 20150183219 - LIQUID EJECTING APPARATUS | 8 |
Hidemasa Kakigami | JP | Tokyo | 2012-09-13 / 20120228221 - METHOD OF CLEANING FILTRATION MEMBRANE | 2 |
Hidemasa Kakigami | JP | Nagasaki | 2011-12-29 / 20110315612 - DESALINATION APPARATUS AND METHOD OF CLEANING THE SAME | 2 |
Nobuyoshi Kakigi | JP | Kawasaki-Shi | 2012-08-02 / 20120195604 - PRINT APPARATUS AND METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM AND CARTRIDGE | 3 |
Nobuyoshi Kakigi | JP | Yokohama-Shi | 2009-01-01 / 20090002733 - PRINTING APPARATUS, CONTROL METHOD THEREFOR, PROGRAM, AND STORAGE MEDIUM | 2 |
Ryusuke Kakigi | JP | Okazaki-Shi | 2015-05-14 / 20150133811 - METHOD FOR ASSESSING SPECTACLE LENS BY EVOKED ACTIVITY IN VISUAL CORTEX OF BRAIN OR THE LIKE, AND METHOD FOR DESIGNING SPECTACLE LENS USING SAID METHOD FOR ASSESSMENT | 1 |
Yoshitomi Kakiguchi | JP | Hyogo | 2010-04-29 / 20100104635 - PROCESS FOR PREPARING GRANULES OF HYDROPHILIC VITAMINS | 1 |
Toshio Kakihara | JP | Hiroshima | 2011-12-01 / 20110293542 - ANTIBACTERIAL AGENT COMPOSITION AND ANTIVIRAL AGENT COMPOSITION COMPRISING SILICON-CONTAINING COMPOUND; ANTIBACTERIALIZING METHOD, CLEANING/MOUTH RINSING METHOD; METHOD FOR FIXING ANTIBACTERIAL AGENT AND ANTIVIRAL AGENT | 1 |
Yasuo Kakihara | JP | Hiroshima-Ken | 2011-06-16 / 20110143077 - PROCESS FOR PRODUCING TRANSPARENT CONDUCTIVE TRANSFER PLATE, TRANSPARENT CONDUCTIVE TRANSFER PLATE, PROCESS FOR PRODUCING TRANSPARENT CONDUCTIVE SUBSTRATE USING THE TRANSPARENT CONDUCTIVE TRANSFER PLATE, TRANSPARENT CONDUCTIVE SUBSTRATE, AND MOLDED PRODUCT USING THE TRANSPARENT CONDUCTIVE SUBSTRATE | 1 |
Ichiro Kakihara | JP | Okayama-Ken | 2008-10-16 / 20080255324 - Method For Stably Producing an Aromatic Polycarbonate | 1 |
Hajime Kakihara | JP | Muroran-Shi | 2009-03-19 / 20090074634 - METHOD FOR PRODUCING NANOCARBON AND CATALYTIC REACTION DEVICE FOR PRODUCING NANOCARBON | 1 |
Yuri Kakihara | JP | Wako-Shi | 2014-06-19 / 20140168251 - COLOR DISTRIBUTION DESIGN ASSISTANCE SYSTEM | 1 |
Shinichi Kakihara | JP | Tokyo | 2012-05-24 / 20120125490 - LOW YIELD RATIO DUAL PHASE STEEL LINEPIPE WITH SUPERIOR STRAIN AGING RESISTANCE | 3 |
Masanobu Kakihara | JP | Fukuoka | 2014-11-20 / 20140339935 - BOBBIN AND ROTARY ELECTRIC MACHINE | 6 |
Shinobu Kakihara | JP | Odawara | 2013-09-05 / 20130232377 - METHOD FOR REUSING RESOURCE AND STORAGE SUB-SYSTEM USING THE SAME | 4 |
Yusuke Kakihara | JP | Tokyo | 2012-08-09 / 20120202648 - DRIVE DEVICE FOR VEHICLE | 1 |
Yuichi Kakihara | JP | Fujisawa | 2013-09-19 / 20130244737 - LID MEMBER WITH WATERPROOF FUNCTION, AND METHOD OF MANUFACTURING THEREOF | 1 |
Toshio Kakihara | JP | Kanagawa | 2011-03-24 / 20110072232 - DISK DRIVE INCLUDING A HOST INTERFACE SUPPORTING DIFFERENT SIZES OF DATA SECTORS AND METHOD FOR WRITING DATA THERETO | 4 |
Toshimasa Kakihara | JP | Kanagawa | 2013-07-04 / 20130169630 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND PROGRAM | 7 |
Yasuo Kakihara | JP | Otake-Shi | 2015-12-31 / 20150380123 - PROCESS FOR PRODUCING CONDUCTIVE COATING FILM, AND CONDUCTIVE COATING FILM | 3 |
Yoshiyuki Kakihara | JP | Chiba | 2013-06-27 / 20130166682 - COMMUNICATION SYSTEM, SERVER APPARATUS, AND COMMUNICATION METHOD | 1 |
Takayuki Kakihara | JP | Okazaki-Shi | 2014-12-04 / 20140358391 - APPARATUS FOR DIAGNOSING ELEMENT TEMPERATURE SENSOR | 2 |
Takayuki Kakihara | JP | Okazaki-City | 2013-07-04 / 20130169205 - MOTOR CONTROL APPARATUS | 1 |
Takayuki Kakihara | JP | Kariya-City | 2014-05-01 / 20140118865 - DISCONNECTION DETECTING DEVICE FOR ROTATING ELECTRICAL MACHINE, METHOD FOR DETECTING DISCONNECTION OF ROTATING ELECTRICAL MACHINE | 1 |
Hidemi Kakihara | JP | Fukuoka | 2014-05-08 / 20140128306 - SCRUB SOAP AND METHOD FOR MANUFACTURING THE SAME | 1 |
Masanobu Kakihara | JP | Kitakyushu-Shi | 2015-08-27 / 20150244298 - ROTARY ELECTRIC MACHINE CONTROL APPARATUS AND CONTROL METHOD FOR ROTARY ELECTRIC MACHINE | 3 |
Toyohiko Kakihara | JP | Tokyo | 2011-08-04 / 20110186184 - Ferritic stainless steel casting and sheet and method for producing the same | 1 |
Hiroshi Kakihira | JP | Kawasaki-Shi | 2009-01-08 / 20090011155 - INK JET RECORDING MEDIUM AND METHOD OF PRODUCING THE SAME | 1 |
Hiroshi Kakihira | JP | Fuzi-Shi | 2011-01-13 / 20110008553 - INK JET RECORDING MEDIUM AND PRODUCTION PROCESS THEREOF, AND FINE PARTICLE DISPERSION | 1 |
Hiroshi Kakihira | JP | Fuji-Shi | 2011-03-31 / 20110076427 - INK JET RECORDING MEDIUM | 1 |
Eiji Kakii | JP | Yokohama | 2011-07-28 / 20110183723 - MOBILE ELECTRONIC DEVICE | 1 |
Toshiaki Kakii | JP | Yokohama-Shi | 2013-01-24 / 20130022509 - SILICA-BASED HYDROGEN SEPARATION MATERIAL AND MANUFACTURING METHOD THEREFOR, AS WELL AS HYDROGEN SEPARATION MODULE AND HYDROGEN PRODUCTION APPARATUS HAVING THE SAME | 5 |
Toshiaki Kakii | JP | Tokyo | 2010-05-13 / 20100118935 - CODING METHOD FOR MOTION-IMAGE DATA, DECODING METHOD, TERMINAL EQUIPMENT EXECUTING THESE, AND TWO-WAY INTERACTIVE SYSTEM | 1 |
Toshiaki Kakii | JP | Kanagawa | 2011-12-08 / 20110299592 - MOVING IMAGE DATA COMPRESSING METHOD | 4 |
Hiroshi Kakii | JP | Tokyo | 2016-04-21 / 20160110550 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING DEVICE, AND SETTING DETERMINATION METHOD | 3 |
Takuhiro Kakii | JP | Osaka | 2009-07-23 / 20090186228 - Method for forming multi-layered coating film and an mutli-layered coating film obtained thereof | 1 |
Kohichi Kakikawa | JP | Kanagawa | 2009-03-05 / 20090059518 - Technique for Cooling a Device | 1 |
Itaru Kakiki | JP | Yokohama-Shi | 2015-07-23 / 20150205543 - STORAGE DEVICE AND DATA STORING METHOD | 2 |
Eisaku Kakikura | JP | Joetsu-Shi | 2010-07-29 / 20100187731 - Alumina fiber aggregate and catalytic converter holder comprising the same | 2 |
Yasuaki Kakikura | JP | Osaka | 2011-06-02 / 20110130550 - PROTEIN MONOMER, PROTEIN POLYMER OBTAINED FROM SAID MONOMER, AND DEVICE THAT CONTAINS THEM | 1 |
Venkat Kakileti | IN | Hyderabad | 2009-04-16 / 20090095750 - REMOVABLE TANK FOR LAUNDRY BULK DISPENSER SYSTEM | 1 |
Naoki Kakimi | JP | Hiroshima | 2010-11-25 / 20100294205 - POULTRY RAISING SYSTEM, POULTRY RAISING METHOD AND LUMINESCENT DEVICE FOR RAISING POULTRY | 1 |
Tomokazu Kakimi | JP | Suwa-Shi | 2009-04-16 / 20090100088 - APPARATUS AND METHOD FOR SURVEYING SUBSTANCE AND PROGRAM AND RECORDING MEDIUM THEREFOR | 1 |
Ryoma Kakimi | JP | Osaka | 2014-09-11 / 20140255040 - PHOTONIC-CRYSTAL SLAB ABSORBER AND HIGH-FREQUENCY CIRCUIT AND ELECTRONIC COMPONENTS, AND TRANSMITTER, RECEIVER AND PROXIMITY WIRELESS COMMUNICATION SYSTEM | 1 |
Takaaki Kakimi | JP | Makinohara-Shi | 2015-10-29 / 20150311688 - ELECTRICAL JUNCTION BOX | 10 |
Kazuhiro Kakimi | JP | Tokyo | 2015-03-05 / 20150064801 - METHOD FOR PRODUCING REAGENT FOR ANTIBODY DETECTION AND USE THEREOF | 2 |
Satoshi Kakimi | JP | Osaka | 2010-11-25 / 20100294205 - POULTRY RAISING SYSTEM, POULTRY RAISING METHOD AND LUMINESCENT DEVICE FOR RAISING POULTRY | 1 |
Takaaki Kakimi | JP | Makinohara-Shi | 2015-10-29 / 20150311688 - ELECTRICAL JUNCTION BOX | 10 |
Hiroya Kakimoto | JP | Gunma | 2011-01-27 / 20110019520 - DATA RECORDING EVALUATING METHOD AND OPTICAL DISK RECORDING AND REPRODUCING DEVICE | 10 |
Akinobu Kakimoto | JP | Nirasaki-Shi | 2012-05-17 / 20120118231 - SUBSTRATE PROCESSING METHOD, STORAGE MEDIUM, AND SUBSTRATE PROCESSING APPARATUS | 2 |
Shuichirou Kakimoto | JP | Tokyo | 2010-07-01 / 20100168154 - 1-SUBSTITUTED TETRAHYDROISOQUINOLINE COMPOUND | 1 |
Shoji Kakimoto | JP | Kyoto | 2009-12-03 / 20090295080 - RECORDING MEDIUM TRANSPORT DEVICE IN IMAGE RECORDING APPARATUS | 2 |
Masaya Kakimoto | JP | Osaka-Shi | 2012-05-17 / 20120118616 - NEGATIVE PHOTOSENSITIVE RESIN COMPOSITION, POLYIMIDE RESIN FILM USING SAME, AND FLEXIBLE PRINTED CIRCUIT BOARD | 1 |
Tatsuo Kakimoto | JP | Toyonaka-Shi | 2012-05-17 / 20120122181 - METHOD FOR DETERMINING ANTAGONIST ACTIVITY TO A CYTOKININ RECEPTOR | 1 |
Akinobu Kakimoto | JP | Yamanashi | 2012-10-25 / 20120267340 - FILM DEPOSITION METHOD AND FILM DEPOSITION APPARATUS | 8 |
Masa-Aki Kakimoto | JP | Kanagawa | 2016-04-28 / 20160115441 - NOVEL GRAFT POLYMER, TEMPERATURE-RESPONSIVE SUBSTRATE FOR CELL CULTURE USING THE SAME AND PRODUCTION METHOD THEREFOR, AS WELL AS LIQUID CHROMATOGRAPHIC CARRIER HAVING THE NOVEL GRAFT POLYMER IMMOBILIZED THEREON AND LIQUID CHROMATOGRAPHIC METHOD USING THE SAME | 1 |
Shiro Kakimoto | JP | Kasugai-Shi | 2016-03-24 / 20160082390 - SENSOR CONTROL METHOD AND SENSOR CONTROL APPARATUS | 7 |
Mitsuru Kakimoto | JP | Kawasaki-Shi | 2008-09-04 / 20080215525 - MEDICAL IMAGE RETRIEVAL SYSTEM | 1 |
Shinichi Kakimoto | JP | Hiroshima-Shi | 2015-10-01 / 20150273574 - CASTING APPARATUS AND CASTING METHOD | 1 |
Hidenobu Kakimoto | JP | Osaka-Shi | 2015-04-30 / 20150115204 - POLYMER COMPOUND AND LIGHT EMITTING ELEMENT USING SAME | 1 |
Yasuto Kakimoto | JP | Minami-Ku | 2013-12-05 / 20130326540 - METHOD OF ASSOCIATING MULTIPLE APPLICATIONS | 7 |
Akinobu Kakimoto | JP | Yamanashi | 2012-10-25 / 20120267340 - FILM DEPOSITION METHOD AND FILM DEPOSITION APPARATUS | 8 |
Takaya Kakimoto | JP | Saitama | 2011-08-25 / 20110203867 - CHAIN GUIDE DEVICE | 1 |
Koichi Kakimoto | JP | Chiyoda-Ku | 2013-02-07 / 20130033219 - MOTOR DRIVER CONTROL DEVICE | 1 |
Akihiro Kakimoto | JP | Hamamatsu-Shi | 2014-07-10 / 20140194725 - BRAIN DISEASE DIAGNOSIS SYSTEM | 1 |
Yasuharu Kakimoto | JP | Shiga | 2014-09-18 / 20140266168 - ROTARY CONNECTOR WITH SENSOR ASSEMBLED THERETO | 2 |
Yasuto Kakimoto | JP | Kyoto | 2014-05-15 / 20140137040 - INFORMATION-PROCESSING DEVICE, STORAGE MEDIUM, INFORMATION-PROCESSING SYSTEM, AND INFORMATION-PROCESSING METHOD | 5 |
Masayuki Kakimoto | JP | Saitama | 2009-12-10 / 20090307794 - Stress-Induced Transcription Factor Derived from Maize | 1 |
Yukiteru Kakimoto | JP | Tokyo | 2012-09-13 / 20120230701 - OPTICAL SPACE COMMUNICATION DEVICE, COMMUNICATION METHOD THEREOF AND OPTICAL SPACE COMMUNICATION SYSTEM | 1 |
Koichi Kakimoto | JP | Tokyo | 2015-11-05 / 20150316920 - POSITIONING APPARATUS AND POSITIONING METHOD | 1 |
Shinji Kakimoto | JP | Hyogo | 2015-11-26 / 20150337331 - NOVEL EXPRESSION VECTOR | 1 |
Akinobu Kakimoto | JP | Nirasaki City | 2016-04-21 / 20160108519 - METHOD AND APPARATUS OF FORMING SILICON NITRIDE FILM | 25 |
Masashi Kakimoto | JP | Tottori | 2014-10-23 / 20140313280 - VIDEO-PHONE DEVICE | 1 |
Toshiyuki Kakimoto | JP | Yasu City | 2013-05-09 / 20130113581 - CONTACT SWITCHING MECHANISM AND ELECTROMAGNETIC RELAY | 1 |
Hidenobu Kakimoto | JP | Hyogo | 2011-11-10 / 20110276019 - WATER-ABSORBENT SHEET COMPOSITION | 1 |
Masakazu Kakimoto | JP | Nagoya-Shi | 2014-05-01 / 20140119845 - BORING DEVICE | 2 |
Noriyuki Kakimoto | JP | Kariya-City | 2009-01-08 / 20090009116 - Energization timing determination circuit and determination method for energization timing of motor | 1 |
Masaya Kakimoto | JP | Osaka | 2009-11-12 / 20090277666 - HEAT-RESISTANT RESIN VARNISH, HEAT-RESISTANT RESIN FILMS, HEAT-RESISTANT RESIN COMPOSITES, AND INSULATED WIRE | 1 |
Tadaaki Kakimoto | JP | Hitachi | 2012-06-21 / 20120155790 - HORIZONTAL SHAFT TYPE ROTARY MACHINE | 6 |
Kazuomi Kakimoto | JP | Tokyo | 2009-08-27 / 20090215632 - OXIDE SUPERCONDUCTIVE TARGET FOR LASER VAPOR DEPOSITION AND METHOD OF MANUFACTURING THE SAME | 2 |
Hidenobu Kakimoto | JP | Ibaraki | 2013-11-21 / 20130306953 - METHOD FOR PRODUCING ELECTROLUMINESCENCE DEVICE | 6 |
Tatsuo Kakimoto | JP | Osaka | 2012-05-10 / 20120115232 - METHOD FOR INDUCING DEGRADATION OF PROTEIN IN MAMMALIAN CELL | 2 |
Masayuki Kakimoto | JP | Shizuoka | 2011-09-22 / 20110229814 - TONER, METHOD OF MANUFACTURING TONER, AND IMAGE FORMING METHOD USING TONER | 2 |
Seizo Kakimoto | JP | Shiki-Gun | 2009-04-02 / 20090085025 - MEMORY DEVICE INCLUDING RESISTANCE-CHANGING FUNCTION BODY | 1 |
Masa-Aki Kakimoto | JP | Tokyo | 2014-03-27 / 20140087289 - PARTICULATE CARBON CATALYST AND METHOD FOR PRODUCING SAME | 3 |
Hiroya Kakimoto | JP | Tokyo | 2013-09-19 / 20130245979 - METHOD OF DETERMINATION, INSPECTION APPARATUS, AND INSPECTION SYSTEM | 3 |
Mitsuru Kakimoto | JP | Kawasaki | 2016-03-10 / 20160070025 - WEATHER FORECASTING APPARATUS AND WEATHER FORECASTING METHOD | 1 |
Kazuhide Kakimoto | JP | Yao-Shi | 2010-03-18 / 20100069163 - YOKE FOR UNIVERSAL JOINT | 1 |
Shigeya Kakimoto | JP | Ibaraki | 2009-07-02 / 20090169610 - Liposome Preparation | 1 |
Katsumi Kakimoto | JP | Katano-Shi | 2011-01-13 / 20110005323 - PRESSURE SENSOR | 2 |
Hiroya Kakimoto | JP | Takasaki-Shi | 2008-09-04 / 20080212453 - OPTICAL INFORMATION RECORDING DEVICE, OPTICAL INFORMATION RECORDING METHOD, AND OPTICAL INFORMATION RECORDING MEDIUM | 1 |
Atsushi Kakimoto | JP | Osaka | 2015-10-01 / 20150276288 - OIL SEPARATOR AND METHOD FOR PRODUCING OIL SEPARATOR | 2 |
Toshiyuki Kakimoto | JP | Yasu-Shi | 2013-03-21 / 20130069744 - SEALING STRUCTURE OF TERMINAL MEMBER, ELECTROMAGNETIC RELAY, AND METHOD OF MANUFACTURING THE SAME | 1 |
Takuya Kakimoto | JP | Fujinomiya-City | 2015-04-02 / 20150094658 - CATHETER BALLOON AND BALLOON CATHETER | 1 |
Takeshi Kakimoto | JP | Chiba | 2011-01-20 / 20110015406 - METHOD FOR PRODUCING FLUORINE-CONTAINING ACYLACETIC ACID DERIVATIVE, METHOD FOR PRODUCING FLUORINE-CONTAINING PYRAZOLECARBOXYLIC ACID ESTER DERIVATIVE, AND METHOD FOR PRODUCING FLUORINE-CONTAINING PYRAZOLECARBOXYLIC ACID DERIVATIVE | 5 |
Hidenobu Kakimoto | JP | Tsukuba-Shi | 2014-12-04 / 20140353653 - PROCESS FOR PRODUCING LIQUID COMPOSITION FOR ORGANIC SEMICONDUCTOR ELEMENT | 7 |
Akihiro Kakimoto | JP | Shizuoka | 2011-05-05 / 20110105881 - BRAIN DISEASE DIAGNOSIS SYSTEM | 1 |
Shiro Kakimoto | JP | Aichi | 2011-03-03 / 20110048970 - METHOD AND APPARATUS FOR CONTROLLING MULTI-GAS SENSOR | 3 |
Kahoru Kakimoto | JP | Tokyo | 2014-11-27 / 20140350741 - DEMAND REGULATING SYSTEM, DEMAND REGULATING APPARATUS, AND CONSUMPTION DEVICE MANAGEMENT APPARATUS | 1 |
Atsushi Kakimoto | JP | Hyogo | 2011-02-24 / 20110042036 - CHEMICAL HEAT-STORAGE APPARATUS | 2 |
Yoshihide Kakimoto | JP | Toyohashi-Shi | 2015-10-08 / 20150283730 - METHOD OF PRODUCING PREFORM AND METHOD OF PRODUCING MOLDED FIBER-REINFORCED RESIN PRODUCT | 2 |
Katsumi Kakimoto | JP | Osaka | 2013-09-05 / 20130229193 - ELECTROSTATIC CAPACITANCE SENSOR | 1 |
Shoji Kakimoto | JP | Kyoto-Shi | 2016-02-04 / 20160031209 - PRINTING APPARATUS AND PRINTING METHOD | 3 |
Takashi Kakimoto | JP | Kyoto | 2011-08-11 / 20110193617 - SEMICONDUCTOR CARD | 2 |
Tetsuya Kakimoto | JP | Osaka | 2013-01-24 / 20130020152 - BICYCLE BRAKE ARM | 1 |
Masanori Kakimoto | JP | Hachioji | 2010-05-06 / 20100114540 - Visual Simulator for Spectacle Lens, Visual Simulation Method for Spectacle Lens, and Computer Readable Visual Simulation Program for Spectacle Lens | 1 |
Noriyuki Kakimoto | JP | Obu-City | 2014-01-02 / 20140001839 - POWER CONVERTER | 2 |
Takashi Kakimoto | JP | Osaka | 2009-01-08 / 20090007526 - Enveloping Device | 1 |
Masashi Kakimoto | JP | Tottori-Shi | 2009-11-12 / 20090280789 - TELEPHONE AND METHOD OF CONTROLLING TELEPHONE | 1 |
Katumi Kakimoto | JP | Osaka | 2016-01-28 / 20160025768 - Acceleration Sensor | 3 |
Seizoh Kakimoto | JP | Nara | 2015-08-27 / 20150243988 - ALKALI AND ALKALINE-EARTH ION BATTERIES WITH NON-METAL ANODE AND HEXACYANOMETALLATE CATHODE | 3 |
Atsushi Kakimoto | JP | Daito-Shi | 2014-09-04 / 20140247398 - Display | 1 |
Akinobu Kakimoto | JP | Nirasaki City | 2016-04-21 / 20160108519 - METHOD AND APPARATUS OF FORMING SILICON NITRIDE FILM | 25 |
Tsuyoshi Kakimoto | KR | Suwon-Si | 2012-12-06 / 20120307376 - ZOOM LENS AND PHOTOGRAPHING APPARATUS HAVING THE SAME | 5 |
Takuma Kakinami | JP | Aichi | 2010-02-25 / 20100048353 - STARTING CONTROL SYSTEM FOR ENGINES | 2 |
Toshiaki Kakinami | JP | Nagoya-Shi | 2012-01-05 / 20120002057 - CAMERA CALIBRATION APPARATUS | 5 |
Toshiaki Kakinami | JP | Aichi | 2009-08-20 / 20090208109 - Object Recognition Apparatus | 3 |
Toshiaki Kakinami | JP | Aichi-Ken | 2010-04-01 / 20100079307 - PARKING ASSIST DEVICE | 2 |
Takuma Kakinami | JP | Toyota | 2008-12-25 / 20080314658 - Hybrid drive unit and running control method for hybrid drive unit | 2 |
Takuma Kakinami | JP | Toyota-Shi | 2010-05-13 / 20100116235 - Engine startup control device for vehicle | 4 |
Tomonari Kakino | JP | Fuji Shizuoka | 2016-02-04 / 20160037088 - OBJECT RECOGNITION APPARATUS THAT PERFORMS OBJECT RECOGNITION BASED ON INFRARED IMAGE AND VISIBLE IMAGE | 1 |
Ryuki Kakino | JP | Shizuoka | 2009-10-22 / 20090263588 - PHOTOCURABLE COATING COMPOSITION, AND OVERPRINT AND PROCESS FOR PRODUCING SAME | 5 |
Atsushi Kakino | JP | Aichi-Ken | 2009-07-02 / 20090165457 - Double redundancy electro hydrostatic actuator system | 2 |
Masayoshi Kakino | JP | Kanagawa | 2012-03-08 / 20120058662 - CONNECTOR | 1 |
Akira Kakino | JP | Kumamoto | 2015-10-01 / 20150281654 - COATING APPARATUS AND COATING METHOD | 1 |
Akemi Kakino | JP | Osaka | 2015-11-26 / 20150335710 - OXIDIZED LDL INHIBITOR | 2 |
Tomonari Kakino | JP | Shizuoka-Ken | 2015-10-01 / 20150278591 - COMMODITY RECOGNITION APPARATUS AND COMMODITY RECOGNITION METHOD | 5 |
Tomonari Kakino | JP | Fuji-Shi | 2013-01-17 / 20130016906 - BINARIZED THRESHOLD VALUE DETERMINATION DEVICE, METHOD THEREOF, AND IMAGE PROCESSING DEVICEAANM Kakino; TomonariAACI Fuji-shiAACO JPAAGP Kakino; Tomonari Fuji-shi JP | 1 |
Ryuki Kakino | JP | Haibara-Gun | 2010-11-11 / 20100285232 - PROCESS FOR PRODUCING AN OVERPRINT | 4 |
Tomonari Kakino | JP | Fuji | 2015-09-17 / 20150262159 - MERCHANDISE SALES DATA PROCESSING DEVICE AND PROGRAM | 3 |
Ryuki Kakino | JP | Kanagawa | 2011-03-10 / 20110057987 - INK SET AND IMAGE FORMING METHOD | 4 |
Tomonari Kakino | JP | Shizuoka | 2012-01-26 / 20120018515 - COMMODITY CODE READING APPARATUS AND CONTROL METHOD | 3 |
Atsushi Kakino | JP | Komaki-Shi | 2010-09-02 / 20100222900 - MULTIPLE REDUNDANT CONTROL SYSTEM | 1 |
Atsushi Kakino | JP | Tokyo | 2015-03-19 / 20150078930 - FLUID PRESSURE PUMP | 2 |
Masaru Kakinohana | JP | Shizuoka-Ken | 2010-11-04 / 20100275586 - DEVICE FOR CLEANING EXHAUST GAS OF INTERNAL COMBUSTION ENGINE | 4 |
Masaru Kakinohana | JP | Susono-Shi | 2010-04-29 / 20100101420 - EXHAUST GAS PURIFYING DEVICE | 3 |
Masaru Kakinohana | JP | Toyota-Shi | 2014-01-02 / 20140004014 - STRUCTURAL BODY FOR SUPPORTING A CATALYST, AND CATALYTIC CONVERTER | 3 |
Masaru Kakinohana | JP | Shizuoka | 2010-11-11 / 20100281856 - DEVICE FOR PURIFICATION OF EXHAUST GAS | 3 |
Izumi Kakinoki | JP | Fukuoka | 2013-04-18 / 20130092918 - ORGANIC EL ELEMENT AND METHOD FOR PRODUCING SAME | 2 |
Takao Kakinoki | JP | Soka-Shi | 2011-03-03 / 20110050191 - INDUCTOR AND DC-DC CONVERTER | 1 |
Kenji Kakinoki | JP | Yokohama-Shi | 2014-07-10 / 20140192190 - MONITORING CAMERA APPARATUS | 1 |
Wataru Kakinoki | JP | Kanagawa-Ken | 2009-03-12 / 20090066605 - Antennas for Card Devices | 1 |
Masaya Kakinoki | JP | Tokyo | 2009-03-19 / 20090077547 - DISK ARRAY APPARATUS | 1 |
Misa Kakinoki | JP | Osaka | 2014-11-20 / 20140343584 - ATHERECTOMY CATHETER | 2 |
Toshiyuki Kakinoki | JP | Tokyo | 2016-01-07 / 20160002754 - HOLLOW CONNECTOR OF ALUMINUM ALLOY EXTRUSION MATERIAL WHICH IS EXCELLENT IN EXTRUSION PROPERTY AND SACRIFICIAL ANODE PROPERTY | 2 |
Wataru Kakinoki | JP | Nagaokakyo-Shi | 2009-03-05 / 20090057002 - Shield Structure | 2 |
Osamu Kakinoki | JP | Kita-Ku | 2013-10-17 / 20130272020 - FRONT PLATE OF TN LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Sachiro Kakinoki | JP | Suita-Shi, Osaka | 2016-05-19 / 20160137694 - Peptide and Complex of Same, Scaffold for Tissue Repair and Surface Treatment Method for Same, and Surface Treatment Solution or Set of Treatment Solutions | 1 |
Osamu Kakinoki | JP | Tokyo | 2016-02-25 / 20160054473 - OPTICAL SHEET AND METHOD FOR MANUFACTURING THE SAME | 3 |
Sachiro Kakinoki | JP | Osaka | 2013-07-04 / 20130172402 - OLIGONUCLEOTIDE, AND THERAPEUTIC AGENT FOR DYSLIPIDEMIA CONTAINING OLIGONUCLEOTIDE AS ACTIVE INGREDIENT | 2 |
Takashi Kakinoki | JP | Osaka-Shi | 2014-03-20 / 20140079876 - STRUCTURE MANUFACTURING METHOD | 1 |
Misa Kakinoki | JP | Osaka-Shi | 2013-10-10 / 20130267985 - BALLOON CATHETER | 2 |
Nobuyuki Kakinoki | JP | Osaka | 2015-07-30 / 20150210354 - BICYCLE GEAR CHANGING CONTROL APPARATUS | 2 |
Takuya Kakinuma | JP | Yokohama-Shi | 2014-10-30 / 20140320785 - BACKLIGHT DEVICE | 2 |
Yasuo Kakinuma | JP | Kanagawa-Ken | 2016-03-31 / 20160093910 - SOLID OXIDE FUEL CELL STACK | 4 |
Takahiro Kakinuma | JP | Ichihara-Shi | 2015-05-28 / 20150148580 - METHOD FOR PRODUCING OLEFIN | 1 |
Takekazu Kakinuma | JP | Tokyo-To | 2010-02-04 / 20100025139 - VEHICLE BODY STRUCTURE AND COAXIAL TWO-WHEEL VEHICLE | 2 |
Norihisa Kakinuma | JP | Saitama-Ken | 2010-01-07 / 20100002178 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF PRODUCING THE SAME | 1 |
Akihiro Kakinuma | JP | Kanagawa | 2015-11-19 / 20150332653 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING SYSTEM, AND IMAGE PROCESSING METHOD | 3 |
Takahiro Kakinuma | JP | Sodegaura-Shi | 2014-03-06 / 20140065059 - CATALYST FOR PRODUCING AN OLEFIN FROM AN ALCOHOL, METHOD FOR PRODUCING OLEFIN, POLYOLEFIN, AND OLEFIN OXIDE | 1 |
Shoji Kakinuma | JP | Okazaki-City | 2015-03-12 / 20150070136 - KEYLESS ENTRY SYSTEM | 1 |
Hirotaka Kakinuma | JP | Gunma | 2010-08-12 / 20100199512 - CLOTHES DRYING DEVICE, AND HEAT PUMP UNIT | 1 |
Haruka Kakinuma | JP | Kyoto-Shi | 2011-05-12 / 20110111851 - COMPUTER READABLE STORAGE MEDIUM HAVING GAME PROGRAM STORED THEREON, GAME APPARATUS, AND GAME CONTROL METHOD | 1 |
Norihiro Kakinuma | JP | Matsudo-Shi | 2014-11-27 / 20140349083 - CODE PLATE OF OPTICAL ENCODER | 1 |
Hiroyuki Kakinuma | JP | Toshima-Ku | 2013-06-27 / 20130165645 - 4-ISOPROPYL-6-METHOXYPHENYL GLUCITOL COMPOUND | 5 |
Atsushi Kakinuma | JP | Shinagawa-Ku | 2012-06-07 / 20120141695 - MULTILAYERED RESIN PRODUCT AND IMAGE DISPLAY PANEL | 1 |
Takeshi Kakinuma | JP | Gifu | 2016-02-25 / 20160054601 - DISPLAY DEVICE | 1 |
Hirohiko Kakinuma | JP | Hokkaido | 2010-05-06 / 20100107921 - TRACK CAR DRIVE SYSTEM, AND TRACK CAR USING THE SYSTEM | 1 |
Yasuhiro Kakinuma | JP | Kanagawa | 2012-05-10 / 20120114456 - HOLDING APPARATUS, CONVEYING APPARATUS, AND ROTATION-TRANSMITTING APPARATUS | 2 |
Shinichi Kakinuma | JP | Kanagawa | 2014-02-27 / 20140058136 - 4,4-BIS[(ETHENYLOXY)METHYL]CYCLOHEXENE AND METHOD FOR PRODUCING SAME | 3 |
Norihisa Kakinuma | JP | Hyogo | 2015-09-24 / 20150268526 - DISPLAY APPARATUS | 1 |
Hirohiko Kakinuma | JP | Sapporo-Shi | 2013-09-12 / 20130236661 - MULTI-LAYERED WINDOW STRUCTURE | 1 |
Minoru Kakinuma | JP | Hachioji-Shi | 2012-03-08 / 20120057058 - ELECTRONIC CAMERA FOR SYNTHESIZING TWO IMAGES HAVING A WHITE BALANCE CORRECTION | 2 |
Akihiro Kakinuma | JP | Hadano-Shi | 2013-09-26 / 20130249980 - PRINTING APPARATUS, PRINTING SYSTEM, AND CONTROL METHOD FOR THE SAME SYSTEM | 12 |
Akira Kakinuma | JP | Chuo-Ku | 2008-12-18 / 20080309448 - STACKED PTC THERMISTOR AND PROCESS FOR ITS PRODUCTION | 1 |
Bunichi Kakinuma | JP | Miyagi | 2014-03-06 / 20140063111 - PATTERN PRINTING APPARATUS, PATTERN PRINTING METHOD, AND TEST APPARATUS | 1 |
Akihiro Kakinuma | JP | Tokyo | 2015-06-25 / 20150178950 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING SYSTEM, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Kazuyoshi Kakinuma | JP | Oota | 2016-01-28 / 20160028421 - WIRELESS COMMUNICATION SYSTEM, DISTORTION COMPENSATION DEVICE, AND DISTORTION COMPENSATION METHOD | 1 |
Hidehiko Kakinuma | JP | Tokyo | 2014-02-06 / 20140040049 - INFORMATION PROCESSING DEVICE, VIDEO PLAYBACK METHOD, PROGRAM, AND VIDEO PLAYBACK SYSTEM | 2 |
Takekazu Kakinuma | JP | Tokyo | 2009-02-05 / 20090032323 - COAXIAL TWO-WHEEL VEHICLE | 1 |
Akio Kakinuma | JP | Tokyo | 2009-06-18 / 20090151720 - SMALL ANIMAL ANESTHESIA SYSTEM | 1 |
Takashi Kakinuma | JP | Tokyo | 2010-01-14 / 20100007872 - SURFACE INSPECTING METHOD AND DEVICE | 1 |
Minoru Kakinuma | JP | Tokyo | 2015-07-30 / 20150212187 - ELECTROSTATIC CAPACITANCE SENSOR AND METHOD FOR CORRECTING NON-LINEAR OUTPUT | 2 |
Akira Kakinuma | JP | Tokyo | 2009-01-29 / 20090027158 - Stacked electronic part and method of manufacturing the same | 1 |
Yoshiaki Kakinuma | JP | Tokyo | 2009-03-19 / 20090072643 - BEARING UNIT, MOTOR USING THE BEARING UNIT, AND ELECTRONIC EQUIPMENT USING THE MOTOR | 2 |
Koichiro Kakinuma | JP | Tokyo | 2014-09-04 / 20140247391 - SIGNAL PROCESSING APPARATUS AND METHOD, AND PROGRAM | 6 |
Yuji Kakinuma | JP | Tokyo | 2014-02-27 / 20140057585 - FREQUENCY CONVERTER | 10 |
Hiroyuki Kakinuma | JP | Tokyo | 2011-04-28 / 20110098469 - 1-THIO-D-GLUCITOL DERIVATIVES | 1 |
Tsunenori Kakinuma | JP | Otawara | 2015-11-19 / 20150327816 - X-RAY CT APPARATUS AND CONTROL METHOD | 1 |
Yuji Kakinuma | JP | Tokyo | 2014-02-27 / 20140057585 - FREQUENCY CONVERTER | 10 |
Yasuo Kakinuma | JP | Chigasaki-Shi | 2015-04-02 / 20150093677 - SOLID OXIDE FUEL CELL STACK | 4 |
Atsuki Kakinuma | JP | Niiza-Shi | 2011-06-30 / 20110160933 - OPERATION APPARATUS FOR ON-BOARD DEVICES IN AUTOMOBILE | 1 |
Takeo Kakinuma | JP | Tokyo | 2010-11-25 / 20100296011 - RECORDING DEVICE, RECORDING SYSTEM, AND RECORDING METHOD | 3 |
Takeo Kakinuma | JP | Minato-Ku | 2011-02-24 / 20110043716 - DISPLAY MEDIUM | 2 |
Takeo Kakinuma | JP | Kanagawa | 2010-07-01 / 20100165260 - LIQUID CRYSTAL-CONTAINING COMPOSITION AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 7 |
Naoyuki Kakinuma | JP | Omuta-Shi, Fukuoka | 2015-12-24 / 20150370094 - OPTICAL MATERIAL, COMPOSITION FOR OPTICAL MATERIAL, AND USE THEREOF | 4 |
Minoru Kakinuma | JP | Hino-City | 2015-10-22 / 20150301076 - SENSITIVITY INSPECTION SYSTEM AND SENSITIVITY INSPECTION METHOD | 1 |
Chihaya Kakinuma | JP | Ashigarakami-Gun | 2013-01-17 / 20130017147 - TARGETING AGENT FOR TUMOR SITEAANM Ogiwara; KazutakaAACI Ashigarakami-gunAACO JPAAGP Ogiwara; Kazutaka Ashigarakami-gun JPAANM Ohno; MakotoAACI Ashigarakami-gunAACO JPAAGP Ohno; Makoto Ashigarakami-gun JPAANM Kojima; MasayoshiAACI Ashigarakami-gunAACO JPAAGP Kojima; Masayoshi Ashigarakami-gun JPAANM Kawakami; MasayukiAACI Ashigarakami-gunAACO JPAAGP Kawakami; Masayuki Ashigarakami-gun JPAANM Kakinuma; ChihayaAACI Ashigarakami-gunAACO JPAAGP Kakinuma; Chihaya Ashigarakami-gun JPAANM Sasaki; TasukuAACI Ashigarakami-gunAACO JPAAGP Sasaki; Tasuku Ashigarakami-gun JPAANM Takada; KiyohitoAACI Ashigarakami-gunAACO JPAAGP Takada; Kiyohito Ashigarakami-gun JP | 1 |
Akira Kakinuma | JP | Osaka | 2015-03-19 / 20150077664 - OPTICAL DEVICE | 9 |
Masayasu Kakinuma | JP | Miyagi | 2011-02-03 / 20110026240 - OPTICAL ELEMENT LAMINATE AND MANUFACTURING METHOD THEREOF, BACKLIGHT, AND LIQUID CRYSTAL DISPLAY DEVICE | 9 |
Katsuyoshi Kakinuma | JP | Kofu-Shi | 2012-11-22 / 20120295184 - HIGH-POTENTIAL STABLE OXIDE SUPPORT FOR POLYMER ELECTROLYTE FUEL CELL | 1 |
Tomoyuki Kakinuma | JP | Tokyo | 2015-10-29 / 20150306641 - LOCAL AIR CLEANER | 5 |
Shoji Kakinuma | JP | Okazaki-Shi | 2015-09-10 / 20150254963 - VEHICLE WARNING SYSTEM | 1 |
Yasuo Kakinuma | JP | Ayase-Shi | 2016-05-12 / 20160133980 - SOLID OXIDE FUEL CELL MANUFACTURING METHOD AND DISPENSER APPARATUS FOR MANUFACTURING SAME | 4 |
Yoshiteru Kakinuma | JP | Tokyo | 2015-12-31 / 20150376345 - ROOM TEMPERATURE CURABLE SILOXANE-BASED GELS | 2 |
Takuya Kakinuma | JP | Kanagawa-Ken | 2012-05-31 / 20120134174 - BACKLIGHT DEVICE | 1 |
Yasuo Kakinuma | JP | Kitakyushu-Shi | 2014-03-27 / 20140087288 - SOLID OXIDE FUEL CELL | 1 |
Naoyuki Kakinuma | JP | Omuta-Shi | 2015-12-03 / 20150346387 - 1,4-BIS(ISOCYANATOMETHYL)CYCLOHEXANE, POLYISOCYANATE COMPOSITION, POLYURETHANE RESIN, MOLDED ARTICLE, EYEWEAR MATERIAL, EYEWEAR FRAME, AND LENS | 3 |
Hiroyuki Kakinuma | JP | Saitama-Shi | 2015-05-14 / 20150134192 - EXTERNAL DIAGNOSIS DEVICE, VEHICLE DIAGNOSIS SYSTEM AND VEHICLE DIAGNOSIS METHOD | 4 |
Takekazu Kakinuma | JP | Sumida-Ku | 2011-05-26 / 20110121541 - CARRIER VEHICLE AND COUPLING MECHANISM | 1 |
Akira Kakinuma | JP | Osaka | 2015-03-19 / 20150077664 - OPTICAL DEVICE | 9 |
Nobuaki Kakinuma | JP | Tokyo | 2016-05-05 / 20160126278 - PHOTOELECTRIC CONVERSION DEVICE AND MANUFACTURING METHOD OF THE PHOTOELECTRIC CONVERSION DEVICE | 6 |
Akihiro Kakinuma | JP | Hadano-Shi | 2013-09-26 / 20130249980 - PRINTING APPARATUS, PRINTING SYSTEM, AND CONTROL METHOD FOR THE SAME SYSTEM | 12 |
Naoshi Kakio | JP | Tokyo | 2016-05-05 / 20160123935 - SAMPLE ODOR TESTING DEVICE, TEST RETAINER USED THEREIN, AND SAMPLE ODOR EXAMINATION METHOD | 1 |
Masayuki Kakio | JP | Tokyo | 2015-10-15 / 20150291390 - MULTI-CAR ELEVATOR | 2 |
Masafumi Kakio | JP | Kyoto-Shi | 2015-12-17 / 20150362081 - PRESSURE CONTROL VALVE AND CONTROL VALVE | 1 |
Hidenobu Kakioka | JP | Fukuoka | 2009-11-05 / 20090273418 - COMMUNICATION SYSTEM AND ANTENNA APPARATUS | 2 |
Shunsuke Kakisaka | JP | Osaka | 2012-10-25 / 20120267994 - METAL VAPOR DISCHARGE LAMP AND LIGHTING APPARATUS | 9 |
Hiba Kakish | US | Dearborn | 2012-08-30 / 20120219684 - Bakeable Parchment Tray for Baking Pizza | 2 |
Musa I. Kakish | US | Anaheim | 2015-10-29 / 20150311650 - COMMUNICATIONS CABLE WITH STATUS INDICATOR FOR ELECTRONIC DEVICES | 2 |
Musa Ibrahim Kakish | US | Santa Ana | 2012-10-18 / 20120265791 - FILE MANAGING METHOD AND MECHANISM | 4 |
Amer F.a. Kakish | AE | Abu Dhabi | 2010-02-04 / 20100030146 - AUTO-DISABLE DEVICE FOR SYRINGES | 1 |
Musa Ibrahim Kakish | US | Anaheim | 2011-09-22 / 20110231682 - POWER MANAGEMENT METHOD AND RELATED POWER MANAGEMENT SYSTEM | 10 |
Yotam Kakishay | IL | Tel Aviv | 2014-08-07 / 20140222993 - PROVIDING NETWORK-APPLICABLE CONTENT | 1 |
Hiroyuki Kakishima | JP | Tokyo | 2013-04-25 / 20130100222 - PRINTING APPARATUS | 2 |
Aya Kakishima | JP | Kanagawa | 2015-08-13 / 20150227076 - IMAGE FORMING APPARATUS | 4 |
Yuichi Kakishima | JP | Tokyo | 2016-03-03 / 20160065388 - RADIO COMMUNICATION SYSTEM, RADIO BASE STATION APPARATUS, AND USER EQUIPMENT | 23 |
Hiroyuki Kakishima | JP | Takata-Gun | 2011-09-08 / 20110217905 - GRINDING MACHINE HAVING THE FUNCTION OF MEASURING DISTANCE | 2 |
Aya Kakishima | JP | Ebina-Shi | 2011-03-17 / 20110064492 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Shoichi Kakishima | JP | Shizuoka-Ken | 2013-09-26 / 20130250024 - COMPONENT SUPPORTING DEVICE AND INK-JET DEVICE | 1 |
Hiroyuki Kakishima | JP | Kawasaki-Shi | 2010-10-21 / 20100266321 - PRINTING APPARATUS, CONVEYANCE APPARATUS, AND FEED-CONVEYANCE CONTROL METHOD | 2 |
Aya Kakishima | JP | Ashigarakami-Gun | 2008-10-09 / 20080247797 - Recording Medium Deburring Apparatus and Image Forming Apparatus | 1 |
Hiroyuki Kakishima | JP | Shizuoka-Ken | 2010-01-21 / 20100011920 - METHOD AND APPARATUS FOR MACHNING V GROOVES | 1 |
Yuichi Kakishima | JP | Tokyo | 2016-03-03 / 20160065388 - RADIO COMMUNICATION SYSTEM, RADIO BASE STATION APPARATUS, AND USER EQUIPMENT | 23 |
Naoya Kakishita | JP | Kanagawa | 2009-01-29 / 20090025994 - TRUCK | 1 |
Naoya Kakishita | JP | Kawasaki-Shi | 2008-08-21 / 20080196678 - Radiator-Shroud Structure | 1 |
Yasuki Kakishita | JP | Kokubunji | 2012-11-29 / 20120301012 - IMAGE SIGNAL PROCESSING DEVICE AND IMAGE SIGNAL PROCESSING METHOD | 1 |
Shinjiro Kakita | JP | Kanagawa | 2010-08-19 / 20100208793 - INFORMATION PROCESSING APPARATUS AND METHOD, RECORDING MEDIUM, AND PROGRAM | 3 |
Hiroshi Kakita | JP | Tokyo | 2016-03-31 / 20160092351 - MEMORY MODULE HAVING DIFFERENT TYPES OF MEMORY MOUNTED TOGETHER THEREON, AND INFORMATION PROCESSING DEVICE HAVING MEMORY MODULE MOUNTED THEREIN | 3 |
Kenichi Kakita | JP | Kadoma-Shi | 2010-06-17 / 20100147003 - REFRIGERATOR | 1 |
Shingo Kakita | JP | Shizuoka | 2011-01-27 / 20110020931 - PROLIFERATION PROMOTING AGENT FOR NEURAL STEM CELLS | 1 |
Kazuaki Kakita | JP | Ichihara-Shi | 2015-03-05 / 20150059853 - BENZOBIS(THIADIAZOLE) DERIVATIVE AND ORGANIC ELECTRONICS DEVICE COMPRISING SAME | 3 |
Kousuke Kakita | JP | Yokohama-Shi | 2009-04-23 / 20090101485 - PUSHBUTTON SWITCH MOUNTING STRUCTURE | 1 |
Yuji Kakita | JP | Otsu-Shi | 2009-02-12 / 20090039878 - TRANSPARENT CONDUCTIVE FILM ROLL AND PRODUCTION METHOD THEREOF, TOUCH PANEL USING THE SAME, AND NON-CONTACT SURFACE RESISTANCE MEASURING DEVICE | 1 |
Kazuaki Kakita | JP | Chiba | 2011-05-05 / 20110100467 - BINUCLEAR RUTHENIUM COMPLEX DYE, RUTHENIUM-OSMIUM COMPLEX DYE, PHOTOELECTRIC CONVERSION ELEMENT USING ANY ONE OF THE COMPLEX DYES, AND PHOTOCHEMICAL CELL | 1 |
Nobuyuki Kakita | JP | Yamanashi | 2012-05-24 / 20120125536 - DEVICE FOR PRESSURE-BONDING COMPONENT AND METHOD FOR PRESSURE-BONDING COMPONENT | 1 |
Akihiko Kakita | JP | Tokyo | 2015-12-03 / 20150346644 - IMAGE FORMING APPARATUS AND IMAGE FORMING SYSTEM | 3 |
Yasuhiro Kakita | JP | Tokyo | 2015-04-02 / 20150095889 - EVALUATION SYSTEM, EVALUATION DEVICE, AND EVALUATION METHOD | 1 |
Toshinobu Kakita | JP | Osaka | 2010-11-25 / 20100296870 - SUBIRRIGATION SYSTEM | 1 |
Akiyoshi Kakita | JP | Toyota-Shi | 2014-05-08 / 20140129105 - DRIVING FORCE DISTRIBUTION CONTROLLER AND FOUR-WHEEL DRIVE VEHICLE | 3 |
Kenichi Kakita | JP | Shiga | 2013-01-31 / 20130027906 - REFRIGERATOR | 8 |
Yasuyuki Kakita | JP | Chiyoda-Ku | 2014-03-06 / 20140062099 - TOGGLE TYPE FASTENER | 1 |
Kenichi Kakita | JP | Shiga | 2013-01-31 / 20130027906 - REFRIGERATOR | 8 |
Naoshi Kakita | JP | Kobe-Shi | 2016-03-03 / 20160063333 - IMAGE PROCESSING APPARATUS | 2 |
Hiroshi Kakita | JP | Maebashi-Shi | 2015-04-02 / 20150090067 - Electric Steering Wheel Position Adjustment Apparatus | 3 |
Masaki Kakitani | JP | Abiko-Shi | 2016-04-14 / 20160103412 - SHEET CONVEYING APPARATUS AND IMAGE FORMING APPARATUS | 12 |
Makoto Kakitani | JP | Takasaki | 2010-06-03 / 20100137210 - Gastrointestinal proliferative foctor and uses thereof | 2 |
Masaki Kakitani | JP | Yokohama-Shi | 2009-04-09 / 20090092411 - DEVELOPER CONTAINER, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS AND MANUFACTURING METHOD FOR DEVELOPER CONTAINER | 1 |
Kei Kakitani | JP | Kanagawa | 2013-04-18 / 20130093853 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 4 |
Tomohiro Kakitani | JP | Kyoto | 2013-01-31 / 20130029003 - COMPRESSION MOLDING MACHINE | 2 |
Masaki Kakitani | JP | Matsudo-Shi | 2012-07-12 / 20120177403 - ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS AND PROCESS CARTRIDGE | 1 |
Mariko Kakitani | JP | Kouza-Gun | 2012-07-26 / 20120187109 - HONEYCOMB STRUCTURE | 1 |
Haruyoshi Kakitani | JP | Tokyo | 2010-05-06 / 20100108956 - ELECTROMAGNETIC WAVE SHIELDING MATERIAL AND SHEET | 1 |
Makoto Kakitani | JP | Gunma | 2009-06-11 / 20090151011 - Chimeric Non-Human Animal and Use Thereof | 2 |
Tomohiro Kakitani | JP | Kyoto-Shi | 2015-08-06 / 20150216805 - SINGLE STROKE COMPRESSION MOLDING MACHINE AND METHOD OF PRODUCING COMPRESSION MOLDED PRODUCT | 1 |
Makoto Kakitani | JP | Takasaki-Shi | 2010-01-14 / 20100011452 - CHIMERIC NON-HUMAN ANIMAL | 1 |
Masaki Kakitani | JP | Abiko-Shi | 2016-04-14 / 20160103412 - SHEET CONVEYING APPARATUS AND IMAGE FORMING APPARATUS | 12 |
Makoto Kakitani | JP | Tokyo | 2014-07-17 / 20140199305 - PROTEIN COMPRISING TRUNCATED FORM OF EXTRACELLULAR REGION PROTEIN OF FRIZZLED2, AND PHARMACEUTICAL COMPOSITION FOR TREATING BONE DISEASES WHICH COMPRISES SAID PROTEIN | 3 |
Keizo Kakitani | JP | Kokubunji | 2009-11-19 / 20090283599 - FABRICATION METHOD OF IC INLET, ID TAG, ID TAG READER AND METHOD OF READING DATA THEREOF | 1 |
Haruhiko Kakitsu | JP | Sendai-Shi | 2011-03-17 / 20110063779 - PORTABLE WIRELESS DEVICE | 6 |
Haruhiko Kakitsu | JP | Shizuoka | 2011-11-03 / 20110267240 - PORTABLE WIRELESS DEVICE | 5 |
Haruhiko Kakitsu | JP | Miyagi | 2011-07-14 / 20110169712 - PORTABLE RADIO EQUIPMENT | 3 |
Nobuyuki Kakiuchi | JP | Chiba | 2009-05-21 / 20090127491 - THIOPHENE COMPOUND HAVING SULFONYL GROUP AND PROCESS FOR PRODUCING THE SAME | 1 |
Fumitoshi Kakiuchi | JP | Yokohama-Shi, Kanagawa | 2011-09-01 / 20110213163 - TRI-SUBSTITUTED AROMATIC COMPOUND | 1 |
Tetsuya Kakiuchi | JP | Hyogo | 2011-03-31 / 20110076174 - SCREW COMPRESSION APPARATUS | 1 |
Elijah Kakiuchi | JP | Hyogo | 2015-12-24 / 20150368742 - HIGH-STRENGTH COLD-ROLLED STEEL SHEET HAVING EXCELLENT BENDABILITY | 1 |
Tomohiro Kakiuchi | JP | Osaka | 2010-07-22 / 20100185606 - DEVELOPMENT DOCUMENT DATA MANAGEMENT DEVICE, DEVELOPMENT DOCUMENT DATA MANAGEMENT SYSTEM, DEVELOPMENT DOCUMENT DATA MANAGEMENT METHOD, PROGRAM THEREFOR, AND RECORDING MEDIUM | 1 |
Mikio Kakiuchi | JP | Tokyo | 2013-08-29 / 20130221792 - ARMATURE WINDING OF ROTATING ELECTRICAL MACHINE | 2 |
Yohei Kakiuchi | JP | Takasago-Shi | 2009-10-15 / 20090259308 - Artificial heart pump | 1 |
Mikio Kakiuchi | JP | Yokohama-Shi | 2012-09-27 / 20120242183 - ARMATURE WINDING OF ROTATING ELECTRICAL MACHINE | 10 |
Hiroyuki Kakiuchi | JP | Kanagawa | 2013-01-03 / 20130005563 - CATALYST FOR REDUCING NITROGEN OXIDES AND METHOD FOR PRODUCING THE SAME | 4 |
Ryohei Kakiuchi | JP | Ibaraki-Shi | 2015-04-23 / 20150111312 - DEPOSITION DATA PROCESSING APPARATUS, AND APPARATUS AND METHOD FOR MANUFACTURING ORGANIC EL DEVICE | 12 |
Yohei Kakiuchi | JP | Tokyo | 2016-04-21 / 20160107310 - CONTROLLER FOR MOBILE ROBOT | 1 |
Toshifumi Kakiuchi | JP | Tokyo | 2015-11-26 / 20150337228 - FLUORINATED ETHER COMPOSITION, SURFACE MODIFIER, SURFACTANT, LIQUID COMPOSITION, AND ARTICLE | 1 |
Hiroyuki Kakiuchi | JP | Hamamatsu | 2012-11-15 / 20120286542 - Vehicle upper part rear end structure | 1 |
Takashi Kakiuchi | JP | Kyoto | 2011-06-23 / 20110150302 - FACE MATCHING DEVICE, ELECTRONIC DEVICE, FACE MATCHING DEVICE CONTROL METHOD, AND FACE MATCHING DEVICE CONTROL PROGRAM | 3 |
Yasuhiro Kakiuchi | JP | Anjo-Shi | 2014-06-26 / 20140174777 - IMPACT TOOL | 7 |
Mikio Kakiuchi | JP | Yokohama | 2015-11-19 / 20150333587 - ARMATURE WINDING OF ROTATING ELECTRICAL MACHINE | 6 |
Kimiyasu Kakiuchi | JP | Osaka | 2014-05-15 / 20140132063 - VEHICLE POWER UNIT | 6 |
Shusuke Kakiuchi | JP | Wakayama-Shi | 2009-05-21 / 20090126885 - BULKY WATER-DISINTEGRATABLE CLEANING ARTICLE AND PROCESS FOR PRODUCING WATER-DISINTEGRATABLE PAPER | 1 |
Hiroyuki Kakiuchi | JP | Yokohama | 2010-04-15 / 20100094467 - ENERGY SAVING SYSTEM | 3 |
Ryohei Kakiuchi | JP | Ibaraki-Shi | 2015-04-23 / 20150111312 - DEPOSITION DATA PROCESSING APPARATUS, AND APPARATUS AND METHOD FOR MANUFACTURING ORGANIC EL DEVICE | 12 |
Shigeyoshi Kakiuchi | JP | Minoh-Shi | 2014-01-16 / 20140019835 - Digital Book Provision System | 1 |
Toru Kakiuchi | JP | Aichi-Ken | 2016-03-10 / 20160067969 - METHOD FOR MANUFACTURING LIQUID JETTING APPARATUS AND LIQUID JETTING APPARATUS | 2 |
Kiyomi Kakiuchi | JP | Ikoma-Shi | 2011-02-03 / 20110028738 - PHOTODISSOCIABLE PROTECTIVE GROUP | 1 |
Fumitoshi Kakiuchi | JP | Yokohama-Shi | 2011-02-03 / 20110028739 - TRI-SUBSTITUTED AROMATIC COMPOUND | 1 |
Daigo Kakiuchi | JP | Kahoku | 2013-07-04 / 20130168382 - PLANAR HEATING BODY | 1 |
Makoto Kakiuchi | JP | Ibaraki | 2011-07-21 / 20110174647 - COMBINED CONTAINER-SYRINGE | 1 |
Atsushi Kakiuchi | JP | Yao-Shi | 2009-10-01 / 20090243527 - INTEGRAL TYPE AIR CONDITIONER | 1 |
Kouji Kakiuchi | JP | Tsu-Shi | 2011-08-25 / 20110204849 - CHARGING CABLE, CHARGING CABLE UNIT, AND CHARGING SYSTEM FOR ELECTRIC VEHICLE | 6 |
Masahiko Kakiuchi | JP | Mie | 2009-04-02 / 20090087819 - Information Providing System for Meal Management | 1 |
Miyoshi Kakiuchi | JP | Tahara-Shi | 2014-12-04 / 20140352387 - ELEMENT BLANKING APPARATUS AND METHOD | 2 |
Shinichi Kakiuchi | JP | Saitama | 2015-08-20 / 20150236605 - VOLTAGE CONVERSION CIRCUIT, STROBE DEVICE, PHOTOGRAPHING DEVICE AND METHOD OF REDUCING SURGE VOLTAGE | 2 |
Makoto Kakiuchi | JP | Ibaraki-Ken | 2012-04-19 / 20120095394 - DUAL CHAMBER COMBINED CONTAINER-SYRINGE | 2 |
Yorito Kakiuchi | JP | Kanagawa | 2014-01-16 / 20140014971 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hironori Kakiuchi | JP | Kobe-Shi | 2009-06-18 / 20090155515 - OPTICAL RECORDING FILM FOR OPTICAL RECORDING MEDIUM AND OPTICAL RECORDING MEDIUM | 3 |
Shinpei Kakiuchi | JP | Aikoh-Gun | 2014-05-08 / 20140124545 - METHOD OF FORMING LUBRICATIVE PLATED LAYER ON VISCOUS LIQUID FEED NOZZLE AND VISCOUS LIQUID FEED NOZZLE | 5 |
Kohsuke Kakiuchi | JP | Chigasaki-Shi | 2012-10-18 / 20120261269 - PROCESS FOR PRODUCTION OF POLYSILICON AND SILICON TETRACHLORIDE | 1 |
Hiroyuki Kakiuchi | JP | Kawasaki | 2011-08-18 / 20110202595 - AT-DESK MANAGEMENT SYSTEM, APPARATUS, AND TERMINAL | 1 |
Naoki Kakiuchi | JP | Ibaraki | 2010-12-02 / 20100304961 - DENTAL CHEMICAL POLYMERIZATION CATALYST AND DENTAL CURABLE COMPOSITION CONTAINING THE SAME CATALYST | 1 |
Toshimasa Kakiuchi | JP | Tokyo | 2012-06-14 / 20120145901 - INFRARED TRANSMISSION FILTER AND IMAGING DEVICE | 1 |
Fumitoshi Kakiuchi | JP | Kanagawa | 2012-01-19 / 20120012822 - AROMATIC COMPOUND AND METHOD FOR PRODUCING SAME | 3 |
Hiroki Kakiuchi | JP | Wakayama-Shi | 2011-09-08 / 20110217646 - RESIN BINDERS FOR TONERS | 1 |
Harumi Kakiuchi | US | 2014-01-02 / 20140005610 - DUAL CHAMBER PREFILLABLE SYRINGE AND ARIPIPRAZOLE FILLED IN SYRINGE | 1 | |
Hiroaki Kakiuchi | JP | Suita | 2012-11-22 / 20120291706 - Atmospheric Pressure Plasma Processing Apparatus | 1 |
Hirofumi Kakiuchi | JP | Kure-Shi | 2009-12-31 / 20090323081 - Jig for measuring an object shape and method for measuring a three-dimensional shape | 1 |
Hironori Kakiuchi | JP | Hyogo | 2010-07-15 / 20100178446 - RECORDING LAYER FOR OPTICAL RECORDING MEDIUM, SPUTTERING TARGET, AND OPTICAL RECORDING MEDIUM | 1 |
Shinpei Kakiuchi | JP | Kanagawa | 2013-05-23 / 20130125723 - CUTTING JIG FOR REWORKING HEAD SUSPSENSION | 1 |
Elijah Kakiuchi | JP | Kobe-Shi | 2015-07-02 / 20150184274 - HIGH-STRENGTH HOT-DIP GALVANIZED STEEL SHEET HAVING EXCELLENT YIELD STRENGTH AND FORMABILITY, AND MANUFACTURING METHOD THEREFOR | 5 |
Hiroaki Kakiuchi | JP | Suita-Shi | 2009-12-10 / 20090301864 - Film Producing Method Using Atmospheric Pressure Hydrogen Plasma, and Method and Apparatus for Producing Refined Film | 1 |
Takeshi Kakiuchi | JP | Fujisawa-Shi | 2013-01-31 / 20130026790 - FRONT UNDERFLOOR STRUCTURE OF VEHICLE | 2 |
Eisaku Kakiuchi | JP | Toyota-Shi | 2016-03-03 / 20160064305 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 8 |
Yorito Kakiuchi | JP | Hyogo-Ken | 2014-09-25 / 20140283618 - SEMICONDUCTOR DEVICE AND STRAIN MONITOR | 1 |
Hidetaka Kakiuchi | JP | Fukushima | 2014-07-03 / 20140182903 - RESIN COMPOSITION, RESIN VARNISH, PREPREG, METAL-CLAD LAMINATE, AND PRINTED WIRING BOARD | 2 |
Naoya Kakiuchi | JP | Iruma-Shi | 2014-10-02 / 20140295148 - PHOTOSENSITIVE RESIN COMPOSITION | 1 |
Makoto Kakiuchi | JP | Wakayama | 2010-07-29 / 20100190708 - Composition for amelioration of body lipid | 1 |
Yoshitake Kakiuchi | JP | Ichikawa | 2008-09-25 / 20080232265 - Communication terminal, data exchange method, and computer product | 1 |
Takashi Kakiuchi | JP | Shiga-Ken | 2010-01-14 / 20100008567 - PUPIL COLOR CORRECTION DEVICE AND PROGRAM | 2 |
Makoto Kakiuchi | JP | Tokyo | 2010-06-03 / 20100137800 - COMBINED CONTAINER-SYRINGE | 2 |
Takaaki Kakiuchi | JP | Kanagawa | 2008-10-02 / 20080238844 - LIQUID CRYSTAL DRIVER CIRCUIT AND METHOD OF DRIVING LIQUID CRYSTAL DISPLAY DEVICE INCLUDING THE SAME | 1 |
Takashi Kakiuchi | JP | Wakayama-Shi | 2009-11-19 / 20090283404 - Reference Electrode Coated with Ionic Liquid and Electrochemical Measurement System Using the Reference Electrode | 1 |
Hiroyuki Kakiuchi | JP | Yokohama-Shi | 2016-03-24 / 20160082425 - CATALYST FOR REDUCING NITROGEN OXIDES AND METHOD FOR PRODUCING THE SAME | 2 |
Takashi Kakiuchi | JP | Kanagawa | 2015-01-15 / 20150015352 - LINEAR ACTUATOR AND GROOVE FASHIONING METHOD FOR LINEAR ACTUATOR | 4 |
Nobuyuki Kakiuchi | JP | Funabashi-Shi | 2015-12-10 / 20150353684 - HIGHLY SOLUBLE TRIS- (2, 3-EPOXYPROPYL)- ISOCYANURATE AND METHOD FOR PRODUCING SAME | 4 |
Hiroshi Kakiuchi | JP | Osaka | 2011-08-11 / 20110193034 - COPPER-CONTAINING NANOPARTICLES AND MANUFACTURING METHOD THEREFOR | 1 |
Takashi Kakiuchi | JP | Sagamihara-Shi | 2013-07-18 / 20130181548 - LINEAR ACTUATOR | 3 |
Kenji Kakiuchi | JP | Osaka | 2012-09-27 / 20120242843 - RECORDER AND PLAYER | 2 |
Takashi Kakiuchi | JP | Shinagawa | 2008-09-04 / 20080211477 - VOLTAGE CONTROL CIRCUIT | 1 |
Kouji Kakiuchi | JP | Tsu-City | 2011-08-25 / 20110204715 - POWER SUPPLY CONTROL DEVICE | 1 |
Toshimasa Kakiuchi | JP | Koriyama-Shi | 2010-05-27 / 20100128593 - PHASE DIFFERENCE ELEMENT AND OPTICAL HEAD APPARATUS | 1 |
Makoto Kakiuchi | JP | Takahagi | 2015-02-12 / 20150041498 - DEVICE FOR ACCOMMODATING A FREEZE-DRIED PHARMACEUTICAL PRODUCT AND METHOD OF MANUFACTURING A SEALED VESSEL ACCOMMODATING A FREEZE-DRIED PHARMACEUTICAL PRODUCT | 2 |
Yorito Kakiuchi | JP | Kanagawa-Ken | 2011-12-22 / 20110309413 - SEMICONDUCTOR DEVICE | 2 |
Tetsuya Kakiuchi | JP | Takasago-Shi | 2016-03-17 / 20160076405 - ENERGY RECOVERY DEVICE AND COMPRESSION DEVICE, AND ENERGY RECOVERY METHOD | 3 |
Makoto Kakiuchi | JP | Takahagi-Shi | 2014-02-20 / 20140048432 - Combination Container/Syringe | 6 |
Eisaku Kakiuchi | JP | Toyota-Shi | 2016-03-03 / 20160064305 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 8 |
Hiroyuki Kakiuchi | JP | Kanagawa-Ken | 2010-01-28 / 20100022177 - DEHUMIDIFICATION AND HUMIDIFICATION APPARATUS FOR VEHICLES | 1 |
Yorito Kakiuchi | JP | Tokyo | 2011-08-11 / 20110193101 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hiroshi Kakiuchi | JP | Osaka-Shi | 2011-08-11 / 20110193033 - COMPOSITE NANOPARTICLES AND MANUFACTURING METHOD THEREOF | 1 |
Yohei Kakiuchi | JP | Hyogo | 2011-07-21 / 20110175561 - MOTOR-POSITION DETECTING METHOD, MOTOR DRIVING UNIT, AND PUMP | 2 |
Hiroyuki Kakiuchi | JP | Mie | 2013-04-18 / 20130091879 - ADSORPTION HEAT PUMP AND USE OF ADSORBENT AS ADSORBENT FOR ADSORPTION HEAT PUMP | 3 |
Atsushi Kakiuchi | JP | Osaka | 2011-05-26 / 20110123180 - AIR CONDITIONER | 1 |
Gopala Krishna R. Kakivaya | US | Sammamish | 2015-08-27 / 20150244602 - Maintaining Consistency within a Federation Infrastructure | 18 |
Gopal Krishna R. Kakivaya | US | Sammamish | 2014-04-17 / 20140108483 - DISTRIBUTED APPLICATION OPTIMIZATION USING SERVICE GROUPS | 3 |
Gopala Kakivaya | US | Sammamish | 2014-04-03 / 20140095930 - ENHANCED ARBITRATION PROTOCOL FOR NODES IN A CLUSTER | 1 |
Gopala Krishna R. Kakivaya | US | Sammamish | 2015-08-27 / 20150244602 - Maintaining Consistency within a Federation Infrastructure | 18 |
Gopala Krishna Reddy Kakivaya | US | Sammamish | 2013-09-05 / 20130232115 - Quorum Based Transactionally Consistent Membership Management in Distributed Storage | 8 |
Gopala Krishna Reddy Kakivaya | US | Sammamish | 2013-09-05 / 20130232115 - Quorum Based Transactionally Consistent Membership Management in Distributed Storage | 8 |
Yuka Kakiwaki | JP | Kanagawa | 2010-01-21 / 20100013596 - Electronic Key System, Portable Wireless Device, and Vehicle Management Method | 1 |
Yuka Kakiwaki | JP | Yokohama-Shi | 2010-04-29 / 20100105365 - MOBILE INFORMATION TERMINAL | 1 |
Nobuyuki Kakiya | JP | Hagagun | 2011-01-20 / 20110010940 - SPINNING METHOD | 1 |
Masaharu Kakiya | JP | Kohoku-Ku | 2011-11-24 / 20110288211 - AQUEOUS COATING AGENT | 1 |
Nobuyuki Kakiya | JP | Tochigi-Ken | 2011-01-13 / 20110005292 - METHOD OF FORMING CURLED PORTION | 1 |
Nobuyuki Kakiya | JP | Tochigi | 2010-09-02 / 20100222216 - METAL HONEYCOMB-SHAPED CATALYZER CARRIER | 1 |
Nobuyuki Kakiya | JP | Hagagun, Tochigi | 2010-03-18 / 20100064496 - ASSEMBLING METHOD FOR METAL HONEYCOMB-SHAPED CATALYZER CARRIER AND ASSEMBLING APPARATUS FOR THE SAME | 1 |
Shinsuke Kakiya | JP | Tokyo | / - | 1 |
Hideki Kakizaki | JP | Tokyo | 2015-07-23 / 20150203079 - ELECTRIC DISC BRAKE DEVICE | 2 |
Katsuyuki Kakizaki | JP | Tokyo | 2012-05-24 / 20120129408 - CONTACT AND ELECTRICAL CONNECTING APPARATUS | 1 |
Kouji Kakizaki | JP | Hiratsuka-Shi | 2016-05-05 / 20160128172 - SYSTEM AND METHOD FOR GENERATING EXTREME ULTRAVIOLET LIGHT | 34 |
Masashi Kakizaki | JP | Aichi | 2014-11-06 / 20140329400 - LOCK DEVICE | 3 |
Nobuo Kakizaki | JP | Kanagawa | 2015-01-29 / 20150028796 - POWER SUPPLY APPARATUS, BATTERY APPARATUS, AND BATTERY SYSTEM | 1 |
Mitsuhiro Kakizaki | JP | Fujisawa | 2011-12-01 / 20110294705 - NOISE REDUCING GREASE COMPOSITION | 1 |
Etsuo Kakizaki | JP | Tokyo | 2009-06-04 / 20090142574 - URETHANE FOAM MEMBER, SEAL STRUCTURE, TONER STORAGE CONTAINER, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS | 1 |
Jun Kakizaki | JP | Hiroshima-Shi | 2015-01-29 / 20150029812 - SCREW PAIR AND CO-ROTATING INTERMESHING TWIN-SCREW EXTRUDER PROVIDED WITH SCREW PAIR | 1 |
Tsuneyasu Kakizaki | JP | Tokyo | 2015-07-02 / 20150188473 - CONTROL DEVICE | 3 |
Jun Kakizaki | JP | Hiroshima | 2015-11-05 / 20150314513 - CONTINUOUS EXTRUSION DEVICE BASED ON TWIN SCREW EXTRUDER | 3 |
Keiichi Kakizaki | JP | Tokyo | 2014-09-25 / 20140287870 - HYBRID SYSTEM OF ENGINE AND MOTOR GENERATOR | 1 |
Atsushi Kakizaki | JP | Chiyoda-Ku | 2013-07-11 / 20130179550 - VIRTUAL DATA CENTER SYSTEM | 1 |
Hideki Kakizaki | JP | Chuo-Ku | 2012-08-16 / 20120205209 - DRUM BRAKE TYPE PARKING BRAKE APPARATUS | 1 |
Kouji Kakizaki | JP | Hiratsuka-Shi | 2016-05-05 / 20160128172 - SYSTEM AND METHOD FOR GENERATING EXTREME ULTRAVIOLET LIGHT | 34 |
Mitsuhiro Kakizaki | JP | Kanagawa | 2009-11-26 / 20090291866 - GREASE COMPOSITIONS FOR CONSTANT VELOCITY JOINTS AND CONSTANT VELOCITY JOINTS | 1 |
Hirotaka Kakizaki | JP | Tokyo | 2015-10-01 / 20150275379 - WATER SPLITTING CATALYST, AND METHOD FOR PRODUCING OXYGEN AND HYDROGEN USING THE SAME | 1 |
Masaru Kakizaki | JP | Nagoya-City | 2011-05-05 / 20110102303 - Display apparatus for vehicle | 3 |
Mitsuhiro Kakizaki | JP | Hiratsuka-Shi | 2011-07-14 / 20110168479 - LUBRICANT COMPOSITION, SPEED REDUCTION GEAR, AND ELECTRIC POWER STEERING APPARATUS | 1 |
Kouji Kakizaki | JP | Oyama-Shi | 2015-07-09 / 20150194781 - LASER APPARATUS | 7 |
Shinji Kakizaki | US | Dublin | 2012-08-23 / 20120214392 - METHOD AND APPARATUS FOR OPERATING A VEHICLE HVAC SYSTEM TO PREVENT OUTPUT OF INVERSE AIRFLOW | 24 |
Yukio Kakizaki | JP | Yokohama-Shi | 2009-03-05 / 20090059190 - MOVABLE BODY APPARATUS, EXPOSURE APPARATUS AND OPTICAL SYSTEM UNIT, AND DEVICE MANUFACTURING METHOD | 1 |
Shinji Kakizaki | JP | Shioya-Gun | 2016-01-07 / 20160001628 - VEHICLE AIR CONDITIONER | 3 |
Takaya Kakizaki | JP | Hamamatsu-Shi | 2011-07-28 / 20110182439 - LOOP GAIN ESTIMATING APPARATUS AND HOWLING PREVENTING APPARATUS | 1 |
Kouji Kakizaki | JP | Hiratsuka | 2015-08-27 / 20150245457 - EXTREME ULTRAVIOLET LIGHT SOURCE APPARATUS | 11 |
Mikio Kakizaki | JP | Minato Tokyo | 2016-04-14 / 20160103644 - PRINTER DRIVER THAT CAUSES A COMPUTER TO GENERATE A PRINT COMMAND TO PRINT WITH DECOLORABLE MATERIAL | 1 |
Shinji Kakizaki | JP | Saitama | 2013-10-31 / 20130283840 - VEHICLE AIR-CONDITIONER | 2 |
Kouji Kakizaki | JP | Tochigi | 2013-10-24 / 20130279526 - LASER APPARATUS | 4 |
Yoko Kakizaki | JP | Hagagun | 2014-05-01 / 20140117576 - INJECTION MOLDING METHOD AND APPARATUS THEREFOR | 1 |
Kouji Kakizaki | JP | Hiratsuka | 2015-08-27 / 20150245457 - EXTREME ULTRAVIOLET LIGHT SOURCE APPARATUS | 11 |
Hidehiro Kakizaki | JP | Hokkaido | / - | 1 |
Kenichi Kakizaki | JP | Mishima-Shi | 2010-04-22 / 20100098590 - CLEANING DEVICE, METHOD FOR DETECTING SUCTION NOZZLE CLOGGING, AND AUTOMATIC ANALYZER | 3 |
Judou Kakizaki | JP | Tokyo | 2013-06-27 / 20130160243 - HINGE MECHANISM | 1 |
Sohei Kakizaki | JP | Tokyo | 2013-06-13 / 20130148164 - IMAGE PROCESSING APPARATUS | 3 |
Mitsuhiro Kakizaki | JP | Fujisawa-Shi | 2013-03-28 / 20130079158 - GREASE COMPOSITION FOR CONSTANT VELOCITY JOINT AND CONSTANT VELOCITY JOINT | 3 |
Koji Kakizaki | JP | Kanagawa | 2008-11-20 / 20080285602 - Narrow-Spectrum Laser Device | 1 |
Shinji Kakizaki | JP | Shioya | 2015-01-29 / 20150027145 - COOLING STORAGE EVAPORATOR SYSTEM FOR VEHICLE CLIMATE CONTROL | 1 |
Shinji Kakizaki | JP | Sapporo | 2013-10-03 / 20130258910 - WIRELESS COMMUNICATION DEVICE | 1 |
Jun Kakizaki | JP | Sakata-Shi | 2015-04-16 / 20150101732 - METHOD OF MANUFACTURING LIQUID EJECTING HEAD | 1 |
Takahiro Kakizaki | JP | Fujisawa-Shi | 2015-08-13 / 20150224886 - INVERTER | 1 |
Kenichi Kakizaki | JP | Shizuoka | 2010-11-11 / 20100284862 - CLEANING EQUIPMENT AND ANALYZER | 1 |
Yoshinori Kakizawa | JP | Kanagawa | 2011-01-06 / 20110003007 - MICROPARTICLE AND PHARMACEUTICAL COMPOSITION THEREOF | 1 |
Masahiko Kakizawa | JP | Tokyo | 2010-10-28 / 20100269903 - PROCESS FOR PRODUCING POLYCRYSTALLINE SILICON SUBSTRATE AND POLYCRYSTALLINE SILICON SUBSTRATE | 1 |
Kenji Kakizawa | JP | Ibaraki | 2009-05-21 / 20090126361 - Pump Torque Controller of Hydraulic Working Machine | 1 |
Hiroshi Kakizawa | JP | Hiratsuka-Shi, Kanagawa | 2015-11-05 / 20150314647 - Pneumatic Radial Tire | 1 |
Hiroshi Kakizawa | JP | Hiratsuka-Shi | 2014-02-13 / 20140041779 - Pneumatic Tire | 1 |
Tomohiro Kakizawa | JP | Tokyo | 2014-12-11 / 20140363773 - PATTERN-FORMING METHOD | 10 |
Tomohiro Kakizawa | JP | Tokyo | 2014-12-11 / 20140363773 - PATTERN-FORMING METHOD | 10 |
Tetsurou Kakizawa | JP | Kanagawa | 2015-08-06 / 20150222861 - MONITORING APPARATUS, MONITORING SYSTEM, AND MONITORING METHOD | 2 |
Yoshinori Kakizawa | JP | Kahagawa | 2010-07-22 / 20100184695 - VASCULAR ENDOTHELIAL CELL-BINDING PEPTIDE | 1 |
Shinichi Kakizawa | JP | Tokyo | 2010-09-30 / 20100248602 - SHAFT PORTION FINISHING DEVICE | 1 |
Masayuki Kakizawa | JP | Tokyo | 2015-06-04 / 20150150804 - CELLULOSE POWDER | 4 |
Kenji Kakizawa | JP | Tsuchiura-Shi | 2010-07-01 / 20100162696 - Hydraulic Drive Device for Large Hydraulic Excavator | 1 |
Yoshinori Kakizawa | JP | Kamakura | 2016-02-11 / 20160038421 - IMMUNOGENIC COMPOSITION | 2 |
Masahiko Kakizawa | JP | Kawagoe-Shi | 2015-05-07 / 20150125985 - ETCHING FLUID AND PRODUCTION METHOD FOR SILICON-BASED SUBSTRATE USING SAME | 1 |
Masahiko Kakizawa | JP | Saitama | 2013-10-03 / 20130261040 - SUBSTRATE CLEANER FOR COPPER WIRING, AND METHOD FOR CLEANING COPPER WIRING SEMICONDUCTOR SUBSTRATE | 5 |
Takahiro Kakizawa | JP | Yokohama-Shi | 2014-10-02 / 20140292755 - IMAGE GENERATION SYSTEM, IMAGE GENERATION METHOD, AND INFORMATION STORAGE MEDIUM | 2 |
Hayato Kakizoe | JP | Kawasaki | 2015-11-26 / 20150340650 - ORGANIC ELECTROLUMINESCENT DEVICE, ILLUMINATION APPARATUS, AND ILLUMINATION SYSTEM | 3 |
Hayato Kakizoe | JP | Kanagawa-Ken | 2014-05-22 / 20140139104 - ILLUMINATION DEVICE | 2 |
Hayato Kakizoe | JP | Fukuoka | 2013-08-15 / 20130207081 - ORGANIC SEMICONDUCTOR DEVICE AND ITS PRODUCTION METHOD, AND COMPOUND | 1 |
Yuichi Kakizono | JP | Tokyo | 2012-12-13 / 20120315739 - MANUFACTURING METHOD FOR SEMICONDUCTOR WAFER | 7 |
Yuichi Kakizono | JP | Saga | 2009-12-03 / 20090298397 - METHOD OF GRINDING SEMICONDUCTOR WAFERS AND DEVICE FOR GRINDING BOTH SURFACES OF SEMICONDUCTOR WAFERS | 2 |
Ramesh Kakkad | TW | Hsinchu | 2009-02-12 / 20090042343 - METHODS OF FABRICATING CRYSTALLINE SILICON, THIN FILM TRANSISTORS, AND SOLAR CELLS | 1 |
Vishal Kakkad | US | Aliso Viejo | 2015-11-26 / 20150341983 - Traversal Of Wireless Profiles | 3 |
Ramesh Kakkad | IN | Gujarat | 2009-11-12 / 20090278121 - SYSTEM FOR DISPLAYING IMAGES AND FABRICATION METHOD THEREOF | 1 |
Ramesh Kakkad | TW | Hsinchu City | 2009-09-24 / 20090237582 - SYSTEM FOR DISPLAYING IMAGES | 2 |
Ramesh Kakkad | TW | Chu-Nan | 2013-06-27 / 20130161612 - DISPLAY DEVICE AND IMAGE DISPLAY SYSTEM EMPLOYING THE SAME | 1 |
Shalabh Kakkar | US | Hoffman Estates | 2015-01-22 / 20150024735 - APPARATUS AND METHOD FOR DETERMINING CONTEXT-AWARE AND ADAPTIVE THRESHOLDS IN A COMMUNICATIONS SYSTEM | 1 |
Sudhir Kakkar | IN | Chennai | 2013-08-08 / 20130205034 - METHODS FOR FACILITATING COMMUNICATIONS IN A PRESENCE AND MESSAGING SERVER AND DEVICES THEREOF | 1 |
Jatin Kakkar | IN | Hyderabad | 2013-12-26 / 20130346533 - NEAR-REAL TIME DISTRIBUTED USAGE AGGREGATION SYSTEM | 1 |
Samir Revti Kakkar | IN | Bangalore | 2015-02-26 / 20150058774 - GESTURE-BASED VISUALIZATION OF FINANCIAL DATA | 1 |
Rahul Kakkar | US | Waltham | 2016-03-24 / 20160083350 - A NK3 RECEPTOR ANTAGONIST COMPOUND (NK3RA) FOR USE IN A METHOD FOR THE TREATMENT OF POLYCYSTIC OVARY SYNDROME (PCOS) | 1 |
Rahul Kakkar | US | Brookline | 2016-03-31 / 20160089089 - SYSTEMS AND METHODS FOR DIGITAL PREDICTIVE DISEASE EXACERBATION AND PRE-EMPTIVE TREATMENT | 1 |
Gaurav Kakkar | IN | Bangalore | 2016-04-07 / 20160098311 - DEVICE DRIVER ERROR ISOLATION ON DEVICES WIRED VIA FSI CHAINED INTERFACE | 2 |
Sandhya Kakkar | IN | New Delhi | 2012-04-12 / 20120089983 - ASSESSING PROCESS DEPLOYMENT | 1 |
Sanjay Kumar Kakkar | GB | London | 2012-02-16 / 20120040904 - Boronate Medicaments for Preventing Thrombosis During Surgery | 5 |
Vijay Kakkar | GB | London | 2011-02-24 / 20110045012 - ANTI-ATHEROMA VACCINE | 2 |
Vikas Kakkar | IN | Hisar | 2014-07-24 / 20140205278 - CALL SETUP SYSTEMS AND METHODS USING DYNAMIC LINK TAGGING AND OVERBOOKING OF LINKS IN MULTI-DOMAIN TRANSPORT NETWORKS | 1 |
Samir Kakkar | IN | Bangalore | 2015-03-05 / 20150063653 - METHOD AND SYSTEM FOR PROVIDING EFFICIENT FEEDBACK REGARDING CAPTURED OPTICAL IMAGE QUALITY | 4 |
Vijay V. Kakkar | GB | London | 2009-07-09 / 20090175783 - COMPOUNDS AND IMAGING METHODS | 1 |
Sanjay Kakkar | US | Missouri City | 2011-11-24 / 20110286909 - Generation Of CO Using Off-Gas As Source | 1 |
Pankaj Kakkar | IN | Bangalore | 2009-04-30 / 20090113320 - Method and Apparatus for Generating a Graphical Interface to Enable Local or Remote Access to an Application Having a Command Line Interface | 1 |
Gaurav Kakkar | IN | Noida | 2015-08-20 / 20150235018 - ELECTRONIC DEVICE, METHOD FOR AUTHENTICATING USER, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Nitin Kakkar | IN | New Delhi | 2011-11-17 / 20110282800 - GLOBAL MANUFACTURING STRATEGY OPTIMIZATION TOOL | 1 |
Sachin Kakkar | IN | Delhi | 2013-10-17 / 20130275112 - DELTA RETIMING IN LOGIC SIMULATION | 3 |
Jatin Kakkar | IN | Kukatpally | 2013-04-18 / 20130097680 - HIGH-DENSITY MULTI-TENANT DISTRIBUTED CACHE AS A SERVICE | 1 |
Joseph J. Kakkassery | US | Evanston | 2012-06-28 / 20120164396 - MATRIX ASSISTED INK TRANSPORT | 2 |
Srinivasa Kakkera | US | Newark | 2015-10-01 / 20150281287 - POLICY/RULE ENGINE, MULTI-COMPLIANCE FRAMEWORK AND RISK REMEDIATION | 7 |
Apoorva Kakkeri | IN | Barkatpura | 2010-03-18 / 20100066918 - MOBILE TELEVISION CONTROL LOGIC AND METHOD FOR IMPROVED CHANNEL SWITCHING TIME | 1 |
Srinath Kakkeri | IN | Hyderabad | 2009-10-08 / 20090252347 - Method and Apparatus For Automatic Gain Control | 1 |
Krishna Rao Kakkirala | IN | West Godavari(dt) | 2016-02-18 / 20160049153 - Computer Implemented System for Audio Watermarking | 1 |
Krishna Rao Kakkirala | IN | Hyderabad | 2016-02-04 / 20160035058 - DIGITAL WATERMARKING | 4 |
Krishna Rao Kakkirala | IN | West Godavari | 2014-01-02 / 20140005815 - Method and System for Blind Audio Watermarking | 1 |
Krishna Rao Kakkirala | IN | Madhapur | 2014-01-23 / 20140023225 - DIGITAL WATERMARKING | 1 |
Emil D. Kakkis | US | San Rafael | 2015-12-24 / 20150366951 - SIALYLATED GLYCOPROTEIN COMPOSITIONS AND USES THEREOF | 3 |
Emil D. Kakkis | US | Novato | 2015-06-04 / 20150150799 - Delivery of Therapeutic Compounds to the Brain and Other Tissues | 20 |
Emil Kakkis | US | Novato | 2015-02-05 / 20150038693 - SIALIC ACID ANALOGS | 7 |
Kari Kakkonen | FI | Naarajarvi | 2011-11-10 / 20110274532 - AUXILIARY DEVICE, ARRANGEMENT AND METHOD IN CARGO HANDLING | 1 |
Hannu Kakkori | JP | Tokyo | 2010-11-04 / 20100277610 - Apparatus, Method And Computer Program Product Providing a Light Source With Memory | 2 |
Hannu Kakkori | FI | Tampere | 2016-04-21 / 20160112612 - CONTROLLING FOCUS LENS ASSEMBLY | 4 |
Kyle Kakligian | US | Sunnyvale | 2010-08-05 / 20100195974 - SERVER-SIDE SUPPORT FOR SEAMLESS REWIND AND PLAYBACK OF VIDEO STREAMING | 1 |
Junichiro Kako | JP | Aichi-Ken | 2009-10-01 / 20090242559 - Skin for member with skin, member with skin and process for manufacturing the same | 1 |
Hiroshige Kako | JP | Nagoya | 2009-05-28 / 20090133516 - Starter motor having seal plate to seal bearing box formed in end frame | 1 |
Junichi Kako | JP | Susono-Shi | 2015-10-01 / 20150277988 - PARALLEL COMPUTING DEVICE | 5 |
Junichi Kako | JP | Shizuoka-Ken | 2010-05-06 / 20100108046 - AIR-FUEL RATIO CONTROL DEVICE AND AIR-FUEL RATIO CONTROL METHOD FOR INTERNAL COMBUSTION ENGINE | 1 |
Keigo Kako | JP | Nagoya-Shi | 2016-03-03 / 20160059590 - Print Label Producing Apparatus | 5 |
Hideaki Kako | JP | Kariya-City | 2016-02-18 / 20160046174 - RADIANT HEATER DEVICE | 1 |
Masaharu Kako | JP | Osaka | 2011-08-04 / 20110191404 - DELIVERY SYSTEM, AGENT SERVER, AND DELIVERY METHOD | 3 |
Tomonori Kako | JP | Kyoto | 2016-03-31 / 20160093860 - ENERGY STORAGE DEVICE AND METHOD OF PRODUCING ENERGY STORAGE DEVICE | 11 |
Junichi Kako | JP | Susono-Shi, Shizuoka-Ken | 2016-01-21 / 20160018794 - CONTROL DEVICE | 2 |
Hirofumi Kako | JP | Chita-Gun | 2014-07-03 / 20140184114 - CONTROL APPARATUS FOR AC MOTOR | 17 |
Tomonori Kako | JP | Kyoto-Shi | 2015-05-21 / 20150140382 - ELECTRIC STORAGE DEVICE AND ELECTRIC STORAGE DEVICE MODULE | 13 |
Shinya Kako | JP | Hyogo | 2008-10-23 / 20080258343 - Rotary Powder Compression Molding Machine | 1 |
Junichi Kako | JP | Shizuoka | 2012-01-05 / 20120004828 - SPARK IGNITION TYPE INTERNAL COMBUSTION ENGINE | 1 |
Hiroyoshi Kako | JP | Tokyo | 2015-10-01 / 20150273557 - CAM DEVICE | 5 |
Masaharu Kako | JP | Toukal | 2015-04-30 / 20150120074 - POWER MANAGEMENT DEVICE, METHOD, AND PROGRAM | 1 |
Fumiko Kako | JP | Tsukuba-Shi | 2013-09-26 / 20130248778 - HIGH MOLECULAR COMPOUND, METHOD FOR PRODUCING SAME, AND LIGHT-EMITTING ELEMENT | 1 |
Hirofumi Kako | JP | Chita-Gun | 2014-07-03 / 20140184114 - CONTROL APPARATUS FOR AC MOTOR | 17 |
Hirofumi Kako | JP | Kariya-Shi | 2010-01-07 / 20100001671 - MOTOR DRIVE CONTROL APPARATUS AND METHOD | 1 |
Tomonao Kako | JP | Nagano | / - | 1 |
Junko Kako | JP | Osaka-Shi | 2015-08-06 / 20150216766 - SUNSCREEN COMPOSITION | 1 |
Shuhei Kako | JP | Takasago-Shi | 2015-08-06 / 20150221897 - Vacuum Deposition Device and Method of Manufacturing Organic EL Device | 1 |
Hirofumi Kako | JP | Kariya-City | 2013-08-22 / 20130214713 - CONTROLLER FOR AC MOTOR | 2 |
Tomoyuki Kako | JP | Toyota-Shi | 2013-02-28 / 20130052554 - FUEL CELL SYSTEM AND METHOD OF REDUCING DECREASE IN POWER GENERATION EFFICIENCY OF FUEL CELL | 1 |
Tomonori Kako | JP | Kyoto-Shi, Kyoto | 2013-10-10 / 20130266866 - ENERGY STORAGE DEVICE | 1 |
Tomonori Kako | JP | Kyoto | 2016-03-31 / 20160093860 - ENERGY STORAGE DEVICE AND METHOD OF PRODUCING ENERGY STORAGE DEVICE | 11 |
Eiji Kako | JP | Aichi | 2013-11-21 / 20130307547 - GEOMAGNETIC APPLICATION DEVICE | 1 |
Masahiro Kako | JP | Obu-Shi | 2013-08-08 / 20130202929 - BATTERY MODULE | 1 |
Kenichi Kako | JP | Aichi-Ken | 2014-05-29 / 20140144274 - SHIFT DEVICE | 2 |
Masaharu Kako | JP | Tokai | 2014-12-11 / 20140365557 - INFORMATION PROCESSING DEVICE, CONTENT DISTRIBUTION METHOD, AND CONTENT DISTRIBUTION SYSTEM | 2 |
Hirofumi Kako | JP | Chita-Gun Aichi-Ken | 2012-07-05 / 20120173066 - ELECTRIC MOTOR DRIVE SYSTEM FOR AN ELECTRIC VEHICLE | 1 |
Tomonori Kako | JP | Kyoto-Shi | 2015-05-21 / 20150140382 - ELECTRIC STORAGE DEVICE AND ELECTRIC STORAGE DEVICE MODULE | 13 |
Masaharu Kako | JP | Toukai | 2016-01-07 / 20160006692 - MONITORING DEVICE AND MONITORING METHOD | 6 |
Mohammad Reza Kakoee | US | San Diego | 2016-01-07 / 20160003893 - MULTI-DOMAIN HETEROGENEOUS PROCESS-VOLTAGE-TEMPERATURE TRACKING FOR INTEGRATED CIRCUIT POWER REDUCTION | 1 |
Mami Kakoi | JP | Yokohama-Shi | 2013-01-24 / 20130024606 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 2 |
Ryusuke Kakoi | JP | Shiga | 2015-01-15 / 20150014016 - ELECTRIC COMPONENT CONNECTION UNIT AND ELECTRIC CONNECTION BOX | 2 |
Yuki Kakoiyama | JP | Tokyo | 2014-06-12 / 20140159837 - ELECTROMAGNETIC RELAY | 2 |
Naoki Kakoiyama | JP | Kariya-City | 2009-02-26 / 20090049921 - Pressure sensor and method for manufacturing the same | 1 |
Naoki Kakoiyama | JP | Obu-City | 2015-05-14 / 20150128713 - PRESSURE SENSOR | 1 |
Hiroyuki Kakoki | JP | Yokohama-Shi | 2012-12-13 / 20120312316 - MAKEUP COSMETIC AND MAKEUP KIT COMPRISING THE MAKEUP COSMETIC AND A TOP COATING AGENT | 5 |
Hiroyuki Kakoki | JP | Kanagawa | 2011-06-16 / 20110142774 - OIL-IN-OIL TYPE COSMETIC COMPOSITION | 5 |
Jerzy Kakol | US | San Diego | 2011-10-13 / 20110250697 - NON-NATURALLY OCCURRING DNA SEQUENCES | 1 |
Kiyoharu Kakomura | JP | Nagareyama-Shi | 2015-11-26 / 20150338809 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 2 |
Kiyoharu Kakomura | JP | Kashiwa-Shi | 2015-10-08 / 20150286158 - IMAGE FORMING APPARATUS | 1 |
Kiyoharu Kakomura | JP | Toride-Shi | 2009-05-21 / 20090129806 - IMAGE FORMING APPARATUS, METHOD THEREFOR, AND PROGRAM | 2 |
Ronald Kakoschke | DE | Muenchen | 2014-03-20 / 20140077146 - SEMICONDUCTOR DEVICE INCLUDING FINFET DEVICE | 11 |
Ronald Kakoschke | DE | Munchen | 2011-03-03 / 20110053341 - INTEGRATED CIRCUIT ARRANGEMENT COMPRISING ISOLATING TRENCHES AND A FIELD EFFECT TRANSISTOR AND ASSOCIATED PRODUCTION METHOD | 10 |
Ronald Kakoschke | DE | Munich | 2015-05-21 / 20150140770 - Methods for Producing a Tunnel Field-Effect Transistor | 9 |
Ronald Kakoschke | DE | Muenchen | 2014-03-20 / 20140077146 - SEMICONDUCTOR DEVICE INCLUDING FINFET DEVICE | 11 |
Ronald Kakoschke | DE | Munich | 2015-05-21 / 20150140770 - Methods for Producing a Tunnel Field-Effect Transistor | 9 |
Rauli Kaksonen | FI | Oulu | 2014-07-31 / 20140215620 - System for Testing Computer Application | 3 |
Rauli Ensio Kaksonen | FI | Oulu | 2009-11-05 / 20090276663 - Method and arrangement for optimizing test case execution | 1 |
Rauli Kaksonen | FI | Rovaniemi | 2015-02-26 / 20150058680 - NETWORK-BASED TESTING SERVICE AND METHOD OF TESTING IN A NETWORK | 1 |
Kyle J. Kakstis | US | Warren | 2009-11-26 / 20090289441 - Deployment control device for airbag | 1 |
Kyle J. Kakstis | US | Macomb | 2015-10-15 / 20150291230 - COUPLING ASSEMBLY | 1 |
Hidetoshi Kaku | JP | Kakogawa-Shi | 2014-07-03 / 20140183906 - UTILITY VEHICLE | 3 |
Yasushi Kaku | JP | Tokyo | 2015-07-30 / 20150210196 - METHOD OF FORMING A SEAT FRAME OF A VEHICLE SEAT, SEAT FRAME FOR USE IN VEHICLE SEAT, AND VEHICLE SEAT HAVING THE SEAT FRAME | 1 |
Yasuhiko Kaku | JP | Fukuoka | 2014-02-27 / 20140055074 - MOTOR CONTROL DEVICE | 4 |
Hiroyuki Kaku | JP | Tochigi | 2014-07-03 / 20140183918 - VEHICLE SEAT | 9 |
Hirokazu Kaku | JP | Tokyo | 2010-04-22 / 20100096269 - ELECTRODEIONIZATION APPARATUS | 1 |
Nobuyuki Kaku | JP | Oiso | 2012-06-07 / 20120140149 - LIQUID CRYSTAL DISPLAY APPARATUS | 11 |
Wilbur William Kaku | US | Sunnyvale | 2016-02-11 / 20160041842 - DYNAMIC RECONFIGURATION OF APPLICATIONS ON A MULTI-PROCESSOR EMBEDDED SYSTEM | 2 |
Senichiro Kaku | JP | Tokyo | 2010-02-04 / 20100025184 - MERCURY REMOVAL APPARATUS FOR LIQUID HYDROCARBON | 1 |
Motonao Kaku | JP | Kyoto | 2011-04-14 / 20110086996 - POLYMER POLYOL AND METHOD FOR PRODUCING POLYURETHANE | 1 |
Wataru Kaku | JP | Yokohama-Shi | 2015-12-10 / 20150351623 - OPHTHALMOLOGIC MEASUREMENT APPARATUS, METHOD AND PROGRAM OF CONTROLLING THE SAME | 18 |
Toshihiko Kaku | JP | Ashigarakami-Gun | 2015-08-06 / 20150216400 - ENDOSCOPIC DEVICE | 8 |
Hiroaki Kaku | JP | Shiga | 2015-11-05 / 20150318700 - POWER SUPPLY SYSTEM, POWER CONVERSION APPARATUS, AND MEASUREMENT POINT SWITCHING APPARATUS | 10 |
Kenichi Kaku | JP | Sagamihara | 2009-07-23 / 20090187545 - METHOD AND APPARATUS FOR DATA PROCESSING WITH INDEX SEARCH | 1 |
Koichiro Kaku | JP | Shizuoka | 2009-12-03 / 20090300803 - GENE CODING FOR ACETOLACTATE SYNTHASE | 2 |
Stephanie Kaku | US | Fresno | 2008-12-25 / 20080320618 - Walnut rootstock 'VX211' | 1 |
Hidetoshi Kaku | US | Lincoln | 2013-04-11 / 20130088003 - Utility Vehicle | 11 |
Hiroaki Kaku | JP | Shiga | 2015-11-05 / 20150318700 - POWER SUPPLY SYSTEM, POWER CONVERSION APPARATUS, AND MEASUREMENT POINT SWITCHING APPARATUS | 10 |
Rikki Kaku | JP | Tokyo | 2015-06-25 / 20150181128 - CCTV LENS AND METHOD OF CORRECTING CCTV LENS | 1 |
Shinkyo Kaku | US | San Jose | 2008-09-11 / 20080222493 - METHOD AND SYSTEM FOR CONTROL LOOP RESPONSE TIME OPTIMIZATION | 2 |
Shinya Kaku | JP | Amagasaki-Shi | 2011-12-08 / 20110299121 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 1 |
Kenichi Kaku | GB | Newcastle Upon Tyne | 2016-02-25 / 20160054666 - ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER, METHOD FOR PRODUCING ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER, PROCESS CARTRIDGE, AND ELECTROPHOTOGRAPHIC APPARATUS | 11 |
Nobuyuki Kaku | JP | Nakagun | 2009-03-05 / 20090059180 - Optical apparatus | 1 |
Tomohiro Kaku | JP | Osaka-Shi | 2009-03-05 / 20090062258 - FUSED PYRIMIDINE DERIVATIVE AND USE THEREOF | 1 |
Koichiro Kaku | JP | Tokyo | 2014-09-18 / 20140274714 - GENE ENCODING CYTOCHROME P450, AND USE THEREOF | 2 |
Junya Kaku | JP | Hyogo | 2009-01-22 / 20090022479 - Content recording apparatus | 1 |
Hidetoshi Kaku | US | Lincoln | 2013-04-11 / 20130088003 - Utility Vehicle | 11 |
Shuichi Kaku | JP | Wakayama-Shi | 2015-08-06 / 20150218297 - METHOD FOR MANUFACTURING HYDROPHILIC POLYMER PARTICLE | 1 |
Tsunehisa Kaku | JP | Fukuoka | / - | 1 |
Hirokazu Kaku | JP | Osaka-Shi | 2015-11-12 / 20150325873 - ELECTROLYTE FOR REDOX FLOW BATTERY AND REDOX FLOW BATTERY | 3 |
Toshihiko Kaku | JP | Kanagawa | 2013-02-14 / 20130041218 - ENDOSCOPIC DEVICE | 12 |
Fuminobu Kaku | JP | Kawagoe-Shi | 2015-08-06 / 20150217186 - COMMUNICATION TERMINAL, COMMUNICATION METHOD, COMMUNICATION PROGRAM, RECORDING MEDIUM STORING THE COMMUNICATION PROGRAM, AND INFORMATION PROCESSING APPARATUS | 1 |
Kenichi Kaku | JP | Suntou-Gun | 2015-12-03 / 20150346620 - ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER, PROCESS CARTRIDGE, AND ELECTROPHOTOGRAPHIC APPARATUS | 6 |
Daichi Kaku | JP | Kanagawa | 2014-01-30 / 20140029144 - ESD PROTECTIVE CIRCUIT | 2 |
Kenichi Kaku | JP | Suntoh-Gun | 2009-12-31 / 20090324282 - ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER, PROCESS CARTRIDGE AND ELECTROPHOTOGRAPHIC APPARATUS | 1 |
Tomohiro Kaku | JP | Kanagawa | 2015-10-15 / 20150291577 - CYCLOPROPANAMINE COMPOUND AND USE THEREOF | 2 |
Toshihiko Kaku | JP | Ashigarakami-Gun | 2015-08-06 / 20150216400 - ENDOSCOPIC DEVICE | 8 |
Hidetaka Kaku | JP | Tokyo | 2011-01-13 / 20110009379 - INDOLINONE COMPOUND | 3 |
Ryoji Kaku | JP | Tokyo | 2008-08-21 / 20080196798 - Surface modification method for conductive metal material | 1 |
Mureo Kaku | JP | Tochigi | 2009-02-26 / 20090054594 - FLUOROPOLYMER LOW REFLECTING LAYERS FOR PLASTIC LENSES AND DEVICES | 1 |
Wataru Kaku | JP | Yokohama-Shi | 2015-12-10 / 20150351623 - OPHTHALMOLOGIC MEASUREMENT APPARATUS, METHOD AND PROGRAM OF CONTROLLING THE SAME | 18 |
Tomohiro Kaku | JP | Osaka | 2014-03-06 / 20140066420 - HETEROCYCLIC COMPOUND | 3 |
Taiichi Kaku | JP | Tokyo | 2008-12-11 / 20080306079 - External preparation for allergic diseases | 1 |
Tetsuya Kaku | JP | Tokyo | 2009-02-26 / 20090054142 - Image processing for a game | 1 |
Toshimitsu Kaku | JP | Sagamihara | 2012-02-23 / 20120044795 - Optical Disc Drive | 2 |
Hiroyuki Kaku | JP | Shioya-Gun, Tochigi | 2016-03-10 / 20160068129 - SIDE AIRBAG DEVICE | 1 |
Nobuyuki Kaku | JP | Oiso | 2012-06-07 / 20120140149 - LIQUID CRYSTAL DISPLAY APPARATUS | 11 |
Yoshiyuki Kaku | JP | Tokyo | 2012-05-10 / 20120114147 - SPEAKER APPARATUS | 1 |
Hisatoshi Kaku | JP | Ibaraki | 2010-05-13 / 20100122374 - Disease resistance in plants by introducing transcription factor gene | 1 |
Taiichi Kaku | JP | Shibuya-Ku | 2015-05-28 / 20150148350 - DRUG FOR PREVENTING/TREATING OCULAR DISEASE | 1 |
Hiroyuki Kaku | JP | Tochigi | 2014-07-03 / 20140183918 - VEHICLE SEAT | 9 |
Daisuke Kaku | JP | Chiyoda-Ku | 2013-12-19 / 20130338249 - LOW RESILIENCE FLEXIBLE POLYURETHANE FOAM AND PROCESS FOR ITS PRODUCTION | 1 |
Hiroyuki Kaku | JP | Shioya-Gun | 2015-07-02 / 20150183342 - VEHICLE SEAT | 3 |
Ayaka Kaku | JP | Tokyo | 2008-12-18 / 20080312435 - Imine Compound | 1 |
Kenichi Kaku | GB | Newcastle Upon Tyne | 2016-02-25 / 20160054666 - ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER, METHOD FOR PRODUCING ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER, PROCESS CARTRIDGE, AND ELECTROPHOTOGRAPHIC APPARATUS | 11 |
Toshihiko Kaku | JP | Kanagawa | 2013-02-14 / 20130041218 - ENDOSCOPIC DEVICE | 12 |
Yoshifumi Kaku | JP | Okazaki-City | 2016-02-04 / 20160036604 - SIGNAL TRANSMISSION CIRCUIT | 2 |
Yasuhiko Kaku | JP | Kitakyushu-Shi | 2015-07-16 / 20150198935 - MOTOR CONTROL APPARATUS | 7 |
Daichi Kaku | JP | Kanagawa-Ken | 2010-08-05 / 20100195410 - Semiconductor memory device having shift registers | 2 |
Toshimitsu Kaku | JP | Sagamihara-Shi | 2013-04-25 / 20130100793 - Optical Pickup and Optical Disc Drive | 1 |
Daisuke Kaku | JP | Kamisu-City | 2010-07-15 / 20100179240 - PROCESS FOR PRODUCING FLEXIBLE POLYURETHANE FOAM, PROCESS FOR PRODUCING HOT PRESS MOLDED PRODUCT, AND HOT PRESS MOLDED PRODUCT | 4 |
Takeshi Kaku | JP | Komaki-Shi | 2016-04-07 / 20160099400 - CERAMIC DEVICE AND PIEZOELECTRIC DEVICE | 3 |
Shinya Kaku | JP | Itami-Shi | 2013-09-26 / 20130250342 - IMAGE FORMING APPARATUS, OPERATION CONTROL METHOD FOR THE SAME, AND RECORDING MEDIUM | 1 |
Daisuke Kaku | JP | Tokyo | 2016-03-17 / 20160081222 - PLAYBACK DEVICE FOR AIRCRAFT | 4 |
Daichi Kaku | JP | Yokohama-Shi | 2011-10-20 / 20110255329 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE, METHOD OF SUPPLYING VOLTAGE IN THE SAME, AND SEMICONDUCTOR DEVICE | 1 |
Norio Kaku | JP | Inuyama-Shi | 2016-04-21 / 20160107240 - MACHINE TOOL | 1 |
Yuko Kaku | JP | Tokyo | 2016-03-17 / 20160075747 - METHOD FOR PRODUCING PROTEIN | 1 |
Hirokazu Kaku | JP | Nakano-Ku | 2014-09-18 / 20140273173 - METHOD OF SEPARATING AND RECOVERING MICROALGAE | 1 |
Koichi Kakubari | JP | Toride-Shi | 2016-05-12 / 20160132009 - IMAGE HEATING APPARATUS | 8 |
Kouichi Kakubari | JP | Toride-Shi | 2013-12-05 / 20130322897 - IMAGE HEATING APPARATUS | 3 |
Yuichi Kakubari | JP | Niigata | 2015-08-13 / 20150227057 - EXPOSURE APPARATUS, MASK, AND OPTICAL FILM | 5 |
Yuuichi Kakubari | JP | Joetsu-Shi | 2008-10-02 / 20080239484 - POLARIZED LIGHT TRANSMISSION SCREEN AND STEREOSCOPIC IMAGE DISPLAYING APPARATUS USING THE POLARIZED LIGHT TRANSMISSION SCREEN | 1 |
Koichi Kakubari | JP | Toride-Shi | 2016-05-12 / 20160132009 - IMAGE HEATING APPARATUS | 8 |
Hideyuki Kakubari | JP | Shiojiri-Shi | 2015-08-27 / 20150243646 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE, AND ELECTRONIC APPLIANCE USING THE SAME | 1 |
Takasi Kakubo | JP | Kanagawa-Ken | 2010-09-02 / 20100222478 - RUBBER COMPOSITION | 1 |
Osamu Kakuchi | JP | Kasama-Shi | 2010-10-21 / 20100265515 - MEASUREMENT METHOD, MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD | 3 |
Takeo Kakuchi | JP | Tokyo | 2010-12-09 / 20100308775 - BATTERY PACK AND SECONDARY BATTERY SYSTEM | 1 |
Osamu Kakuchi | JP | Mito-Shi | 2010-01-07 / 20100002243 - APPARATUSES AND METHODS USING MEASUREMENT OF A FLARE GENERATED IN AN OPTICAL SYSTEM | 1 |
Takeo Kakuchi | JP | Chofu-Shi | 2012-05-24 / 20120129023 - BATTERY PACK DEVICE | 8 |
Takeo Kakuchi | JP | Chofu-Shi | 2012-05-24 / 20120129023 - BATTERY PACK DEVICE | 8 |
Takashi Kakuchi | JP | Sagamihara-Shi | 2013-10-17 / 20130270927 - LINEAR ACTUATOR | 1 |
Toyoji Kakuchi | JP | Hokkaido | 2012-02-16 / 20120040877 - AQUEOUS LUBRICANT | 2 |
Masahiko Kakuda | JP | Kyoto-Shi | 2014-09-25 / 20140285549 - APPARATUS FOR AND METHOD OF SUPPLYING LIQUID | 1 |
Yusuke Kakuda | JP | Kyoto | 2010-01-28 / 20100021617 - EDIBLE OIL REGENERATING APPARATUS AND METHOD | 1 |
Sahoe Kakuda | JP | Toyama | 2012-01-12 / 20120010221 - TABLET AND GRANULATED POWDER CONTAINING 6-FLUORO-3-HYDROXY-2-PYRAZINECARBOXAMIDE | 2 |
Hirotoshi Kakuda | JP | Kahoku-Shi | 2014-03-06 / 20140063573 - PAPER CONVEYING APPARATUS | 1 |
Tetsushi Kakuda | JP | Wako | 2011-09-22 / 20110226198 - COOLING WATER PASSAGE STRUCTURE IN CYLINDER HEAD OF INTERNAL COMBUSTION ENGINE | 2 |
Takashi Kakuda | JP | Yokohama | 2014-02-20 / 20140049698 - PROJECTION IMAGE DISPLAY DEVICE | 1 |
Yoshihisa Kakuda | JP | Shizuoka | 2010-01-14 / 20100010665 - BOARD INSPECTION DEVICE | 1 |
Kouichi Kakuda | JP | Narita-Shi | 2009-11-12 / 20090278627 - Dielectric ceramic composition, multilayer complex electronic device, multilayer common mode filter, multilayer ceramic coil and multilayer ceramic capacitor | 2 |
Masayuki Kakuda | JP | Tokyo | 2016-05-12 / 20160131134 - SCROLL COMPRESSOR | 14 |
Tomohisa Kakuda | JP | Numazu-Shi | 2010-03-11 / 20100062936 - THERMOSENSITIVE RECORDING MATERIAL | 1 |
Kiyoshi Kakuda | JP | Osaka-Shi | 2012-06-21 / 20120154692 - LIGHTING DEVICE, DISPLAY DEVICE AND TELEVISION RECEIVER | 1 |
Shigeaki Kakuda | JP | Shizuoka | 2013-09-26 / 20130248628 - PULVERIZER | 1 |
Toru Kakuda | JP | Toyama-Shi | 2009-07-09 / 20090176381 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS | 1 |
Shinji Kakuda | JP | Chiyoda-Ku | 2015-09-24 / 20150266824 - Pyrazole Amide Derivative | 1 |
Hoichi Kakuda | JP | Kyoto | 2010-01-28 / 20100021617 - EDIBLE OIL REGENERATING APPARATUS AND METHOD | 1 |
Hiroshi Kakuda | JP | Kanagawa | 2009-12-31 / 20090325567 - Telephone set, communication adaptor, home appliance control method, and program recording medium | 1 |
Yuji Kakuda | JP | Yokohama-Shi | 2010-11-18 / 20100289760 - ELECTRONIC APPARATUS | 1 |
Tyler Robin Kakuda | US | Stockton | 2016-03-03 / 20160061392 - INTEGRATED LED BASED ILLUMINATION DEVICE | 2 |
Tyler Kakuda | US | Sunnyvale | 2015-07-16 / 20150198761 - OPTICAL DISPLAY SYSTEM | 3 |
Kabu Kakuda | US | Reading | 2010-05-27 / 20100127539 - Seat Support Structure for a Child Motion Device | 1 |
Yoshihisa Kakuda | JP | Hamamatsu-Shi | 2010-07-01 / 20100165094 - INSPECTING APPARATUS, AND INSPECTING METHOD | 3 |
Shinichiro Kakuda | JP | Hyogo | 2015-12-24 / 20150371564 - INFORMATION WRITABLE FILM AND A SAMPLE STORAGE TUBE | 4 |
Nobuyuki Kakuda | JP | Anjo-Shi | 2011-03-31 / 20110073630 - DRIVING TOOL | 1 |
Baku Kakuda | US | Reading | 2009-07-02 / 20090170618 - Child Motion Device | 3 |
Masayuki Kakuda | JP | Chiyoda-Ku | 2012-07-12 / 20120174610 - REFRIGERATION CYCLE APPARATUS | 1 |
Hiroshi Kakuda | JP | Tokyo | 2015-05-07 / 20150126125 - COMMUNICATION SYSTEM AND METHOD, INFORMATION PROCESSING APPARATUS AND METHOD, INFORMATION PROCESSING TERMINAL AND METHOD | 8 |
Yoshiro Kakuda | JP | Toyota-Shi | 2010-05-06 / 20100112836 - CONNECTOR FOR AN ELECTRIC DEVICE SUCH AS A BOARD | 1 |
Kentaro Kakuda | JP | Anjo | 2016-03-17 / 20160076636 - POWER TRANSMISSION DEVICE | 5 |
Yoshie Kakuda | JP | Hiroshima-Shi | 2012-07-05 / 20120167858 - DIESEL ENGINE FOR VEHICLE | 4 |
Takami Kakuda | JP | Shizuoka | 2009-02-19 / 20090047408 - Method for Improving Absorbability of Epigallocatechin Gallate, Foods, Drinks and Food/Drink Materials Using the Same and Method for Producing the Same | 1 |
Kouichi Kakuda | JP | Tokyo | 2015-04-16 / 20150102254 - FERRITE COMPOSITION AND ELECTRONIC COMPONENT | 2 |
Toru Kakuda | JP | Toyama | 2012-05-31 / 20120132228 - SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND BAFFLE STRUCTURE OF THE SUBSTRATE PROCESSING APPARATUS | 1 |
Yuji Kakuda | JP | Toyota-Shi | 2014-08-07 / 20140216377 - VALVE TIMING ADJUSTMENT SYSTEM | 2 |
Yoshitaka Kakuda | JP | Fukui | 2016-04-21 / 20160111931 - ROTARY MACHINE | 1 |
Masanori Kakuda | JP | Shinagawa-Ku | 2013-05-30 / 20130136548 - ROTARY CUTTING TOOL | 1 |
Shinichi Kakuda | JP | Kanagawa | 2015-12-03 / 20150343533 - APPARATUS FOR FABRICATING THREE-DIMENSIONAL OBJECT | 3 |
Shinichiro Kakuda | JP | Kobe-Shi, Hyogo | 2015-12-24 / 20150371564 - INFORMATION WRITABLE FILM AND A SAMPLE STORAGE TUBE | 1 |
Hiroshi Kakuda | JP | Tokyo | 2015-05-07 / 20150126125 - COMMUNICATION SYSTEM AND METHOD, INFORMATION PROCESSING APPARATUS AND METHOD, INFORMATION PROCESSING TERMINAL AND METHOD | 8 |
Tooru Kakuda | JP | Toyama-Shi | 2015-09-17 / 20150262817 - SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM | 1 |
Daisuke Kakuda | JP | Nagoya-Shi | 2015-04-23 / 20150108366 - Electron Beam Irradiation Device | 2 |
Tyler R. Kakuda | US | Stockton | 2015-12-17 / 20150362791 - Electronic Device With Heat Spreading Film | 1 |
Kouichi Kakuda | JP | Kanagawa | 2012-08-16 / 20120204638 - BALL BEARING WITH A ROTATIONAL SPEED DETECTION ENCODER FOR A MOTORCYCLE AND A ROTATIONAL SPEED DETECTION DEVICE FOR A MOTORCYCLE USING THIS ENCODER | 2 |
Akari Kakudate | JP | Osaka | 2015-12-03 / 20150344084 - WORK VEHICLE | 1 |
Yuichi Kakudo | JP | Miyagi | 2010-06-17 / 20100152493 - BIS(ARYLMETHYLIDENE)ACETONE COMPOUND, ANTI-CANCER AGENT, CARCINOGENESIS-PREVENTIVE AGENT, INHIBITOR OF EXPRESSION OF Ki-Ras, ErbB2, c-Myc AND CYCLINE D1, BETA-CATENIN-DEGRADING AGENT, AND p53 EXPRESSION ENHANCER | 1 |
Yoichi Kakudo | JP | Hyogo | 2013-08-08 / 20130202767 - MALT FERMENTED BEVERAGE | 3 |
Kennichi Kakudo | JP | Nara | 2010-08-26 / 20100215648 - Detecting Agent and Therapeutic Agent for Highly Malignant Breast Cancer | 2 |
Kennichi Kakudo | JP | Nara-Shi | 2011-05-12 / 20110111414 - Detecting Agent and Therapeutic Agent for Highly Malignant Breast Cancer | 1 |
Branden Kakugawa | US | Laupahoehoe | 2012-06-07 / 20120138082 - Self contained oral hygiene unit | 2 |
Mikio Kakui | JP | Ikoma-Gun | 2009-04-16 / 20090097888 - TRANSFER BELT UNIT AND IMAGE FORMING APPARATUS USING THE SAME | 1 |
Yasuo Kakui | JP | Kawasaki-Shi | 2013-04-25 / 20130103687 - SIMULATION DEVICE AND PROGRAM FOR SAME | 2 |
Motoki Kakui | JP | Yokohama-Shi | 2015-08-13 / 20150229094 - LASER LIGHT SOURCE | 36 |
Kentaro Kakui | JP | Odawara | 2012-09-13 / 20120233424 - DATA STORAGE CONTROL ON STORAGE DEVICES | 3 |
Miki Kakui | JP | Kawasaki-Shi | 2013-04-25 / 20130103687 - SIMULATION DEVICE AND PROGRAM FOR SAME | 1 |
Kentaro Kakui | JP | Tokyo | 2015-09-10 / 20150254125 - INFORMATION PROCESSING SYSTEM MONITORING APPARATUS, MONITORING METHOD, AND MONITORING PROGRAM | 1 |
Shingo Kakui | JP | Inagi-Shi | 2009-09-17 / 20090235223 - PROGRAM GENERATION APPARATUS AND PROGRAM GENERATION METHOD | 1 |
Motoki Kakui | JP | Yokohama | 2009-05-28 / 20090133445 - Method for manufacturing glass body and method for manufacturing optical fiber | 1 |
Jay Jeffrey Kakuk | US | Plymouth | 2014-01-16 / 20140013558 - Tool system | 1 |
Michael D. Kakuk | US | Marquette | / - | 1 |
Jay J. Kakuk | US | Plymouth | 2015-12-17 / 20150361932 - ENGINE FOR OUTDOOR POWER EQUIPMENT UNIT | 1 |
Yueh-Chun Kaku Lu | TW | Taipei City | 2014-06-26 / 20140173982 - COMBINATIONAL PLANT POT | 1 |
Hiroaki Kakuma | JP | Kyoto | 2016-03-31 / 20160091892 - POSITION DETECTION APPARATUS, SUBSTRATE PROCESSING APPARATUS, POSITION DETECTION METHOD AND SUBSTRATE PROCESSING METHOD | 2 |
Kenji Kakuma | JP | Shimane | 2009-04-30 / 20090109602 - METHOD FOR MANUFACTURING ELECTROLYTIC CAPACITOR AND ELECTROLYTIC CAPACITOR | 2 |
Kenji Kakuma | JP | Izumo-Shi | 2008-10-09 / 20080247119 - ELECTROLYTIC CAPACITOR AND METHOD OF PRODUCING THE SAME | 1 |
Hideo Kakuma | JP | Chiba | 2014-07-10 / 20140192323 - CONTROL DEVICE, CONTROL METHOD AND CONTROL PROGRAM FOR OPTICAL COHERENCE TOMOGRAPHIC IMAGE-GENERATING APPARATUSES | 1 |
Hiroaki Kakuma | JP | Kyoto-Shi | 2015-09-17 / 20150262848 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD FOR DISCHARGE OF PROCESSING LIQUID FROM NOZZLE | 1 |
R.c. Vikram Kakumani | US | Redmond | 2009-02-26 / 20090055340 - Analysis of software conflicts | 1 |
Badari Kakumani | US | San Jose | 2008-08-28 / 20080209413 - SOFTWARE CHANGE MODELING FOR NETWORK DEVICES | 1 |
Vikram Kakumani | US | Kirkland | 2009-12-31 / 20090327502 - SOFTWARE-BASED ALIASING FOR ACCESSING MULTIPLE SHARED RESOURCES ON A SINGLE REMOTE HOST | 3 |
Vikram Kakumani | US | Bellevue | 2014-01-16 / 20140020045 - DATA DETECTION AND PROTECTION POLICIES FOR E-MAIL | 3 |
Vasu Kumar Kakumanu | IN | Guntur | 2013-07-11 / 20130177520 - TASTE MASKED DOSAGE FORMS OF BITTER TASTING ANTI-RETROVIRAL DRUGS | 2 |
Srikanth Kakumanu | US | North Billerica | 2016-02-04 / 20160030344 - COMPOSITIONS INCLUDING APOLIPOPROTEIN AND METHODS USING FOCUSED ACOUSTICS FOR PREPARATION THEREOF | 4 |
Praveen Kakumanu | US | Miamisburg | 2009-03-05 / 20090060372 - OBJECT REMOVAL FROM IMAGES | 2 |
Sandeep Kakumanu | US | Atlanta | 2013-07-04 / 20130174047 - VIEW VIRTUALIZATION AND TRANSFORMATIONS FOR MOBILE APPLICATIONS | 3 |
Divvata Kakumanu | US | Moorhead | 2012-04-12 / 20120089856 - METHOD AND APPARATUS FOR ON-DEMAND POWER MANAGEMENT | 1 |
Sarat Kakumanu | US | Eden Prairie | 2014-02-13 / 20140047347 - COMMUNICATION TECHNIQUES FOR TRANSPORTATION ROUTE MODIFICATIONS | 10 |
Praveen Kakumanu | US | Mason | 2015-10-01 / 20150279034 - SUPPRESSION OF VASCULAR STRUCTURES IN IMAGES | 2 |
Srikanth Kakumanu | US | Lowell | 2011-08-25 / 20110206739 - COMPOSITIONS AND METHODS FOR THE PREPARATION OF NANOEMULSIONS | 1 |
Divyata Kakumanu | US | Moorhead | 2010-08-05 / 20100199114 - METHOD AND APPARATUS FOR ON-DEMAND POWER MANAGEMENT | 3 |
Tomokazu Kakumoto | JP | Tokyo | 2012-09-20 / 20120235023 - Solid-State Image-Sensing Device and Camera Provided Therewith | 1 |
Junichi Kakumoto | JP | Kitakyushu-Shi | 2014-02-13 / 20140045392 - COPPER ALLOY AND ELECTRICALLY CONDUCTIVE MATERIAL FOR CONNECTING PARTS, AND MATING-TYPE CONNECTING PART AND METHOD FOR PRODUCING THE SAME | 4 |
Jun-Ichi Kakumoto | JP | Tokushima City | 2012-02-09 / 20120033826 - SPEAKER SYSTEM AND SOUND REPRODUCTION APPARATUS | 2 |
Yoshiki Kakumoto | JP | Sagamihara | 2014-02-06 / 20140039714 - SYSTEM CONTROL APPARATUS FOR EQUIPMENT | 1 |
Tomokazu Kakumoto | JP | Kanagawa | 2010-10-28 / 20100271526 - Solid-state imaging device, method for driving the same, and camera system | 1 |
Koji Kakumoto | JP | Kyoto | 2010-12-30 / 20100329417 - MONOFILAMENT ALLOWING CONTRAST X-RAY RADIOGRAPHY | 2 |
Satoko Kakumoto | JP | Kanagawa | 2010-04-22 / 20100098866 - INK RECORDING MEDIUM AND PRODUCTION METHOD THEREFOR | 1 |
Michinori Kakumu | JP | Toyota-Shi | 2012-03-29 / 20120073369 - PROTECTION COVER STRUCTURE OF SLIDE DETECTION APPARATUS FOR VEHICLE SEAT | 1 |
Michinori Kakumu | JP | Aichi | 2011-03-10 / 20110057423 - CLIP STRUCTURE AND HOLDING STRUCTURE FOR INTERIOR MEMBER OF VEHICLE | 1 |
Wataru Kakuno | JP | Tokyo | 2013-02-14 / 20130037098 - INFRARED REFLECTIVE LAMINATE | 7 |
Hideyo Kakuno | JP | Tajimi-Shi | 2009-03-05 / 20090057120 - SWITCH DEVICE | 1 |
Maki Kakuno | JP | Kyoto-Shi | 2013-08-15 / 20130210318 - BOTTOM CLOTHES | 1 |
Yoshinori Kakuno | JP | Osaka | 2015-04-23 / 20150109778 - LIGHT-EMITTING APPARATUS, ILLUMINATION LIGHT SOURCE, AND LIGHTING APPARATUS | 6 |
Tsutomu Kakuno | JP | Kanagawa-Ken | 2013-09-19 / 20130244347 - LASER ANNEALING METHOD, LASER ANNEALING APPARATUS, AND METHOD FOR MANUFACTURING THIN FILM TRANSISTOR | 1 |
Yumi Kakuno | JP | Hamamatsu-Shi | 2015-08-06 / 20150219543 - CELL EVALUATION METHOD | 1 |
Masahito Kakuno | JP | Naka | 2008-12-25 / 20080317639 - SAMPLE DISPENSING APPARATUS AND METHOD | 1 |
Hideyo Kakuno | JP | Aichi | 2014-03-13 / 20140070733 - VEHICLE WINDOW OPENING AND CLOSING CONTROL DEVICE | 1 |
Osamu Kakuno | JP | Saitama | 2008-11-27 / 20080291942 - ATM CELL DATA TRANSMISSION CONTROL | 1 |
Shingo Kakuo | JP | Utsunomiya-Shi | 2013-11-21 / 20130310463 - Aromatase Activator | 1 |
Shingo Kakuo | US | 2011-06-23 / 20110151036 - AROMATASE ACTIVATOR | 1 | |
Shingo Kakuo | JP | Haga-Gun | 2010-07-22 / 20100184095 - Steroid Hormone Assay Method | 1 |
Yoshikazu Kakura | JP | Tokyo | 2015-12-31 / 20150382371 - METHOD AND SYSTEM FOR NETWORK-ASSISTED INTERFERENCE SUPPRESSION/CANCELATION | 49 |
Dmitry M. Kakurin | US | Redmond | 2012-03-29 / 20120079014 - METHOD AND SYSTEM FOR DELAYED ALLOCATION OF RESOURCES | 1 |
Kuniyuki Kakushima | JP | Yokohama Kanagawa | 2016-02-11 / 20160043187 - SEMICONDUCTOR DEVICE | 1 |
Kuniyuki Kakushima | JP | Meguro-Ku | 2015-03-26 / 20150083987 - RESISTANCE CHANGE MEMORY DEVICE | 1 |
Kuniyuki Kakushima | JP | Yokohama-Shi | 2009-04-23 / 20090102006 - ELECTROSTATIC MICRO ACTUATOR, ELECTROSTATIC MICROACTUATOR APPARATUS AND DRIVING METHOD OF ELECTROSTATIC MICRO ACTUATOR | 2 |
Fujio Kakushou | JP | Fukui | 2016-02-11 / 20160039996 - FLAME-RETARDANT AGENT COMPOSITION AND FLAME-RETARDANT RESIN COMPOSITION CONTAINING SAME, AND MOLDED ARTICLE | 1 |
Masaya Kakuta | JP | Kanagawa | 2011-07-14 / 20110171541 - FUEL CELL, METHOD FOR OPERATING THE SAME, AND ELECTRONIC DEVICE | 8 |
Jun Kakuta | JP | Yokohama | 2014-11-20 / 20140343698 - DEVICE CONTROL APPARATUS, DEVICE CONTROL METHOD, AND DEVICE CONTROL SYSTEM | 1 |
Hirotoshi Kakuta | JP | Utsunomiya-Shi | 2015-08-13 / 20150224745 - POLYETHYLENE-BASED RESIN FOAM SHEET | 2 |
Takayuki Kakuta | JP | Nagoya-Shi | 2013-06-27 / 20130166854 - STORAGE APPARATUS | 3 |
Takahiro Kakuta | JP | Suita-Shi | 2015-03-12 / 20150073091 - GEL WITH SELF-RESTORABILITY AND SHAPE-MEMORY PROPERTY AND PROCESS FOR PRODUCING SAME | 1 |
Saori Kakuta | JP | Niigata | 2013-03-14 / 20130066129 - CATALYST FOR PROPYLENE PRODUCTION, METHOD FOR PRODUCING SAME, AND METHOD FOR PRODUCING PROPYLENE | 1 |
Junichi Kakuta | JP | Tokyo | 2016-03-24 / 20160082699 - RESIN LAYER-ATTACHED SUPPORTING SUBSTRATE AND METHOD FOR PRODUCING SAME, GLASS LAMINATE AND METHOD FOR PRODUCING SAME, AND METHOD FOR PRODUCING ELECTRONIC DEVICE | 3 |
Yoshiyuki Kakuta | JP | Koto-Ku | 2014-05-29 / 20140144938 - DISPENSING CONTAINER | 1 |
Junichi Kakuta | JP | Chiyoda-Ku | 2015-03-19 / 20150079354 - OPTICAL COMPONENT PRODUCTION METHOD, OPTICAL COMPONENT, AND OPTICAL PANEL PRODUCTION METHOD | 1 |
Kazuyuki Kakuta | JP | Ome | 2013-09-19 / 20130244146 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 1 |
Masayuki Kakuta | JP | Osaka-Shi | 2013-08-01 / 20130193631 - SHEET TRANSPORT DEVICE AND IMAGE FORMING APPARATUS INCORPORATED WITH THE SAME | 2 |
Haruya Kakuta | JP | Omura-Shi | 2014-06-19 / 20140167332 - FINGERPRINT-ERASING CURED FILM, METHOD FOR MANUFACTURING SAME, DISPLAY AND TOUCH PANEL USING SAME, AND ELECTRONIC DEVICE USING THESE | 1 |
Naoki Kakuta | JP | Shizuoka | 2012-05-10 / 20120115375 - CONNECTOR TERMINAL | 2 |
Yoshiyuki Kakuta | JP | Tokyo | 2016-03-17 / 20160075500 - SHOULDER COVER FOR AEROSOL CONTAINER | 9 |
Takeshi Kakuta | JP | Kanagawa | 2008-10-16 / 20080254251 - OPTICAL INFORMATION RECORDING MEDIUM AND METHOD OF RECORDING AND REPRODUCING INFORMATION | 1 |
Junichi Kakuta | JP | Hitachinaka | 2014-01-02 / 20140001359 - METHOD FOR INSPECTING AND MEASURING SAMPLE AND SCANNING ELECTRON MICROSCOPE | 5 |
Katsumi Kakuta | JP | Tokyo | 2013-09-26 / 20130253864 - Magnetic-Field Direction Measuring Apparatus, Rotation Angle Measuring Apparatus, and Magnetic-Field Measuring Apparatus | 4 |
Shigeyuki Kakuta | JP | Tokyo | 2012-08-30 / 20120218542 - INFRARED ANALYSIS APPARATUS | 2 |
Takashi Kakuta | JP | Hokkaido | 2010-01-28 / 20100021373 - Lithium tantalate substrate and process for its manufacture | 2 |
Masayuki Kakuta | JP | Tokyo | 2009-06-25 / 20090158761 - REFRIGERATOR AND AIR CONDITIONER | 1 |
Masayuki Kakuta | JP | Osaka | 2015-06-25 / 20150175369 - SHEET CONVEYANCE MECHANISM, DOCUMENT CONVEYANCE APPARATUS AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 5 |
Shigeru Kakuta | JP | Tokyo | 2014-07-10 / 20140194343 - CTRP6 WHICH CAN BE USED AS THERAPEUTIC AND PROPHYLACTIC AGENT FOR AUTOIMMUNE DISEASES | 2 |
Mitsunao Kakuta | JP | Iwakuni-Shi | 2011-02-10 / 20110033705 - CARBON FIBER AND METHOD FOR PRODUCING THE SAME | 1 |
Tatsuo Kakuta | JP | Chiba | 2016-02-11 / 20160041703 - CHARACTER STRING SELECTING APPARATUS, CHARACTER STRING SELECTING METHOD, AND COMPUTER-READABLE MEDIUM STORING CHARACTER STRING SELECTING PROGRAM | 1 |
Toshiya Kakuta | JP | Tokyo | 2013-03-14 / 20130062220 - Apparatus and Method for Recovering Valuable Substance from Lithium Secondary Battery | 2 |
Yoshihisa Kakuta | JP | Chiba | 2011-05-05 / 20110100467 - BINUCLEAR RUTHENIUM COMPLEX DYE, RUTHENIUM-OSMIUM COMPLEX DYE, PHOTOELECTRIC CONVERSION ELEMENT USING ANY ONE OF THE COMPLEX DYES, AND PHOTOCHEMICAL CELL | 1 |
Yoshinori Kakuta | JP | Tokyo | 2012-08-02 / 20120194748 - VIDEO DISPLAY DEVICE AND LIGHT GUIDE MODULE | 4 |
Shigeyuki Kakuta | JP | Musashino-Shi | 2008-10-30 / 20080266550 - APPARATUS AND METHOD FOR MEASURING FILM THICKNESS | 1 |
Wataru Kakuta | JP | Shizuoka | 2009-03-12 / 20090065280 - EXHAUST PIPE FOR A VEHICLE | 2 |
Takatoshi Kakuta | JP | Isehara-Shi | 2013-12-19 / 20130338574 - ORAL MEDICINAL COMPOSITION FOR PATIENTS UNDERGOING PERITONEAL DIALYSIS AND METHOD FOR USING SAME | 1 |
Mitsuaki Kakuta | JP | Tochigi-Shi | 2009-07-23 / 20090186737 - Power transmission apparatus | 1 |
Michitoshi Kakuta | JP | Tokyo | 2015-03-05 / 20150067211 - Peripheral Equipment Control Device and Information Processing | 1 |
Takeshi Kakuta | JP | Minami-Ashigara-Shi | 2011-10-06 / 20110244271 - HEXAGONAL FERRITE MAGNETIC POWDER AND METHOD OF MANUFACTURING THE SAME, AND MAGNETIC RECORDING MEDIUM | 1 |
Masaya Kakuta | JP | Tokyo | 2016-02-18 / 20160045916 - MICROCHIP AND PARTICULATE ANALYZING DEVICE | 8 |
Yoshiyuki Kakuta | JP | Tokyo | 2016-03-17 / 20160075500 - SHOULDER COVER FOR AEROSOL CONTAINER | 9 |
Toshiya Kakuta | JP | Tokyo-To | / - | 1 |
Hitoshi Kakuta | JP | Abiko-Shi | 2015-11-19 / 20150332115 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Yoshiyuki Kakuta | JP | Saitama | 2009-09-24 / 20090240356 - Audio Signal Reproduction Apparatus | 3 |
Wataru Kakuta | JP | Iwata-Shi | 2009-10-22 / 20090260910 - MOTORCYCLE | 1 |
Hideki Kakuta | JP | Suntou-Gun | 2016-03-10 / 20160070201 - DEVELOPING DEVICE, PROCESS CARTRIDGE, AND ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS | 15 |
Yuko Kakuta | JP | Tokyo | 2011-12-29 / 20110316956 - THERMAL PRINTER AND INK RIBBON CASSETTE | 2 |
Jun Kakuta | JP | Kawasaki | 2011-10-06 / 20110242038 - INPUT DEVICE, INPUT METHOD, AND COMPUTER PROGRAM FOR ACCEPTING TOUCHING OPERATION INFORMATION | 8 |
Takatoshi Kakuta | JP | Kanagawa | 2011-01-27 / 20110021579 - PERITONEUM PROTECTING AGENT | 2 |
Yoshio Kakuta | JP | Saitama-Shi | 2010-12-23 / 20100321805 - Lens-Driving Unit | 6 |
Hiroki Kakuta | JP | Okayama-Shi | 2015-03-19 / 20150080551 - LYSINE OLIGOMER DERIVATIVE AND CARTILAGE TISSUE MARKER MADE THEREOF | 2 |
Junichi Kakuta | JP | Nagano | 2010-05-06 / 20100109235 - SHEET TRANSPORT APPARATUS | 1 |
Yoshimitsu Kakuta | JP | Fukuoka | 2010-03-18 / 20100068759 - MODIFIED CHONDROITIN SYNTHASE POLYPEPTIDE AND CRYSTAL THEREOF | 1 |
Hiroki Kakuta | JP | Okayama | 2010-03-18 / 20100069443 - COMPOUND WITH BENZAMIDE SKELETON HAVING CYCLOOXYGENASE-1 (COX-1)-SELECTIVE INHIBITORY ACTIVITY | 1 |
Yuji Kakuta | JP | Kanagawa | 2009-11-26 / 20090288852 - Electronic device and method of manufacturing the same | 1 |
Yoshihisa Kakuta | JP | Ichihara | 2010-01-14 / 20100006805 - PROCESS FOR PRODUCTION OF BINUCLEAR METAL COMPLEX | 1 |
Tatsuya Kakuta | JP | Yokkaichi-City | 2013-07-11 / 20130175069 - METHOD OF MANUFACTURING WATERPROOF INTERMEDIATE SPLICED PORTION OF WIRES AND WATERPROOF INTERMEDIATE UNIT OF WIRES | 1 |
Yoshimitsu Kakuta | JP | Fukuoka-Shi | 2011-08-11 / 20110195476 - MODIFIED CHONDROITIN SYNTHASE POLYPEPTIDE AND CRYSTAL THEREOF | 1 |
Kazuyuki Kakuta | JP | Tokyo | 2014-10-09 / 20140302679 - PHASE SHIFT MASK, METHOD OF FORMING ASYMMETRIC PATTERN, METHOD OF MANUFACTURING DIFFRACTION GRATING, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Masaya Kakuta | JP | Shizuoka | 2009-05-21 / 20090131639 - ANTIBODY-CONTAINING SOLUTION FORMULATIONS | 1 |
Koji Kakuta | JP | Kitaibaraki-Shi | 2013-01-03 / 20130001645 - SEMICONDUCTOR EPITAXIAL SUBSTRATE | 2 |
Hitoshi Kakuta | JP | Zushi-Shi | 2015-05-21 / 20150138606 - IMAGE PROCESSING APPARATUS, METHOD OF CONTROLLING THE SAME, AND PROGRAM | 1 |
Ryo Kakuta | JP | Tokyo | 2016-05-05 / 20160121979 - SHIP MANAGING DEVICE, SHIP MANAGING SYSTEM, AND PROGRAM | 2 |
Jun Kakuta | JP | Kawasaki | 2011-10-06 / 20110242038 - INPUT DEVICE, INPUT METHOD, AND COMPUTER PROGRAM FOR ACCEPTING TOUCHING OPERATION INFORMATION | 8 |
Yoshihisa Kakuta | JP | Tokyo | 2013-01-17 / 20130014824 - PHOTOELECTRIC CONVERSION ELEMENT COMPRISING BINUCLEAR RUTHENIUM COMPLEX DYE HAVING A SUBSTITUTED BIPYRIDYL GROUP, AND PHOTOCHEMICAL CELLAANM Kakita; KazuakiAACI Ichihara-shiAACO JPAAGP Kakita; Kazuaki Ichihara-shi JPAANM Iwasa; TakafumiAACI Ichihara-shiAACO JPAAGP Iwasa; Takafumi Ichihara-shi JPAANM Kakuta; YoshihisaAACI TokyoAACO JPAAGP Kakuta; Yoshihisa Tokyo JPAANM Shirai; MasashiAACI Ube-shiAACO JPAAGP Shirai; Masashi Ube-shi JPAANM Furuya; ToshioAACI Ube-shiAACO JPAAGP Furuya; Toshio Ube-shi JPAANM Nishino; ShigeyoshiAACI Ube-shiAACO JPAAGP Nishino; Shigeyoshi Ube-shi JPAANM Shima; HidetakaAACI Ube-shiAACO JPAAGP Shima; Hidetaka Ube-shi JP | 3 |
Tatsuya Kakuta | JP | Yokkaichi-Shi | 2012-10-18 / 20120261186 - METHOD FOR PRODUCING WIRING HARNESS, AND WIRING HARNESS | 2 |
Masato Kakuta | JP | Osaka | 2012-08-09 / 20120200482 - LIQUID CRYSTAL DISPLAY PANEL | 1 |
Mitsuaki Kakuta | JP | Tochigi | 2012-09-20 / 20120234120 - POWER TRANSMISSION APPARATUS | 1 |
Haruya Kakuta | JP | Nagasaki | 2012-04-12 / 20120088090 - FINGERPRINT-ERASING CURED FILM, METHOD FOR MANUFACTURING SAME, DISPLAY AND TOUCH PANEL USING SAME, AND ELECTRONIC DEVICE USING THESE | 1 |
Hideki Kakuta | JP | Suntou-Gun | 2016-03-10 / 20160070201 - DEVELOPING DEVICE, PROCESS CARTRIDGE, AND ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS | 15 |
Tetsuya Kakuta | JP | Meguro-Ku | 2013-08-01 / 20130194305 - MIXED REALITY DISPLAY SYSTEM, IMAGE PROVIDING SERVER, DISPLAY DEVICE AND DISPLAY PROGRAM | 1 |
Daisuke Kakuta | JP | Tsukuba-Shi | 2016-03-10 / 20160070079 - OPTICAL FIBER RIBBON AND OPTICAL FIBER CABLE | 2 |
Yushi Kakuta | JP | Numazu-Shi | 2014-11-06 / 20140326225 - SUPERCHARGED INTERNAL COMBUSTION ENGINE | 1 |
Junichi Kakuta | JP | Hitachinake | 2011-09-08 / 20110215243 - METHOD FOR INSPECTING AND MEASURING SAMPLE AND SCANNING ELECTRON MICROSCOPE | 1 |
Masaya Kakuta | JP | Tokyo | 2016-02-18 / 20160045916 - MICROCHIP AND PARTICULATE ANALYZING DEVICE | 8 |
Masaya Kakuta | JP | Kanagawa | 2011-07-14 / 20110171541 - FUEL CELL, METHOD FOR OPERATING THE SAME, AND ELECTRONIC DEVICE | 8 |
Kenji Kakuta | JP | Tokyo | 2014-05-22 / 20140137750 - VACUUM ELECTRIC COOKER | 1 |
Haruya Kakuta | JP | Kyoto | 2015-12-17 / 20150362948 - TOUCH PANEL AND FILM BODY | 1 |
Akiko Kakutani | JP | Yokohama-Shi | 2013-09-05 / 20130228699 - ION SOURCE | 4 |
Makoto Kakutani | JP | Takatsuki | 2011-10-06 / 20110245223 - HETEROCYCLIC COMPOUNDS | 3 |
Koichiro Kakutani | JP | Saitama | 2010-02-11 / 20100033430 - IMAGE REPRODUCTION DEVICE FOR AV DEVICE | 1 |
Toshifumi Kakutani | JP | Abiko-Shi | 2016-04-14 / 20160101955 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 12 |
Masahide Kakutani | JP | Nara | 2016-04-28 / 20160114981 - Chip Conveyor | 1 |
Koichiro Kakutani | JP | Yokohama | 2011-09-22 / 20110229051 - IMAGE PROCESSING CIRCUIT, INFORMATION REPRODUCING APPARATUS AND IMAGE PROCESSING METHOD | 1 |
Toshiaki Kakutani | JP | Shiojiri-Shi | 2013-10-17 / 20130271520 - PRINTING APPARATUS AND PRINTING METHOD | 15 |
Toshiaki Kakutani | JP | Shiojiri | 2015-01-15 / 20150015914 - PRINTING APPARATUS, PRINTING METHOD, IMAGE PROCESSING APPARATUS, AND PROGRAM | 15 |
Toshiaki Kakutani | JP | Nagano-Ken | 2011-06-23 / 20110149304 - Image Output Control System, Image Processing Device, and Image Processing Method | 7 |
Toshiaki Kakutani | JP | Suwa-Shi | 2010-07-15 / 20100177137 - PRINTING APPARATUS, DITHER MASK, AND PRINTING METHOD | 2 |
Toshiaki Kakutani | JP | Shiaiiri-Shi | 2010-03-04 / 20100053247 - PRINTING APPARATUS AND PRINTING METHOD | 1 |
Eiji Kakutani | JP | Kyoto-Shi | 2016-04-28 / 20160117035 - Pressure Detection Device and Input Device | 1 |
Naoya Kakutani | JP | Kashiwa-Shi | 2016-04-14 / 20160105588 - PRINTING APPARATUS, PRINTING APPARATUS CONTROL METHOD, AND PROGRAM | 3 |
Nobuaki Kakutani | JP | Chigasaki-Shi | 2014-10-23 / 20140311410 - FILM-FORMING APPARATUS | 1 |
Osamu Kakutani | JP | Tokyo | 2015-11-19 / 20150333032 - BONDING TOOL COOLING APPARATUS AND METHOD FOR COOLING BONDING TOOL | 3 |
Takenori Kakutani | JP | Hiki-Gun | 2009-12-10 / 20090306243 - COMPOSITION FOR FORMING CURED FILM PATTERN AND METHOD FOR PRODUCING CURED FILM PATTERN BY USING THE SAME | 1 |
Toshiaki Kakutani | JP | Shiojiri | 2015-01-15 / 20150015914 - PRINTING APPARATUS, PRINTING METHOD, IMAGE PROCESSING APPARATUS, AND PROGRAM | 15 |
Akiko Kakutani | JP | Kanagawa | 2014-08-28 / 20140243576 - PARTICLE ACCELERATOR AND MEDICAL EQUIPMENT | 3 |
Akinobu Kakutani | JP | Okayama | 2016-03-24 / 20160082485 - WASHING POSITION CONFIRMATION DEVICE, FLUID DELIVERY POSITION CONFIRMATION DEVICE, WASHING POSITION CONFIRMATION SYSTEM, AND FLUID DELIVERY POSITION CONFIRMATION METHOD | 2 |
Osamu Kakutani | JP | Oume-Shi | 2012-01-26 / 20120018491 - ULTRASONIC HORN | 4 |
Akiko Kakutani | JP | Tokyo | 2008-12-11 / 20080304624 - X-RAY SOURCE | 1 |
Koji Kakutani | JP | Mie | 2012-04-19 / 20120090228 - FUNGI PREVENTING METHOD, FLYING ORGANISM REMOVING APPARATUS AND PLANT PROTECTING APPARATUS BY ADSORPTION OF CONIDIA USING DIELECTRIC POLARIZATION | 2 |
Masayoshi Kakutani | JP | Wakayama | 2009-05-14 / 20090119862 - Cleaning Cloth | 2 |
Satoshi Kakutani | JP | Kashihara-Shi | 2015-11-19 / 20150329139 - ELECTRIC POWER STEERING APPARATUS | 2 |
Satoshi Kakutani | JP | Nara | 2009-11-12 / 20090280914 - Telescopic shaft and vehicle steering apparatus | 1 |
Toshiaki Kakutani | JP | Shioriji | 2013-05-16 / 20130120769 - PRINTING DEVICE, PRINTING METHOD AND PROGRAM THEREOF | 1 |
Kazushige Kakutani | JP | Hirakata-City | 2011-03-31 / 20110074214 - BATTERY APPARATUS AND ELECTRIC VEHICLE | 1 |
Toshifumi Kakutani | JP | Toride-Shi | 2009-12-31 / 20090324276 - FAN DRIVE APPARATUS AND IMAGE FORMING APPARATUS HAVING FAN DRIVE APPARATUS | 3 |
Toshifumi Kakutani | JP | Abiko-Shi | 2016-04-14 / 20160101955 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 12 |
Yuzuru Kakutani | JP | Kanagawa | 2015-12-10 / 20150354887 - LIQUEFIED GAS PRODUCTION FACILITY | 3 |
Masahiro Kakutani | JP | Osaka | 2011-11-03 / 20110266249 - CLOSURE DEVICE FOR A CONTAINER, AND SEAL MEMBER FOR THE DEVICE | 1 |
Ryo Kakutani | JP | Osaka-Shi | 2013-11-07 / 20130295163 - NON-REDUCING END MODIFIED GLUCAN, METHOD FOR PRODUCING SAME, AND USE THEREOF | 1 |
Naoya Kakutani | JP | Kawasaki-Shi | 2015-10-15 / 20150293733 - PRINTING APPARATUS, PRINTING METHOD, AND STORAGE MEDIUM | 21 |
Masahide Kakutani | JP | Nabari-City | 2015-01-22 / 20150023752 - CHIP DISPOSAL DEVICE OF MACHINE TOOL | 1 |
Yoshihiro Kakutani | JP | Toyama | 2014-03-20 / 20140077120 - Magnetically Enhanced Resin | 1 |
Eiji Kakutani | JP | Kyoto-Shi, Kyoto | 2016-05-05 / 20160124560 - PIEZOELECTRIC SENSOR AND PRESSURE DETECTION APPARATUS | 1 |
Masaki Kakutani | JP | Hiratsuka-Shi | 2014-02-27 / 20140055811 - IMAGE PROCESSING APPARATUS AND IMAGE READING APPARATUS | 5 |
Nobukazu Kakutani | JP | Yokohama-Shi | 2012-12-06 / 20120305796 - PARTICLE BEAM IRRADIATION APPARATUS AND CONTROL METHOD OF THE PARTICLE BEAM IRRADIATION APPARATUS | 3 |
Hotaka Kakutani | JP | Nagoya-Shi | 2015-11-05 / 20150317545 - Image Forming Apparatus | 1 |
Masaki Kakutani | JP | Kanagawa | 2013-09-05 / 20130229678 - OPERATION PANEL AND INFORMATION PROCESSING DEVICE | 2 |
Naoya Kakutani | JP | Kawasaki-Shi | 2015-10-15 / 20150293733 - PRINTING APPARATUS, PRINTING METHOD, AND STORAGE MEDIUM | 21 |
Akito Kakuuchi | JP | Osaka | 2015-05-07 / 20150126486 - PHENYL DERIVATIVE | 2 |
Yuuji Kakuya | JP | Okazaki-Shi | 2011-11-24 / 20110287732 - Wireless communication apparatus | 2 |
Yuuji Kakuya | JP | Okazaki-City | 2015-09-24 / 20150270614 - ANTENNA | 3 |
Hiromu Kakuya | JP | Hitachinaka | 2014-05-01 / 20140117762 - Battery System | 7 |
Takumi Kakuya | JP | Ebina-Shi | 2015-05-21 / 20150138667 - MAGNETIC DISK DEVICE | 1 |
Hiromu Kakuya | JP | Tokyo | 2015-12-03 / 20150349663 - Power Conversion Apparatus | 2 |
Takumi Kakuya | JP | Tokyo | 2014-03-13 / 20140071559 - READ/WRITE APPARATUS AND READ/WRITE METHOD | 1 |
Koji Kakuya | JP | Ube-Shi | 2008-12-25 / 20080314839 - Iron composite particles for purifying soil or ground water, process for producing the same, purifying agent containing the same, process for producing the purifying agent and method for purifying soil or ground water | 1 |
Hirohiko Kakuyama | JP | Tokushima | 2009-02-05 / 20090036006 - DRIVE SHAFT SEALING DEVICE FOR SMALL WATERCRAFT | 1 |
Hiroyoshi Kakuyama | JP | Suita-Shi | 2012-01-12 / 20120010264 - NOVEL MEDICAMENT FOR TREATING COGNITIVE IMPAIRMENT | 1 |
Koji Kakuyama | JP | Hyogo | 2010-02-04 / 20100024302 - SENSOR FOR AUTOMATIC DOOR SYSTEM, AND AUTOMATIC DOOR SYSTEM WITH SUCH SENSOR USED THEREIN | 1 |
Koji Kakuyama | JP | Hyogo-Ken | 2009-02-05 / 20090031633 - AUTOMATIC DOOR SYSTEM | 1 |
Aya Kakzau | JP | Osaka | 2010-11-18 / 20100288692 - AROMATIC POLYAMIDE NANOFIBER AND FIBER STRUCTURE CONTAINING THE SAME | 1 |
Seung-Hoon Kal | KR | Anyang-Si | 2015-06-11 / 20150159937 - VACUUM INSULATION PANEL AND REFRIGERATOR INCLUDING THE SAME | 4 |
Seung Hoon Kal | KR | Gyeonggi-Do | 2015-09-24 / 20150267959 - GAS BARRIER FILM, REFRIGERATOR HAVING THE SAME AND METHOD OF MANUFACTURING GAS BARRIER FILM | 1 |
Dae Sung Kal | KR | Seongnam-Si | 2012-05-24 / 20120127718 - LIGHT EMITTING DEVICE FOR AC OPERATION | 7 |
Dae Sung Kal | KR | Ansan-Si | 2015-09-24 / 20150270454 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING GANG BONDING AND SEMICONDUCTOR DEVICE FABRICATED BY THE SAME | 33 |
Han-Yung Kal | KR | Kyungsangbook-Do | 2008-12-04 / 20080296812 - Degassing Apparatus Having Duplex Vacuum Vessel | 1 |
Seung Hoon Kal | KR | Suwon-Si | 2011-03-03 / 20110052278 - FUSING UNIT AND IMAGE FORMING APPARATUS HAVING THE SAME | 1 |
Jin Ha Kal | KR | Seoul | 2014-11-13 / 20140335642 - METHOD FOR MANUFACTURING ORGANIC SOLAR CELL | 3 |
Abhishek Kala | US | Voorhees | 2012-06-07 / 20120141139 - System and Method for Optical-Electrical-Optical Reach Extension in a Passive Optical Network | 1 |
Sumit Kala | US | Sunnyvale | 2016-05-05 / 20160127146 - Communicating During Data Extraction Operations | 2 |
Keerthi Laal Kala | IN | New Delhi | 2012-08-23 / 20120216290 - Partial Access to Electronic Documents and Aggregation for Secure Document Distribution | 1 |
Abhinav Kala | IN | New Delhi | 2012-11-08 / 20120284120 - SYSTEM AND METHOD FOR TARGETING TRANSACTION ACCOUNT PRODUCT HOLDERS TO RECEIVE UPGRADED TRANSACTION ACCOUNT PRODUCTS | 2 |
Emmanuel Kala | KE | Nairobi | 2016-01-28 / 20160028877 - DEVICES, SYSTEMS AND METHODS FOR ENABLING NETWORK CONNECTIVITY | 1 |
Rajat Kala | US | Seattle | 2012-05-03 / 20120110453 - Display of Image Search Results | 1 |
Gopala Krishna Meher Kala | IN | Hyderabad | 2016-05-12 / 20160132813 - SYSTEMS FOR MANAGING QUALITY ASSURANCE OF WORK ASSIGNMENTS | 2 |
Sharad Kala | US | Sunnyvale | 2015-05-14 / 20150134600 - DOCUMENT MANAGEMENT AND COLLABORATION SYSTEM | 1 |
Abhinav K. Kala | IN | New Delhi | 2014-06-12 / 20140164112 - SYSTEM AND METHOD FOR TARGETING FAMILY MEMBERS OF TRANSACTION ACCOUNT PRODUCT HOLDERS TO RECEIVE SUPPLEMENTARY TRANSACTION ACCOUNT PRODUCTS | 1 |
Saleem Kala | FR | Herouville Saint-Clair | 2010-09-09 / 20100227574 - INTEGRATED CIRACUIT WITH RF MODULE, ELECTRONIC DEVICE HAVING SUCH AN IC AND METHOD FOR TESTING SUCH A MODULE | 1 |
Shaik Kalaam | CA | Ottawa | 2013-09-12 / 20130238014 - Protective Garment With Tourniquet | 2 |
Eli B. Kalaani | US | Hamilton | 2010-04-01 / 20100080692 - FAIRING SEAL | 2 |
Dalia Kalabat | US | El Cajon | 2016-03-03 / 20160061833 - RATIONALE, METHODS, AND ASSAYS FOR IDENTIFYING HUMAN AND NON-HUMAN PRIMATE TASTE SPECIFIC GENES AND USE THEREOF IN TASTE MODULATOR AND THERAPEUTIC SCREENING ASSAYS | 8 |
Dalia Kalabat | US | El Cajon | 2016-03-03 / 20160061833 - RATIONALE, METHODS, AND ASSAYS FOR IDENTIFYING HUMAN AND NON-HUMAN PRIMATE TASTE SPECIFIC GENES AND USE THEREOF IN TASTE MODULATOR AND THERAPEUTIC SCREENING ASSAYS | 8 |
Susheel Kalabathula | US | 2014-09-18 / 20140265565 - MODULAR SIGNAL INTERFACE DEVICES AND RELATED DOWNHOLE POWER AND DATA SYSTEMS | 1 | |
Chris Theodore Kalaboukis | US | San Jose | 2010-11-11 / 20100287475 - CONTENT SUMMARY AND SEGMENT CREATION | 1 |
Chris Kalaboukis | US | San Jose | 2015-05-21 / 20150142881 - PERSONAL DATA PLATFORM | 13 |
Chris Kalaboukis | US | Los Gatos | 2016-03-24 / 20160084670 - SYSTEMS AND METHOD FOR DETERMINATION AND DISPLAY OF PERSONALIZED DISTANCE | 66 |
Chris T. Kalaboukis | US | Los Gatos | 2013-02-21 / 20130044132 - USER AUGMENTED REALITY FOR CAMERA-ENABLED MOBILE DEVICES | 10 |
Chris Theodore Kalaboukis | US | Los Gatos | 2009-11-12 / 20090281872 - TARGETING OF ADVERTISEMENTS TO A NETWORK CONTENT PROVIDER | 1 |
Christopher Kalaboukis | US | Los Gatos | 2012-02-02 / 20120030578 - SYSTEM AND METHOD FOR CONTEXT ENHANCED MAPPING WITHIN A USER INTERFACE | 3 |
Chris T. Kalaboukis | US | San Jose | 2013-06-27 / 20130163756 - VISUAL UNIVERSAL DECRYPTION APPARATUS AND METHODS | 4 |
Sergei Kalabuchov | IL | Beer-Sheva | 2012-04-12 / 20120088649 - MANUFACTURING TRANSPARENT YTTRIUM ALUMINUM GARNET BY SPARK PLASMA SINTERING | 2 |
Matko Kalac | US | New York | 2014-03-13 / 20140073668 - N-QUINOLIN-BENZENSULFONAMIDES AND RELATED COMPOUNDS FOR THE TREATMENT OF CANCER, AUTOIMMUNE DISORDERS AND INFLAMMATION | 1 |
Ran Kalach | US | Bellevue | 2015-04-30 / 20150121032 - Data Deduplication in a Virtualization Environment | 22 |
Ran Kalach | US | Bellevue | 2015-04-30 / 20150121032 - Data Deduplication in a Virtualization Environment | 22 |
Alexei Alexandrovich Kalachev | RU | Berlin | 2008-10-02 / 20080237488 - Method to Analyze Physical and Chemical Properties on the Surface Layer of a Solid | 1 |
Alexey Kalachev | DE | Berlin | 2016-02-25 / 20160053063 - HYDROPHILIZING PLASMA COATING | 2 |
Yury Lvovich Kalachev | RU | Moscow | 2009-02-05 / 20090036956 - LASER TREATMENT SYSTEM AND RELATED METHODS | 1 |
Matthew F. Kalady | US | Cleveland Heights | 2013-12-26 / 20130345077 - DIAGNOSIS OF LYMPH NODE INVOLVEMENT IN RECTAL CANCER | 2 |
Kerim Kalafala | US | Hopewell Junction | 2011-02-10 / 20110035714 - SYSTEM AND METHOD FOR COMMON HISTORY PESSIMISM RELIEF DURING STATIC TIMING ANALYSIS | 2 |
Kerim Kalafala | US | Rhinebeck | 2016-03-24 / 20160085895 - SYSTEM AND METHOD FOR EFFICIENT STATISTICAL TIMING ANALYSIS OF CYCLE TIME INDEPENDENT TESTS | 17 |
John F. Kalafut | US | Pittsburgh | 2015-07-02 / 20150182687 - Devices, Systems And Methods For Determining Parameters Of One Or More Phases Of An Injection Procedure | 18 |
Andrew Kalafut | US | Bloomington | 2011-09-08 / 20110219445 - Methods, Systems and Computer Program Products for Identifying Traffic on the Internet Using Communities of Interest | 1 |
John F. Kalafut | US | Pittsburg | 2013-09-26 / 20130253314 - DEVICES AND SYSTEMS FOR DETERMINATION OF PARAMETERS FOR A PROCEDURE, FOR ESTIMATION OF CARDIOPULMONARY FUNCTION AND FOR FLUID DELIVERY | 1 |
Robert L. Kalafut | US | Downers Grove | 2015-06-11 / 20150160628 - WEB-BASED INTERACTION WITH BUILDING AUTOMATION | 2 |
John F. Kalafut | US | Oakmont | 2012-06-14 / 20120150116 - FLUID DELIVERY SYSTEM HAVING A PLURALITY OF RESILIENT PRESSURIZING CHAMBERS | 1 |
Andrew Kalafut | US | Justice | 2014-03-27 / 20140083959 - MERCHANDISING DISPLAY DEVICE | 1 |
John Kalafut | US | Pittsburgh | 2011-07-21 / 20110178359 - Systems For Integrated Radiopharmaceutical Generation, Preparation, Transportation and Administration | 3 |
Murali Krishna Kalaga | IN | Bangalore | 2016-03-17 / 20160076457 - SYSTEM AND METHOD FOR PROVIDING A FILM TREATMENT TO A SURFACE | 6 |
Kaushik Kalaga | US | Houston | 2014-10-23 / 20140315096 - CLAY- BASED ENERGY STORAGE COMPOSITIONS FOR HIGH TEMPERATURE APPLICATIONS | 1 |
Anil Kumar Kalagatla | US | Lynnwood | 2013-03-28 / 20130080968 - USER INTERFACE WITH MEDIA CONTENT PREDICTION | 1 |
Amith Kalaghatagi | US | Arlington | 2009-03-12 / 20090064769 - System and Method for Determining a Center of Gravity of an Aircraft | 1 |
Thomas G. Kalagher | US | Terryville | 2010-04-22 / 20100098987 - CORROSION INHIBITORS, CORROSION INHIBITING HEAT TRANSFER FLUIDS, AND THE USE THEREOF | 1 |
Jayant Kalagnanam | US | Yorktown Heights | 2011-07-21 / 20110178948 - METHOD AND SYSTEM FOR BUSINESS PROCESS ORIENTED RISK IDENTIFICATION AND QUALIFICATION | 2 |
Jayant Kalagnanam | US | Briarcliff Manor | 2015-12-24 / 20150369878 - SYSTEM AND METHOD TO REDUCE HUMAN ACTIVITY DAMAGE-INDUCED POWER OUTAGE | 1 |
Jayant R. Kalagnanam | US | Yorktown Heights | 2013-01-10 / 20130013376 - METHOD AND SYSTEM FOR INTERMEDIATE TO LONG-TERM FORECASTING OF ELECTRIC PRICES AND ENERGY DEMAND FOR INTEGRATED SUPPLY-SIDE ENERGY PLANNING | 2 |
Jayant R. Kalagnanam | US | Tarrytown | 2016-02-25 / 20160055424 - INTELLIGENT HORIZON SCANNING | 25 |
Jayant R. Kalagnanam | US | Briarcliff Manor | 2011-10-20 / 20110258087 - ANALYTICS FOR SETTING UP STRATEGIC INVENTORY SYSTEMS TO HANDLE SMALL LOT ORDERS IN THE STEEL INDUSTRY | 1 |
Jayant R. Kalagnanam | US | Tarrytown | 2016-02-25 / 20160055424 - INTELLIGENT HORIZON SCANNING | 25 |
Aruna Kalagnanam | IN | Bangalore | 2009-06-11 / 20090150500 - System and method for automatically generating request-specific backup contact information in an out of office message | 2 |
Geetha Kalahasti | US | Dallas | 2015-04-30 / 20150118176 - COSMETIC COMPOSITIONS | 1 |
Adam T. Kalai | US | Atlanta | 2011-10-13 / 20110252121 - RECOMMENDATION RANKING SYSTEM WITH DISTRUST | 1 |
Adam T. Kalai | US | Cambridge | 2012-11-22 / 20120296900 - ADAPTIVELY LEARNING A SIMILARITY MODEL | 2 |
Iljya Kalai | CH | Zurich | 2016-04-14 / 20160102988 - METHOD AND APPARATUS OF ROUTE GUIDANCE | 6 |
Adam Tauman Kalai | US | Cambridge | / - | 1 |
Daniel Kalai | US | New York | 2015-09-24 / 20150271167 - Method of Altering Authentication Information to Multiple Systems | 1 |
Adam Kalai | US | Cambridge, | 2013-12-26 / 20130346982 - GENERATING A PROGRAM | 1 |
Iljya Kalai | US | Seattle | 2012-06-07 / 20120143504 - METHOD AND APPARATUS OF ROUTE GUIDANCE | 1 |
Adam Tauman Kalai | US | Atlanta | 2009-04-30 / 20090112989 - TRUST-BASED RECOMMENDATION SYSTEMS | 1 |
Aravind Kalaiah | US | Los Gatos | 2011-03-31 / 20110078427 - TRAP HANDLER ARCHITECTURE FOR A PARALLEL PROCESSING UNIT | 1 |
Ravikumar Kalaimani | IN | Bangalore | 2013-08-15 / 20130210428 - METHOD FOR OPTIMIZED HIGH PRIORITY PLMN SEARCH AND NORMAL SERVICE SCAN IN LIMITED SERVICE | 2 |
G. Kalaiselvi | IN | Pondichery | 2014-02-20 / 20140048202 - SPIRAL CUT LIQUID ADHESIVE LAMINATED FILM | 1 |
Dimitris Kalaitzakis | GR | Crete | 2009-12-03 / 20090298147 - Method of Making (+)- Sitophilure | 1 |
Kyriaki Kalaitzidou | US | Amherst | 2011-03-17 / 20110062635 - Stimuli-responsive surfaces and related methods of fabrication and use | 1 |
Kyriaki Kalaitzidou | US | Atlanta | 2012-11-15 / 20120285673 - NANOSTRUCTURED COMPOSITE POLYMER THERMAL/ELECTRICAL INTERFACE MATERIAL AND METHOD FOR MAKING THE SAME | 1 |
K Kalaivanan | SG | Singapore | 2014-11-13 / 20140334370 - COMMUNICATION DEVICE AND NETWORK, AND METHOD OF COMMUNICATION | 1 |
Kevin Kalajan | US | Nevada City | 2014-10-16 / 20140310351 - SYSTEM AND METHOD FOR SOCIAL NETWORKING BASED ON FAMILY RELATIONSHIPS | 2 |
Kevin Kalajan | US | Nipomo | 2014-01-09 / 20140013367 - SYSTEM AND METHOD FOR MANAGING MULTIPLE LIVE VIDEO BROADCASTS VIA A PUBLIC DATA NETWORK ON A SINGLE VIEWING CHANNEL | 1 |
Kevin E. Kalajan | US | Nevada City | 2016-02-11 / 20160043985 - COMPUTER-IMPLEMENTED SYSTEM AND METHOD FOR NOTIFYING USERS UPON THE OCCURRENCE OF AN EVENT | 2 |
Maher Kalaji | US | South Lake Tahoe | 2012-09-13 / 20120232328 - DRUG ACTIVATION SYSTEM | 1 |
Maher Kalaji | GB | Anglesey | 2009-05-14 / 20090120809 - Nitroreductase biosensors for detecting nitro-compounds | 1 |
Artem Kalajyan | US | Burbank | 2012-01-26 / 20120017441 - COMBINATION DUAL BLADE KNIFE | 1 |
Artem Kalajyan | US | City Of Industry | 2009-10-29 / 20090267313 - STACKABLE DOLLY | 1 |
Fred J. Kalakay, Jr. | US | Fort Wayne | 2014-09-18 / 20140265388 - Positive Penetration Wood Handling Apparatus | 2 |
Anju Kalakkandathil | IN | Bangalore | 2012-07-05 / 20120169759 - METHOD AND APPARATUS FOR CREATING A LIVE ARTISTIC SKETCH OF AN IMAGE | 1 |
Rajendra Prasad Kalakodimi | IN | Bangalore | 2010-05-06 / 20100111757 - METHODS FOR INHIBITING CORROSION IN AQUEOUS MEDIA | 1 |
Sudhakar Kalakota | US | Santa Clara | 2013-12-19 / 20130337755 - BLOCK SHARING USING THREE-WAY TRANSFORMERS IN WIRELESS CIRCUITS | 2 |
Azad Kalakoti | IN | Hadapsar Pune | 2015-04-16 / 20150106279 - COMPLIANCE AS A SERVICE FOR AN ORGANIZATION | 1 |
Mrinal Kalakrishnan | US | Palo Alto | 2016-05-19 / 20160136808 - Real-Time Determination of Object Metrics for Trajectory Planning | 1 |
Rajeshwar Kalakuntla | IN | Siddipet | 2013-10-24 / 20130282726 - GROUPING IDENTITY RECORDS TO GENERATE CANDIDATE LISTS TO USE IN AN ENTITY AND RELATIONSHIP RESOLUTION PROCESS | 3 |
Kamalakar Motiram Kalal | IN | Pune | 2013-05-09 / 20130115671 - ENZYMES FROM CONIDIOBOLUS BREFELDIANUS AND PROCESS FOR PREPARATION THEREOF | 1 |
Prashant Kumar Kalal | SG | Singapore | 2015-10-29 / 20150310032 - INFORMATION MANAGEMENT COMMAND PROCESS DEVICE | 1 |
John David Kalal | US | Aujohoga Falls | 2010-12-09 / 20100307374 - CONTAINER COVER SYSTEM | 1 |
Peter J. Kalal | US | Corning | 2013-01-03 / 20130005611 - Arrays Of Biological Membranes And Methods And Use Thereof | 2 |
Peter J. Kalal | US | Painted Post | 2009-03-12 / 20090068502 - Remote emergency power unit having electrochemically regenerated carbon dioxide scrubber | 1 |
Khaled A. Kalaldeh | JO | Amman | 2014-07-31 / 20140210799 - Interactive Display System and Method | 1 |
Khaled A. Kalaldeh | AE | Dubai Media City | 2009-08-27 / 20090213070 - Processor control and display system | 1 |
Pradeep Kumar Kalale Srinivasa Prasad | IN | Bangalore | 2016-03-17 / 20160080478 - MANAGING OPERATIONS IN A CLOUD MANAGEMENT SYSTEM | 2 |
Masoud Kalali | SE | Uppsala | 2014-09-18 / 20140282472 - SYSTEM AND METHOD FOR VIRTUAL ASSEMBLY PATCHING IN A CLOUD ENVIRONMENT | 2 |
Masoud Kalali | SE | Kista | 2015-03-26 / 20150089031 - SYSTEM AND METHOD FOR PROVIDING CONFIGURATION MODULARITY IN AN APPLICATION SERVER, CLOUD PLATFORM, OR OTHER ENVIRONMENT | 1 |
Steven P. Kalalian | US | New York | 2008-09-25 / 20080235625 - Contact Sheet Generator | 4 |
Faisal Kalam | US | Corona | 2016-05-12 / 20160128830 - METHODS FOR ENSURING SAFE AND RAPID DEPLOYMENT OF PROSTHETIC HEART VALVES | 14 |
Faisal Kalam | US | Corona | 2016-05-12 / 20160128830 - METHODS FOR ENSURING SAFE AND RAPID DEPLOYMENT OF PROSTHETIC HEART VALVES | 14 |
Asa Kalama | US | Glendale | 2016-01-07 / 20160001193 - FULL-DUPLEX, WIRELESS CONTROL SYSTEM FOR INTERACTIVE COSTUMED CHARACTERS | 2 |
Asa K. Kalama | US | Glendale | 2014-12-04 / 20140358263 - TRIGGERING CONTROL OF AUDIO FOR WALK-AROUND CHARACTERS | 1 |
Asa Kalama | US | South Pasadena | 2013-03-21 / 20130073087 - SYSTEM FOR CONTROLLING ROBOTIC CHARACTERS TO ENHANCE PHOTOGRAPHIC RESULTS | 2 |
Asa Kalama | US | Los Angeles | 2008-12-25 / 20080316031 - SYSTEM AND METHOD OF VIRTUALLY PACKAGING MULTIMEDIA | 1 |
Asa K. Kalama | US | South Pasadena | 2013-08-29 / 20130226588 - Simulated Conversation by Pre-Recorded Audio Navigator | 3 |
Christopher G. Kalamaras | US | Watertown | 2015-01-15 / 20150020184 - CONSOLIDATED AUTHENTICATION | 2 |
Patricia H. Kalamaras | US | Milford | 2015-09-24 / 20150268078 - METHOD AND SYSTEM FOR DETECTING COKING GROWTH AND MALDISTRIBUTION IN REFINERY EQUIPMENT | 4 |
Joannis Kalamaras | GR | Athens | 2012-08-09 / 20120202129 - CROSSLINKED OR NON-CROSSLINKED AROMATIC (CO)POLYMERS AS PROTON CONDUCTORS FOR USE IN HIGH TEMPERATURE PEM FUEL CELLS | 1 |
James Kalamas | US | Piedmont | 2013-05-09 / 20130117044 - SYSTEM AND METHOD FOR GENERATING A MEDICATION INVENTORY | 1 |
Alicia Gruber Kalamas | US | Piedmont | 2012-08-09 / 20120203574 - SYSTEM AND METHOD FOR GENERATING A MEDICAL HISTORY | 2 |
Alicia Gruber Kalamas | US | 2011-06-30 / 20110161114 - SYSTEM AND METHOD FOR GENERATING A MEDICAL HISTORY | 1 | |
Thomas M. Kalamas | US | Castorland | 2009-02-19 / 20090047386 - Cultured Dairy Products and Methods of Manufacture | 1 |
Dale Kalamasz | US | Redmond | 2014-08-21 / 20140234281 - METHODS FOR ELIMINATING AT LEAST A SUBSTANTIAL PORTION OF A CLONAL ANTIGEN-SPECIFIC MEMORY T CELL SUBPOPULATION | 4 |
Dimitrios Kalamatianos | IE | Dublin | 2010-09-30 / 20100251438 - MICROSCOPY CONTROL SYSTEM AND METHOD | 1 |
John Kalamatianos | US | Arlington | 2015-10-15 / 20150293854 - DYNAMIC REMAPPING OF CACHE LINES | 19 |
Mahesh Kalambi | US | Austin | 2010-10-21 / 20100268925 - SYSTEM AND METHOD FOR POPULATING A DEDICATED SYSTEM SERVICE REPOSITORY FOR AN INFORMATION HANDLING SYSTEM | 1 |
Evans Kalambokas | US | Greenwich | 2013-08-08 / 20130200558 - Miter Clamp | 2 |
Atul Kalambur | IN | Bangalore | 2014-03-20 / 20140082120 - EFFICIENT CPU MAILBOX READ ACCESS TO GPU MEMORY | 2 |
Subramariam Venkata Kalambur | IN | Bangalore | 2011-08-04 / 20110191777 - Method and Apparatus for Scheduling Data Backups | 1 |
Subramaniam Kalambur | IN | Bangalore Kamataka | 2012-06-28 / 20120166698 - UNIFIED INFORMATION BUS | 1 |
Subramaniam Venkata Kalambur | IN | Bangalore | 2014-02-06 / 20140040913 - JOB PLAN VERIFICATION | 3 |
Praveen Kalamegham | US | Austin | 2011-03-24 / 20110072418 - TRACING MEMORY UPDATE FOR DEBUGGING COMPUTER PROGRAM | 1 |
Abhijit Kalamkar | US | Sunnyvale | 2015-07-23 / 20150206036 - SYSTEM AND METHOD FOR GENERATING A USER INTERFACE FROM A PRINTER DESCRIPTION | 7 |
Lampros Kalampoukas | US | Brick | 2015-11-19 / 20150332687 - APPARATUS AND METHOD FOR DETERMINING AUDIO AND/OR VISUAL TIME SHIFT | 8 |
Michael Kalan | US | Highland Heights | 2016-04-21 / 20160109875 - CUSTOM PROPERTIES IN AN APPLICATION ENVIRONMENT | 21 |
Manfred Kalan | AT | Klagenfurt | 2015-10-01 / 20150278978 - ONBOARD UNIT AND METHOD FOR UPDATING GEODATA THEREIN | 1 |
John Kalan | US | Dallas | 2015-08-27 / 20150244663 - AUTOMATIC DELIVERY SELECTION FOR ELECTRONIC CONTENT | 2 |
Michael D. Kalan | US | Highland Heights | 2014-11-20 / 20140343696 - BINDING GRAPHIC ELEMENTS TO CONTROLLER DATA | 30 |
John French Kalan | US | Dallas | 2014-03-06 / 20140068262 - Secure Message Forwarding With Sender Controlled Decryption | 1 |
Michael Dan Kalan | US | Highland Heights | 2010-04-01 / 20100082130 - MODULAR OBJECT PUBLICATION AND DISCOVERY | 3 |
Michael Dean Kalan | US | Highland Heights | 2009-03-26 / 20090083843 - UNIQUE IDENTIFICATION OF ENTITIES OF AN INDUSTRIAL CONTROL SYSTEM | 1 |
Michael Kalan | US | Highland Heights | 2016-04-21 / 20160109875 - CUSTOM PROPERTIES IN AN APPLICATION ENVIRONMENT | 21 |
Shivkumar Kalanaraman | IN | Bangalore | 2013-05-16 / 20130124689 - CONTENT DELIVERY USING MULTIPLE SOURCES OVER HETEROGENEOUS INTERFACES | 1 |
Shivkumar Kalanaraman | IN | Bangalor | 2012-05-17 / 20120124173 - CONTENT DELIVERY USING MULTIPLE SOURCES OVER HETEROGENEOUS INTERFACES | 1 |
Anthonie Kaland | NL | Eindhoven | 2013-10-24 / 20130276984 - COATING APPARATUS HAVING A HIPIMS POWER SOURCE | 1 |
Navas Khan Oratti Kalandar | MY | Petaling Jaya | 2015-10-22 / 20150303137 - MULTI-USE SUBSTRATE FOR INTEGRATED CIRCUIT | 11 |
Navas Khan Oratti Kalandar | MY | Pelaling Jaya | 2015-07-02 / 20150187728 - EMICONDUCTOR DEVICE WITH DIE TOP POWER CONNECTIONS | 1 |
Navas Khan Oratti Kalandar | IN | Petaling Jaya | 2015-12-17 / 20150364439 - SEMICONDUCTOR DEVICE HAVING POWER DISTRIBUTION USING BOND WIRES | 1 |
Navas Khan Oratti Kalandar | US | Austin | 2016-03-24 / 20160086880 - COPPER WIRE THROUGH SILICON VIA CONNECTION | 4 |
Navas Khan Oratti Kalandar | MY | Petaling Jaya | 2015-10-22 / 20150303137 - MULTI-USE SUBSTRATE FOR INTEGRATED CIRCUIT | 11 |
Navas Khan Oratti Kalandar | MY | Subang Jaya | 2014-09-18 / 20140263584 - WIRE BONDING APPARATUS AND METHOD | 4 |
Iuri Kalandarishvili | GE | Tbilisi | 2014-11-27 / 20140347079 - SYSTEM AND METHOD FOR ELECTROSTATIC DISCHARGE TESTING OF DEVICES UNDER TEST | 2 |
Bruce A. Kalandek | US | Dearborn | 2016-05-12 / 20160129875 - CURTAIN AIRBAG WITH OFFSET COUNTERMEASURE | 6 |
Vijay R. Kalangumvathakkal | IN | Pathanamthitta | 2015-09-24 / 20150271032 - AUTOMATED SYNCHRONIZATION OF DISTRIBUTED DASHBOARDS | 1 |
Vijay R. Kalangumvathakkal | IN | Bangalore | 2011-01-27 / 20110022663 - Partially and Completely Duplicative Messages Handling | 1 |
Mahshid Kalani | MY | Selangor | 2013-01-24 / 20130022742 - Method for Producing Polymer-Encapsulated Nanoparticles | 1 |
M. Yashar S. Kalani | US | Irvine | 2008-09-04 / 20080213892 - SELF-RENEWAL OF NEURAL STEM CELLS IS PROMOTED BY WNT PROTEINS | 1 |
Ashish Kalani | US | Sunnyvale | 2010-04-29 / 20100106680 - VENDOR PORTFOLIO MANAGEMENT IN SUPPORT OF VENDOR RELATIONSHIP MANAGEMENT ANALYSIS, PLANNING AND EVALUATION | 1 |
Paul Hassanali Kalani | US | Irvine | 2012-07-12 / 20120175180 - METHOD AND APPARATUS FOR AN OFFROAD VEHICLE | 1 |
Christopher Joseph Kalani | US | San Francisco | 2015-08-13 / 20150227619 - Query Construction on Online Social Networks | 1 |
Travis Kalanick | US | San Francisco | 2015-11-19 / 20150332425 - USER-CONFIGURABLE INDICATION DEVICE FOR USE WITH AN ON-DEMAND SERVICE | 5 |
Jeevan J. Kalanithi | US | San Francisco | 2012-07-05 / 20120169748 - DISTRIBUTED GRAPHICS ENGINE | 1 |
Jeevan James Kalanithi | US | San Francisco | 2016-04-21 / 20160112279 - Sensor-based Distributed Tangible User Interface | 6 |
Jeevan Kalanithi | US | San Francisco | 2013-07-25 / 20130189925 - Pairing Wireless Device Using Multiple Modalities | 3 |
Daniel Kalanovic | DE | Munich | 2014-08-07 / 20140222030 - DEVICES AND METHODS FOR FASTENING TISSUE LAYERS | 1 |
Daniel Kalanovic | DE | Berlin | 2016-02-25 / 20160051256 - METHODS AND DEVICES FOR FOLDING AND SECURING TISSUE | 1 |
Daniel Kalanovic | DE | Muenchen | 2013-03-07 / 20130060264 - METHODS AND DEVICES FOR FOLDING AND SECURING TISSUE | 1 |
Daniel Kalanovic | DE | Stuttgart | 2011-05-26 / 20110124963 - DEVICES AND METHODS FOR FASTENING TISSUE LAYERS | 1 |
Prasanna Kalansuriya | AU | Clayton | 2014-12-04 / 20140354414 - RFID AND APPARATUS AND METHODS THEREFOR | 1 |
Thomas H. Kalantar | US | Midland | 2016-03-10 / 20160068673 - POLYUREA MACROMER AND LATEXES THEREOF | 17 |
Thomas H. Kalantar | US | Midland | 2016-03-10 / 20160068673 - POLYUREA MACROMER AND LATEXES THEREOF | 17 |
Thomas Kalantar | US | Midland | 2011-06-30 / 20110160350 - REDISPERSIBLE POLYMER POWDERS STABILIZED WITH PROTECTIVE COLLOID COMPOSITIONS | 1 |
Michael Husayn Kalantar | US | Chapel Hill | 2010-02-04 / 20100030893 - AUTOMATED DISCOVERY OF A TOPOLOGY OF A DISTRIBUTED COMPUTING ENVIRONMENT | 3 |
Michael H. Kalantar | US | Chapel Hill | 2016-02-25 / 20160054992 - DESIGNING AND CROSS-CONFIGURING SOFTWARE | 17 |
Michael H. Kalantar | US | Chapel Hill | 2016-02-25 / 20160054992 - DESIGNING AND CROSS-CONFIGURING SOFTWARE | 17 |
Michael Husayn Kalantar | US | Millwood | 2009-05-21 / 20090132702 - Generic Method for Resource Monitoring Configuration in Provisioning Systems | 2 |
Seyed B. Kalantar | US | Houston | 2009-07-23 / 20090187129 - Trauma cervical stability device and methods of using same for diagnostic purposes | 1 |
Amir M. Kalantari | US | Glastonbury | 2009-07-09 / 20090173251 - Engine support system | 1 |
Arash Kalantari | US | Chicago | 2015-07-09 / 20150191246 - HYBRID AERIAL AND TERRESTRIAL VEHICLE | 2 |
Nader Kalantari | US | Laguna Niguel | 2014-10-30 / 20140320212 - RADIO FREQUENCY POWER AMPLIFIERS | 2 |
Mahdieh Kalantari | IR | Khoramabad | 2011-06-30 / 20110159113 - HYPERBRANCHED POLYESTER AND A METHOD OF SYNTHESIZING A HYPERBRANCHED POLYESTER | 1 |
Merdad Kalantari | US | Leawood | 2015-12-03 / 20150345838 - GEOTHERMAL HEAT PUMP SYSTEM | 1 |
Rahi Kalantari | CA | Ancaster | 2011-12-08 / 20110300809 - METHOD OF VERIFICATION FOR A WIRELESS SYSTEM | 1 |
Mahdieh Kalantari | IR | Khorramabad | 2012-08-16 / 20120208780 - HYBRID NANOMATERIALS CONSISTING OF PSEUDOROTAXANES, PSEUDOPOLYROTAXANES, ROTAXANES, POLYROTAXANES, NANOPARTICLES AND QUANTUM DOTS | 1 |
Haik Kalantarian | US | Los Angeles | 2016-01-28 / 20160026767 - NON-INVASIVE NUTRITION MONITOR | 1 |
Keivan Kalantarifiroozabad | CA | Toronto | 2011-08-18 / 20110197570 - Burner for Heating a Stream of Gas | 1 |
Mina Kalantarzadeh | GB | Surrey | 2012-02-09 / 20120034289 - Antimicrobial Material | 1 |
Mina Kalantarzadeh | GB | Guildford | 2013-02-21 / 20130045954 - ANTIMICROBIAL COMPOSITION | 1 |
Kamyar Kalantar-Zadeh | US | Torrance | 2012-05-03 / 20120103072 - PROGNOSTIC ASSAYS FOR MAINTENANCE HEMODIALYSIS PATIENTS | 1 |
Atul Laxmikant Kalantri | IN | Pune | 2009-04-02 / 20090089301 - Method and System for Efficiently Managing Content on an Information Handling Device | 1 |
Mahesh Rameshwar Kalantri | IN | Maharashtra | 2010-05-27 / 20100129441 - Pharmaceutical compositions comprising entacapone, levodopa, and carbidopa | 1 |
Sacchindra K. G. Kalantri | IN | New Delhi | 2010-09-16 / 20100233993 - SYSTEM FOR COLLECTING BILLABLE INFORMATION IN A GROUP COMMUNICATION NETWORK | 1 |
Mahesh Rameshwar Kalantri | IN | Beed | 2010-04-29 / 20100104634 - PHARMACEUTICAL COMPOSITIONS OF ENTACAPONE | 1 |
Neha Kalantri | US | Burbank | 2015-02-12 / 20150046949 - TIME-LINE BASED DIGITAL MEDIA POST VIEWING EXPERIENCE | 1 |
Lida Kalantzi | GR | Athens | 2010-05-27 / 20100126287 - PHARMACEUTICAL ANALYSIS APPARATUS AND METHOD | 1 |
Hussein Kalaoui | US | Philadelphia | 2009-08-20 / 20090205463 - DIFFERENTIAL GEAR ASSEMBLY AND METHOD | 1 |
Fadi Kalaouze | US | College Station | 2013-06-13 / 20130146592 - SUPPLEMENTAL LIQUID STORAGE DEVICE ATTACHABLE TO A PRIMARY BEVERAGE CONTAINER | 1 |
Fadi Kalaouze, Jr. | US | Bryan | 2009-11-05 / 20090272747 - MULTI-COMPARTMENT FLUID STORAGE DEVICE | 1 |
Vivekanand Kalaparthi | US | Medford | 2014-02-20 / 20140051868 - Methods and Systems For Synthesis of Ultrabright Silica Particles Capable of Measuring Temperature | 1 |
Ragini Kalapatapu | US | 2013-02-07 / 20130032705 - RADIOMETERS FOR MEASURING CIRCUMSOLAR PROFILES | 1 | |
Ragini Kalapatapu | IN | Hyderabad | 2015-12-10 / 20150355018 - RADIOMETERS FOR MEASURING CIRCUMSOLAR PROFILES | 1 |
Dutt Kalapatapu | US | Santa Clara | 2015-11-19 / 20150334622 - Apparatus and Method to Perform LTE/WLAN Handoff by Keeping LTE Attached or in Suspended State | 11 |
Raghavateja Kalapatapu | US | San Francisco | 2014-02-06 / 20140040882 - TECHNIQUES FOR RAPID DEPLOYMENT OF SERVICE ARTIFACTS | 1 |
Dutt H. Kalapatapu | US | Santa Clara | 2014-07-31 / 20140211648 - SYSTEM AND METHODS FOR ANONYMOUS CROWDSOURCING OF NETWORK CONDITION MEASUREMENTS | 3 |
Dutt Kalapatapu | US | Santa Clara | 2015-11-19 / 20150334622 - Apparatus and Method to Perform LTE/WLAN Handoff by Keeping LTE Attached or in Suspended State | 11 |
V.v.m. Sairam Kalapatapu | IN | Gujarat | 2012-10-04 / 20120252796 - COMPOUNDS FOR THE TREATMENT OF DYSLIPIDEMIA AND RELATED DISEASES | 1 |
Raghavateja Kalapatapu | IN | Secunderabad | 2011-06-30 / 20110161915 - TECHNIQUES FOR RAPID DEPLOYMENT OF SERVICE ARTIFACTS | 1 |
Sairam V.v.m. Kalapatapu | IN | Ahmedabad | 2015-04-09 / 20150099696 - NOVEL COMPOUNDS FOR THE TREATMENT OF DYSLIPIDEMIA AND RELATED DISEASES | 1 |
Dutt Harinarayan Kalapatapu | US | Santa Clara | 2015-09-17 / 20150264587 - Parameter-based facilitation of interworking and network selection | 1 |
Sairam Kalapatapu, V.v.m. | IN | Gujarat | 2013-10-24 / 20130281366 - HETEROCYCLIC COMPOUNDS SUITABLE FOR THE TREATMENT OF DYSLIPIDEMIA | 1 |
Paul E. Kalapodas | US | Rexville | 2011-07-28 / 20110185302 - MONITOR FOR UVC/IR DECONTAMINATION SYSTEMS | 2 |
Chakrapani Kalapu | IN | Bangalore | 2012-10-18 / 20120261257 - LOW COST ELECTROCHEMICAL DISPOSABLE SENSOR FOR MEASURING GLYCATED HEMOGLOBIN | 1 |
Arja Kalapudas | FI | Ouiu | 2011-01-20 / 20110015448 - METHOD FOR THE PREPARATION OF THERAPEUTICALLY VALUABLE TRIPHENYLBUTENE DERIVATIVES | 1 |
Arja Kalapudas | FI | Oulu | 2008-09-04 / 20080214860 - METHODS FOR THE PREPARATION OF FISPEMIFENE FROM OSPEMIFENE | 2 |
Kent Kalar | US | Austin | 2013-01-03 / 20130003777 - Multi Wavelength DTS Fiber Window with PSC Fiber | 6 |
Kent Kalar | US | Sunset Valley | 2011-09-22 / 20110231135 - Auto-correcting or self-calibrating DTS temperature sensing systems and methods | 1 |
Minas Kalarakis | GR | Iraklion | 2014-06-12 / 20140159275 - CONCENTRIC CO-EXTRUSION DIE AND A METHOD OF EXTRUDING A MULTILAYER THERMOPLASTIC FILM | 1 |
Krishna Kalari | US | Rochester | 2010-12-09 / 20100311606 - PHARMACOGENOMIC CELL LINE PANEL AND USE THEREOF | 1 |
Krishna Kalari | US | Jacksonville | 2012-01-26 / 20120021920 - RADIATION THERAPY BIOMARKERS | 1 |
Panos Kalaritis | US | Florence | 2015-01-22 / 20150025255 - PROCESS FOR PREPARING SYNTHETIC PROSTACYCLINS | 3 |
Mayur Kalariya | US | Natick | 2013-09-19 / 20130243689 - MULTI-COMPARTMENTAL MACROPHAGE DELIVERY | 1 |
Jiri Kalas | CH | Amden | 2011-05-05 / 20110104399 - METHOD OF REMOVING CONTAMINATION FROM A REACTOR | 1 |
Swaroop S. Kalasapur | US | Sunnyvale | 2013-09-26 / 20130254836 - BROWSER SECURITY STANDARDS VIA ACCESS CONTROL | 1 |
Swaroop S. Kalasapur | US | Santa Clara | 2012-05-10 / 20120117073 - PERSONAL MASHUPS | 9 |
Swaroop Kalasapur | US | San Jose | 2016-02-25 / 20160057220 - SYSTEM FOR CLOUD COMPUTING USING WEB COMPONENTS | 1 |
Swaroop S. Kalasapur | US | San Jose | 2014-02-13 / 20140047015 - WEB APPLICATION SCRIPT MIGRATION | 2 |
Swaroop Kalasapur | US | Sunnyvale | 2012-07-26 / 20120191706 - SITUATION-AWARE RECOMMENDATION USING CORRELATION | 14 |
Swaroop Kalasapur | US | Santa Clara | 2012-06-28 / 20120166436 - METHOD AND SYSTEM FOR GENERATING PLAYLISTS FOR CONTENT ITEMS | 12 |
Sergej Kalaschnikow | AT | Wien | 2012-05-24 / 20120127763 - ELECTRIC POWER SUPPLY SYSTEM COMPRISING POWER MODULES COUPLED IN PARALLEL | 1 |
Victor Kalashnikov | US | N. Hills | 2011-04-28 / 20110094253 - Ice conveyer | 1 |
Vitaly Kalashnikov | US | Norwalk | 2015-08-06 / 20150220347 - DETERMINISTIC AND OPPORTUNISTIC MULTITHREADING | 2 |
Vitaly Kalashnikov | US | Stamford | 2009-07-30 / 20090193279 - METHOD FOR ENABLING MULTI-PROCESSOR SYNCHRONIZATION | 1 |
Yury Dmitrievich Kalashnikov | RU | Moscow | 2014-08-21 / 20140235041 - CHEMICAL VAPOR DEPOSITION REACTOR HAVING CERAMIC LINING FOR PRODUCTION OF POLYSILICON | 1 |
Maxim Kalashnikov | US | Brighton | 2014-09-18 / 20140273046 - METHOD AND DEVICE FOR RAPID DETECTION OF BACTERIAL ANTIBIOTIC RESISTANCE/SUSCEPTIBILITY | 1 |
Maxim Kalashnikov | US | Chestnut Hill | 2012-05-17 / 20120122831 - METHOD AND DEVICE FOR RAPID DETECTION OF BACTERIAL ANTIBIOTIC RESISTANCE/SUSCEPTIBILITY | 1 |
Georgiy Alekseevich Kalashnikov | RU | Moscow | 2015-11-19 / 20150331145 - METHOD FOR PRODUCING A THREE-DIMENSIONAL CHARACTERISTIC MODEL OF A POROUS MATERIAL SAMPLE FOR ANALYSIS OF PERMEABILITY CHARACTERISTICS | 1 |
Andrey Kalashnikov | RU | Penza | 2014-03-13 / 20140069824 - PROTECTIVE BUMPER CASE | 1 |
Sergei Kalashnikov | US | West Bloomfield | 2009-02-12 / 20090038535 - Instrument Pointer Assembly | 1 |
Surschafe Kalasin | US | Ambert | 2009-05-14 / 20090124016 - Nanopatterned surfaces and related methods for selective adhesion, sensing and separation | 1 |
Surachate Kalasin | US | Amherst | 2012-06-14 / 20120144904 - NANOPATTERNED SURFACES AND RELATED METHODS FOR SELECTIVE ADHESION, SENSING AND SEPARATION | 1 |
Surachate Kalasin | US | Chicopee | 2016-03-10 / 20160069861 - SENSORS AND METHODS FOR CAPTURING TARGETED CELLS | 1 |
Anastasia Kalaskani | GR | Pallini Attikis | 2011-03-17 / 20110065751 - Improved pharmaceutical composition containing a selective estrogen receptor modulator and method for the preparation thereof | 1 |
Naveen Kumar Kalaskar | US | Sunnyvale | 2015-07-30 / 20150212910 - HIGH AVAILABILITY ACROSS GEOGRAPHICALLY DISJOINT CLUSTERS | 2 |
Vitali Kalasouski | BY | Minsk | 2008-09-11 / 20080221983 - Network information distribution system and a method of advertising and search for supply and demand of products/goods/services in any geographical location | 1 |
Milena Kalat | AT | Vienna | 2009-12-10 / 20090304718 - Antibody Molecules Specific for Fibroblast Activation Protein and Immunoconjugates Containing Them | 1 |
Wojciech Kalata | US | Wood Dale | 2011-10-06 / 20110246162 - TANK WASH SYSTEM | 3 |
Gregory S. Kalata | US | Avon | 2015-11-12 / 20150323110 - CONDUIT FITTING WITH COMPONENTS ADAPTED FOR FACILITATING ASSEMBLY | 3 |
Dileep Manisseri Kalathil | IN | Kerala | 2012-01-19 / 20120014476 - Pilot Aided Data Transmission and Reception with Interference Mitigation in Wireless Systems | 1 |
Ramitha Kalathil | IN | Bangalore | 2015-12-10 / 20150352164 - COMPOSITION COMPRISING THEANINE AND AN EXTRACT OF INULA RACEMOSA | 3 |
Dileep Manisseri Kalathil | IN | Chennai | 2015-07-30 / 20150215063 - PILOT AIDED DATA TRANSMISSION AND RECEPTION WITH INTERFERENCE MITIGATION IN WIRELESS SYSTEMS | 1 |
Ravi K. Kalathil | US | Denver | 2015-06-11 / 20150161336 - Aggregated Electronic Health Record Based, Massively Scalable and Dynamically Adjustable Clinical Trial Design and Enrollment Procedure | 2 |
Raghunath Mammulli Kalathil | US | Longmont | 2013-11-07 / 20130296668 - Systems and Methods for Varying a Sampling Rate of a Signal | 2 |
Ravi Kalathil | US | Waltham | 2010-06-03 / 20100137693 - METHODS AND SYSTEMS FOR PATIENT CARE | 2 |
Sankaranarayanan Kalathil | IN | Bangalore | 2013-03-14 / 20130066587 - WIRELESS MAGNETIC POSITION SENSOR | 3 |
Dileep Manisseri Kalathil | IN | Malappuram | 2015-09-24 / 20150271003 - PRECODING FOR SINGLE TRANSMISSION STREAMS IN MULTIPLE ANTENNA SYSTEMS | 4 |
Ramesh Kalathur | US | Fairfax | 2008-11-06 / 20080274735 - Dispatch Network with IMS Integration | 1 |
Pranav Kalavade | US | San Jose | 2016-03-24 / 20160087646 - APPARATUS AND METHOD FOR MAPPING BINARY TO TERNARY AND ITS REVERSE | 25 |
Pranav Kalavade | US | 2015-10-01 / 20150279476 - RAMPING INHIBIT VOLTAGE DURING MEMORY PROGRAMMING | 1 | |
Asawaree Kalavade | US | Stowe | 2011-01-13 / 20110009122 - MOBILE SERVICES CONTROL PLATFORM PROVIDING A CONVERGED VOICE SERVICE | 1 |
Pranav Kalavade | US | Santa Clara | 2012-05-31 / 20120137048 - METHOD AND APPARATUS FOR IMPROVING ENDURANCE OF FLASH MEMORIES | 1 |
Asawaree Kalavade | US | Concord | 2015-07-09 / 20150195166 - METHOD AND APPARATUS FOR REAL-TIME COLLECTION OF INFORMATION ABOUT APPLICATION LEVEL ACTIVITY AND OTHER USER INFORMATION ON A MOBILE DATA NETWORK | 1 |
Asawaree Kalavade | US | Stow | 2009-10-01 / 20090248680 - System and Method for Sharing Anonymous User Profiles with a Third Party | 7 |
Asawaree Kalavade | US | Acton | 2014-07-03 / 20140189107 - SYSTEM AND METHOD FOR SHARING ANONYMOUS USER PROFILES WITH A THIRD PARTY | 4 |
Pranav Kalavade | US | San Jose | 2016-03-24 / 20160087646 - APPARATUS AND METHOD FOR MAPPING BINARY TO TERNARY AND ITS REVERSE | 25 |
Aravind Kalavagattu | US | Dublin | 2016-03-24 / 20160087925 - SYSTEM AND METHOD FOR AUTO-FORMATTING MESSAGES BASED ON LEARNED MESSAGE TEMPLATES | 1 |
Sushma Kalavagunta | US | Burke | 2012-05-10 / 20120111118 - METHOD AND APPARATUS FOR AUTOMATED ULTRASONIC INSPECTION | 1 |
Raghunath Kalavai | US | Bedminster | 2015-01-29 / 20150030138 - METHOD AND APPARATUS FOR DETECTING AND LOCATING LOOP IMPAIRMENTS | 2 |
Anil Kalavakolanu | US | Austin | 2016-03-24 / 20160087912 - DYNAMIC STORAGE BANDWIDTH ALLOCATION | 24 |
Anil Kalavakolanu | US | Austin | 2016-03-24 / 20160087912 - DYNAMIC STORAGE BANDWIDTH ALLOCATION | 24 |
Rajesh Kalavalapally | US | Ocean | 2012-12-06 / 20120310321 - RECONSTRAINABLE STENT DELIVERY SYSTEM | 2 |
Aravind Kalavara | IN | Bangalore | 2014-07-03 / 20140182290 - MULTI-STAGE TURBOCHARGED ENGINE | 1 |
Michael V. Kalavitz | US | Plainwell | 2012-11-15 / 20120289082 - ELECTRICAL INTERFACE AND METHOD | 4 |
Michael V. Kalavitz | US | Allegan | 2014-02-27 / 20140054437 - MAST BRACKET | 1 |
Yasar Kalay | TR | Ankara | 2014-03-27 / 20140087756 - ACCELERATED LOCATION INFORMATION ACQUIRING SYSTEM AND METHOD | 1 |
Semih Kalay | US | Colorado Springs | 2011-08-04 / 20110189047 - RAILROAD RAIL STEELS RESISTANT TO ROLLING CONTACT FATIGUE | 1 |
Genadiy Kalayanov | SE | Huddinge | 2015-06-25 / 20150175648 - HCV POLYMERASE INHIBITORS | 2 |
Veli E. Kalayci | US | Farmington | 2014-08-07 / 20140217011 - WEB COMPRISING FINE FIBER AND REACTIVE, ADSORPTIVE OR ABSORPTIVE PARTICULATE | 9 |
Veli E. Kalayci | US | Famington | 2011-01-13 / 20110005180 - REDUCED SOLIDITY WEB COMPRISING FIBER AND FIBER SPACER OR SEPARATION MEANS | 1 |
Veli Kalayci | US | Farmington | 2014-07-31 / 20140208702 - AIR/OIL SEPARATOR ASSEMBLIES; COMPONENTS; AND METHODS | 4 |
Veli E. Kalayci | US | Farmington | 2014-08-07 / 20140217011 - WEB COMPRISING FINE FIBER AND REACTIVE, ADSORPTIVE OR ABSORPTIVE PARTICULATE | 9 |
Metin Kalayci | CA | Ontario | 2014-11-27 / 20140351797 - ERROR INJECTION INTO THE LEAF FUNCTIONS OF CALL GRAPHS | 1 |
Veli Kalayci | US | Burnsville | 2010-01-28 / 20100018934 - Polysulfone and poly(N-vinyl lactam) polymer alloy and fiber and filter materials made of the alloy | 1 |
Veli Engin Kalayci | US | Farmington | 2012-11-01 / 20120272828 - WEB COMPRISING FINE FIBER AND REACTIVE, ADSORPTIVE OR ABSORPTIVE PARTICULATE | 4 |
Nick Kalayjian | US | San Francisco | 2012-02-23 / 20120047536 - SYSTEM AND METHOD FOR USING A WEBPAD TO CONTROL A DATA STREAM | 4 |
Zaven Kalayjian | US | Baltimore | 2013-05-23 / 20130131761 - HIGH-VOLTAGE CMOS NEUROELECTRONIC INTERFACE FOR A MULTICHANNEL VESTIBULAR PROSTHESIS | 1 |
Nicholas R. Kalayjian | US | San Carlos | 2015-03-26 / 20150083505 - INTEGRATED ELECTRIC MOTOR ASSEMBLY | 10 |
Nicholas Kalayjian | US | San Carlos | 2015-01-15 / 20150019783 - SYSTEM AND METHOD FOR ACCESSING A USER INTERFACE VIA A SECONDARY DEVICE | 6 |
Robert Kalayjian | US | Long Beach | 2012-03-29 / 20120074753 - ERGONOMIC KNEELING BENCH OR STOOL | 1 |
Nicholas R. Kalayjian | US | Long Beach | 2013-03-07 / 20130057682 - MOVING IMAGER CAMERA FOR TRACK AND RANGE CAPTURE | 3 |
Nicholas Robert Kalayjian | US | San Carlos | 2013-12-19 / 20130337705 - HIGH VOLTAGE CABLE CONNECTOR | 15 |
Nicholas Robert Kalayjian | US | San Carlos | 2013-12-19 / 20130337705 - HIGH VOLTAGE CABLE CONNECTOR | 15 |
Nicholas R. Kalayjian | US | San Carlos | 2015-03-26 / 20150083505 - INTEGRATED ELECTRIC MOTOR ASSEMBLY | 10 |
Nick Kalayjian | US | San Carlos | 2012-01-26 / 20120019194 - LEAKAGE CURRENT REDUCTION IN COMBINED MOTOR DRIVE AND ENERGY STORAGE RECHARGE SYSTEM | 2 |
Murat V. Kalayoglu | US | Boston | 2013-02-07 / 20130034588 - CHLAMYDIA PNEUMONIAE ASSOCIATED CHRONIC INTRAOCULAR DISORDERS AND TREATMENT THEREOF | 4 |
Murat V. Kalayoglu | US | Silver Spring | 2016-03-10 / 20160067263 - METHODS AND COMPOSITIONS FOR TOPICAL DELIVERY OF PROSTAGLANDINS TO SUBCUTANEOUS FAT | 14 |
Murat V. Kalayoglu | US | Silver Spring | 2016-03-10 / 20160067263 - METHODS AND COMPOSITIONS FOR TOPICAL DELIVERY OF PROSTAGLANDINS TO SUBCUTANEOUS FAT | 14 |
Frank David Kalb | US | Lantana | 2015-11-05 / 20150315870 - Composite Wellbore Ball Valve | 8 |
Roland Kalb | AT | Sinabelkirchen | 2016-05-19 / 20160138876 - IONIC LIQUIDS FOR COOLING IN HIGH TEMPERATURE ENVIRONMENT | 2 |
Oskar Kalb | CH | Basel | 2014-10-30 / 20140323495 - Stabilized Amorphous Forms of Imatinib Mesylate | 5 |
Matthew Benjamin Kalb | US | Gardnerville | 2012-03-29 / 20120073364 - SIDEBAND ENERGY RATIO METHOD FOR GEAR MESH FAULT DETECTION | 1 |
Oskar Kalb | DE | Lorrach | 2012-07-12 / 20120177737 - HIGH DRUG LOAD TABLET | 7 |
Kenneth J. Kalb | US | Solana Beach | 2016-05-12 / 20160132161 - LOCATION OR CROWD SOURCE BASED GAMING METHOD AND APPARATUS | 14 |
Frank D. Kalb | US | Cypress | 2014-06-05 / 20140151064 - Hybrid-Tieback Seal Assembly Using Method and System for Interventionless Hydraulic Setting of Equipment when Performing Subterranean Operations | 2 |
Johannes A. Kalb | US | San Jose | 2011-06-02 / 20110128770 - STORED MULTI-BIT DATA CHARACTERIZED BY MULTIPLE-DIMENSIONAL MEMORY STATES | 1 |
Paul D. Kalb | US | Wading River | 2012-04-26 / 20120097074 - Stabilized Sulfur Binding Using Activated Fillers | 1 |
Matthew Kalb | US | Gardnerville | 2010-08-05 / 20100198534 - SYSTEM AND METHOD FOR MONITORING THE CONDITION OF A GEAR ASSEMBLY | 1 |
James R. Kalb | US | Petersburg | 2015-10-08 / 20150285298 - Modular Tooling Apparatus Having Serrated Teeth for Orbital and Linear Adjustment | 10 |
David Kalb | US | Brisbane | 2014-02-06 / 20140036484 - Combination planter box and saucer with internal lighting | 1 |
Roland Kalb | AT | Leoben | 2015-09-17 / 20150259200 - METHOD OF USE OF AN IONIC LIQUID FOR STORING HYDROGEN | 15 |
Frederique Kalb | NO | Hjellestad | 2008-10-30 / 20080266577 - Apparatus for Measuring an Internal Dimension of a Well Bore | 1 |
Roland Kalb | DE | Grossheirath | 2014-06-26 / 20140173984 - METHOD AND DEVICE FOR MONITORING A DRIVE UNIT, ESPECIALLY OF A WINDOW LIFTER, COMPRISING A ROTATING DRIVE MOTOR | 2 |
Wolfgang Kalb | CH | Zurich | 2010-02-25 / 20100044687 - ORGANIC FIELD-EFFECT TRANSISTORS WITH POLYMERIC GATE DIELECTRIC AND METHOD FOR MAKING SAME | 2 |
Frederique Kalb | BR | Sao Paulo | 2010-07-22 / 20100185394 - DEVICE FOR MEASURING A FLUID FLOW VELOCITY AND DIRECTION | 1 |
Roland Kalb | AT | Leoben | 2015-09-17 / 20150259200 - METHOD OF USE OF AN IONIC LIQUID FOR STORING HYDROGEN | 15 |
Franziska Kalb | DE | Fichtelberg | 2013-10-03 / 20130257335 - METHOD FOR OPERATING AN ELECTRIC MACHINE | 4 |
Roland Kalb | DE | Rossach | 2014-09-04 / 20140245664 - Control Device for Operating an Electric Window Lifter | 5 |
Alan I. Kalb | US | Fairfax | 2012-12-27 / 20120325630 - Nano/Micro Electro-Mechanical Relay | 1 |
Frederique Kalb | FR | Boncourt | 2010-10-28 / 20100270464 - METHODS AND APPARATUS TO OPTICALLY DETERMINE VELOCITIES OF DOWNHOLE FLUIDS | 2 |
Frank D. Kalb | US | Lantana | 2014-09-18 / 20140262301 - Mechanically Adjustable Flow Control Assembly | 1 |
Oskar Kalb | DE | Loerrach | 2016-04-14 / 20160101105 - HIGH DRUG LOAD TABLET | 3 |
Aaron S. Kalb | US | San Rafael | 2012-12-27 / 20120330642 - TRANSLATING PHRASES FROM ONE LANGUAGE INTO ANOTHER USING AN ORDER-BASED SET OF DECLARATIVE RULES | 2 |
Aaron Kalb | US | Mountain View | 2014-09-18 / 20140280287 - ASSISTED QUERY FORMATION, VALIDATION, AND RESULT PREVIEWING IN A DATABASE HAVING A COMPLEX SCHEMA | 4 |
Arthur Kalb | US | Santa Clara | 2012-07-19 / 20120182075 - APPARATUS AND METHOD FOR MILLER COMPENSATION FOR MULTI-STAGE AMPLIFIER | 1 |
Matt Benjamin Kalb | US | Gardnerville | 2015-07-09 / 20150192456 - SYSTEMS AND METHODS FOR STORAGE AND ANALYSIS OF PERIODIC WAVEFORM DATA | 1 |
Johannes A. Kalb | US | San Francisco | 2012-06-07 / 20120140553 - REVERSIBLE LOW-ENERGY DATA STORAGE IN PHASE CHANGE MEMORY | 1 |
Daniel M. Kalb | US | Los Alamos | 2013-05-09 / 20130116459 - METHOD AND APPARATUS FOR ACOUSTICALLY MANIPULATING BIOLOGICAL PARTICLES | 1 |
Hermann Kalb | DE | Schnaittach | 2013-12-19 / 20130338758 - BIOCORRODIBLE MAGNESIUM ALLOY IMPLANT | 1 |
Nadine Kalb | DE | Zimmern O.r. | 2012-01-12 / 20120009269 - RANGE OF ASEPTICALLY PRODUCED INFANT FOODS HAVING LOW CONCENTRATIONS OF UNDESIRED BY-PRODUCTS AND METHODS FOR MAKING THE SAME | 1 |
Arthur J. Kalb | US | Santa Clara | 2016-05-12 / 20160134278 - APPARATUS AND METHODS FOR REDUCING CHARGE INJECTION MISMATCH IN ELECTRONIC CIRCUITS | 6 |
Thomas Franklin Kalb | US | Minden | 2015-11-12 / 20150325060 - SYSTEMS AND METHODS FOR MONITORING PROTECTION DEVICES OF AN INDUSTRIAL MACHINE | 1 |
Frank David Kalb | US | Lantana | 2015-11-05 / 20150315870 - Composite Wellbore Ball Valve | 8 |
George William Kalb | US | Wheeling | 2009-01-29 / 20090025285 - BRIQUETTING PROCESS | 1 |
Kenneth J. Kalb | US | Solana Beach | 2016-05-12 / 20160132161 - LOCATION OR CROWD SOURCE BASED GAMING METHOD AND APPARATUS | 14 |
Paul Kalb | US | Wading River | 2009-07-09 / 20090177025 - Mercury Contamination Extraction | 1 |
James R. Kalb | US | Petersburg | 2015-10-08 / 20150285298 - Modular Tooling Apparatus Having Serrated Teeth for Orbital and Linear Adjustment | 10 |
William B. Kalb | US | Cambridge | 2014-10-30 / 20140318118 - FLEXIBLE ROBOTIC ACTUATORS | 1 |
Thomas Franklin Kalb | US | Gardnerville | 2014-08-21 / 20140236319 - PROTECTION MONITORING SYSTEM WITH FAULT INDICATORS | 3 |
Alisha Vachhani Kalb | US | Cincinnati | 2011-02-24 / 20110044818 - BIFORMAL PLATFORM TURBINE BLADE | 1 |
Johannes Kalb | US | San Jose | 2011-06-09 / 20110134685 - Energy-efficient set write of phase change memory with switch | 2 |
Klaus Kalbacher | DE | Rangendingen | 2015-10-22 / 20150300747 - BRAZED PLATE HEAT EXCHANGER WITH A FUNCTIONAL COMPONENT | 9 |
Klaus Kalbacher | DE | Rangendingen | 2015-10-22 / 20150300747 - BRAZED PLATE HEAT EXCHANGER WITH A FUNCTIONAL COMPONENT | 9 |
Klaus Kalbacher | DE | Bundesrepublik | 2009-10-22 / 20090260787 - HEAT EXCHANGER FOR MOTOR VEHICLES | 1 |
Rohit Satish Kalbag | US | Acton | 2009-04-30 / 20090110159 - MESSAGE DELIVERY USING A VOICE MAIL SYSTEM | 1 |
Geeta S. Kalbag | US | Milpitas | 2009-08-13 / 20090202388 - ANALYTE COLLECTION AND DETECTION DEVICES | 1 |
Rohit Satish Kalbag | US | Bridgewater | 2012-12-27 / 20120327899 - METHOD AND DEVICE FOR PROVIDING INTER-DOMAIN HANDOFF CONFIGURATION INFORMATION TO A DUAL MODE ACCESS TERMINAL | 10 |
Rohit Satish Kalbag | US | Bridgewater | 2012-12-27 / 20120327899 - METHOD AND DEVICE FOR PROVIDING INTER-DOMAIN HANDOFF CONFIGURATION INFORMATION TO A DUAL MODE ACCESS TERMINAL | 10 |
Rohit Satish Kalbag | US | Coppell | 2012-04-12 / 20120089272 - Vehicle Monitoring Device Based on Use of Dashboard Indicators | 1 |
Manish K. Kalbande | US | Sunnyvale | 2010-12-30 / 20100332539 - PRESENTING A RELATED ITEM USING A CLUSTER | 1 |
Subash Kalbarga | US | Suwanee | 2012-08-23 / 20120216189 - Methods, Devices and Computer Program Products for Emulating a Physical Hard Drive as Multiple Virtual Hard Drives | 5 |
Mansour Kalbasi | IR | Tehran | 2010-07-01 / 20100167915 - Hydrodesulphurization Nanocatalyst, Its Use and a Process for Its Production | 1 |
Mohammad Ali Kalbassi | GB | Weybridge | 2015-12-17 / 20150360167 - Radial Flow Adsorber 'U' Configuration | 5 |
Ganesh Kalbavi | US | Rochester | 2014-03-13 / 20140074286 - SYSTEM TO MONITOR/ANALYZE ROBOT RELATED INFORMATION AND DISPLAY ON A SMART DEVICE | 3 |
Michael Kalbe | DE | Weinheim | 2013-08-15 / 20130210967 - AQUEOUS BINDER COMPOSITION | 9 |
Michael Kalbe | DE | Weinhem | 2009-08-27 / 20090214846 - FIXABLE NONWOVEN INTERLINING MATERIAL USED IN THE TEXTILE INDUSTRY | 1 |
Michael Kalbe | DE | Weinheim | 2013-08-15 / 20130210967 - AQUEOUS BINDER COMPOSITION | 9 |
Jochen Kalbe | DE | Leichlingen | 2015-02-05 / 20150038537 - Agents For The Control Of Parasites On Animals | 3 |
Alexander Kalbeck | DE | Burglengenfeld | 2015-11-12 / 20150322930 - METHOD FOR THE VACUUM SUPPLY FOR A PNEUMATIC BRAKE BOOSTER OF A MOTOR VEHICLE BRAKING SYSTEM | 10 |
Chris Kalberer | US | Chico | 2009-12-10 / 20090301990 - STAINLESS STEEL CONTAINER AND PLASTIC CAP WITH FINGER LOOP AND STAINLESS STEEL PLUG | 1 |
Martin A. Kalberer | US | Redwood City | 2011-01-20 / 20110013912 - HEADER ASSEMBLY FOR COMMUNICATIONS MODULE | 1 |
Roderick W. Kalberer | US | Waynesville | 2013-11-21 / 20130309515 - CONTAINER WITH HIGH MOISTURE BARRIER PROPERTIES AND A RECLOSABLE POUR SPOUT | 1 |
Martin Andre Kalberer | US | Redwood City | 2009-01-15 / 20090016391 - HEADER ASSEMBLY FOR EXTENDED TEMPERATURE OPTICAL TRANSMITTER | 2 |
Eric W. Kalberer | US | Laramie | 2013-03-21 / 20130067991 - Hydrocarbon Separation and Analysis Apparatus and Methods | 1 |
Martin Kalberer | US | Redwood City | 2013-10-24 / 20130279139 - TRANSISTOR OUTLINE CAN WITH IN-LINE ELECTRICAL COUPLINGS | 1 |
Russell G. Kalbfeld | US | Naperville | 2016-01-07 / 20160000215 - DENTAL HYGIENE DEVICE | 8 |
Russell Glen Kalbfeld | US | Naperville | 2009-03-26 / 20090081609 - DISPOSABLE PROPHY ANGLE GRIP | 1 |
Russell Kalbfeld | US | Naperville | 2011-02-24 / 20110041870 - DENTAL FLOSSER | 1 |
Russell G. Kalbfeld | US | Naperville | 2016-01-07 / 20160000215 - DENTAL HYGIENE DEVICE | 8 |
Katrin Kalbfleisch | DE | Havixbeck | 2015-12-24 / 20150368380 - ANTIMICROBIAL POLYMER | 1 |
Charles A. Kalbfleisch | US | Traverse City | 2010-07-29 / 20100189498 - Systems And Method For Monitoring And Controlling A Vehicle Travel Surface | 2 |
Brian Joseph Kalbfleisch | US | Lombard | 2014-07-24 / 20140205988 - SYSTEM, SOFTWARE AND ASSOCIATED METHOD OF USE THEREOF FOR THE TRANSFER OF INFORMATION BETWEEN A MODERATOR ADN RECIPIENTS | 2 |
William G. Kalbfleisch | US | Reisterstown | / - | 1 |
Alex Kalbfleisch | DE | Darmstadt | 2010-02-04 / 20100028286 - Method and Composition for Stabilizing Hair Moisture Levels | 2 |
Axel Kalbfleisch | DE | Darmstadt | 2014-12-04 / 20140356305 - Hair Care Composition | 4 |
Clemens Kalbfuss | DE | Mainz | 2010-06-10 / 20100146514 - TEST MANAGEMENT SYSTEM AND METHOD | 1 |
Micha Kalbhenn | DE | Ludwigsburg | 2013-11-28 / 20130312525 - METHOD AND DEVICE FOR ACOUSTICALLY SENSING AN AREA | 1 |
Robert Michael Kalb, Jr. | US | Wataga | 2011-03-24 / 20110070789 - Insulative barrier blanket with enhanced performance | 1 |
Benny Kalbratt | SE | Goteborg | 2011-04-14 / 20110088087 - METHOD FOR AUTHENTICATION | 1 |
Robert Michael Kalb, Sr. | US | Oneida | 2011-03-24 / 20110070789 - Insulative barrier blanket with enhanced performance | 1 |
Tamar Kalcar | IL | Klachim | 2009-05-14 / 20090125435 - Trading Plaftorm System and Method for Diamond and Precious Stone Transactions | 1 |
Oded Kalchiem | IL | Rehovot | 2012-11-22 / 20120296642 - METHOD AND APPRATUS FOR TEMPORAL SPEECH SCORING | 1 |
Shantanu Kalchuri | US | San Diego | 2011-08-11 / 20110193243 - Unique Package Structure | 1 |
Shantanu Kalchuri | US | San Jose | 2014-09-18 / 20140264816 - SEMICONDUCTOR PACKAGE STRUCTURE | 6 |
Stefan Kalck | DE | Eisenach | 2009-10-22 / 20090265788 - METHOD AND DEVICE FOR THE PSEUDONYMIZATION OF DIGITAL DATA | 1 |
Christopher E. Kalck | US | Phoenix | 2015-05-21 / 20150136825 - SELF-ADJUSTABLE CARRYING STRAP SYSTEM AND METHODS TO MANUFACTURE SELF-ADJUSTABLE CARRYING STRAP SYSTEM | 2 |
Philippe Kalck | FR | Auzeville-Tolosane | 2014-10-16 / 20140309455 - PRODUCTION OF ACETIC ACID WITH ENHANCED CATALYST STABILITY | 2 |
Christopher E. Kalck | US | Anthem | 2012-03-08 / 20120055819 - GOLF BAGS WITH RETENTION SYSTEM AND METHODS TO MANUFACTURE GOLF BAGS | 1 |
Philippe Joseph Kalck | FR | Auzeville Tolosane | 2013-07-18 / 20130184491 - Production of Acetic Acid with Enhanced Catalyst Stability | 1 |
Christopher E. Kalck | US | Scottsdale | 2014-10-30 / 20140318998 - DEPLOYABLE RAIN HOODS FOR GOLF BAGS AND METHODS TO MANUFACTURE THE SAME | 1 |
Sébastien Kalck | DE | Niefern | 2015-03-19 / 20150076240 - METHOD FOR PRODUCING A SMARTCARD BODY FOR RECEIVING A SEMICONDUCTOR CHIP AND SMARTCARD BODY OF THIS TYPE | 1 |
Philippe J. Kalck | FR | Auzeville-Tolosane | 2013-06-27 / 20130165688 - Methanol Carbonylation Process with Rhodium Catalyst and a Metallic Co-Catalyst Selected from Transition Metals, Zinc, Beryllium, Indium, Tin, Strontium and Barium | 3 |
Colleen Kalczynski | US | Shelby Twp. | 2014-09-18 / 20140260727 - Electric Power Steering Assembly | 1 |
Ihab Francis Iiyas Kaldas | CA | Waterloo | 2014-06-05 / 20140156606 - Method and System for Integrating Data Into a Database | 1 |
Ihab Francis Ilyas Kaldas | CA | Waterloo | 2013-02-28 / 20130054541 - Holistic Database Record Repair | 2 |
Ihab Francis Ilyas Kaldas | QA | Doha | 2013-10-17 / 20130275393 - DATA CLEANING | 1 |
Ihab Francis Llyas Kaldas | QA | Doha | 2012-11-22 / 20120296879 - Guided data repair | 1 |
Amit Kaldate | US | Glen Allen | 2013-05-23 / 20130126412 - DOWNFLOW DENITRIFICATION SYSTEM | 1 |
Ram Dattatray Kaldate | IN | Chennai | 2009-10-22 / 20090264643 - Process for The Preparation of Beta-Lactam Antibiotic | 1 |
Ram Dattatray Kaldate | IN | Aurangabad | 2012-04-19 / 20120095210 - PROCESS FOR THE PREPARATION OF BETA-LACTAM ANTIBIOTIC | 1 |
Harold Kalde | US | St. Louis | 2012-05-24 / 20120124862 - BI-COMPONENT/BINDER FIBER INSOLE | 2 |
Øyvind Kaldestad | US | Littleton | 2016-01-28 / 20160026623 - METHODS AND SYSTEMS FOR THE DYNAMIC CREATION OF A TRANSLATED WEBSITE | 2 |
Tim Kaldewey | US | Mountain View | 2011-03-17 / 20110066947 - Incident Command Post | 1 |
Tim Kaldewey | US | San Jose | 2014-11-06 / 20140330801 - LOCK-FREE CREATION OF HASH TABLES IN PARALLEL | 1 |
Tim Kaldewey | DE | Ennigerloh | 2009-11-26 / 20090292465 - SYSTEM AND METHOD FOR NAVIGATING A FACILITY | 2 |
Magne Kaldhusdal | NO | Oslo | 2011-02-24 / 20110044969 - Antimicrobial Agents for the Treatment of Campylobacter Species in the Crop of a Bird | 1 |
Katrin Kaldma | EE | Tartu | 2011-07-14 / 20110171255 - EXPRESSION VECTOR ENCODING ALPHAVIRUS REPLICASE AND THE USE THEREOF AS IMMUNOLOGICAL ADJUVANT | 1 |
Jonathan Kaldor | US | San Mateo | 2014-07-24 / 20140204036 - PREDICTING TOUCH INPUT | 1 |
Jonathan M. Kaldor | US | San Mateo | 2016-03-17 / 20160078602 - Display Object Pre-Generation | 9 |
Stephen W. Kaldor | US | Del Mar | 2012-08-09 / 20120202999 - MAPK/ERK KINASE INHIBITORS | 16 |
Matthew J. Kaldor | US | Bismarck | 2014-03-06 / 20140067215 - SIDE-BY-SIDE DIESEL UTILITY VEHICLE | 5 |
Matthew J. Kaldor | US | Bismark | 2014-03-06 / 20140060219 - FRONT POWER TAKEOFF FOR UTILITY VEHICLE | 1 |
Daniel Edward Kaldor | JP | Tokyo | 2011-03-03 / 20110054770 - METHOD AND DEVICE FOR GENERATING AND COMMUNICATING GEOGRAPHIC ROUTE INFORMATION BETWEEN WIRELESS COMMUNICATION DEVICES | 1 |
Stephen W. Kaldor | US | San Diego | 2016-05-12 / 20160130247 - INHIBITORS OF LYSINE SPECIFIC DEMETHYLASE-1 | 4 |
Matthew James Kaldor | US | Bismarck | 2014-09-18 / 20140277985 - TRACTION CONTROL FOR POWER MACHINE | 1 |
Andrew Kaldor | US | Warren | 2009-09-17 / 20090234166 - Hydroconversion process for petroleum resids by hydroconversion over carbon supported metal catalyst followed by selective membrane separation | 1 |
Stephen Kaldor | US | San Diego | 2015-01-15 / 20150018331 - ANTIBACTERIAL AGENTS | 2 |
Istvan Kaldor | US | Durham | 2010-12-02 / 20100305207 - GLYCOGEN PHOSPHORYLASE INHIBITOR COMPOUND AND PHARMACEUTICAL COMPOSITION THEREOF | 5 |
Jonathan M. Kaldor | US | San Mateo | 2016-03-17 / 20160078602 - Display Object Pre-Generation | 9 |
Shreedhar Kale | US | West Chester | 2011-06-16 / 20110144766 - Allograft Bone Plugs, Systems and Techniques | 1 |
Chetan V. Kale | US | Cupertino | 2015-12-03 / 20150347543 - FEDERATED SEARCH | 1 |
James Kale | US | Greenacres | 2011-10-06 / 20110244292 - Thermal Isolating Apparatus and Method for Batteries in a Telecommunications Equipment Shelter | 1 |
Kaustubh Kale | US | Sunrise | 2011-10-27 / 20110263275 - INTELLIGENT LOCATION-BASED SERVICES | 1 |
Sumant Kale | US | Allen | 2015-03-05 / 20150067426 - PACKET BASED INTEGRATED CIRCUIT TESTING | 2 |
Zeb Kale | US | Hiddenite | 2013-02-14 / 20130037157 - UP-JACKETED SUB-DUCTS | 1 |
Mandar A. Kale | IN | Bangalore | 2011-12-29 / 20110319063 - METHODS SYSTEMS AND COMPUTER PROGRAM PRODUCTS FOR MASKING PHONE NUMBERS OF COMMUNICATION DEVICES | 2 |
Gautam Pratap Kale | IN | Pune | 2014-10-30 / 20140321732 - AUTOMATIC DETECTION OF STEREOSCOPIC CONTENT IN VIDEO/IMAGE DATA | 2 |
Vidyadhar Sitaram Kale | US | Oswego | 2011-05-19 / 20110115974 - Integrated lens and chip assembly for a digital camera | 1 |
Rajesh Kale | IN | Aurangabad | 2014-05-29 / 20140148431 - 1,6- Diazabicyclo [3,2,1] octan-7-one derivatives and their use in the treatment of bacterial infections | 1 |
Ramesh Ratan Kale | IN | Cambridge | 2015-10-29 / 20150309022 - Synthetic Ligands for the Differentiation of Closely Related Toxins and Pathogens | 1 |
Suresh Raghunath Kale | IN | Satpur | 2014-05-22 / 20140138176 - VEHICLE BONNET STRUCTURE FOR PEDESTRIAN PROTECTION | 1 |
Sujata Kale | US | Boston | 2008-10-09 / 20080248006 - Process For Ex Vivo Formation of Mammalian Bone And Uses Thereof | 1 |
Shiv D. Kale | US | Fairfax | 2009-01-08 / 20090011510 - Device and method for biolistic transformation of cells | 1 |
Satyen Kale | US | Seattle | / - | 1 |
Aniket V. Kale | US | Champaign | 2009-08-27 / 20090215990 - Method and system for production of zein and/or xanthophylls using chromatography | 1 |
Shreyas Abhay Kale | US | Morrisville | 2009-09-17 / 20090234991 - ENHANCED THROUGHPUT COMMUNICATION WITH A PERIPHERAL DEVICE | 4 |
David Kale | US | Palo Alto | 2011-08-18 / 20110202517 - SEARCH OVER STRUCTURED DATA | 2 |
Shiv Kale | US | Fairfax | 2014-11-06 / 20140331365 - COMPOSITIONS AND METHODS TO PROTECT CELLS BY BLOCKING ENTRY OF PATHOGEN PROTEINS | 3 |
Kaustubh Kale | US | Tamarac | 2012-06-14 / 20120147867 - SERVERLESS MULTICAST VOICE ENHANCED BARCODE SCANNER ARCHITECTURE | 3 |
Arti Abhay Kale | US | Westminster | 2012-07-05 / 20120173556 - METHOD AND SYSTEM FOR MANAGING SEMANTIC AND SYNTACTIC METADATA | 3 |
Poorna Kale | US | Folsom | 2016-04-14 / 20160103627 - METHOD AND APPARATUS FOR CONFIGURING WRITE PERFORMANCE FOR ELECTRICALLY WRITABLE MEMORY DEVICES | 9 |
Satyen Chandrakant Kale | US | Cambridge | 2010-08-05 / 20100198685 - PREDICTING WEB ADVERTISEMENT CLICK SUCCESS BY USING HEAD-TO-HEAD RATINGS | 1 |
Amit Kale | US | Waltham | 2010-11-11 / 20100285111 - SELF-ASSEMBLING MICELLE-LIKE NANOPARTICLES FOR SYSTEMIC GENE DELIVERY | 1 |
Anubhav Kale | US | Bellevue | 2013-11-07 / 20130297452 - PAYMENT PROCESSING FOR CLIENT DEVICES | 1 |
Bharat Bhanudas Kale | IN | Pune | 2014-06-19 / 20140170088 - BARIUM ZIRCONIUM OXIDE (BaZrO3.BaCO3) NANOPARTICLES | 1 |
Balkrishna Ramchandra Kale | IN | Nasik | 2015-06-11 / 20150157613 - HETEROCYCLIC COMPOUNDS AS PROTEIN KINASE INHIBITORS | 2 |
Shekhar P. Kale | US | Foster City | 2012-12-06 / 20120310792 - PRODUCT COMMON OBJECT | 1 |
Madhuvanti S. Kale | US | Flourtown | 2015-12-24 / 20150368372 - Cellulosic Arabinoxylan fiber (CAF) And Methods Of Preparing | 2 |
Sanjay Anantha Kale | IN | Mumbai | 2011-04-14 / 20110087024 - PROCESS FOR THE PREPARATION OF PALIPERIDONE INTERMEDIATES | 1 |
Amit Kale | IN | Bangalore | 2015-12-31 / 20150379373 - AUTOMATIC ASSESSMENT OF PERCEPTUAL VISUAL QUALITY OF DIFFERENT IMAGE SETS | 6 |
Deepak Kale | IN | Warje | 2010-12-23 / 20100322397 - METHOD TO SET THE FLAG AS REPLIED OR FORWARDED TO ALL REPLIED OR FORWARDED VOICE MESSAGES | 1 |
Sandeep Bhaskar Kale | IN | Maharashtra | 2010-09-02 / 20100222570 - Process for production of chlorinated sucrose based on hydrophobic affinity chromatography | 1 |
Manoj Ganpat Kale | IN | Bangalore | 2010-06-03 / 20100137303 - NEW COMPOUND 255 | 1 |
Uma Kale | IN | Bangalore | 2010-06-03 / 20100138257 - ARCHITECTURAL DESIGN FOR SELLING STANDARDIZED SERVICES APPLICATION SOFTWARE | 1 |
Sandeep Bhaskar Kale | IN | Mumbai | 2012-04-19 / 20120094364 - CONTINUOUS COUNTERCURRENT FLUIDIZED MOVING BED (FMB) AND/OR EXPANDED MOVING BED (EMB) | 2 |
Nitin Anand Kale | IN | Bangalore | 2009-12-24 / 20090319100 - SYSTEMS AND METHODS FOR DEFINING AND RENDERING A TRAJECTORY | 1 |
Vaijayanti P. Kale | IN | Pune | 2009-12-10 / 20090305325 - Method for Preservation of Human Hematopoietic Stem or Progenitor Cells | 1 |
Sanjay Anantha Kale | IN | Navi Mumbai | 2012-02-02 / 20120028045 - Processes for the Preparation of Indiplon and Intermediates Thereof | 2 |
Ramesh Ratan Kale | IN | Maharashtra | 2009-02-12 / 20090042816 - Synthetic Ligands For The Differentiation Of Closely Related Toxins And Pathogens | 1 |
Vaijayanti Prakash Kale | IN | Pune | 2008-10-02 / 20080241870 - Composition For Creating an Artificial Bone Marrow Like Environment and Use Thereof | 1 |
Bharat B. Kale | IN | Pune | 2015-10-08 / 20150287485 - X-RAY SHIELDING MATERIAL AND METHOD OF PREPARATION THEREOF | 1 |
Gajanan Kale | IN | Bangalore | 2014-08-21 / 20140234675 - A POWER PACK SYSTEM AND A VENTILATION SYSTEM PROVIDED THEREIN | 1 |
Andrew Kale | US | La Jolla | 2014-09-04 / 20140248333 - COMPOSITIONS AND METHODS FOR INHIBITING PROTEASES | 1 |
Brian Max Kale | US | Henderson | 2015-03-12 / 20150073850 - Assessing Staffing Coverage for Software Applications | 2 |
Rajesh Kale | IN | Amravati 6 | 2015-11-19 / 20150328201 - NITROGEN CONTAINING COMPOUNDS | 3 |
Ritesh Kale | US | Pullman | 2016-04-14 / 20160105610 - System and Method for Viewing a Plurality of Videos | 3 |
Amol Kale | IN | Aurangabad | 2015-07-23 / 20150203503 - 1,6- DIAZABICYCLO [3,2,1] OCTAN-7-ONE DERIVATIVES AND THEIR USE IN THE TREATMENT OF BACTERIAL INFECTIONS | 1 |
Ramchandran Vishnu Kale | IN | Rashin | 2015-07-23 / 20150203484 - PROCESS FOR THE PREPARATION OF TENELIGLIPTIN | 1 |
Ravikant Kale | IN | Mumbai | 2015-07-23 / 20150206675 - MULTI-FUNCTION FOOT SWITCH | 6 |
Suresh Kale | IN | Pune, Maharashtra | 2016-03-10 / 20160068035 - TWIST BEAM WITH JOINED INNER AND OUTER PARTS | 1 |
Mangesh Kale | IN | Pune | 2011-07-14 / 20110170682 - UNIVERSAL CONTACT MANAGER | 1 |
Aniket Kale | US | Wellesley | 2013-07-04 / 20130167432 - EXTRACTION OF NEUTRAL LIPIDS BY A TWO SOLVENT METHOD | 1 |
Aniket Kale | US | Gilbert | 2014-01-16 / 20140017760 - TUNABLE ELECTRICAL FIELD FOR AGGREGATING MICROORGANISMS | 6 |
David Charles Kale | US | Los Angeles | 2013-10-03 / 20130261496 - Method and Apparatus for Indicating the Emergence of a Pre-Ulcer and its Progression | 3 |
Poorna Kale | US | Folson | 2013-06-06 / 20130141980 - REDUCED SIGNAL INTERFACE MEMORY DEVICE, SYSTEM, AND METHOD | 1 |
Madhav K. Kale | US | Sandy | 2015-05-21 / 20150143107 - DATA SECURITY TOOLS FOR SHARED DATA | 1 |
Christopher J. Kale | CA | Richmond Hill | 2009-05-07 / 20090119391 - TARGETED WEB PAGE REDIRECTION | 1 |
Radheshyam Kale | IN | Nagpur | 2012-04-12 / 20120087986 - PHARMACEUTICAL FORMULATIONS COMPRISING DESVENLAFAXINE | 1 |
Izzet Kale | GB | Surrey | 2009-03-05 / 20090058705 - Satellite Radio Navigation Receiver | 1 |
Engin Kale | TR | Istanbul | 2016-01-28 / 20160023247 - COTTON FEEDING UNIT | 1 |
Hemangi Parag Kale | US | Solon | 2013-11-07 / 20130295542 - SIMULATION TRAINING DEVICE FOR ASSESSMENT OF CERVICAL DILATATION | 3 |
Satyen Kale | US | New York | 2015-12-03 / 20150348086 - METHODS AND SYSTEMS FOR AD PLACEMENT PLANNING | 2 |
Chetan V. Kale | US | Chandler | 2011-08-25 / 20110207455 - METHOD AND APPARATUS FOR ESTIMATING CELLULAR TOWER LOCATION | 2 |
Shriniket Kale | US | Palo Alto | 2016-05-19 / 20160142787 - Apparatus and Method for Context-based Storage and Retrieval of Multimedia Content | 1 |
Snehal Kale | IN | Pune | 2014-10-30 / 20140320148 - SYSTEM AND METHOD FOR DETECTING EXCESS VOLTAGE DROP IN THREE-PHASE AC CIRCUITS | 1 |
Ganesh Ravindra Kale | IN | Pune | 2014-01-16 / 20140017410 - ELECTROLESS PLATING PROCESS | 2 |
Aniket Kale | US | Chandler | 2014-01-16 / 20140017754 - SYSTEMS, METHODS AND APPARATUSES FOR AGGREGATING AND HARVESTING MICROORGANISMS FROM AN AQUEOUS SUSPENSION | 57 |
Amrut Kale | IN | Hyderabad | 2013-06-13 / 20130152196 - THROTTLING OF ROGUE ENTITIES TO PUSH NOTIFICATION SERVERS | 1 |
Suresh R. Kale | IN | Pune | 2014-11-27 / 20140346790 - Sinusoidal Crush Can Assembly | 1 |
Amit Kale | IN | Bangalore Karnataka | 2014-11-27 / 20140348429 - OCCUPANCY DETECTION | 1 |
Amruta K. Kale | US | 2012-07-26 / 20120189674 - Compositions for topical delivery of the pharmacologically active compounds | 1 | |
Kalidas M. Kale | US | 2012-07-26 / 20120189674 - Compositions for topical delivery of the pharmacologically active compounds | 1 | |
Samesh K. Kale | US | 2012-07-26 / 20120189674 - Compositions for topical delivery of the pharmacologically active compounds | 1 | |
Poorna Kale | US | Folsom | 2016-04-14 / 20160103627 - METHOD AND APPARATUS FOR CONFIGURING WRITE PERFORMANCE FOR ELECTRICALLY WRITABLE MEMORY DEVICES | 9 |
Robert L. Kaleal | US | Chesterland | 2011-09-29 / 20110237407 - VARIABLE-RESISTANCE EXERCISE DEVICE | 1 |
Robert L. Kaleal | US | Mayfield Village | 2014-04-10 / 20140100464 - VIRTUAL AVATAR USING BIOMETRIC FEEDBACK | 1 |
Fred Kaleal | US | Grosse Pointe Woods | 2014-09-18 / 20140259719 - Combination Gauge Tool | 3 |
Robert Louis Kaleal, Iii | US | Mayfield Village | 2016-03-24 / 20160086500 - PERSONALIZED AVATAR RESPONSIVE TO USER PHYSICAL STATE AND CONTEXT | 4 |
Dimosthenis Kaleas | US | Carlsbad | 2013-09-19 / 20130244575 - USE OF PROXIMITY SENSORS WITH NEAR-FIELD COMMUNICATION | 8 |
Dimosthenis Kaleas | US | Carlsbad | 2013-09-19 / 20130244575 - USE OF PROXIMITY SENSORS WITH NEAR-FIELD COMMUNICATION | 8 |
Dimosthenis Kaleas | US | San Diego | 2014-01-16 / 20140018111 - LOCALIZATION METHOD EMPLOYING RADIO SIGNAL STRENGTH MEASUREMENTS OF ELECTRIC AND GAS METERS | 3 |
Kimberly Kaleas | US | San Mateo | 2016-05-12 / 20160130624 - HARVEST OPERATIONS FOR RECOMBINANT PROTEINS | 2 |
Thea Kalebic | US | Springfield | 2011-12-22 / 20110312968 - METHOD FOR OPTIMIZING THE TREATMENT OF CHRONIC MYELOID LEUKEMIA WITH ABL TYROSINE KINASE INHIBITORS | 2 |
Thea Kalebic | US | East Hanover | 2011-09-15 / 20110224224 - Method of Optimizing the Treatment of Philadelphia-Positive Leukemia with Imatinib Mesylate | 1 |
Meir Kalech | IL | Modiln | 2009-04-02 / 20090084837 - Voting by peers with limited resources | 1 |
Neal Kalechofsky | US | Stow | 2015-10-15 / 20150293194 - TECHNIQUES, SYSTEMS AND MACHINE READABLE PROGRAMS FOR MAGNETIC RESONANCE | 13 |
Neal Kalechofsky | US | Stow | 2015-10-15 / 20150293194 - TECHNIQUES, SYSTEMS AND MACHINE READABLE PROGRAMS FOR MAGNETIC RESONANCE | 13 |
Supriya Kaledhonkar | US | Fremont | 2015-12-17 / 20150363890 - ACCOUNTING SYSTEM, COMPUTER READABLE MEDIA, AND METHODS | 1 |
Tatiana Kaledin | US | Port Orange | 2009-12-17 / 20090308811 - CHROMATOGRAPHY DEVICE | 1 |
Leonid A. Kaledin | US | Port Orange | 2014-01-02 / 20140001123 - ALUMINIZED SILICIOUS POWDER AND WATER PURIFICATION DEVICE INCORPORATING SAME | 2 |
Tatiana G. Kaledin | US | Port Orange | 2014-01-02 / 20140001123 - ALUMINIZED SILICIOUS POWDER AND WATER PURIFICATION DEVICE INCORPORATING SAME | 1 |
Karthik Kaleedhass | US | Missouri City | 2014-11-27 / 20140351136 - SYSTEM FOR AUTHORIZING ELECTRONIC TRANSACTIONS AND A METHOD THEREOF | 1 |
Lalitha Kaleedhass | US | Missouri City | 2014-11-27 / 20140351136 - SYSTEM FOR AUTHORIZING ELECTRONIC TRANSACTIONS AND A METHOD THEREOF | 1 |
Edward M. Kaleel | US | Ortonville | 2014-05-29 / 20140148274 - Method of Providing a Tennis Practice Target and Display | 2 |
Susan B. Kaleel | US | Ortonville | 2008-11-27 / 20080293522 - Tennis practice target and display | 1 |
Rashid Kaleem | US | Austin | 2016-02-25 / 20160055612 - ADAPTIVE SCHEDULING FOR TASK ASSIGNMENT AMONG HETEROGENEOUS PROCESSOR CORES | 1 |
Zeeshan Kaleem | KR | Incheon | 2014-10-02 / 20140295872 - SYSTEM AND METHOD TO ALLOCATE FREQUENCY DYNAMICALLY BASED ON PRIORITY OF QUALITY OF EXPERIENCE (QoE) IN 3GPP LTE HETEROGENEOUS NETWORK | 2 |
Kareem Kaleem | US | Loveland | 2016-04-21 / 20160108276 - COATED FOOD-CONTACTING CONTAINERS | 5 |
Hassan Kaleem | US | Franklin Park | 2009-11-12 / 20090280041 - Process for the Double Bond Hydroisomerization of Butenes | 1 |
Karthick Kaleeswaran | IN | Bangalore Karnataka | 2010-12-02 / 20100300116 - Expansion Hula Seals | 2 |
Karthick Kaleeswaran | IN | Bangalore | 2014-03-13 / 20140072401 - Axial Diffuser Flow Control Device | 10 |
Karthick Kaleeswaran | IN | Bangalore | 2014-03-13 / 20140072401 - Axial Diffuser Flow Control Device | 10 |
Karthick Kaleeswaran | IN | Bangalore Karnaiaka | 2010-07-08 / 20100170256 - RING COOLING FOR A COMBUSTION LINER AND RELATED METHOD | 1 |
Bodo Kaleja | DE | Ratingen | 2013-06-13 / 20130147133 - POWER-OPERATED CHUCK FOR A TOOL SPINDLE OF A MACHINE TOOL | 2 |
Santosh Kalekar | IN | Pune | 2014-09-18 / 20140281273 - Providing Local Cache Coherency in a Shared Storage Environment | 1 |
Udi Kalekin | US | West Lake Hills | 2009-01-01 / 20090007115 - Method and apparatus for parallel XSL transformation with low contention and load balancing | 1 |
Udi Kalekin | US | Austin | 2009-04-23 / 20090106775 - Method, system and apparatus for a transformation engine for use in the processing of structured documents | 1 |
Michael Kaleko | US | Potomac | 2014-10-30 / 20140322193 - Therapies for Disorders of the Cornea and Conjunctiva | 3 |
Michael Kaleko | US | Rockville | 2016-04-14 / 20160101058 - BETA-LACTAMASE FORMULATIONS AND USES THEREOF | 8 |
Michael Kaleko | US | Rockville | 2016-04-14 / 20160101058 - BETA-LACTAMASE FORMULATIONS AND USES THEREOF | 8 |
Girish Kalele | US | Sunnyvale | 2015-09-17 / 20150264121 - ORGANIZING DATA IN A VIRTUAL COMPUTING INFRASTRUCTURE | 11 |
Ajit Kalele | US | Bellevue | 2013-08-01 / 20130195118 - TRANSLATION BETWEEN TELEPHONE DEVICE AND NETWORK CLIENT | 2 |
Girish Kalele | US | Sunnyvale | 2015-09-17 / 20150264121 - ORGANIZING DATA IN A VIRTUAL COMPUTING INFRASTRUCTURE | 11 |
Cecile Kalem | FR | Issy-Les-Moulineuaux | 2014-05-15 / 20140135349 - Aqueous Compositions | 1 |
Cécile Kalem | FR | Issy-Les-Moulineaux | 2015-07-09 / 20150190326 - COSMETIC COMPOSITIONS | 2 |
Seref Kalem | TR | Kocaeli | 2015-05-14 / 20150132870 - METHODS FOR PRODUCING NEW SILICON LIGHT SOURCE AND DEVICES | 1 |
Mattias Kalen | SE | Goteborg | 2009-02-05 / 20090036362 - Angiogenesis Affecting Polypeptides, Proteins, and Composition, and Methods of Use Thereof | 1 |
Ananth Kalenahalli | US | Fairfax | 2012-06-07 / 20120142375 - System and Method for Multiple Range Estimation Location | 3 |
Suryanarayana Kalenahalli | US | Chantilly | 2014-06-19 / 20140171105 - Enhanced Cell ID Location Method Using Non Uniform Subsectors and Neighboring Cell Centroid | 4 |
Ananth Kalenahalli | US | Ashburn | 2011-04-21 / 20110092226 - Method and Apparatus to Select an Optimum Site and/or Sector to Provide Geo-Location Data | 2 |
Zdenek Kalenda | NL | Terwolde | 2014-09-11 / 20140259124 - SECURE WIRELESS NETWORK CONNECTION METHOD | 1 |
Zdenek Kalenda | NL | De Vecht Terwolde | 2009-09-17 / 20090235069 - Arrangement of and method for secure data transmission | 1 |
Tomas Kalender | AT | Wolfpassing | 2013-02-14 / 20130041503 - METHOD FOR REMOVING INJECTION-MOLDED ITEMS | 1 |
Carolyn Kalender | DE | Sulzemoos | 2011-11-03 / 20110270592 - METHOD AND DEVICE FOR TRACKING THE PATH OF MOTION OF A MOVING OBJECT AS WELL AS COMPUTER PROGRAM AND DATA STORAGE MEDIA | 1 |
Murat Kalender | TR | Istanbul | 2016-04-28 / 20160118083 - Interactive Video Generation | 5 |
Willi A. Kalender | DE | Möhrendorf | 2010-06-17 / 20100150305 - DEVICE AND METHOD FOR TIME-DELAYED INTEGRATION ON AN X-RAY DETECTOR COMPOSED OF A PLURALITY OF DETECTOR MODULES | 1 |
Willi Kalender | DE | Moehrendorf | 2010-04-01 / 20100080350 - Method and Device for Thermal Breast Tumor Treatment with 3D Monitoring Function | 8 |
Willi A. Kalender | DE | Möhrendorf | 2010-06-17 / 20100150305 - DEVICE AND METHOD FOR TIME-DELAYED INTEGRATION ON AN X-RAY DETECTOR COMPOSED OF A PLURALITY OF DETECTOR MODULES | 2 |
Paul A. Kalenian | US | Princeton | 2008-11-13 / 20080280023 - METHODS AND SYSTEMS FOR FORMING CONCENTRATED CONSUMABLE EXTRACTS | 1 |
William J. Kalenian | US | San Luis Obispo | 2015-04-30 / 20150118826 - METHOD OF GRINDING WAFER STACKS TO PROVIDE UNIFORM RESIDUAL SILICON THICKNESS | 7 |
Yvan Kalenine | FR | Meylan | 2009-12-17 / 20090312970 - Current measuring device and processing unit comprising one such device | 1 |
David Charles Kalensky | US | Chicago | 2011-12-15 / 20110303164 - INTEGRATED CONTACT CONDENSING WATER HEATER | 1 |
Pia Kalentun | SE | Torslanda | 2010-09-30 / 20100249738 - ABSORBENT ARTICLE COMPRISING AT LEAST ONE VENTILATION COMPONENT | 1 |
Kalyan Kalepu | US | Sammamish | 2016-05-05 / 20160127426 - SPI HANDLING BETWEEN UE AND P-CSCF IN AN IMS NETWORK | 2 |
Christopher G. Kaler | US | Sammamish | 2014-09-11 / 20140258779 - Communication Analyzer | 8 |
Eric William Kaler | US | Setauket | 2010-10-21 / 20100264364 - METHOD OF BUILDING VISCOSITY AND VISCOELASTICITY IN SURFACTANT SOLUTIONS BY ADDING NANOPARTICLES AND COMPOSITIONS THEREOF | 1 |
Stuart Kaler | US | San Francisco | 2013-08-22 / 20130212800 - DYNAMIC WATER RECYCLING SHOWER SYSTEMS AND CONTROLS | 1 |
Joel Kaler | US | Ligerwood | 2013-10-10 / 20130263564 - Corn Stalk Guide System | 1 |
Akanksha Sheoran Kaler | GB | Egham | 2016-03-31 / 20160092209 - VERSION MANAGEMENT OF IMAGES | 1 |
Karan Kaler | CA | Calgary | 2015-09-17 / 20150259754 - DROPLET-BASED MICROFLUIDIC DEVICE HAVING A PLURALITY OF REACTION SITES | 1 |
George Michael Kaler | US | Trophy Club | 2014-03-27 / 20140083656 - HVAC SYSTEM HAVING KINETIC ENERGY STORAGE DEVICE | 1 |
Karan V.i.s. Kaler | CA | Bragg Creek | 2012-01-12 / 20120006681 - Controlled Dispensing of Ultrafine, Variable Volume, Emulsion Droplets | 1 |
Akanksha Sheoran Kaler | IN | Jaipur | 2015-05-21 / 20150142728 - UPGRADE OF HETEROGENEOUS MULTI-INSTANCE DATABASE CLUSTERS | 1 |
Jasdeep Singh Kaler | IN | Bangalore | 2015-07-02 / 20150186823 - METHODS, SYSTEMS AND COMPUTER-READABLE MEDIA FOR COMPONENTIZING A BUSINESS REQUIREMENT | 2 |
Gregory Kaler | US | San Diego | 2009-07-23 / 20090186374 - APPARATUS FOR MEASURING EFFECT OF TEST COMPOUNDS ON BIOLOGICAL OBJECTS | 1 |
Christopher G. Kaler | US | Sammamish | 2014-09-11 / 20140258779 - Communication Analyzer | 8 |
Christopher Kaler | US | Sammamish | 2009-01-08 / 20090013410 - DISTRIBUTED THREAT MANAGEMENT | 1 |
Peter Nicholas Kalergis | US | Canton | 2016-05-12 / 20160129845 - FOLDING SEAT ASSEMBLY WITH INTERNAL STORAGE COMPARTMENT | 3 |
George Michael Kaler, Jr. | US | Trophy Club | 2013-09-12 / 20130233513 - EVAPORATIVE COOLING SYSTEM AND DEVICE | 1 |
Dritan Kaleshi | GB | Bristol | 2012-05-10 / 20120115425 - CHANNEL SEARCHING METHOD AND APPARATUS | 2 |
Joseph K. Kaleshian | US | Burlington | 2014-10-02 / 20140291936 - MATRIX SPLIT ROTARY SEAL | 1 |
Vitali Kalesnik | US | Pasadena | 2009-05-07 / 20090119228 - SYSTEM AND METHOD FOR DYNAMIC VALUE ADDED ATTRIBUTION | 1 |
Markus Kalesse | DE | Burgdorf | 2012-11-22 / 20120295941 - Method For Producing Intermediates For The Production Of Macrocycles That Are Inhibitors Of The Proteasomic Degradation of P27, Such As Argyrin And Derivatives Thereof | 2 |
Markus Kalesse | DE | Burgdort | 2015-10-01 / 20150274637 - BIPHENYL COMPOUNDS FOR USE IN TREATING MALARIA AND OTHER PARASITIC DISORDERS | 1 |
Michael Kalesse | DE | Ratingen | 2011-05-19 / 20110115240 - SAFETY DOOR HANDLE | 1 |
Brian T. Kalet | US | Sun Valley | 2011-06-09 / 20110135618 - METHODS OF TREATING CANCER WITH DOXAZOLIDINE AND PRODRUGS THEREOF | 1 |
Richard C. Kaleta | US | Minnetonka | 2010-10-14 / 20100261952 - Surgical articles and methods for treating pelvic conditions | 1 |
Richard Kaleta | US | Minnetonka | 2011-05-12 / 20110112357 - SURGICAL IMPLANTS, TOOLS, AND METHODS FOR TREATING PELVIC CONDITIONS | 2 |
Mathieu Kaleta | FR | Toulouse | 2015-12-03 / 20150344140 - AERODYNAMIC FAIRING | 2 |
Richard C. Kaleta | US | Arden Hills | 2015-12-31 / 20150374408 - SURGICAL ARTICLES AND METHODS FOR TREATING PELVIC CONDITIONS | 2 |
Glenn Kaleta | US | Bothell | 2015-12-03 / 20150343313 - USER ENFORCEMENT REPUTATION SCORING ALGORITHM & AUTOMATED DECISIONING AND ENFORCEMENT SYSTEM FOR NON-EVIDENCE SUPPORTED COMMUNICATIONS MISCONDUCT | 1 |
Katharina Kaleta | DE | Ludwigshafen | 2015-12-17 / 20150361170 - PROTEIN FORMULATIONS AND METHODS OF MAKING SAME | 5 |
Damian Kaleta | US | San Jose | 2014-12-11 / 20140365959 - ANIMATION EMULATING LIVE WEB PAGE CONTENT RESIZING | 6 |
Katharina Kaleta | DE | Heidelberg | 2014-07-24 / 20140202153 - METHOD OF IMPROVING NITRATE SALT COMPOSITIONS BY MEANS OF NITRIC ACID FOR USE AS HEAT TRANSFER MEDIUM OR HEAT STORAGE MEDIUM | 4 |
Richard C. Kaleta | US | Plymouth | 2008-08-28 / 20080207989 - System For Positioning Support Mesh in a Patient | 1 |
Mark Kaleta | US | Chicago | 2011-11-24 / 20110284597 - Collapsible hanger | 3 |
Richard C. Kaleta | US | Little Canada | 2014-12-04 / 20140357941 - SURGICAL IMPLANTS, TOOLS, AND METHODS FOR TREATING PELVIC CONDITIONS | 1 |
Bryan Kaleta | US | Darien | 2011-11-24 / 20110284597 - Collapsible hanger | 3 |
Richard C. Kaleta | US | Crystal | 2014-03-13 / 20140073850 - PELVIC FLOOR TREATMENTS AND RELATED TOOLS AND IMPLANTS | 1 |
Radek Kaleta | AU | Castle Hill | 2013-08-01 / 20130193156 - MERCHANDISE DISPENSING UNIT | 1 |
Andrei A. Kaletin | RU | Ekaterinburg | 2008-11-20 / 20080285288 - LIGHT-OPTICAL MODULE | 2 |
Titus Jan Kaletta | BE | Merelbeke | 2014-12-18 / 20140373194 - METHOD AND CONSTRUCTS FOR DELIVERING DOUBLE STRANDED RNA tO PEST ORGANISMS | 2 |
Titus Kaletta | BE | Nieder-Olm | 2012-05-24 / 20120131687 - AGENT THAT MODULATES PHYSIOLOGICAL CONDITION OF PESTS, INVOLVED IN INSECT VOLTAGE-GATED POTASSIUM CHANNEL ACTIVITY | 1 |
Titus Jan Kaletta | DE | Nieder-Olm | 2014-11-27 / 20140348893 - RNAI FOR THE CONTROL OF INSECTS AND ARACHNIDS | 1 |
Titus Jan Kaletta | DE | Klein-Winternheim | 2010-01-21 / 20100011654 - RNAI FOR THE CONTROL OF INSECTS AND ARACHNIDS | 1 |
Claude Michael Kalev | US | Newbury Park | 2015-11-05 / 20150318758 - ELECTROMECHANICAL FLYWHEEL WITH EVACUATION SYSTEM | 13 |
Claude Michael Kalev | US | Newbury Park | 2015-11-05 / 20150318758 - ELECTROMECHANICAL FLYWHEEL WITH EVACUATION SYSTEM | 13 |
Leonid Kalev | IL | Haifa | 2014-02-06 / 20140040856 - Apparatus, Method and System for Building Software by Composition | 4 |
Haim Kalev | IL | Kfar-Hanagid | 2015-05-14 / 20150133532 - Compositions for Controlling Varroa Mites in Bees | 2 |
Reuven Kalev | IL | Elyachin | 2014-05-01 / 20140121730 - SYSTEM AND METHOD FOR FRACTIONAL SCANNER AND TREATMENT | 1 |
Narayana Venkata Kalevaru | IN | Hyderabad | 2012-04-19 / 20120095258 - METHOD FOR PREPARATION OF DICARBOXYLIC ACIDS FROM SATURATED HYDROCARBONS OR CYCLOALIPHATIC HYDROCARBONS BY CATALYTIC OXIDATION | 1 |
Venkata Narayana Kalevaru | GE | Berlin | 2014-06-26 / 20140179950 - METHOD OF MAKING ADIPIC ACID USING NANO CATALYST | 1 |
Venkata Narayana Kalevaru | DE | Berlin | 2013-11-07 / 20130296604 - METHOD OF MAKING AND USING NANO-BIMETALLIC CATALYST TO PRODUCE ADIPIC ACID | 1 |
Ossi Mikael Kalevo | FI | Toijala | 2014-12-18 / 20140369563 - Image Control Method for Defining Images for Waypoints Along a Trajectory | 8 |
Ossi Kalevo | FI | Akaa | 2016-05-19 / 20160142712 - ENCODER, DECODER AND METHOD OF OPERATION USING INTERPOLATION | 6 |
Ossi Mikael Kalevo | FI | Toijala | 2014-12-18 / 20140369563 - Image Control Method for Defining Images for Waypoints Along a Trajectory | 8 |
Ossi Kalevo | FI | Toijala | 2015-04-30 / 20150116583 - Apparatus Methods and Computer Readable Storage Mediums | 22 |
Ossi Kalevo | FI | Turku | 2015-06-25 / 20150178227 - COOPERATION OF DEVICE AND DISPLAY | 1 |
Ossi M. Kalevo | FI | Toijala | 2014-10-30 / 20140319324 - Image Sensor | 8 |
Ossi M. Kalevo | FI | Toijala | 2014-10-30 / 20140319324 - Image Sensor | 8 |
Vinay Kaley | US | Sunnyvale | 2013-04-04 / 20130082489 - Sunroof Utilizing Two Independent Motors | 1 |
Christopher D. Kaley | US | Naperville | 2014-04-24 / 20140110071 - NOVEL CREPING ADHESIVES AND METHODS TO MONITOR AND CONTROL THEIR APPLICATION | 2 |
Willem Kalf | NL | Oosterhout | 2009-04-09 / 20090091726 - Optical Focus Sensor, an Inspection Apparatus and a Lithographic Apparatus | 1 |
Esin Kalfa Kilickan | TR | Inegol - Bursa | 2016-03-24 / 20160083877 - WOVEN FABRIC HAVING THE ASPECT OF A SCUBA FABRIC, AND METHOD FOR PRODUCING THE SAME | 2 |
Iain Kalfas | US | Beachwood | 2014-11-06 / 20140330316 - SPINAL ROD CONNECTOR | 4 |
Nicholas Kalfas | US | San Diego | 2013-07-25 / 20130188036 - CAMERA SYSTEM FOR HAZARDOUS ENVIRONMENTS | 1 |
Andrei Kalfas | RO | Bucharest | 2014-09-25 / 20140289340 - Invitations for Establishing Relationships | 2 |
Iain H. Kalfas | US | Beachwood | 2010-02-11 / 20100036420 - HEAD-TO-HEAD CONNECTOR SPINAL FIXATION SYSTEM | 1 |
George Kalfas | GR | Thessaloniki | 2010-11-04 / 20100281025 - METHOD AND SYSTEM FOR RECOMMENDATION OF CONTENT ITEMS | 1 |
Leonard Kalfayan | US | Cypress | 2011-05-19 / 20110114314 - Water Control Additive Compounds and Methods of Making and Using Same | 1 |
Leonard John Kalfayan | US | Cypress | 2009-04-09 / 20090093382 - Methods and Compositions for Pre-emptively Controlling Undesirable Water Production From Oil and Gas Wells` | 1 |
Leonard J. Kalfayan | US | Houston | 2008-09-04 / 20080210428 - Method of removing filter cake | 1 |
Robbertjan Kalff | NL | Amsterdam | 2012-02-23 / 20120047127 - METHODS AND SOFTWARE FOR ANALYSIS OF RESEARCH PUBLICATIONS | 2 |
Jörg Kalff | DE | Bonn | 2010-07-15 / 20100179225 - POI Prevention | 1 |
Christoph Kalff | DE | Lorzweiler | 2012-06-28 / 20120160618 - BRAKE CALIPER OF A DISK BRAKE | 1 |
Jörg Kalff | DE | Bonn | 2010-07-15 / 20100179225 - POI Prevention | 1 |
Ziv Kalfon | IL | Haifa | 2014-01-16 / 20140015163 - SYSTEM AND METHOD FOR MANUFACTURING A STENT | 1 |
Micha Kalfon | IL | Tel Aviv | 2016-04-28 / 20160119358 - TECHNIQUES FOR MANAGING ACCESS TO HARDWARE RESOURCES ON MULTIPLE-PERSONA MOBILE TECHNOLOGY PLATFORMS | 2 |
Shai Kalfon | IL | Hod Hasharon | 2013-08-29 / 20130223516 - BLOCK QUANTIZER IN H.264 WITH REDUCED COMPUTATIONAL STAGES | 13 |
Shai Kalfon | IL | Hod Hasharon | 2013-08-29 / 20130223516 - BLOCK QUANTIZER IN H.264 WITH REDUCED COMPUTATIONAL STAGES | 13 |
Ziv Kalfon | IL | Kadima | 2009-03-19 / 20090071478 - VENTILATOR | 1 |
Rami Abraham Kalfon | IL | Kfar-Shmuel | 2009-09-03 / 20090218247 - FLEXIBLE MOISTURE-BARRIER PACKAGES AND METHODS OF PRODUCING SAME | 2 |
Rami Abraham Kalfon | IL | Shimshon | 2009-12-10 / 20090301124 - REFRIGERATOR ILLUMINATION SYSTEM | 1 |
Shai Kalfon | IL | Hold Hasharon | 2013-08-22 / 20130219242 - MULTI-PROCESSING ARCHITECTURE FOR AN LTE TURBO DECODER (TD) | 1 |
Shai Kalfon | US | 2012-11-01 / 20120275374 - RATE MATCHING FOR WIDEBAND CODE DIVISION MULTIPLE ACCESS | 1 | |
Kaustubh Prakash Kalgaonkar | US | Atlanta | 2011-09-29 / 20110238416 - Acoustic Model Adaptation Using Splines | 1 |
Rajendra A. Kalgaonkar | IN | Pune | 2015-12-03 / 20150344764 - VISCOUS SETTABLE FLUID FOR LOST CIRCULATION IN SUBTERRANEAN FORMATIONS | 6 |
Kaustubh Kalgaonkar | US | Atlanta | 2010-08-12 / 20100204991 - Ultrasonic Doppler Sensor for Speaker Recognition | 2 |
Rajendra A. Kalgaonkar | IN | Dune | 2011-11-24 / 20110284225 - Shear Tolerant Aqueous Based Fracturing Fluids and Methods | 1 |
Sameer Kalghatgi | US | Copley | 2016-05-05 / 20160121134 - MEDICAL DEVICE FOR APPLYING NON-THERMAL PLASMA TO SELECTED TARGETS | 8 |
Sameer Kalghatgi | US | Fairlawn | 2016-01-28 / 20160022850 - METHODS AND SOLUTIONS FOR RAPIDLY KILLING OR DEACTIVATING SPORES | 3 |
Sameer Kalghatgi | US | Waltham | 2012-05-31 / 20120135390 - PLASMA TREATMENT FOR GROWTH FACTOR RELEASE FROM CELLS AND TISSUES | 1 |
Krishna Kalghatgi | US | Westborough | 2012-08-23 / 20120214181 - ASSAY FOR PCSK9 INHIBITORS | 1 |
Sameer Kalghatgi | US | Copley | 2016-05-05 / 20160121134 - MEDICAL DEVICE FOR APPLYING NON-THERMAL PLASMA TO SELECTED TARGETS | 8 |
Avinash Kalgi | US | Bellevue | 2011-09-08 / 20110218909 - TRANSACTION VELOCITY COUNTING FOR FRAUD DETECTION | 2 |
Avinash Kalgi | US | Kirkland | 2015-10-22 / 20150302397 - ENCRYPTED PAYMENT TRANSACTIONS | 8 |
Avinash Kalgi | US | Kirkland | 2015-10-22 / 20150302397 - ENCRYPTED PAYMENT TRANSACTIONS | 8 |
Jason E. Kalgreen | US | Plymouth | 2012-03-29 / 20120078358 - Devices and Methods for Heart Valve Treatment | 2 |
Patrick W. Kalgren | US | Conesus | 2012-07-26 / 20120191384 - SYSTEMS AND METHODS FOR PREDICTING FAILURE OF ELECTRONIC SYSTEMS AND ASSESSING LEVEL OF DEGRADATION AND REMAINING USEFUL LIFE | 1 |
James Kalgren | US | Lino Lakes | 2016-03-31 / 20160089539 - REFRACTORY AND BLANKING INTERVALS IN THE CONTEXT OF MULTI-SITE LEFT VENTRICULAR PACING | 45 |
Rajdeep S. Kalgutkar | US | Woodbury | 2015-10-29 / 20150307668 - MOISTURE-CURABLE, SEMI-CRYSTALLINE (METH) ACRYLIC OLIGOMERS, AND CONSTRUCTION MATERIALS INCLUDING THE SAME | 10 |
Rajdeep S. Kalgutkar | US | 2015-09-10 / 20150252195 - PAVEMENT MARKING COMPOSITIONS | 1 | |
Rajdeep S. Kalgutkar | US | Woodbury | 2015-10-29 / 20150307668 - MOISTURE-CURABLE, SEMI-CRYSTALLINE (METH) ACRYLIC OLIGOMERS, AND CONSTRUCTION MATERIALS INCLUDING THE SAME | 10 |
Rajdeep S. Kalgutkar | US | St. Paul | 2009-08-13 / 20090203904 - ARYLSULFINATE SALTS IN PHOTOINITIATOR SYSTEMS FOR POLYMERIZATION REACTIONS | 1 |
Ashok Kalhan | US | Bloomington | 2009-06-11 / 20090145061 - SNAP-FIT ELEVATOR HOISTWAY ENTRANCE | 1 |
Satish C. Kalhan | US | Pepper Pike | 2015-12-31 / 20150377910 - Biomarkers for Fatty Liver Disease and Methods Using the Same | 2 |
Amit Kalhan | US | La Jolla | 2012-11-01 / 20120275427 - DEVICE BEACON FOR HANDOFF MANAGEMENT OF HANDOFFS TO ACCESS NODES | 19 |
Ajay Kalhan | US | Redmond | 2015-09-17 / 20150261784 - Dynamically Varying the Number of Database Replicas | 13 |
Amit Kalhan | US | San Diego | 2016-02-25 / 20160057792 - MOBILE COMMUNICATION SYSTEM, BASE STATION, AND USER TERMINAL | 30 |
Ajay Kalhan | US | Redmond | 2015-09-17 / 20150261784 - Dynamically Varying the Number of Database Replicas | 13 |
Satish Kalhan | US | Pepper Pike | 2013-11-28 / 20130315898 - BIOMARKERS FOR ASTHMA | 1 |
Amit Kalhan | US | San Diego | 2016-02-25 / 20160057792 - MOBILE COMMUNICATION SYSTEM, BASE STATION, AND USER TERMINAL | 30 |
Amit Kalhan | US | 2015-10-01 / 20150282206 - ADVANCE COMMUNICATION RESOURCE NOTIFICATION IN WIRELESS COMMUNICATION SYSTEMS HAVING OVERLAPPING SERVICE AREAS | 1 | |
Johannes Kalhoff | DE | Blomberg | 2015-10-08 / 20150289394 - MODULAR ELECTRONIC SYSTEM AND BUS SUBSCRIBER | 14 |
Amanda J. Kalhous | CA | Ajax | 2016-04-28 / 20160119961 - SIMPLIFIED PAIRING OF A SECOND DEVICE IN A VEHICLE VIA SHORT RANGE WIRELESS COMMUNICATION | 19 |
Amanda J. Kalhous | CA | Ajax | 2016-04-28 / 20160119961 - SIMPLIFIED PAIRING OF A SECOND DEVICE IN A VEHICLE VIA SHORT RANGE WIRELESS COMMUNICATION | 19 |
Amanda J. Kalhous | US | Ajax | 2014-08-28 / 20140240091 - VEHICLE INTEGRATION OF BLE NODES TO ENABLE PASSIVE ENTRY AND PASSIVE START FEATURES | 1 |
Damon Kali | US | Sunnyvale | 2010-12-02 / 20100299894 - SLIP RESISTANT CORDAGE | 2 |
Eran Kali | IL | Tel-Aviv | 2012-04-26 / 20120099005 - METHODS AND SYSTEMS FOR READING AN IMAGE SENSOR BASED ON A TRAJECTORY | 1 |
Sanjay Kali | IN | Bhubaneswar | 2015-10-15 / 20150291451 - ELECTROCHEMICAL SYSTEM AND PROCESS FOR THE REDUCTION OF NITRIC ACID CONCENTRATION USING ELECTROLYTIC CELL | 1 |
Eddie Kali | US | Marietta | 2016-03-03 / 20160060088 - MULTI-TOWER MODULAR DISPENSING SYSTEM | 5 |
Prathab Kali | IN | Bangalore | 2015-06-11 / 20150161214 - PATTERN MATCHING ACROSS MULTIPLE INPUT DATA STREAMS | 2 |
Damon K.i. Kali | US | Sunnyvale | 2012-06-07 / 20120137988 - CONTROL LEASH AND METHOD OF USE | 1 |
Eran Kali | IL | Jerusalem | 2014-05-15 / 20140132542 - DISPLAYS AND INFORMATION INPUT DEVICES | 6 |
Dhruv Kalia | IN | Bangalore | 2012-07-05 / 20120168409 - Method and Arrangement for the Operation of Welding Controllers | 1 |
Anup Kumar Kalia | US | Raleigh | 2014-07-31 / 20140214404 - IDENTIFYING TASKS AND COMMITMENTS | 1 |
Nitin Pal Kalia | IN | Jammu | 2012-04-19 / 20120095021 - SYNTHSIS OF NEW BENZOTHIAZOLE DERIVATIVES AS POTENTIAL ANTI-TUBERCULAR AGENTS | 1 |
Puneet Kalia | IN | Hyder Nagar | 2009-07-23 / 20090186634 - Method and System for SMS/MMS Messaging to A Connected Device | 1 |
Suman K. Kalia | CA | Maple | 2016-04-07 / 20160098251 - EXTENSIBLE META MODEL FOR CAPTURING SOLUTION PATTERNS | 4 |
Manish Kalia | US | Sunnyvale | 2013-11-14 / 20130305085 - NETWORK TRAFFIC ROUTING | 4 |
Suraj Kalia | US | Eden Prairie | 2011-06-09 / 20110131800 - FRONT OPENING WAFER CONTANER WITH PATH TO GROUND EFFECTUATED BY DOOR | 1 |
Sachin Kalia | US | Minneapolis | 2015-10-01 / 20150280752 - PSEUDO TRUE TIME DELAY FOR MULTI-ANTENNA SYSTEMS | 2 |
Ajay Kalia | US | New York City | 2015-11-05 / 20150319479 - SYSTEM AND METHOD FOR DELIVERING MEDIA CONTENT WITH MUSIC-STYLED ADVERTISEMENTS, INCLUDING USE OF TEMPO, GENRE, OR MOOD | 1 |
Nitin Pal Kalia | IN | Hyderabad | 2014-11-13 / 20140336388 - Nitrofurfuryl Substituted Phenyl Linked Piperidino-Oxadiazoline Conjugates As Anti-Tubercular Agents And Process For The Preparation Thereof | 1 |
Nitin Pal Kalia | IN | Jammu Tawi | 2012-10-04 / 20120251464 - CHALCONES AS ENHANCER OF ANTIMICROBIAL AGENTS | 1 |
Robin Kalia | KR | Daejeon | 2012-06-14 / 20120148118 - METHOD FOR CLASSIFYING IMAGES AND APPARATUS FOR THE SAME | 1 |
Jeet Kalia | US | Baltimore | 2015-11-26 / 20150336904 - RUFINAMIDE AND DERIVATIVES AND THEIR USE IN MODULATING THE GATING PROCESS OF HUMAN VOLTAGE-GATED SODIUM CHANNELS | 1 |
Dhruv Kalia | DE | Murrhardt | 2015-02-26 / 20150057791 - CONTROL SYSTEM FOR CONTROLLING AT LEAST ONE WELDING PROCESS | 1 |
Dhruv Kalia | US | Murrhardt | 2015-02-26 / 20150057790 - CONTROL SYSTEM FOR CONTROLLING AT LEAST ONE WELDING PROCESS | 1 |
Nitika Kalia | US | Cypress | 2014-06-19 / 20140172302 - Downhole Treatment Monitoring Systems and Methods Using Ion Selective Fiber Sensors | 3 |
Suman K. Kalia | CA | Ontario | 2011-10-27 / 20110264707 - EXTENSIBLE META MODEL FOR CAPTURING SOLUTION PATTERNS | 1 |
Yogeshvar N. Kalia | FR | Chevrier | 2010-02-04 / 20100028406 - TOPICAL DRUG DELIVERY BY IONTOPHORESIS | 1 |
Suman K. Kalia | CA | Markham | 2014-01-02 / 20140007050 - INTERACTIVE DEVELOPMENT AND TESTING MESSAGE MODELS | 1 |
Prashant Kalia | US | Fair Lawn | 2014-03-20 / 20140081705 - INDUSTRY SIZE OF WALLET | 6 |
Puneet Kalia | IN | Hyderabad | 2012-06-21 / 20120159499 - RESOURCE OPTIMIZATION | 4 |
Prabhu Kaliamoorthi | KR | Suwon-Si | 2010-12-02 / 20100306520 - ELECTRONIC APPARATUS AND BOOTING METHOD OF THE SAME | 1 |
Gopalakrishnan Kaliamurthi | IN | Chennai | 2015-08-13 / 20150229701 - SENDING FILES WITH MULTIPLE JOB SESSIONS | 1 |
Kirubakaran Kaliannan | US | Beaverton | 2013-04-04 / 20130086413 - FAST I/O FAILURE DETECTION AND CLUSTER WIDE FAILOVER | 3 |
Ganesan Kaliannan | IN | Chandigarh | 2012-09-06 / 20120225877 - SUBSTITUTED 1, 4-DIOXA-8-AZASPIRO[4,5]DECANES USEFUL AS FUNGICIDES AND A PROCESS FOR THE PREPARATION THEREOF | 1 |
Siva Kaliappan | US | Plano | 2014-09-18 / 20140272072 - STABILIZED FOAM | 2 |
Mariappan Kaliappan | IN | Madurai | 2014-09-18 / 20140275535 - ACID ADDITION SALTS OF BOSENTAN | 1 |
Karthikeyan Kaliappan | GB | Middlesex | 2014-06-26 / 20140181793 - METHOD OF AUTOMATICALLY TESTING DIFFERENT SOFTWARE APPLICATIONS FOR DEFECTS | 1 |
Clint Kalich | US | Spokane | 2013-04-11 / 20130090774 - Real-Time Optimization of Hydropower Generation Facilities | 3 |
Thomas Kalich | DE | Lichtenstein | 2014-01-02 / 20140001244 - ASSEMBLY AND PRODUCTION OF AN ASSEMBLY | 2 |
Michael Kalich | US | Sterling Heights | 2016-01-07 / 20160003710 - DETECTION OF AIR-FUEL RATIO RICH-LEAN IMBALANCE USING AN OXYGEN SENSOR | 1 |
Thomas Kalich | AU | Victoria | 2014-09-04 / 20140248505 - LAMINATED COMPOSITE MADE UP OF AN ELECTRONIC SUBSTRATE AND A LAYER ARRANGEMENT COMPRISING A REACTION SOLDER | 4 |
Janek Kaliczak | US | Aptos | 2013-02-14 / 20130038725 - HYPERSPECTRAL AND MULTIPLE IMAGING CONTROLLED ENCLOSURE FOR SAMPLE EXAMINATION | 3 |
Ori Kalid | IL | Tel Aviv | 2010-07-22 / 20100184749 - BENZOTHIADIAZINE COMPOUNDS AND THEIR USE | 1 |
Prahlad Kalidas | US | Houston | 2010-01-21 / 20100011774 - METHOD OF REFURBISHING A SEAL LAND ON A TURBOMACHINE TRANSITION PIECE AND A REFURBISHED TRANSITION PIECE | 1 |
Navin Kalidas | US | Houston | 2009-03-05 / 20090061566 - SEMICONDUCTOR PACKAGE HAVING A GRID ARRAY OF PIN-ATTACHED BALLS | 1 |
Naveen Kalideen | ZA | Newcastle | 2013-05-23 / 20130129604 - METHOD FOR PRODUCING AMMONIUM DICHROMATE | 2 |
Krishna Kalidindi | US | San Jose | 2012-05-17 / 20120120967 - Universal Serial Interface | 1 |
Sanyasi Raju Kalidindi | US | East Brunswick | 2009-06-11 / 20090149362 - PERSONAL CARE FORMULATIONS WITH SIMULTANEOUS EXFOLIANT, CLEANSING AND MOISTURIZING PROPERTIES | 1 |
Raju Kalidindi | IN | Hyderabad Andhra Pradesh | 2012-09-27 / 20120246318 - RESOURCE COMPATABILITY FOR DATA CENTERS | 2 |
Sanyasi R. Kalidindi | US | East Brunswick | 2014-03-20 / 20140079729 - METHOD FOR IMPROVING ENDOTHELIAL FUNCTION AND DECREASING CARDIOVASCULAR MORBIDITY USING SHILAJIT | 7 |
Srirama R. Kalidindi | US | Flower Mound | 2016-03-24 / 20160088348 - RECORDING CONTENT MULTIPLE TIMES AND RESERVING A COPY OF THE CONTENT FOR CHECK OUT | 6 |
Srihari Raju Kalidindi | IN | Hyderabad | 2011-01-20 / 20110015273 - STABLE PHARMACEUTICAL AQUEOUS COMPOSITIONS | 1 |
Sanyasi R. Kalidindi | US | Monroe | 2016-03-17 / 20160074452 - CHROMIUM-CONTAINING COMPOSITIONS IN COMBINATION WITH PHYLLANTHUS EMBLICA AND SHILAJIT HAVING SYNERGISTIC EFFECTS FOR IMPROVING ENDOTHELIAL FUNCTION AND CARDIOVASCULAR HEALTH | 6 |
Srirama Kalidindi | US | Flower Mound | 2013-01-03 / 20130007818 - PROVISIONING INTERACTIVE VIDEO CONTENT FROM A VIDEO ON-DEMAND (VOD) SERVER | 2 |
Eyal Kalie | IL | Hod Hasharon | 2008-11-13 / 20080279823 - Recombinant Interferon 2alpha (Infalpha2) Mutants | 1 |
Lukas Kaliebe | DE | Oberschleissheim | 2015-09-10 / 20150251632 - ELECTRICAL STEERING COLUMN LOCK FOR AN AUTOMOTIVE VEHICLE | 1 |
Craig Kaliebe | US | Brookfield | 2013-06-20 / 20130156482 - WIPEABLE KEYBOARD COVER | 1 |
Lukas Kaliebe | DE | Erdweg | 2014-05-08 / 20140124282 - ANTITHEFT DEVICE FOR THE STEERING MECHANISM OF A MOTOR VEHICLE | 2 |
Ralph F. Kalies | US | Pickett | 2010-03-18 / 20100070298 - Method for Competitive Prescription Drug and/or Bidding Service Provider Selection | 1 |
Stefan Kalies | DE | Hoffeld | 2010-11-25 / 20100297442 - METHOD AND DEVICE FOR PRODUCING A MULTI-COLORED COMPOSITE THREAD | 2 |
Alexander Kalies | DE | Jena | 2009-08-20 / 20090207874 - MULTIWAVELENGTH LASER SYSTEM AND METHOD FOR OPHTALMOLOGICAL APPLICATIONS | 1 |
Ralph F. Kalies, Jr. | US | Pickett | 2013-06-06 / 20130144649 - METHOD FOR COMPETITIVE PRESCRIPTION DRUG AND/OR BIDDING SERVICE PROVIDER SELECTION | 2 |
Dorin Kalif | IL | Tel Aviv | 2010-05-13 / 20100119291 - Writing utensil with two handles for writing with both hands simultaneously | 1 |
Dorin Kalif | IL | Kfar Vradim | 2014-02-06 / 20140037361 - Writing Utensil with Two Handles for Writing with Both Hands Simultaneously | 1 |
Jérôme Kalifa | FR | Paris | 2010-06-03 / 20100135400 - Processing Or Compressing N-dimensional Signals With Warped Wavelet Packets And Bandelets | 1 |
Itshak Kalifa | IL | Bat-Yam | 2010-11-04 / 20100276669 - ELECTRIC NANODEVICE AND METHOD OF MANUFACTURING SAME | 1 |
Jérôme Kalifa | FR | Paris | 2010-06-03 / 20100135400 - Processing Or Compressing N-dimensional Signals With Warped Wavelet Packets And Bandelets | 1 |
Michael G. Kalifa | US | Waltham | 2016-04-14 / 20160102953 - ATTACK CAPABILITY ENHANCING BALLISTIC SABOT | 1 |
Jerome Kalifa | US | Oak Park | 2015-11-19 / 20150328315 - SYSTEMS AND METHODS FOR TARGETED IMAGING AND ABLATION OF CARDIAC CELLS | 1 |
Ethan Kalifon | IL | Nahariya | 2014-07-03 / 20140189250 - Store Forwarding for Data Caches | 1 |
Raymond A. Kaligian | US | Geneva | 2016-04-21 / 20160107931 - COMPOSITIONS WITH SYNTHETIC GYPSUM AND METHODS | 2 |
Raymond A. Kaligian, Ii | US | Geneva | 2009-04-23 / 20090101045 - GYPSUM MIXTURES FOR FORMING SOLIDS | 1 |
Prakash Kaligotla | US | Milpitas | 2016-04-21 / 20160112294 - FOLLOW ME DASHBOARDS | 4 |
Steven Kalik | US | Arlington | 2009-08-27 / 20090216288 - FEEDBACK METHOD FOR DEEP BRAIN STIMULATION WITH DETECTION OF GENERALIZED EFFERENCE COPY SIGNALS | 1 |
Steven F. Kalik | US | Arlington | 2012-10-04 / 20120253220 - Methods and Systems for Determining Optimum Wake Time | 11 |
Steve F. Kalik | US | Arlington | 2010-08-26 / 20100217744 - METHOD AND SYSTEM TO RECOGNIZE TEMPORAL EVENTS USING ENHANCED TEMPORAL DECISION TREES | 2 |
Robert G. Kalik | US | Bethesda | 2014-05-29 / 20140144935 - WINE DISPENSING SYSTEM | 2 |
Vlad Kalika | US | San Jose | 2015-10-08 / 20150288006 - FUEL CELL SYSTEM GLOW PLUG AND METHOD OF FORMING SAME | 12 |
Vlad Kalika | US | Dublin | 2016-03-03 / 20160064748 - SOFC Hot Box Components | 1 |
Vlad Kalika | US | San Jose | 2015-10-08 / 20150288006 - FUEL CELL SYSTEM GLOW PLUG AND METHOD OF FORMING SAME | 12 |
Leonid Kalika | US | Santa Monica | 2016-05-19 / 20160143089 - NETWORK ACCESS POINTS USING MULTIPLE DEVICES | 9 |
Satya Kaliki | IN | Chennai | 2010-02-25 / 20100050109 - DATA INPUT METHOD | 1 |
Satyanarayana Rao Kalikivayi | IN | Chennai | 2016-03-03 / 20160063594 - DATA REFINING ENGINE FOR HIGH PERFORMANCE ANALYSIS SYSTEM AND METHOD | 7 |
Kalantar Kalil | KR | Uiwang-Si | 2014-06-12 / 20140160574 - OPTICAL SHEET, BACKLIGHT UNIT INCLUDING THE SAME, AND DISPLAY APPARATUS INCLUDING THE SAME | 1 |
Doron Kalil | IL | Kadima | 2009-06-11 / 20090147899 - CLOCK CALIBRATION IN SLEEP MODE | 1 |
Anthony Kalil | US | Gainesville | 2009-08-27 / 20090211510 - VESSEL HULL | 2 |
Jorge Kalil | BR | Sao Paulo Sp | 2013-09-05 / 20130230591 - PEPTIDE FOR USE AS A MEDICAMENT, IN PARTICULAR FOR THE TREATMENT OF CANCER | 1 |
Anthony Kalil | US | Palm City | 2016-03-10 / 20160068231 - BILTMORE PONTOON BOAT | 7 |
Thomas K. Kalili | US | Beverly Hills | 2010-12-23 / 20100324875 - PROCESS FOR ORTHODONTIC, IMPLANT AND DENTAL PROSTHETIC FABRICATION USING 3D GEOMETRIC MESH TEETH MANIPULATION PROCESS | 1 |
Valtteri Kalima | FI | Joensuu | 2013-04-25 / 20130100656 - TRANSMISSION TYPE OPTICAL ELEMENT, LIGHTING ARRANGEMENT, AND METHOD FOR MANUFACTURING | 1 |
Valtteri Kalima | FI | Porvoo | 2016-04-21 / 20160107371 - METHOD FOR PRODUCING MICRO- OR NANOSTRUCTURES IN POLYMERIC FILM MATERIALS | 1 |
Ramesh Kalimuthu | US | Fremont | 2008-09-18 / 20080229095 - METHOD AND APPARATUS FOR DYNAMICALLY SECURING VOICE AND OTHER DELAY-SENSITIVE NETWORK TRAFFIC | 1 |
Robert Kalin | US | Brooklyn | 2014-10-02 / 20140297478 - SYSTEMS AND METHODS FOR SEARCHING IN AN ELECTRONIC COMMERCE ENVIRONMENT | 9 |
Robert Kalin | US | Brooklyn | 2014-10-02 / 20140297478 - SYSTEMS AND METHODS FOR SEARCHING IN AN ELECTRONIC COMMERCE ENVIRONMENT | 9 |
Michael Kalin | AT | Treffen | 2016-04-21 / 20160107183 - Application of Fluids to Substrates | 2 |
Jay H. Kalin | US | Chicago | 2015-10-29 / 20150306069 - METHODS AND COMPOSITIONS INVOLVING RAD51 INHIBITORS | 2 |
Stuart Alan Kalin | US | New York | 2013-12-19 / 20130333243 - SANDAL WITH TOE SEPARATORS | 1 |
Edward B. Kalin | US | Easton | 2016-03-10 / 20160072809 - PROVISIONING REMOTE ACCESS TO A NODE | 20 |
Jay Hans Kalin | US | Chicago | 2013-10-24 / 20130281484 - HDAC Inhibitors and Therapeutic Methods of Using Same | 1 |
Jay H. Kalin | US | Baltimore | 2014-05-08 / 20140128408 - HDAC INHIBITORS AND THERAPEUTIC METHODS USING THE SAME | 1 |
Jeffrey T. Kalin | US | Bala Cynwyd | 2014-02-13 / 20140047233 - SYSTEM AND METHODS FOR AUTOMATED TRANSACTION KEY GENERATION AND AUTHENTICATION | 1 |
Edward B. Kalin | US | Easton | 2016-03-10 / 20160072809 - PROVISIONING REMOTE ACCESS TO A NODE | 20 |
Roland Kalin | CH | Einsieldeln | 2011-01-27 / 20110017072 - BREWING UNIT FOR PREPARING BEVERAGES FROM SINGLE SERVICE PACKAGES AND MACHINES COMPRISING SAID UNIT | 1 |
Andrzej Kalina | PL | Wieliczka | 2008-08-28 / 20080202481 - Vapor recovery system for a vehicle fuel tank | 1 |
Loren Kalina | US | Mesa | 2016-04-28 / 20160116258 - PERSONAL SELF-DEFENSE DEVICE | 1 |
Warren Kalina | US | Silver Spring | 2011-11-17 / 20110280904 - Generation of virus-like particles and use as panfilovirus vaccine | 1 |
Uwe Kalina | DE | Marburg | 2015-06-04 / 20150150911 - METHODS TO REDUCE ADVERSE EVENTS CAUSED BY PHARMACEUTICAL PREPARATIONS COMPRISING PLASMA DERIVED PROTEINS | 4 |
Mark Kalina | US | Belmont | 2011-08-04 / 20110186041 - APPARATUS FOR PIVOTING SOLAR TROUGHS ON A CENTRAL AXIS | 1 |
Curtis David Kalina | US | South Lyon | 2014-01-23 / 20140021710 - SERVICEABLE SEATBELT BUCKLE AND TENSIONER ASSEMBLY | 2 |
Alexander I. Kalina | US | Hillsborough | 2015-04-23 / 20150107250 - METHODS FOR PERIODIC REMOVAL OF CONTAMINATED WORKING FLUID FROM ORGANIC RANKINE CYCLE POWER SYSTEMS | 18 |
Michael Kalina | IL | Haifa | 2009-12-17 / 20090309094 - COLOR CONTROLLED ELECTROLUMINESCENT DEVICES | 1 |
Emil Kalina | CZ | Brno | 2016-05-12 / 20160134101 - METHOD AND MEANS FOR COMPLEX, UNIVERSAL EARTH FAULT PROTECTION IN POWER HIGH AND MEDIUM VOLTAGE SYSTEM | 1 |
Philip M. Kalina | US | Reston | 2011-04-07 / 20110082712 - APPLICATION OF BAYESIAN NETWORKS TO PATIENT SCREENING AND TREATMENT | 1 |
Loren D. Kalina | US | Mesa | 2015-09-24 / 20150269820 - PERSONAL SELF-DEFENSE DEVICE | 1 |
Roger J. Kalina | US | San Diego | 2009-12-24 / 20090315607 - PROGRAMMABLE CIRCUIT FOR DRIFT COMPENSATION | 1 |
Chuck Kalina | US | Irvine | 2009-11-19 / 20090286655 - INTERACTIVE EXERCISE DEVICE AND SYSTEM | 1 |
Alexander I. Kalina | US | Hillsborough | 2015-04-23 / 20150107250 - METHODS FOR PERIODIC REMOVAL OF CONTAMINATED WORKING FLUID FROM ORGANIC RANKINE CYCLE POWER SYSTEMS | 18 |
Andrey Vladimirovich Kalina | RU | Moscow | 2013-01-17 / 20130015105 - PNEUMATIC VACUUM SEPARATION PLANT FOR BULK MATERIALS | 1 |
Deep Kalinadhabhotla | US | Atlanta | 2014-11-20 / 20140343751 - Method and system for generating a vehicle identifier | 8 |
Deep Kalinadhabhotla | US | Atlanta | 2014-11-20 / 20140343751 - Method and system for generating a vehicle identifier | 8 |
Charles Raymond Kalina, Jr. | US | Irvine | 2015-02-05 / 20150038893 - SYSTEM FOR DELIVERING MULTIPLE OCULAR IMPLANTS | 3 |
Raina Kalinda | US | Redmond | 2013-07-04 / 20130174227 - COMPUTER-READABLE MEDIUM, INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD AND INFORMATION PROCESSING SYSTEM | 1 |
Alvin S. Kalinda | US | Bloomington | 2013-06-20 / 20130157987 - 1-DEOXY ANALOGS OF 1,25-DIHYDROXYVITAMIN D3 COMPOUNDS | 1 |
Alvin Solomon Kalinda | US | Baltimore | 2009-11-26 / 20090291923 - TRIOXANE DIMERS HAVING HIGH ANTICANCER AND LONG-LASTING ANTIMALARIAL ACTIVITIES | 1 |
Bruce Richard Kaliner | US | Rye Brook | 2012-05-31 / 20120131717 - SWIM CAP WITH POCKET | 1 |
Vladimir Kalinichenko | US | Clarendon Hills | 2011-03-17 / 20110065650 - Methods of Inhibiting Tumor Cell Proliferation | 1 |
Boris Kalinichenko | US | Jamaica Plain | 2015-12-17 / 20150365405 - Mobile Security Fob | 4 |
Boris Olegovich Kalinichenko | US | Jamaica Plain | 2013-12-12 / 20130332366 - Mobile Device Software Radio for Securely Passing Financial Information between a Customer and a Financial Services Firm | 2 |
Alexey Kalinichenko | US | New York | 2016-04-28 / 20160117298 - REAL-TIME COLLABORATION IN A HOSTED WORD PROCESSOR | 3 |
Kirill Kalinichev | US | San Francisco | 2015-12-03 / 20150346127 - MOISTURE INGRESS SENSORS | 2 |
Viktor Kalinichev | RU | Moscow | 2011-06-02 / 20110128192 - ANTENNA DEVICE AND PORTABLE TERMINAL HAVING THE SAME | 1 |
Oleg Borisovitch Kalinin | RU | Moskovskaya | 2009-08-13 / 20090200017 - METHOD AND DEVICE FOR TREATING BOTTOM-HOLE ZONES OF OIL-AND-GAS-BEARING FORMATIONS | 1 |
Victor Kalinin | GB | Headington | 2014-10-30 / 20140319964 - QUARTZ SUBSTRATE ORIENTATIONS FOR COMPACT MONOLITHIC DIFFERENTIAL TEMPERATURE SENSOR, AND SENSORS USING SAME | 1 |
Victor Alexandrovich Kalinin | GB | Headington | 2015-11-26 / 20150338295 - SAW SENSOR ARRANGEMENTS | 2 |
Victor Alexandrovich Kalinin | GB | Oxfordshire | 2010-07-29 / 20100186513 - SAW TORQUE AND TEMPERATURE SENSOR WITH IMPROVED TEMPERATURE SENSITIVITY | 4 |
Sergei V. Kalinin | US | Knoxville | 2016-01-28 / 20160025773 - ELECTROCHEMICAL FORCE MICROSCOPY | 8 |
Andrey Leonidovich Kalinin | RU | Moscow | 2012-12-06 / 20120308962 - REMOVABLE DENTAL PROSTHESIS WITH FRAMEWORK AND SOFT BASE | 1 |
Victor Alexandrovich Kalinin | GB | Oxford | 2010-09-30 / 20100250170 - INTERROGATION METHOD FOR PASSIVE WIRELESS SENSOR INTERROGATION SYSTEM | 1 |
Alex Kalinin | US | Cupertino | 2015-11-05 / 20150318018 - CODE EXECUTION IN COMPLEX AUDIOVISUAL EXPERIENCES | 1 |
Daniel Kalinin | SA | Al-Khobar | 2011-08-04 / 20110186293 - USE OF REACTIVE SOLIDS AND FIBERS IN WELLBORE CLEAN-OUT AND STIMULATION APPLICATIONS | 1 |
Sergei V. Kalinin | US | Knoxville | 2016-01-28 / 20160025773 - ELECTROCHEMICAL FORCE MICROSCOPY | 8 |
Alexey Kalinin | RU | Nizhny Novgorod | 2008-08-28 / 20080207120 - Wireless Data Transmission Methods, Devices, and Systems | 1 |
Sergey Kalinin | US | Chicago | 2011-04-14 / 20110086845 - Compositions and Methods for Treating Neurodegenerating Diseases | 1 |
Pavel Kalinin | US | Belmont | 2014-12-04 / 20140358665 - Predictive Item Bundling Recommendation Systems And Methods | 1 |
Vsevolod Dmitrievich Kalinin | RU | Moscow | 2011-08-18 / 20110198135 - WIND TURBINE MOUNTED ON CAR | 1 |
Alexander Y. Kalinin | US | Seattle | 2015-12-31 / 20150379117 - METHOD AND SYSTEM FOR DETERMINING SETS OF VARIANT ITEMS | 1 |
Nikita Kalinin | US | Los Angeles | 2015-07-30 / 20150210307 - Child's Riding Vehicle with Stowable Parent Handle | 1 |
Yevgeniy Vladimirovich Kalinin | US | Baltimore | 2013-04-18 / 20130095258 - ARRAY STRUCTURES OF CONTAINERS | 1 |
Vitaliy Viktorovich Kalinin | RU | Voronezh | 2012-02-09 / 20120035459 - METHOD OF NONINVASIVE ELECTROPHYSIOLOGICAL STUDY OF THE HEART | 3 |
Alexander V. Kalinin | RU | St. Petersburg | 2016-04-28 / 20160117671 - SYSTEM AND METHOD FOR PROTECTING ELECTRONIC MONEY TRANSACTIONS | 1 |
Vladimir Kalinin | IE | Omeath | 2012-04-26 / 20120096846 - WAVE ENERGY CONVERSION SYSTEM | 1 |
Alexander Viktorovich Kalinin | RU | Voronezh | 2012-02-09 / 20120035459 - METHOD OF NONINVASIVE ELECTROPHYSIOLOGICAL STUDY OF THE HEART | 3 |
Pavel Kalinin | US | San Mateo | 2013-08-15 / 20130211951 - SELF LEARNING GIFT RECOMMENDATION ENGINE | 2 |
Fedosya Kalinina | KR | Hwaseong-Si | 2015-12-17 / 20150361232 - COMPOSITION FOR PREPARING POLYIMIDE-INORGANIC COMPOSITE MATERIAL, AND ARTICLE PREPARED BY USING SAME | 7 |
Liudmila Borisovna Kalinina | RU | Moscow | 2011-08-18 / 20110198135 - WIND TURBINE MOUNTED ON CAR | 1 |
Iouri Kalinitchenko | AU | Berwick | 2015-03-05 / 20150060687 - ION DEFLECTOR FOR A MASS SPECTROMETER | 5 |
Gary T. Kalinka | US | Wyoming | 2013-03-14 / 20130062446 - BONE MILL INCLUDING A BASE AND A MILL HEAD SEPARATE FROM THE BASE, THE BASE INCLUDING A BIASING MEMBER THAT URGES THE MILL HEAD AWAY FROM THE BASE | 3 |
Alexander A. Kalinkin | RU | Novosibirsk | 2014-01-23 / 20140025719 - ASYNCHRONOUS DISTRIBUTED COMPUTING BASED SYSTEM | 1 |
Roee Kalinksy | US | San Diego | 2014-09-18 / 20140269883 - NON-LINEAR TIME DOMAIN CHANNEL ESTIMATION IN OFDM SYSTEMS | 1 |
Ozlem Kalinli | US | Burlingame | 2014-07-17 / 20140198382 - INTERFACE USING EYE TRACKING CONTACT LENSES | 8 |
Ozlem Kalinli | US | Burlingame | 2014-07-17 / 20140198382 - INTERFACE USING EYE TRACKING CONTACT LENSES | 8 |
Ozlem Kalinli | US | Los Angeles | 2010-12-16 / 20100318354 - NOISE ADAPTIVE TRAINING FOR SPEECH RECOGNITION | 1 |
Ozlem Kalinli-Akbacak | US | Burlingame | 2016-01-28 / 20160027452 - EMOTIONAL SPEECH PROCESSING | 5 |
Ozlem Kalinli-Akbacak | US | Foster City | 2014-04-24 / 20140114655 - EMOTION RECOGNITION USING AUDITORY ATTENTION CUES EXTRACTED FROM USERS VOICE | 1 |
Henry T. Kalinoski | US | Doylestown | 2008-10-02 / 20080241854 - KITS AND METHODS FOR EVALUATING HAIR | 1 |
Klára Kalinová | CZ | Jablonec Nad Nisou | 2010-07-15 / 20100175362 - PRODUCTION METHOD OF LAYERED SOUND ABSORPTIVE NON-WOVEN FABRIC | 1 |
Klára Kalinová | CZ | Jablonec Nad Nisou | 2010-07-15 / 20100175362 - PRODUCTION METHOD OF LAYERED SOUND ABSORPTIVE NON-WOVEN FABRIC | 1 |
Nataliya Kalinovich | DE | Bremen | 2015-07-02 / 20150188191 - 1,2,4-THIADIAZINANE-3,5-DIONE-1,1-DIOXIDE DERIVATIVES, PRODUCTION AND USE THEREOF | 4 |
Ilia Kalinovski | US | Berkeley | 2016-04-07 / 20160097590 - SYSTEMS AND METHODS FOR DRYING HIGH ASPECT RATIO STRUCTURES WITHOUT COLLAPSE USING SACRIFICIAL BRACING MATERIAL THAT IS REMOVED USING HYDROGEN-RICH PLASMA | 5 |
David W. Kalinowski | US | Sugarland | 2011-03-17 / 20110061532 - METHOD AND SYSTEM FOR TRANSPORTING AND PROCESSING SOUR FLUIDS | 1 |
Jorn Kalinowski | DE | Bielefeld | 2016-05-12 / 20160130622 - ACTINOMYCETE INTEGRATIVE AND CONJUGATIVE ELEMENT FROM ACTINOPLANES SP. SE50/110 AS PLASMID FOR GENETIC TRANSFORMATION OF RELATED ACTINOBACTERIA | 5 |
Paul Kalinowski | US | Riverdale | 2012-11-08 / 20120279238 - METHOD FOR CONTROLLING FROST ON A HEAT TRANSFER DEVICE | 1 |
David Kalinowski | US | Sugar Land | 2012-03-15 / 20120061085 - Increasing Enhanced Oil Recovery Value From Waste Gas | 1 |
Anissa Kalinowski | US | San Francisco | 2011-07-28 / 20110184747 - METHOD FOR DISTRIBUTION OF A DRUG | 1 |
David Kalinowski | US | Ferndale | 2013-08-29 / 20130221726 - SEAT LATCH INDICATOR | 2 |
Caitlin Elizabeth Kalinowski | US | San Francisco | 2016-03-10 / 20160070315 - COMPUTER HOUSING | 9 |
Chris Kalinowski | US | Visalia | 2015-12-03 / 20150344921 - ADVANCED AUGER AND FILTRATION SYSTEM FOR THE SACCHARIFICATION OF BIOMASS | 1 |
Michael A. Kalinowski | US | Merrimack | 2015-04-30 / 20150113914 - Counterbalanced Servo End Seal Carriages | 1 |
Shaun Kalinowski | US | Van Buren Township | 2009-02-19 / 20090047919 - RADIO WITH AUTOMATIC TUNING TO MODULATED AUDIO DEVICE | 1 |
Jörn Kalinowski | DE | Bielefeld | 2013-11-14 / 20130302855 - GENOMICS OF ACTINOPLANES UTAHENSIS | 2 |
Agniezska Kalinowski | US | Pittsburgh | 2014-01-30 / 20140030747 - NANOCHANNEL-BASED SENSOR SYSTEM FOR USE IN DETECTING CHEMICAL OR BIOLOGICAL SPECIES | 2 |
Caitlin Elizabeth Kalinowski | US | San Francisco | 2016-03-10 / 20160070315 - COMPUTER HOUSING | 9 |
Jörn Kalinowski | DE | Bielefeld | 2013-11-14 / 20130302855 - GENOMICS OF ACTINOPLANES UTAHENSIS | 2 |
Robert F. Kalinowski | US | Erie | 2014-03-13 / 20140074449 - SCALABLE POWER MODEL CALIBRATION | 1 |
Eugene Kalinowski | US | Westhampton | 2015-09-10 / 20150250675 - MOTORIZED AIR WALKER AND SUSPENSION SYSTEM FOR PARALYZED PERSONS | 1 |
Shaun David Kalinowski | US | Van Buren Township | 2010-06-17 / 20100151811 - Direct Conversion Pre-ADC Frequency Mixer | 2 |
Matthew J. Kalinowski | US | Freeland | 2014-02-27 / 20140058034 - AQUEOUS POLYMER DISPERSIONS AND PRODUCTS FROM THOSE DISPERSIONS | 21 |
Michael Kalinowski | US | Merrimack | 2013-05-16 / 20130118690 - Tubular Heater With External Thermocouple | 1 |
Ramon Kalinowski | US | New Braunfels | 2015-10-01 / 20150275559 - Interconnection System for Panel Assemblies | 2 |
Ramon Kalinowski | CA | Langley | 2009-08-06 / 20090193735 - SHEAR LOCK MODULAR BUILDING PANEL ASSEMBLY | 1 |
Timothy T. Kalinowski | US | St. Charles | 2014-02-06 / 20140039078 - POLYURETHANE FOAMS FOR TRENCH BREAKER AND OTHER APPLICATIONS | 2 |
Joseph S. Kalinowski | US | Greenville | 2012-11-29 / 20120301859 - FREQUENCY ALTERED FEEDBACK FOR TREATING NON-STUTTERING PATHOLOGIES | 2 |
Shaun D. Kalinowski | US | Van Buren Twp. | 2009-06-25 / 20090163162 - DIRECT CONVERSION RECEIVING ARCHITECTURE WITH AN INTEGRATED TUNER SELF ALIGNMENT FUNCTION | 1 |
Robert Daniel Kalinowski | US | Saint Charles | 2010-09-16 / 20100235037 - Autonomous Inspection and Maintenance | 3 |
Douglas P. Kalinowski | US | Newstead | 2010-12-30 / 20100331910 - ELECTRICAL STIMULATION UNIT AND WATERBATH SYSTEM | 3 |
Caitlin E. Kalinowski | US | San Francisco | 2015-05-14 / 20150131220 - PORTABLE COMPUTING DEVICE | 5 |
Matthew James Kalinowski | US | Freeland | 2013-03-07 / 20130059147 - AQUEOUS DISPERSIONS | 1 |
Benjamin J. Kalinowski | US | Newton | 2012-04-26 / 20120100007 - Rotor support system | 1 |
John Philip Kalinowsky | US | Danbury | 2013-08-29 / 20130221032 - Mechanical Dispensing System | 1 |
Hagar Kalinski | IL | Rishon-Le-Zion | 2016-04-21 / 20160108399 - MODULATION OF HSP47 EXPRESSION | 33 |
James Jeffrey Kalinski | US | San Jose | 2012-09-20 / 20120234510 - LANDSCAPE SHIELD APPARATUS AND METHOD | 1 |
Pawel Kalinski | US | Wexford | 2014-09-11 / 20140255358 - GENERATION OF IMMUNOSUPPRESSIVE MYELOID CELLS USING PGE2 | 5 |
Michael E. Kalinski | US | Lexington | 2011-06-23 / 20110149685 - LIGHTWEIGHT INFLATABLE BOREHOLE RECEIVER UNIT FOR SEISMIC TESTING | 1 |
Pawel Kalinski | PA | Allison Park | 2012-01-12 / 20120009681 - PLATFORM OF DENDRITIC CELL (DC)-BASED VACCINATION | 1 |
Cedric Kalinski | DE | Munchen | 2009-12-10 / 20090306130 - NOVEL TETRAHYDRO-ISOQUINOLINES | 1 |
Hagar Kalinski | IL | Rishon-Le-Zion | 2016-04-21 / 20160108399 - MODULATION OF HSP47 EXPRESSION | 33 |
Pawel Kalinski | US | Allison Park | 2009-01-01 / 20090004157 - MATURE TYPE-1 POLARIZED DENDRITIC CELLS WITH ENHANCED IL-12 PRODUCTION AND METHODS OF SERUM-FREE PRODUCTION AND USE | 1 |
Hagar Kalinski | IL | Rishon-Ie-Zion | 2011-05-12 / 20110112168 - NOVEL SIRNA STRUCTURES | 2 |
Cotic Kalinski | DE | Munchen | 2009-03-12 / 20090068144 - TETRAHYDRO-ISOQUINOLIN-1-ONES FOR THE TREATMENT OF CANCER | 1 |
Dov Kalinski | IL | Hod Hasharon | 2008-09-04 / 20080211942 - Method and Design for Using Multiple Outputs of Image Sensor | 1 |
Cedric Kalinski | DE | Munich | 2012-05-17 / 20120122839 - NOVEL PYRROLIDIN-2-ONES | 2 |
Laurence Kalinsky | US | Los Angeles | 2009-08-27 / 20090215527 - TOURNAMENT-STYLE PARIMUTUEL WAGERING SYSTEM | 1 |
Wayne Kalinsky | US | Cedar Rapids | 2014-08-07 / 20140223203 - SYSTEM AND METHOD FOR CREATING HIGH POWERED EMC COMPLIANT POWER SUPPLY FOR INDUSTRIAL AND MILITARY APPLICATIONS | 1 |
Stuart Kalinsky | US | New York | 2013-08-08 / 20130200015 - FOOTWEAR HANGER ASSEMBLY | 1 |
Rajah Kalipatnapu | US | San Jose | 2012-06-07 / 20120142333 - Systems and Methods for Information Exchange and Synchronization Using DTMF Over Telephone Communication Channels | 1 |
Rajah K V R Kalipatnapu | US | San Jose | 2008-10-30 / 20080267282 - OPTIMIZING BANDWIDTH IN A MULTIPOINT VIDEO CONFERENCE | 1 |
Rajah K.v.r. Kalipatnapu | US | San Jose | 2013-01-24 / 20130021432 - OPTIMIZING BANDWIDTH IN A MULTIPOINT VIDEO CONFERENCE | 3 |
Christoph Kalis | DE | Gundelfingen | 2016-04-21 / 20160106753 - Dihydro-Benzo-Oxazine and Dihydro-Pyrido-Oxazine Derivatives | 4 |
Jeff Kalis | US | Rockford | 2010-09-16 / 20100232263 - CATEGORY MANAGEMENT | 1 |
Jeffrey Kalis | US | Sparta | 2012-06-14 / 20120146893 - INTERCHANGEABLE OVERLAY FOR AMUSEMENT DEVICES | 2 |
Jeffrey J. Kalis | US | Sparta | 2015-09-17 / 20150261396 - JUKEBOX NETWORK SYSTEM | 6 |
Janal Kalis | US | Minneapolis | 2010-08-19 / 20100211578 - PATENT CONNECTION DATABASE | 1 |
Robert M. Kalis | US | Overland Park | 2015-04-16 / 20150105221 - FITNESS MONITOR | 5 |
Robert W. Kalis | US | Mesa | 2015-07-09 / 20150190124 - QUICK CYCLE BIOPSY SYSTEM | 5 |
Keith J. Kalis | US | Lancaster | 2008-12-04 / 20080296787 - Gas Splattered Fluid Display | 1 |
Janal M. Kalis | US | Minneapolis | 2016-03-17 / 20160078109 - PATENT MAPPING | 7 |
Matthew A. Kalis | US | Cottage Grove | 2015-03-26 / 20150082755 - FILTER CARTRIDGE WITH CENTERBOARD, DUST COLLECTORS, AND METHODS | 3 |
Keith Kalis | US | Sun Valley | 2014-10-23 / 20140312145 - Variable Width Fan Nozzle | 2 |
Matthew A. Kalis | US | Hudsonville | 2008-11-06 / 20080272623 - Roof System for a Vehicle | 1 |
Vladimir Kalis | CZ | Plzen | 2015-01-29 / 20150032032 - METHODS AND DEVICES FOR BIOMECHANICAL ASSESSMENT OF PELVIC FLOOR INCLUDING PERINEUM PRIOR TO CHILDBIRTH | 1 |
Maarten Kalis | FR | Vaugneray | 2015-11-05 / 20150316271 - SUPPORT FOR A COOKING VESSEL | 2 |
Dirk Kalisch | DE | Koln | 2009-03-12 / 20090068011 - Vacuum pump arrangement | 3 |
Albrecht Kalisch | DE | Grossheubach | 2015-10-15 / 20150292972 - DIAPHRAGM SEAL WITH ADAPTER | 1 |
Tobias Kalisch | DE | Villingen | 2010-06-17 / 20100152986 - METHOD FOR CONTROLLING A DUAL CLUTCH TRANSMISSION | 1 |
Peter Kalisch | DE | Unterensingen | 2012-12-06 / 20120304642 - INTERNAL COMBUSTION ENGINE | 1 |
Tobias Kalisch | DE | Willingen-Schwenningen | 2010-09-23 / 20100241325 - SYSTEM AND METHOD FOR OPERATING A DUAL CLUTCH TRANSMISSION DURING FAILURE OF AN ENGINE SPEED SENSOR OR A BUS CONNECTION BETWEEN CONTROL MODULES | 1 |
Steffen Kalisch | DE | Radebeul | 2009-02-05 / 20090037012 - METHOD AND SYSTEM FOR SCHEDULING A STREAM OF PRODUCTS IN A MANUFACTURING ENVIRONMENT BY USING PROCESS-SPECIFIC WIP LIMITS | 1 |
Tobias Kalisch | DE | Villingen-Schwenningen | 2014-02-20 / 20140052326 - METHOD AND CONTROL DEVICE FOR A DRIVE TRAIN COMPONENT | 5 |
Peter Kalisch | DE | Nürnberg | 2009-10-15 / 20090256713 - NETWORK COMPRISED OF SENSOR ELEMENTS | 1 |
Matthias Kalisch | DE | Filderstadt-Sielmingen | 2015-05-28 / 20150146829 - METHOD FOR SUPPRESSING INTERFERENCES IN A SAMPLING PROCESS AS WELL AS A DEVICE FOR CARRYING OUT THE METHOD | 2 |
Steffen Kalisch | DE | Radebeu | 2015-06-11 / 20150162180 - METHOD, STORAGE MEDIUM AND SYSTEM FOR CONTROLLING THE PROCESSING OF LOTS OF WORKPIECES | 1 |
Peter Kalisch | DE | Bremen | 2010-12-09 / 20100308498 - METHOD AND DEVICE FOR THE HANDLING AND THERMALLY TREATING BAG OR FILM PACKAGES | 1 |
Holger Kalisch | DE | Kaarst | 2010-10-21 / 20100265236 - ORGANIC LIGHT-EMITTING DEVICE WITH ADJUSTABLE CHARGE CARRIER INJECTION | 2 |
Peter Kalisch | DE | Nürnberg | 2009-10-15 / 20090256713 - NETWORK COMPRISED OF SENSOR ELEMENTS | 1 |
Gideon Kalischer | US | New York | 2015-01-22 / 20150026078 - GENERATING AND PROVIDING AN AUTHORIZATION INDICATION IN RELATION TO A MEDIA CONTENT ITEM | 1 |
Sainath Kalisetty | IN | Cuddapah | 2009-08-13 / 20090202649 - FENOFIBRATE FORMULATIONS | 1 |
Alexander Kalish | US | Tomball | 2015-05-07 / 20150123835 - System and Method Using Near and Far Field ULF and ELF Interferometry Synthetic Aperture Radar for Subsurface Imaging | 1 |
Vincent J. Kalish | US | Annapolis | 2016-03-24 / 20160081951 - NITROXYL DONORS FOR THE TREATMENT OF PULMONARY HYPERTENSION | 9 |
Alexander Edward Kalish | US | The Woodlands | 2014-07-03 / 20140184227 - COLLECTING AND TRANSMITTING CONTROL SOURCE ELECTROMAGNETIC SIGNALS | 3 |
Christopher Kalish | US | Pleasantville | 2010-10-14 / 20100262450 - METHOD AND SYSTEM FOR MOBILE ASSET MANAGEMENT | 1 |
Cathy Gunn Kalish | US | Tupelo | 2015-03-19 / 20150075550 - NAIL CLEANING APPARATUS | 3 |
David Kalish | US | Roswell | 2011-03-10 / 20110056245 - METHODS FOR MODIFYING OVALITY OF OPTICAL FIBER PREFORMS | 1 |
Vincent Jacob Kalish | US | Annapolis | 2016-02-25 / 20160052862 - NOVEL NITROSO COMPOUNDS AS NITROXYL DONORS AND METHODS OF USE THEREOF | 18 |
Noa Kalish | IL | Rehovot | 2015-05-21 / 20150135709 - CASCADED POWER PLANT USING LOW AND MEDIUM TEMPERATURESOURCE FLUID | 1 |
Alexander Kalish | US | Belmont | 2013-10-17 / 20130275195 - FRAUD PROTECTION IN AN INCENTIVIZED COMPUTER SYSTEM | 1 |
Yaron Kalish | IL | Hod-Hasharon | 2012-11-08 / 20120284625 - System and Method For Generating Videos | 1 |
Jeffrey P. Kalish | US | Minneapolis | 2015-02-19 / 20150047774 - METHODS OF BONDING POLYESTER SUBSTRATES | 2 |
David Kalish | US | Needham | 2014-08-07 / 20140220617 - DIALYSIS LIKE THERAPEUTIC (DLT) DEVICE | 1 |
Matthew Kalish | US | Saugerties | 2016-03-10 / 20160069725 - Integrated Measuring Cup and Cutting Board Edges | 5 |
Brian Kalish | US | Brookline | 2016-02-18 / 20160045468 - NOVEL THERAPEUTIC TARGET FOR THE TREATMENT OF CANCERS AND RELATED THERAPIES AND METHODS | 1 |
Keith Kalish | US | Vero Beach | 2014-03-27 / 20140088523 - GARMENT FOR TREATMENT OF APPENDAGES | 1 |
Peter Kalish | US | Clifton Park | 2012-06-07 / 20120141851 - SYSTEM AND METHOD FOR ENCLOSING AN ENERGY STORAGE DEVICE | 2 |
Rafi Kalish | IL | Haifa | 2014-10-30 / 20140319542 - MOLYBDENUM TRIOXIDE-COATED HYDROGEN-TERMINATED DIAMOND SURFACE AND USES THEREOF | 1 |
Rafael Kalish | IL | Haifa | 2013-07-25 / 20130187123 - FIELD EMISSION DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Michal Kalish | IL | Nes Ziona | 2016-05-05 / 20160124349 - Ink Transfer Element | 2 |
Vincent Jacob Kalish | US | Annapolis | 2016-02-25 / 20160052862 - NOVEL NITROSO COMPOUNDS AS NITROXYL DONORS AND METHODS OF USE THEREOF | 18 |
Vincent J. Kalish | US | Annapolis | 2016-03-24 / 20160081951 - NITROXYL DONORS FOR THE TREATMENT OF PULMONARY HYPERTENSION | 9 |
Katherine Kalish | US | Monument | 2014-09-18 / 20140265128 - METHOD OF PLAYING A WORD CARD GAME USING ALPHABET CARDS | 1 |
Jonathan Reed Kalish | US | Tupelo | 2015-05-14 / 20150128365 - HAND CLEANING DEVICE | 4 |
Marcia L. Kalish | US | Decatur | 2010-09-02 / 20100222236 - MULTIPLE ANTIGENIC PEPTIDE ASSAY FOR DETECTION OF HIV OR SIV TYPE RETROVIRUSES | 1 |
Irina Kalish | US | West Bloomfield | 2010-09-09 / 20100224799 - PHOTOLUMINESCENT COATING FOR VEHICLES | 1 |
Danny Kalish | IL | Ra'Anana | 2015-11-26 / 20150340067 - System and Method to Generate a Video on the Fly | 3 |
Robert John Kalishek | US | Appleton | 2009-06-18 / 20090155613 - Heat-Sensitive record material | 1 |
Jarek Kalisiak | US | Mountain View | 2016-03-31 / 20160090357 - PROCESSES AND INTERMEDIATES IN THE PREPARATION OF C5aR ANTAGONISTS | 2 |
Christopher M. Kalisiak | US | North Tonawanda | 2012-03-08 / 20120059991 - SYSTEM AND METHOD FOR REPRESENTATION OF TARGET DEVICES IN A STORAGE ROUTER | 1 |
Burton S. Kaliski, Jr. | US | Mclean | 2016-03-24 / 20160085987 - PRIVACY PRESERVING DATA QUERYING | 8 |
Burton S. Kaliski, Jr. | US | Wellesley | 2013-12-05 / 20130322622 - AUTHENTICATION METHODS AND APPARATUS USING BASE POINTS ON AN ELLIPTIC CURVE AND OTHER TECHNIQUES | 3 |
Burton S. Kaliski, Jr. | US | Vienna | 2014-05-01 / 20140123301 - PRIVACY PRESERVING REGISTRY BROWSING | 2 |
Burton S. Kaliski, Jr. | US | Mclean | 2016-03-24 / 20160085987 - PRIVACY PRESERVING DATA QUERYING | 8 |
Ofer Kalisky | IL | Raanana | 2012-08-16 / 20120209929 - METHOD AND APPARATUS FOR ENABLING MESSAGING BETWEEN USERS OF DIFFERENT SOCIAL NETWORKS AND BETWEEN USERS OF SOCIAL NETWORKS AND USERS OF OTHER COMMUNICATION SYSTEMS | 3 |
Tomer Kalisky | US | Palo Alto | 2010-10-07 / 20100255471 - Single cell gene expression for diagnosis, prognosis and identification of drug targets | 1 |
Dror Kalisky | IL | Raanana | 2011-07-28 / 20110184738 - NAVIGATION AND ORIENTATION TOOLS FOR SPEECH SYNTHESIS | 1 |
Tomer Kalisky | IL | Givat Shmuel | 2013-08-29 / 20130225435 - METHODS AND SYSTEMS FOR ANALYSIS OF SINGLE CELLS | 1 |
Esa Kalistaja | FI | Oulu | 2015-12-31 / 20150375246 - METHODS AND APPARATUS FOR CONDUCTIVE ELEMENT DEPOSITION AND FORMATION | 5 |
Peter Kalisvaart | CA | Edmonton | 2013-08-15 / 20130210618 - KINETIC STABILIZATION OF MAGNESIUM HYDRIDE | 1 |
Sytze Hendrik Kalisvaart | NL | Eindhoven | 2008-09-04 / 20080214109 - Communication System For Short-Range Wireless Data Exchange | 2 |
Sytze Kalisvaart | NL | Eindhoven | 2010-03-25 / 20100076278 - SYSTEM FOR TRAINING OPTIMISATION | 1 |
Raymond E. Kalisz | US | Livonia | 2015-02-05 / 20150035261 - FOAM-IN-PLACE INTERIOR PANELS HAVING INTEGRATED AIRBAG DOORS INCLUDING SUBSTRATES WITH AIRBAG CHUTE-DOOR ASSEMBLIES FOR MOTOR VEHICLES | 15 |
Mariusz Kalisz | US | Fishers | 2014-09-18 / 20140260740 - ELECTRIC MACHINE INCLUDING AN AXIAL RETAINER | 1 |
Raymond E. Kalisz | US | Livonia | 2015-02-05 / 20150035261 - FOAM-IN-PLACE INTERIOR PANELS HAVING INTEGRATED AIRBAG DOORS INCLUDING SUBSTRATES WITH AIRBAG CHUTE-DOOR ASSEMBLIES FOR MOTOR VEHICLES | 15 |
Tomas Kalisz | CZ | Praha | 2008-12-25 / 20080319061 - Process for the Preparation of an Oxaliplatin Preparation | 1 |
Scott Kalisz | US | Newman | 2011-05-12 / 20110108341 - LAYOUT OF COMPACT ALL TERRAIN VEHICLE FOR EXHAUST OUTLET DUCT AND AIR INLET DUCT POSITIONING | 1 |
Karol Kalisz | DE | Sandhausen | 2016-04-21 / 20160110321 - APPLICATION CUSTOMIZATION THROUGH LINKED EMBEDDED AREAS | 2 |
Raymond Edward Kalisz | US | Livonia | 2013-08-29 / 20130221192 - INTERCHANGEABLE MOLD INSERTS | 16 |
Maciej A. Kaliszczak | GB | London | 2014-10-02 / 20140294856 - COMBINATION TREATMENT COMPRISING A HDAC6 INHIBITOR AND AN AKT INHIBITOR | 1 |
Andrew W. Kaliszek | US | Phoenix | 2012-12-06 / 20120307251 - HIGH PERFORMANCE HOLLOW-CORE OPTICAL-FIBER FILTER FOR OPTICAL ROTATION SENSING | 3 |
Jerry A. Kaliszewski | US | Olympia | 2010-06-24 / 20100159730 - WIRE BALE INDEPENDENT LOAD MECHANISM | 1 |
Gadi Kalit | IL | Nahariya | 2012-12-27 / 20120329383 - SYSTEMS AND METHOD FOR FREQUENCY BASED SATELLITE CHANNEL SCANNING | 2 |
Abhijit Kalita | SG | Singapore | 2010-09-23 / 20100241250 - Feedback and feedforward control of a semiconductor process without output values from upstream processes | 1 |
Samantha J. Kalita | US | Boston | 2014-03-27 / 20140087708 - METHOD AND APPARATUS FOR AUTOMATIC MOBILE COMMUNICATIONS RESTRICTION | 1 |
Krzysztof Ryszard Kalita | PL | Krakow | 2010-06-10 / 20100146152 - Method for accelerating the computational speed of a computer algorithm | 1 |
Harjyoti Kalita | US | Fargo | 2013-12-05 / 20130320255 - VEGETABLE OIL-BASED POLYMERS FOR NANOPARTICLE SURFACE MODIFCATION | 1 |
Deep Kalita | US | Fargo | 2016-01-28 / 20160023980 - MONOMERS AND POLYMERS DERIVED FROM NATURAL PHENOLS | 1 |
Parash Kalita | US | Springdale | 2015-07-30 / 20150210865 - Combinatorial Materials Architecture and Process for Textiles and Related Applications | 2 |
Laksheswar Kalita | IN | Mumbai | 2015-10-01 / 20150275375 - GENERATION OF COMPACT ALUMINA PASSIVATION LAYERS ON ALUMINUM PLASMA EQUIPMENT COMPONENTS | 1 |
Harjyoti Kalita | US | 2016-01-28 / 20160023980 - MONOMERS AND POLYMERS DERIVED FROM NATURAL PHENOLS | 1 | |
Samantha Kalita | US | Boston | 2014-07-03 / 20140188932 - PROVIDING CUSTOMIZED INFORMATION FOR MOBILE DEVICES AND EFFICIENTLY SEARCHING THE SAME | 1 |
Nicholas Kalita | US | Boston | 2014-12-25 / 20140375465 - BAND WITH CONFORMABLE ELECTRONICS | 1 |
Mridu Kalita | GB | Southampton | 2015-10-22 / 20150303644 - Fiber Laser Having Optical Resonator Comprising Tapered Element | 2 |
Harjyoti Kalita | US | Turlock | 2016-01-28 / 20160023980 - MONOMERS AND POLYMERS DERIVED FROM NATURAL PHENOLS | 1 |
Deep Kalita | US | 2016-01-28 / 20160023980 - MONOMERS AND POLYMERS DERIVED FROM NATURAL PHENOLS | 1 | |
Harjyoti Kalita | US | Hilmarcheese | 2015-12-24 / 20150368378 - PLANT OIL-BASED MATERIALS | 1 |
Siddharth Kalita | IN | Banglalore | 2014-07-17 / 20140201131 - TECHNIQUES PERTAINING TO DOCUMENT CREATION | 1 |
Dipak Kalita | IN | Andhra Pradesh | 2013-10-24 / 20130281662 - PROCESS FOR THE MANUFACTURE OF DEGARELIX AND ITS INTERMEDIATES | 1 |
Siddharth Kalita | IN | Bangalore | 2014-07-10 / 20140195885 - INTEGRATING DOCUMENT RELATED COMMUNICATION WITH A DOCUMENT | 1 |
Danielle Marie Kalitan | US | Niskayuna | 2014-08-28 / 20140238032 - METHODS AND APPARATUS FOR RAPID SENSING OF FUEL WOBBE INDEX | 2 |
Danielle Kalitan | US | Niskayuna | 2012-08-02 / 20120192546 - Catalytic Converter for a Pulse Detonation Turbine Engine | 1 |
Gavin Kalitis | AU | New South Wales | 2008-10-09 / 20080245128 - Method and Apparatus for Forming Metal Reinforcing | 1 |
John Kalitsis | AU | New South Wales | 2009-10-08 / 20090250595 - SYSTEM FOR DETECTING ONE OR MORE PREDETERMINED OPTICALLY DERIVABLE CHARACTERISTICS OF A SAMPLE | 1 |
John Kalitsis | AU | East Lakes, Nsw | 2008-08-21 / 20080201093 - Assessment of Weather Damage in Cereal Grains | 1 |
John Kalitsis | AU | North Ryde | 2012-10-18 / 20120265729 - SYSTEM FOR DETECTING ONE OR MORE PREDETERMINED OPTICALLY DERIVABLE CHARACTERISTICS OF A SAMPLE | 1 |
Conrad Kalitta | US | Ypsilanti | 2016-04-07 / 20160096654 - SYSTEM AND APPARATUS FOR POSITIONING INTERMODAL CONTAINERS ON PALLETS | 2 |
Robin Kalitzki | DE | Langenzenn | 2009-05-14 / 20090120753 - Conductor Rail Support | 1 |
Venkataramana Kalivarapu | IN | Hyderabad | 2015-08-13 / 20150227869 - RISK SELF-ASSESSMENT TOOL | 2 |
Christopher George Kalivas | US | 2015-05-14 / 20150130610 - FIRE ALARM POWER LINE CARRIER COM-SYSTEM | 1 | |
Christopher George Kalivas | US | Patchogue | 2015-05-14 / 20150130610 - FIRE ALARM POWER LINE CARRIER COM-SYSTEM | 2 |
Arkadiusz Kaliwoda | BE | Zingem | 2010-01-07 / 20100003921 - Service Monitoring and Disconnection Notification in a Wireless Gateway Device | 1 |
Anurag Kaliya | IN | Mumbai | 2014-06-26 / 20140180963 - SYSTEM AND METHOD FOR SPEND ANALYSIS | 1 |
Karthikeyan Kaliyamoorthi | IN | Bangalore | 2008-10-23 / 20080260222 - Lesion Quantification and Tracking Using Multiple Modalities | 1 |
Sathya Kaliyamoorthy | US | Morris Plains | 2015-04-30 / 20150119817 - Leak-Free Stopper Having Low Breakloose and Sustaining Forces | 1 |
Ganesan Kaliyaperumal | IN | Tamil Nadu | 2012-02-16 / 20120039212 - In-Vehicle Networking Based Services | 1 |
Nitin Kalje | US | Cupertino | 2010-04-29 / 20100102416 - Integrated Circuit Packages Incorporating an Inductor and Methods | 1 |
Nitin Kalje | US | Saratoga | 2013-09-26 / 20130249511 - CONFIGURABLE MULTI-MODE PWM CONTROLLER | 2 |
Karl Kaljura | GB | London | 2015-12-10 / 20150351451 - SMOKING ARTICLE AND A METHOD OF MANUFACTURING A SMOKING ARTICLE | 25 |
Karl Kaljura | GB | London | 2015-12-10 / 20150351451 - SMOKING ARTICLE AND A METHOD OF MANUFACTURING A SMOKING ARTICLE | 25 |
Karl Kaljura | GB | Southampton | 2013-09-19 / 20130239980 - Apparatus and Method for Making a Smoking Article | 3 |
Karl Kaljura | GB | Hampshire | 2011-06-30 / 20110155000 - Apparatus and Method for Making a Smoking Article | 1 |
Kalle Kaljuste | EE | Tallinn | 2015-12-31 / 20150374677 - ANALOGS OF PRIDOPIDINE, THEIR PREPARATION AND USE | 1 |
Marti Kaljuve | EE | Tallinn | 2014-07-03 / 20140189491 - VISUAL CROSS-BROWSER LAYOUT TESTING METHOD AND SYSTEM THEREFOR | 1 |
Hendrik Johannes Kalk | NL | Deventer | 2014-10-23 / 20140316586 - THERMOSTAT FOR A HVAC | 2 |
Jacek Kalka | PL | Kwidzyn | 2015-08-27 / 20150240423 - EFFECT OF LOW DOSE XYLANASE ON PULP IN PREBLEACH TREATMENT PROCESS | 2 |
Arnold Kalka | DE | Datteln | 2009-01-22 / 20090020012 - METHOD FOR THE BACKFLUSHING OF FILTERS | 1 |
Mustafa Tunaya Kalkan | TR | Istanbul | 2016-05-12 / 20160128602 - TISSUE IDENTIFICATION DEVICE AND METHOD | 1 |
Ali Kaan Kalkan | US | State College | 2008-11-20 / 20080286880 - Methods and Systems for Nanoparticle Enhancement of Signals | 1 |
Ali Kaan Kalkan | US | Stillwater | 2012-08-16 / 20120205251 - NANOWIRE-NANOPARTICLE CONJUGATE PHOTOLYTIC FUEL GENERATORS | 2 |
Buelent Kalkan | DE | Woerth | 2009-11-05 / 20090273164 - Interior Panelling Part for Covering an Airbag and Method for Production Thereof | 1 |
Todor Kalkandjiev | GB | Dundee | 2012-04-05 / 20120082179 - NOVEL PHOTONIC DEVICES BASED ON CONICAL REFRACTION | 1 |
Husnu Kalkanoglu | US | Swarthmore | 2012-04-12 / 20120088858 - Polymer-Fiber Composite Building Material with Bulk and Aesthetically Functional Fillers | 6 |
Husnu M. Kalkanoglu | US | Swarthmore | 2016-05-05 / 20160126885 - Photovoltaic Roofing Elements And Photovoltaic Roofing Systems | 117 |
Thomas Kalkbrenner | DE | Jena | 2016-04-28 / 20160116728 - LUMINESCENCE MICROSCOPY | 26 |
Frank Kalkbrenner | DE | Attenweiler | 2009-11-12 / 20090280115 - Dihydropteridinones in the Treatment of Respiratory Diseases | 3 |
Keven K. Kalkbrenner | US | Dallas | 2011-09-08 / 20110219451 - System And Method For Host-Level Malware Detection | 1 |
Dr. Thomas Kalkbrenner | DE | Jena | 2016-03-24 / 20160085062 - MICROSCOPE AND METHOD FOR 3D HIGH-RESOLUTION LOCALIZATION MICROSCOPY WITH AN ENLARGED MEASUREMENT REGION | 3 |
Thomas Kalkbrenner | DE | Jena | 2016-04-28 / 20160116728 - LUMINESCENCE MICROSCOPY | 26 |
Martti Kalke | FI | Tuusula | 2015-12-24 / 20150366525 - System and Method of Artifact Correction in 3D Imaging | 6 |
Ton Kalker | US | Mountain View | 2016-04-07 / 20160100156 - Smart Audio and Video Capture Systems for Data Processing Systems | 9 |
Antonius Adrianus Cornelis Maria Kalker | NL | Eindhoven | 2011-11-17 / 20110280436 - EMBEDDING AND DETECTION OF WATERMARK IN A MOTION IMAGE SIGNAL | 1 |
Ton Kalker | US | Carmel | 2011-02-03 / 20110026745 - DISTRIBUTED SIGNAL PROCESSING OF IMMERSIVE THREE-DIMENSIONAL SOUND FOR AUDIO CONFERENCES | 3 |
William J. Kalker | US | Monroe | 2008-11-06 / 20080271405 - Connector Plate and Method of Securing a Building Frame to a Foundation | 1 |
Antonius Andrianus Cornelis Maria Kalker | US | Mountain View | 2008-09-04 / 20080212780 - Homomorphic Encryption For Secure Watermarking | 1 |
Ton Kalker | US | Mountain View | 2016-04-07 / 20160100156 - Smart Audio and Video Capture Systems for Data Processing Systems | 9 |
Antontius Kalker | US | Mountain View | 2013-10-31 / 20130286161 - THREE-DIMENSIONAL FACE RECOGNITION FOR MOBILE DEVICES | 3 |
Antonius Kalker | US | Mountain View | 2015-09-24 / 20150269951 - RESIDUAL ENCODING IN AN OBJECT-BASED AUDIO SYSTEM | 4 |
Natasha Kalkhof | US | Madison | 2014-03-20 / 20140079773 - ENCAPSULATING LIPOSOMES | 1 |
Will Kalkhoft | US | Canton | 2010-10-14 / 20100262422 - Device and method for improving communication through dichotic input of a speech signal | 1 |
Nader M. Kalkhoran | US | Tewksbury | 2012-03-15 / 20120061622 - SURFACE-ACTIVATION OF SEMICONDUCTOR NANOSTRUCTURES FOR BIOLOGICAL APPLICATIONS | 4 |
Santosh Kalki | US | Seattle | 2015-12-24 / 20150370883 - DATA INTEREST ESTIMATION FOR N-DIMENSIONAL CUBE COMPUTATIONS | 4 |
Jagadeesh Kalki | US | Redmond | 2014-02-06 / 20140040795 - SECONDARY OUTPUT GENERATION FROM A PRESENTATION FRAMEWORK | 9 |
Jagadeesh Kalki | US | Redmond | 2014-02-06 / 20140040795 - SECONDARY OUTPUT GENERATION FROM A PRESENTATION FRAMEWORK | 9 |
Ravi Kumar Kalkivayi Seshagiri | GB | Westhoughton | 2014-05-22 / 20140141837 - Alcohol Management System | 2 |
Jeroen Kalkman | NL | Utrecht | 2012-07-26 / 20120188538 - COMMON DETECTOR FOR COMBINED RAMAN SPECTROSCOPY-OPTICAL COHERENCE TOMOGRAPHY | 4 |
Hubert Kalkman | NL | Breda | 2014-10-02 / 20140294220 - LOUDSPEAKER UNIT WITH TWO LOUDSPEAKERS AND A WEDGE-SHAPED BODY BETWEEN THE SPACES ADJOINING THE LOUDSPEAKERS | 2 |
Pieter Kalkman | NL | Waddinxveen | 2015-12-03 / 20150344273 - CRANE WITH HEAVE COMPENSATION | 2 |
Hans Kalkman | DE | Uetersen | 2014-12-04 / 20140356903 - HEMATOLOGICAL ANALYZER, METHOD FOR ANALYZING BODY FLUID AND COMPUTER PROGRAM PRODUCT | 2 |
Hans O. Kalkman | CH | Muttenz | 2013-11-07 / 20130296366 - OPTICAL ISOMERS OF AN ILOPERIDONE METABOLITE | 1 |
Hans O. Kalkman | CH | Basel | 2014-01-16 / 20140018376 - Use of 1H-Quinazoline-2,4-Diones | 10 |
Jeroen Kalkman | NL | Eindhoven | 2010-02-25 / 20100045990 - OSCILLATOR ELEMENT FOR PHOTO ACOUSTIC DETECTOR | 3 |
Hans O. Kalkman | CH | Basel | 2014-01-16 / 20140018376 - Use of 1H-Quinazoline-2,4-Diones | 10 |
Dean W. Kalkovich | US | Cobalt | 2014-06-26 / 20140178175 - AIR TURBINE STARTER MONITOR SYSTEM | 1 |
Gerhard Kalkowski | DE | Jena | 2014-03-27 / 20140083597 - METHOD FOR JOINING SUBSTRATES | 8 |
Harold M. Kalkstein | US | New York | 2011-09-08 / 20110217507 - Fishnet Hose Stocking a fastened tube for utility lines and hoses in aquatic/outdoor environments | 1 |
Satyan Kalkunde | US | Barrington | 2009-09-03 / 20090221529 - HETEROCYCLES AND DERIVATIVES THEREOF AND METHODS OF MANUFACTURE AND THERAPEUTIC USE | 1 |
Sheshadri Kalkunte | IN | Bangalore | 2013-03-21 / 20130070879 - GENERATING A REGULARLY SYNCHRONISED COUNT VALUE | 2 |
Venkat Kalkunte | US | Saratoga | 2016-03-17 / 20160080966 - MESH NETWORK ASSESSMENT AND TRANSMISSION | 10 |
Mohan Kalkunte | US | Sunnyvale | 2013-11-14 / 20130301410 - Multiple Logical Channels for Use in Network Devices | 8 |
Venkat Kalkunte | US | Saratoga | 2016-03-17 / 20160080966 - MESH NETWORK ASSESSMENT AND TRANSMISSION | 10 |
Satyan Kalkunte | US | Providence | 2015-10-15 / 20150293129 - DETECTION AND TREATMENT OF PREGNANCY COMPLICATIONS | 6 |
Satyan Kalkunte | US | Barrington | 2016-02-04 / 20160033484 - SERUM-BASED, DIAGNOSTIC, BIOLOGICAL ASSAY TO PREDICT PREGNANCY DISORDERS | 4 |
Ravi Kalkunte | US | Charlotte | 2008-10-09 / 20080246589 - LAMINATE DEVICE HAVING VOIDED STRUCTURE FOR CARRYING ELECTRONIC ELEMENT, SUCH AS LABEL FOR RFID TAG | 1 |
Mohan Kalkunte | US | Sunnyvale | 2013-11-14 / 20130301410 - Multiple Logical Channels for Use in Network Devices | 8 |
Mohan Kalkunte | US | Saratoga | 2015-06-11 / 20150163072 - Virtual Port Extender | 16 |
Ravi Kalkunte | US | Midlothian | 2014-12-04 / 20140355122 - Polyolefin Volumetric Diffuser | 2 |
Mohan Venkatachar Kalkunte | US | Saratoga | 2016-03-17 / 20160080218 - System and Method for Network Health and Management | 14 |
Mohan Venkatachar Kalkunte | US | Saratoga | 2016-03-17 / 20160080218 - System and Method for Network Health and Management | 14 |
Satyan Kalkunte | US | 2013-12-12 / 20130331383 - NITROFURAN COMPOUNDS FOR THE TREATMENT OF CANCER AND ANGIOGENESIS | 1 | |
Mohan Kalkunte | US | Saratoga | 2015-06-11 / 20150163072 - Virtual Port Extender | 16 |
Naveen Kalkur | US | White Bear Lake | 2013-12-05 / 20130325581 - IN-LIBRARY LENDING ACTIVATION | 1 |
Stephen Charles Kalkwarf | US | Tewksbury | 2016-05-05 / 20160124782 - SYSTEMS AND METHODS FOR COMMUNICATION BETWEEN INDEPENDENT COMPONENT BLOCKS IN MOBILE APPLICATION MODULES | 1 |
Jan Kall | FI | Espoo | 2016-02-04 / 20160037296 - PROVISION OF INFORMATION REGARDING A MOBILE STATION | 6 |
Magnus Kall | FI | Espoo | 2013-12-26 / 20130345528 - SENSOR HOLDER TO BE APPLIED ON AN APPENDAGE OF A SUBJECT | 3 |
Anders Jan Olof Kall | FI | Espoo | 2015-03-05 / 20150063126 - APPARATUS, METHOD, SYSTEM AND COMPUTER PROGRAM PRODUCT FOR SERVER FAILURE HANDLING | 5 |
Johan Kall | FI | Kirkkonummi | 2009-01-29 / 20090030943 - SYSTEM AND METHOD FOR PROCESSING DATA RECORDS IN A MEDIATION SYSTEM | 1 |
Praveen Kalla | US | Palo Alto | 2015-04-23 / 20150112893 - Predicting Levels of Influence | 3 |
Subhash Kalla | US | Houston | 2013-09-19 / 20130246031 - Constructing Geologic Models From Geologic Concepts | 1 |
Rao V. Kalla | US | Cupertino | 2016-04-07 / 20160096846 - FUSED HETEROCYCLIC COMPOUNDS AS ION CHANNEL MODULATORS | 9 |
Anitha Kalla | US | Olathe | 2010-04-29 / 20100102050 - PROXIMITY SENSOR | 1 |
Ronald Nick Kalla | US | Round Rock | 2012-08-23 / 20120216210 - PROCESSOR WITH RESOURCE USAGE COUNTERS FOR PER-THREAD ACCOUNTING | 7 |
Ronald N. Kalla | US | Round Rock | 2013-03-28 / 20130080838 - Programming in a Simultaneous Multi-Threaded Processor Environment | 6 |
Karen Kay Kalla | US | Cincinnati | 2015-10-22 / 20150297471 - Device For Applying a Cosmetic Composition | 3 |
Roger Kalla | AU | Ivanhoe East | 2012-07-19 / 20120185963 - Nucleic Acid Molecules and Their Use in Plant Sterility | 2 |
Honey Kalla | US | Simi Valley | 2008-10-02 / 20080243678 - Loss impact tracking system and method | 1 |
Arnie Kalla | US | Maple Grove | 2015-07-23 / 20150204570 - ELECTRONIC DEVICE AND METHODS | 3 |
Thomas Kalla | DE | Boeblingen | 2013-08-22 / 20130219150 - Parsing Data Representative of a Hardware Design into Commands of a Hardware Design Environment | 1 |
Rao Kalla | US | Cupertino | 2015-06-25 / 20150175595 - FUSED HETEROCYCLIC COMPOUNDS AS ION CHANNEL MODULATORS | 1 |
Arya Kalla | US | Glen Allen | 2015-02-26 / 20150058105 - SYSTEM AND METHOD FOR REWARDS CALCULATION | 1 |
Naveen Kalla | US | San Diego | 2016-05-19 / 20160142941 - SYSTEMS AND METHODS FOR MANAGING IN-VEHICLE SYSTEM NETWORK CONNECTIVITY | 8 |
Naveen Kalla | US | San Diego | 2016-05-19 / 20160142941 - SYSTEMS AND METHODS FOR MANAGING IN-VEHICLE SYSTEM NETWORK CONNECTIVITY | 8 |
Karen Kalla | US | Cincinnati | 2011-03-17 / 20110064307 - METHODS FOR RECOMMENDING PERSONAL CARE PRODUCT AND TOOLS THEREFOR | 2 |
Susanne Kalla | DE | Ebermannsdorf | 2013-10-17 / 20130271917 - Liquid-cooled arrangement having modular power semiconductor modules and at least one capacitor device, and power semiconductor module therefor | 2 |
Rao Kalla | US | Sunnyvale | 2014-10-09 / 20140303158 - FUSED HETEROCYCLIC COMPOUNDS AS ION CHANNEL MODULATORS | 14 |
Rao V. Kalla | US | Cupertino | 2016-04-07 / 20160096846 - FUSED HETEROCYCLIC COMPOUNDS AS ION CHANNEL MODULATORS | 9 |
Arnold Kalla | US | Maple Grove | 2014-10-30 / 20140321160 - DISPLAY SUB-ASSEMBLY FOR AN HVAC CONTROLLER | 1 |
Mayur Kallabettu | FR | Velizy-Villacoublay | 2016-05-19 / 20160137295 - AIRCRAFT LANDING GEAR ASSEMBLY | 1 |
Harald Kallabis | DE | Leverkusen | 2010-07-29 / 20100190169 - SINGLE NUCLEOTIDE POLYMORPHISMS PREDICTING CARDIOVASCULAR DISEASE | 4 |
Gabriel Kallabis | DE | Spirkelbach | 2011-11-03 / 20110266425 - Device for capturing a laser beam | 6 |
Harald Kallabis | DE | Koln | 2011-01-06 / 20110003804 - Oxazolidinones For the Treatment and/or Prophylaxis of Heart Failure | 2 |
Bradley S. Kallaher | US | Fort Wayne | 2011-01-06 / 20110001336 - PLATFORM ASSEMBLY | 1 |
Kenneth Joseph Kallaher | US | Trafford | 2011-05-05 / 20110100579 - METHOD OF UNIDIRECTIONAL SOLIDIFICATION OF CASTINGS AND ASSOCIATED APPARATUS | 1 |
Christopher Kallai | US | Santa Barbara | 2015-12-03 / 20150347085 - Multi-Channel Pairing in a Media System | 12 |
Praveen Kallakuri | US | Mountainview | 2008-10-30 / 20080270836 - STATE DISCOVERY AUTOMATON FOR DYNAMIC WEB APPLICATIONS | 1 |
Edward Kallal | US | San Diego | 2016-01-28 / 20160028245 - WIRELESS POWER SYSTEM WITH CAPACITIVE PROXIMITY SENSING | 5 |
Robert M. Kallal | US | Longmont | 2009-09-03 / 20090222683 - Synchronization of Processor Time Stamp Counters to Master Counter | 1 |
Edward Kenneth Kallal | US | San Diego | 2015-02-26 / 20150054454 - APPARATUS AND METHOD FOR LOST POWER DETECTION | 7 |
Michael James Kallal | CA | Calgary | 2013-05-23 / 20130126186 - WELLBORE TUBULAR HANDLING SYSTEM | 1 |
Anji Reddy Kallam | IN | Hyderabad | 2014-12-11 / 20140364457 - COMPOSITIONS FOR REDUCING AB 42 PRODUCTION AND THEIR USE IN TREATING ALZHEIMER'S DISEASE (AD) | 2 |
Satish Reddy Kallam | US | 2014-08-28 / 20140243282 - METHODS AND COMPOSITIONS FOR DESIGNING NOVEL CONJUGATE THERAPEUTICS | 1 | |
Praveen Kallam | US | Austin | 2014-12-25 / 20140375392 - Low Power Relaxation Oscillator | 2 |
Subba Reddy Kallam | IN | Hyderabad | 2009-12-31 / 20090327533 - Concatenating Secure Digital Input Output (SDIO) Interface | 4 |
Sudheer Reddy Kallam | IN | Hyderabad | 2013-08-15 / 20130205877 - GLATIRAMER ACETATE MOLECULAR WEIGHT MARKERS | 1 |
Ramachandra Kallam | US | Logan | 2011-04-07 / 20110082994 - ACCELERATED RELOCATION CIRCUIT | 1 |
Nicholas C. Kallan | US | Boulder | 2015-09-24 / 20150266852 - 5H-CYCLOPENTA[d]PYRIMIDINES AS AKT PROTEIN KINASE INHIBITORS | 25 |
Nicholas C. Kallan | US | Louisville | 2014-02-13 / 20140045817 - TRIAZOLOPYRIDINE COMPOUNDS AS PIM KINASE INHIBITORS | 1 |
Nicholas C. Kallan | US | Boulder | 2015-09-24 / 20150266852 - 5H-CYCLOPENTA[d]PYRIMIDINES AS AKT PROTEIN KINASE INHIBITORS | 25 |
Lara S. Kallander | US | King Of Prussia | 2012-12-27 / 20120329784 - COMPOUNDS AND METHODS | 7 |
Sandra Kallander | US | Pacheco | 2013-08-22 / 20130216987 - METHOD AND APPARATUS FOR EDUCATING AND INFLUENCING AN INDIVIDUAL | 2 |
Ramachandran Kallankara | IN | Bangalore | 2010-11-18 / 20100293023 - FRAMEWORK FOR DEVELOPING ENTERPRISE SERVICE ARCHITECTURE | 1 |
Rajeev Kallanthottathil | US | Wayland | 2014-04-24 / 20140113957 - Compositions and Methods for Inhibition of Expression of Apolipoprotein C-III (APOC3) Genes | 1 |
Pattada A. Kallappa | US | Hartford | 2009-12-10 / 20090301055 - Gas Turbine Engine Systems and Methods Involving Vibration Monitoring | 1 |
Pattada Kallappa | IN | Bangalore | 2013-07-11 / 20130179104 - DC-MOTOR AND FUEL PUMP FAULTS AND BRUSH-WEAR PROGNOSIS | 1 |
Pattada A. Kallappa | IN | Bangalore | 2013-05-16 / 20130124032 - REPAIR ASSIST SYSTEM FOR VEHICLE SERVICING | 2 |
Ashoka Kallappa | US | Santa Clara | 2015-08-20 / 20150237097 - Display Protocol Interception in the Network for Services and Network-Based Multimedia Support for VDI | 1 |
Jacob C. Kallara | US | Morrisville | 2014-02-13 / 20140046516 - Electric Lawn Tractor Roll Away Prevention System | 1 |
Varghese Kallarackal | US | Sunnyvale | 2014-01-09 / 20140010092 - PERVASIVE NETWORK DIAGNOSTICS USING HBAS | 2 |
Mark L. Kallas | US | Princeton | 2010-04-08 / 20100086695 - Enamel Flow Coating Process and Apparatus | 1 |
Christina Kallas | DE | Rommerskirchen | 2016-03-03 / 20160061276 - CLUTCH PEDAL SYSTEM AND MASTER CYLINDER FOR A CLUTCH PEDAL SYSTEM OF A VEHICLE | 3 |
Christopher S. Kallas | US | Monroe | 2011-06-30 / 20110161231 - POSTAL SERVICES KIOSK HAVING PAYMENT CARD SECURITY | 1 |
Tõnu Kallas | EE | Harjumaa | 2016-02-11 / 20160040837 - Lighting Device and System for Wireless Calibration and Controlling of Lighting Device | 1 |
Ryan Kallas | US | Paulsbo | 2011-01-27 / 20110020055 - Adjustable joint for microphone | 1 |
Ryan Kallas | US | Bainbridge Island | 2015-08-20 / 20150233522 - QUICK RELEASE CONNECTOR | 2 |
Toivo Kallas | US | Oshkosh | 2014-01-30 / 20140030785 - Methods for Isoprene and Pinene Production in Cyanobacteria | 1 |
Kaspar Kallas | EE | Harjumaa | 2016-02-11 / 20160040837 - Lighting Device and System for Wireless Calibration and Controlling of Lighting Device | 1 |
Patricia A. Kallas | US | Sand Springs | 2011-06-09 / 20110132595 - ELECTRIC SUBMERSIBLE PUMPING SYSTEM WITH GAS VENT | 3 |
Kaur Kallas | EE | Harjumaa | 2016-02-11 / 20160040837 - Lighting Device and System for Wireless Calibration and Controlling of Lighting Device | 1 |
Monique Kallassy | FR | Paris | 2010-07-29 / 20100186468 - MOBILE PROBE COMPOSTING METHOD AND CORRESPONDING DEVICE | 1 |
Dinesh Kallath | GB | London | 2010-03-11 / 20100064133 - SECURE NETWORK ARCHITECTURE | 2 |
Michael Kallay | US | Bellevue | 2015-03-12 / 20150070356 - TECHNIQUES TO MANAGE MAP INFORMATION ILLUSTRATING A TRANSITION BETWEEN VIEWS | 5 |
Richard Kallay | US | Largo | 2012-10-18 / 20120263418 - INTERLOCKING OPTICAL FIBER | 3 |
Charles Jared Kallay | US | Painesville | 2015-06-11 / 20150159426 - CONCEALMENT CAVITY IN A DOOR | 1 |
Janos Kallay | HU | Budapest | 2014-06-12 / 20140159574 - RESISTIVE THIN LAYER HEATING OF FLUORESCENT LAMP | 2 |
Robert Kallay | US | Chesterton | 2014-07-17 / 20140201050 - SMART COMPLETE OPTION STRATEGY DISPLAY | 2 |
Bengt Kallback | SE | Taby | 2009-06-04 / 20090143651 - Device for Invasive Use | 1 |
Patrik Kallback | SE | Uppsala | 2010-05-27 / 20100127200 - RANDOM ACCESS ROTARY VALVE | 1 |
Christof Von Kalle | DE | Heidelberg | 2009-01-29 / 20090028836 - STEM AND PROGENITOR CELL EXPANSION BY EVI, EVI-LIKE GENES AND SETBP1 | 1 |
Prasanna K. Kalle | IN | Karnataka | 2011-05-26 / 20110125713 - SYSTEMS AND METHODS FOR SIMULTANEOUS FILE TRANSFER AND COPY ACTIONS | 1 |
Prasanna K. Kalle | IN | Bangalore | 2012-10-25 / 20120272239 - SHARING CLASS DATA | 3 |
Martina Kalle | SE | Lund | 2012-07-26 / 20120189673 - POLYPEPTIDES AND USES THEREOF | 3 |
Susan L. Kalled | US | Concord | 2015-05-07 / 20150125460 - ANTI-BCMA ANTIBODIES | 8 |
Susan L. Kalled | US | Concord | 2015-05-07 / 20150125460 - ANTI-BCMA ANTIBODIES | 8 |
Klaus Kallee | DE | Landsberg | 2014-03-06 / 20140065327 - METHOD AND DEVICE FOR STRETCHING A MEMBRANE AND METHOD FOR PRODUCING A MULTI-PANE ELEMENT | 1 |
Klaus Kallee | DE | Vilsbiburg | 2010-01-07 / 20100003867 - CONNECTOR FOR USE WITH LIGHT-WEIGHT METAL CONDUCTORS | 1 |
Werner Kallee | DE | Bad Friedrichshall | 2014-02-13 / 20140045390 - Tandem Multi-Fork Push-In Pin | 6 |
Patrick E. Kalleen | US | Novato | 2015-08-13 / 20150224359 - Adjustable Motion Control Arm Pads for Bench Press and Other Weight Lifting Systems | 1 |
Franz Kalleitner | AU | Sankt Georgen Im Attergau | 2010-01-21 / 20100017529 - Multimedia transport optimisation | 1 |
Franz Kalleitner | AT | St. Georgen Im Attergau | 2015-10-29 / 20150312280 - MEDIA GATEWAY AND MEDIA GATEWAY CONTROL UNIT | 5 |
Franz Kalleitner | AT | Attergau | 2010-08-12 / 20100202456 - Method and Apparatus for Stream Adaption in a Packet Switched Network | 1 |
Edward Adam Kallel | US | Escondido | 2015-06-25 / 20150174127 - Pyrrolopyrazine-Spirocyclic piperidine amides as modulators of ion channels | 8 |
Edward Adam Kallel | US | Escondido | 2015-06-25 / 20150174127 - Pyrrolopyrazine-Spirocyclic piperidine amides as modulators of ion channels | 8 |
Faouzi Kallel | US | San Jose | 2009-06-18 / 20090157068 - INTRAOPERATIVE ELECTRICAL CONDUCTION MAPPING SYSTEM | 1 |
E. Adam Kallel | US | Escondido | 2015-04-09 / 20150099720 - ANDROGEN RECEPTOR MODULATOR COMPOUNDS AND METHODS | 2 |
Parashuram Kallem | IN | Bhavnagar | 2013-08-22 / 20130213875 - HIGH FLUX HOLLOW FIBER ULTRAFILTRATION MEMBRANES AND PROCESS FOR THE PREPARATION THEREOF | 1 |
Venkat Reddy Kallem | IN | Pune | 2013-05-09 / 20130115246 - REDUCED DOSE ORAL PHARMACEUTICAL COMPOSITIONS OF FENOFIBRATE | 1 |
Vinutha Kallem | US | Philadelphia | 2012-02-09 / 20120035762 - Systems and Methods for Controlling a Legged Robot Based on Rate of Change of Angular Momentum | 1 |
Venkat Reddy Kallem | IN | Hyderabad | 2014-06-12 / 20140161881 - REDUCED DOSE PHARMACEUTICAL COMPOSITIONS OF FENOFIBRATE | 1 |
Randy L. Kallem | US | Des Moines | 2012-09-20 / 20120240274 - Soybean Variety XB12B11 | 1 |
Saravan Kallempudi | TR | Istanbul | 2016-02-04 / 20160032347 - NOVEL METHOD AND DEVICE FOR WHOLE-CELL BACTERIAL BIO-CAPACITOR CHIP FOR DETECTING CELLULAR STRESS INDUCED BY TOXIC CHEMICALS | 2 |
Karl-Josef Kallen | DE | Tubingen | 2015-07-02 / 20150184195 - ARTIFICIAL NUCLEIC ACID MOLECULES | 1 |
Karl-Josef Kallen | DE | Bad Homburg | 2013-06-06 / 20130142818 - COMPLEXATION OF NUCLEIC ACIDS WITH DISULFIDE-CROSSLINKED CATIONIC COMPONENTS FOR TRANSFECTION AND IMMUNOSTIMULATION | 1 |
Karl-Josef Kallen | DE | Gross-Gerau | 2010-12-30 / 20100330029 - Cancer Treatments with Radiation and Immunocytokines | 1 |
Joerg Kallen | CH | Basel | 2015-12-10 / 20150353563 - PYRAZOLO[3,4-D]PYRIMIDINONE COMPOUNDS AS INHIBITORS OF THE P53/MDM2 INTERACTION | 13 |
Jörg Kallen | CH | Basel | 2011-12-01 / 20110294818 - 1H-QUINAZOLINE-2,4-DIONES | 2 |
Jon Kallen | US | Norwich | 2013-12-05 / 20130323695 - SIMULATOR FOR SKILL-ORIENTED TRAINING | 2 |
Lennart Kallen | SE | Forshaga | 2012-05-24 / 20120125849 - METHOD AND ARRANGEMENT FOR CLARIFYING GREEN LIQUOR | 1 |
Jörg Kallen | CH | Basel | 2013-11-07 / 20130296332 - 1H-QUINAZOLINE-2,4-DIONES | 3 |
Drew Kallen | US | Chandler | 2010-12-02 / 20100303276 - LOUDSPEAKER SURROUND MOUNT | 1 |
Joerg Kallen | CH | Basel | 2015-12-10 / 20150353563 - PYRAZOLO[3,4-D]PYRIMIDINONE COMPOUNDS AS INHIBITORS OF THE P53/MDM2 INTERACTION | 13 |
Karl-Josef Kallen | DE | Tuebingen | 2015-02-05 / 20150037326 - Pharmaceutical composition comprising a polymeric carrier cargo complex and an antigen | 5 |
Erik Jeroen Kallen | NL | Bedum | 2015-10-08 / 20150285059 - METHOD OF INSERTING THE VIDEO MOTE INTO REMOTE ENVIRONMENT, VIDEO MOTE AND SENSOR SYSTEM | 1 |
Karl-Josef Kallen | DE | Konigsdorf | 2016-05-12 / 20160130345 - COMBINATION OF VACCINATION AND INHIBITION OF THE PD-1 PATHWAY | 3 |
Elisabeth Kallen | SE | Stockholm | 2010-04-22 / 20100095893 - ROTARY PARLOUR FOR AUTOMATIC MILKING OF ANIMALS | 1 |
Christopher Kallenbach | JP | Tokyo | 2015-02-12 / 20150045934 - INTERNET-ENABLED APPARATUS, SYSTEM AND METHODS FOR PHYSICALLY AND VIRTUALLY RENDERING THREE-DIMENSIONAL OBJECTS | 1 |
Wolfgang Kallenbach | DE | Wasungen | 2014-02-20 / 20140050472 - MOBILE TERMINAL FOR SERVICING A TELECOMMUNICATION SYSTEM | 1 |
Matthias Kallenbach | DE | Stuetzerbach | 2009-04-02 / 20090084975 - Multipole coils | 2 |
Thomas J. Kallenbach | US | Bozeman | 2009-04-09 / 20090094002 - COMPUTER-IMPLEMENTED SYSTEM AND METHOD FOR DESIGNING A PRESSURE-DOSED DRAIN FIELD | 1 |
Neville Robert Kallenbach | US | Philadelphia | 2015-01-29 / 20150031698 - s-TRIAZINE COMPOUNDS, PHARMACEUTICAL COMPOSITIONS AND METHODS OF USING THE SAME | 7 |
Mikel J. Kallenbach | US | Jamestown | 2010-01-07 / 20100001107 - BRUSH CHIPPER IN-FEED SYSTEM | 1 |
Jake Kallenbach | US | La Crosse | 2015-06-25 / 20150173320 - Safety Valve Device | 1 |
Neville R. Kallenbach | US | Philadelpha | 2015-11-26 / 20150337011 - DENDRIMERIC PEPTIDES, PHARMACEUTICAL COMPOSITIONS AND METHODS OF USING THE SAME | 1 |
Jason Kallenbach | US | Akron | 2012-03-29 / 20120074180 - DISPENSING CLOSURE | 1 |
Arron Kallenberg | US | Long Island City | 2013-09-26 / 20130254289 - METHODS AND SYSTEMS FOR SOCIAL REFERRALS | 1 |
Arron Kallenberg | US | Providence | 2013-11-21 / 20130311905 - INTERNET IDENTITY GRAPH AND SOCIAL GRAPH MANAGEMENT SYSTEM AND METHOD | 3 |
Vernon Kallenborn | US | Walton | 2010-02-04 / 20100024326 - UNDERLAYMENT FOR TILE SURFACE | 1 |
Mark H. Kallenborn | US | Ridgefield | 2008-10-16 / 20080253052 - Electrical Power Switching With Efficient Switch Protection | 1 |
Wiebke Kallenborn-Gerhardt | DE | Wallrabenstein | 2015-02-19 / 20150051264 - INHIBITORS OF NOX4 EXPRESSION AND /OR NOX4 FUNCTION AND THEIR USE IN THE PREVENTION AND TREATMENT OF NERVE INJURY AND/OR NEUROPATHIC PAIN | 1 |
Sudheer Kallepalli | IN | Nalgonda | 2014-10-30 / 20140323738 - NOVEL PROCESS FOR THE PREPARATION OF (R)-N-BENZYL-2-ACETAMIDO-3-METHOXYPROPIONAMIDE | 1 |
Julian Kaller | DE | Koenigsbronn | 2015-05-21 / 20150138521 - LENS MODULE COMPRISING AT LEAST ONE EXCHANGEABLE OPTICAL ELEMENT | 10 |
Matthew R. Kaller | US | Ventura | 2015-01-29 / 20150031668 - CHROMAN DERIVATIVES AS TRPM8 INHIBITORS | 14 |
Roy Scott Kaller | US | Austin | 2015-12-10 / 20150358718 - HEADPHONE RESPONSIVE TO OPTICAL SIGNALING | 1 |
Julian Kaller | DE | Koenigsbronn | 2015-05-21 / 20150138521 - LENS MODULE COMPRISING AT LEAST ONE EXCHANGEABLE OPTICAL ELEMENT | 10 |
Mario Kaller | DE | Friedrichshafen | 2008-09-11 / 20080221752 - Procedure for adaptive configuration recognition | 1 |
Matthew Kaller | US | Ventura | 2013-03-21 / 20130072483 - SUBSTITUTED HYDROXYETHYL AMINE COMPOUNDS AS BETA-SECRETASE MODULATORS AND METHODS OF USE | 9 |
Martin Kaller | DE | Mannheim | 2016-05-05 / 20160122276 - PREPARATION OF C8-C22 ALKYL (METH)ACRYLATES | 1 |
Martin Kaller | DE | Mannehim | 2016-03-31 / 20160090348 - PREPARATION OF C8-C24 ALKYL (METH)ACRYLATES | 1 |
Dierk Kaller | DE | Schoenaich | 2012-05-17 / 20120120577 - REDUNDANT CLOCK CHANNEL FOR HIGH RELIABILITY CONNECTORS | 1 |
Matthew R. Kaller | US | Ventura | 2015-01-29 / 20150031668 - CHROMAN DERIVATIVES AS TRPM8 INHIBITORS | 14 |
Al Kaller | CA | Vancouver | 2015-02-05 / 20150038509 - Chemokine Receptor Binding Heterocyclic Compounds With Enhanced Efficacy | 4 |
Martin Kaller | AT | Leobendorf | 2015-07-09 / 20150189891 - Method and apparatus for cleaning baking surfaces | 1 |
Ai Kaller | CA | Vancouver | 2010-04-29 / 20100105915 - CHEMOKINE RECEPTOR BINDING HETEROCYCLIC COMPOUNDS | 1 |
Jochen Kaller | JP | Yokohama | 2009-04-23 / 20090102629 - Lane-change assistant for motor vehicles | 1 |
Matthew Kaller | US | Ventura | 2013-03-21 / 20130072483 - SUBSTITUTED HYDROXYETHYL AMINE COMPOUNDS AS BETA-SECRETASE MODULATORS AND METHODS OF USE | 9 |
Roy Scott Kaller | US | Phoenix | 2015-09-10 / 20150256660 - FREQUENCY-DEPENDENT SIDETONE CALIBRATION | 1 |
Hanna Kallerhult | SE | Ostersund | 2015-08-06 / 20150218689 - COATED CUTTING INSERT | 1 |
Heiko Kallert | DE | Veitsbronn | 2015-12-03 / 20150347687 - METHOD AND APPARATUS FOR ACTIVATING AT LEAST ONE PATIENT ENTRY | 1 |
Jacqueline Kallert | DE | Erlangen | 2013-01-17 / 20130016807 - MAMMOGRAPHY X-RAY DEVICEAANM Kallert; JacquelineAACI ErlangenAACO DEAAGP Kallert; Jacqueline Erlangen DEAANM Standar; RobertAACI PretzfeldAACO DEAAGP Standar; Robert Pretzfeld DE | 1 |
Uwe Kallert | DE | Rothenburg Ob Der Tauber | 2015-02-19 / 20150049785 - TEMPERATURE DETECTION DEVICE FOR DETECTING THE BOTTOM TEMPERATURE OF COOKWARE ON A COOKING HOB | 1 |
Heiko Kallert | DE | Emskirchen | 2009-01-15 / 20090018621 - Medical and/or Cosmetic Radiation Device | 1 |
Jennifer Lynn Kallery | US | O'Fallon | 2010-07-29 / 20100191570 - LOYALTY REWARD PROGRAM SIMULATORS | 2 |
Carsten Skovmose Kallesoe | DK | Viborg | 2014-09-11 / 20140255216 - PUMP SYSTEM | 3 |
Carsten S. Kallesoe | DK | Viborg | 2012-04-26 / 20120101788 - METHOD FOR DETERMINING FAULTS DURING THE OPERATION OF A PUMP UNIT | 1 |
Carsten Kallesoe | DK | Viborg | 2008-10-02 / 20080240931 - Method for Determining Faults During the Operation of a Pump Unit | 1 |
Carsten Skovmose KallesØe | DK | Viborg | 2016-02-18 / 20160047372 - CONTROL METHOD | 10 |
John P. Kallestad | US | Incline Village | 2012-09-20 / 20120236692 - DAY AND TIME CHRONOMETER MOVEMENT | 1 |
John P. Kallestad | US | Reno | 2011-08-18 / 20110199864 - DAY AND TIME CHRONOMETER MOVEMENT | 1 |
Daniel Kallestad | US | Chino | 2010-09-30 / 20100250402 - GRAIN AERATION SYSTEMS AND TECHNIQUES | 3 |
Katherine Kallet | US | Pittsburgh | 2010-12-23 / 20100325065 - METHODS AND SYSTEMS FOR MONITORING, ANALYZING AND REPORTING INFORMATION IN ASSOCIATION WITH COLLATERALIZED FINANCIAL INSTRUMENTS | 2 |
Gregory L. Kallet | US | Dresher | 2015-08-20 / 20150230644 - Collapsible Clothes and Article Hanger | 1 |
Dane Kallevig | US | Willmar | 2016-05-05 / 20160120116 - Turn-Compensating Agricultural Material Spreader System | 4 |
Gayla K. Kallevig | US | Willmar | 2013-08-22 / 20130217048 - Immunizing Compositions and Methods of Use | 2 |
Gayla K. Kallevig | US | Willamar | 2011-08-18 / 20110200616 - Immunizing Compositions and Methods of Use | 1 |
Bruce E. Kallevig | US | Monticello | 2012-10-25 / 20120267904 - GRAPPLE STYLE COMPRESSION LATCH | 3 |
Dane M. Kallevig | US | Willmar | 2015-04-16 / 20150102586 - ADJUSTABLE AXLE ASSEMBLY FOR AN AGRICULTURAL VEHICLE | 1 |
Jeffrey B. Kallevig | US | Eden Prairie | 2010-11-18 / 20100289233 - STAND ON RIDER WITH THIGH PAD PIVOTALLY LINKED TO FOLDABLE FOOT PLATFORM | 4 |
Jeffrey B. Kallevig | US | Spicer | 2016-03-24 / 20160083009 - LINKAGE ADJUSTMENT SYSTEM AND VEHICLE INCORPORATING SAME | 1 |
Vibhor Kalley | US | San Jose | 2014-02-06 / 20140039683 - INFRASTRUCTURE CONTROL FABRIC SYSTEM AND METHOD | 1 |
Aida Kalley | US | Gainesville | 2015-07-09 / 20150190383 - HAIR CARE COMPOSITION WITH ANALGESIC | 1 |
Yogesh Kalley | US | Sunnyvale | 2010-08-05 / 20100197333 - Method and System for Communicating Media Based on Location of Media Source | 2 |
Ingmar Kallfass | FR | Strasbourg | 2012-02-02 / 20120025920 - Oscillator with Ohmically Adjustable Oscillation Frequency | 2 |
Ingo Kallfass | DE | Bretten | / - | 1 |
Dietmar Kallfass | DE | Ammerbuch | 2015-02-19 / 20150048654 - Body Component | 1 |
Christoph Kallfass | DE | Schwaebisch Hall | 2016-03-03 / 20160060111 - Zeolite Components for Use in Solid Chemical Oxygen Generators | 5 |
Andrew F. Kallfelz | US | Jamestown | 2014-12-25 / 20140374475 - Tester for equipment, apparatus or component with distributed processing function | 3 |
Theodore A. Kalli | US | San Diego | 2011-09-29 / 20110236503 - Topical Skincare Composition | 1 |
Christopher John Kalli | AU | Perth | 2015-08-20 / 20150233885 - SORPTION-BASED SENSING SYSTEM | 2 |
Christopher John Kalli | US | Perth | 2014-01-02 / 20140005284 - CARBON OXIDES REMOVAL | 1 |
Kimberly R. Kalli | US | Dover | 2016-05-12 / 20160131638 - IMMUNITY TO FOLATE RECEPTORS | 3 |
Vijayanand Muralidhar Kalliandpur | US | San Diego | 2013-04-11 / 20130091497 - CONFIGURATION TO ORDER SOFTWARE DEPLOYMENT AND MANAGEMENT | 1 |
Vijayanand Muralidhar Kallianpur | US | Sunnyvale | 2012-02-09 / 20120036049 - SYSTEM AND METHOD FOR SOFTWARE INTEGRATION AND FACTORY DEPLOYMENT | 1 |
Vijayanand Muralidhar Kallianpur | US | San Diego | 2009-12-24 / 20090320018 - CONFIGURATION TO ORDER SOFTWARE DEPLOYMENT AND MANAGEMENT | 1 |
Adarsh Kalliat | IN | Bangalore | 2010-02-18 / 20100039149 - Programmable Delay Circuit Providing For A Wide Span Of Delays | 1 |
Charles A. Kallick | US | Chicago | 2010-08-12 / 20100204217 - TREATMENT FOR LEUKEMIA AND IDIOPATHIC APLASTIC ANEMIA | 1 |
Deborah A. Kallick | US | Galveston | 2008-10-02 / 20080241158 - Human taste-specific receptor TIR3 | 1 |
Kyriakos Kallidis | DE | Trebur | 2015-06-11 / 20150158370 - STEERING WHEEL WITH ACTIVE VENTILATION DEVICE AND METHOD FOR PRODUCING A STEERING WHEEL | 1 |
Peter John Kallie | US | Naples | 2015-09-10 / 20150255970 - Strengthening Adapter For An Electrical Box and Method | 1 |
Demetrios Kalligerakis | US | Sammamish | 2012-04-05 / 20120084433 - WEB TEST GENERATION | 2 |
Sascha Kallin | DE | Munchen | 2012-09-13 / 20120233302 - VIRTUAL NETWORK CONTROLLER | 1 |
Elisabet Kallin | SE | Tullinge | 2013-05-23 / 20130131061 - Novel Estrogen Receptor Ligands | 3 |
Shannon A. Kallin | US | Santa Monica | 2014-04-17 / 20140109131 - METHOD AND SYSTEM FOR USING A SECOND SCREEN DEVICE TO TUNE A SET TOP BOX TO DISPLAY CONTENT PLAYING ON THE SECOND SCREEN DEVICE | 3 |
Fred Kallin | CA | Waterloo | 2014-02-06 / 20140034445 - ITEM RECYCLING | 4 |
Harald Kallin | SE | Sollentuna | 2015-12-24 / 20150373595 - SELF CONFIGURATION AND OPTIMIZATION OF CELL NEIGHBORS IN WIRELESS TELECOMMUNICATIONS | 23 |
Fredrik L.n. Kallin | CA | Waterloo | 2015-06-25 / 20150177170 - SENSING OF MEDIA ITEMS | 9 |
Frederik Kallin | CA | Waterloo | 2013-12-05 / 20130319816 - PATHWAY SELECTION | 1 |
Fredrik L.n. Kallin | CA | Waterloo | 2015-06-25 / 20150177170 - SENSING OF MEDIA ITEMS | 9 |
Fredrik L. Kallin | CA | Ontario | 2009-11-19 / 20090283584 - Scaleable check processing module for a self-service check depositing terminal | 2 |
Risto Kallinen | GB | Bristol | 2015-07-09 / 20150190974 - METHOD OF MANUFACTURING A COMPOSITE ELEMENT | 6 |
Risto Kallinen | US | 2011-12-08 / 20110300333 - Composite manufacturing method | 1 | |
Risto Kallinen | FI | Bristol | 2012-07-19 / 20120181730 - CUTTING TOOL AND METHOD | 1 |
Anup Kallingal | IN | Mumbai | 2013-05-09 / 20130117064 - BUSINESS PROCESS ANALYSIS COMBINING MODELING, SIMULATION AND COLLABORATION WITH WEB AND CLOUD DELIVERY | 1 |
Chidambaram Kallingal | US | Poughkeepsie | 2014-06-19 / 20140173533 - LOCALLY OPTIMIZED COLORING FOR CLEANING LITHOGRAPHIC HOTSPOTS | 1 |
Chidam Kallingal | US | Poughkeepsie | 2015-02-12 / 20150046887 - RETARGETING SEMICONDUCTOR DEVICE SHAPES FOR MULTIPLE PATTERNING PROCESSES | 2 |
Chidambaram G. Kallingal | US | Poughkeepsie | 2013-09-05 / 20130232456 - OPTICAL PROXIMITY CORRECTION METHODS FOR MASKS TO BE USED IN MULTIPLE PATTERNING PROCESSES | 1 |
Markus Kallinger | DE | Erlangen | 2013-10-03 / 20130258813 - APPARATUS AND METHOD FOR SPATIALLY SELECTIVE SOUND ACQUISITION BY ACOUSTICTRIANGULATION | 10 |
Jarno Kallio | FI | Nilsia | 2008-09-04 / 20080214102 - Method and System to Signal Network Information in TPS Bits | 1 |
Joona Kallio | FI | Espoo | 2016-05-19 / 20160140629 - METHODS FOR COMPUTING DEVICES | 2 |
Jukka Kallio | FI | Helsinki | 2013-08-01 / 20130192342 - ATMOSPHERIC HUMIDITY OR TEMPERATURE OR CLOUD HEIGHT MEASURING METHOD AND APPARATUS | 1 |
Jorma Kallio | FI | Helsinki | 2011-01-20 / 20110013004 - MEASURING HUMAN MOVEMENTS - METHOD AND APPARATUS | 2 |
Seppo Kallio | FI | Tampere | 2014-03-27 / 20140086149 - SETTING MODE OF COMMUNICATION | 1 |
Juha Matias Kallio | FI | Vantaa | 2011-11-03 / 20110269482 - MME Name in MAP Insert Subscriber Data Operation | 3 |
Juha Kallio | FI | Helsinki | 2014-03-27 / 20140086149 - SETTING MODE OF COMMUNICATION | 1 |
Janne Jouni Kallio | FI | Pattijoki | 2012-06-28 / 20120166696 - Method, Apparatus and Computer Program Code Handling a User Input | 1 |
Jarno Kallio | FI | Jarvenpaa | 2014-10-30 / 20140322762 - Treatment of Cellulosic Material and Enzymes Useful Therein | 12 |
Kalle Kallio | FI | Porvoo | 2015-11-26 / 20150337060 - POLYMERISATION PROCESS | 11 |
Markku Kallio | FI | Tammela | 2010-06-17 / 20100148794 - INDICATOR ARRANGEMENT | 1 |
Markus Kallio | FI | Espoo | 2010-04-29 / 20100107116 - INPUT ON TOUCH USER INTERFACES | 2 |
Tommi Kallio | FI | Oulu | 2010-04-01 / 20100080247 - Reassembly of service data units in communications system | 2 |
Kiia K. Kallio | FI | Inkoo | 2009-12-03 / 20090295816 - VIDEO GRAPHICS SYSTEM AND METHOD OF PIXEL DATA COMPRESSION | 2 |
Sanna Kallio | FI | Oulu | 2014-10-30 / 20140325459 - GESTURE CONTROL SYSTEM | 2 |
Jaana Kallio | FI | Turku | 2009-06-25 / 20090162349 - METHOD FOR PREVENTION OR TREATMENT OF DISEASES OR DISORDERS RELATED TO EXCESSIVE FORMATION OF VASCULAR TISSUE OR BLOOD VESSELS | 1 |
Jarno Kallio | FI | Turku | 2010-12-23 / 20100322355 - METHODS AND APPARATUS FOR SIGNALING OFFSETS AND CHANGES IN DIGITAL BROADCAST NETWORKS | 1 |
Jarno Kallio | FI | Jarvenpaa | 2014-10-30 / 20140322762 - Treatment of Cellulosic Material and Enzymes Useful Therein | 12 |
Jeremy Daniel Kallio | US | Tallmadge | 2015-12-03 / 20150344131 - TWO-STAGE SECONDARY PISTON FOR LANDING GEAR | 1 |
Janne J. Kallio | FI | Pattijoki | 2009-06-11 / 20090149127 - Method for saving power in a wireless terminal and a terminal | 1 |
Janne Kallio | FI | Vantaa | 2014-09-18 / 20140277628 - DEVICE AND METHOD FOR MONITORING SWIMMING PERFORMANCE | 2 |
Jukka Kallio | FI | Kiiminki | 2009-05-28 / 20090137292 - Recessed hinge | 1 |
Kiia Kallio | FI | Inkoo | 2009-02-05 / 20090033671 - MULTI-SAMPLE RENDERING OF 2D VECTOR IMAGES | 1 |
Samuli Kallio | FI | Helsinki | 2015-08-13 / 20150229261 - METHOD AND AN APPARATUS FOR CONTROLLING AN ELECTRICAL MACHINE WITH TWO OR MORE MULTIPHASE STATOR WINDINGS | 1 |
Jarno Kallio | FI | Järvenpää | 2011-11-03 / 20110269212 - Fungal Endoglucanases, Their Production and Use | 1 |
Frank Kallio | US | Novi | 2016-01-28 / 20160023565 - HANDS FREE VEHICLE CHARGING SYSTEM | 2 |
Robert A. Kallio | US | Conesus | 2015-03-12 / 20150068092 - FIRING BLOCKER MECHANISM FOR FIREARM | 4 |
Sandra Kallio | US | Circle Pines | 2012-11-08 / 20120283767 - EXTENSION TUBES FOR BALLOON CATHETERS | 3 |
Raymond Kallio | US | New Paltz | 2009-02-05 / 20090034068 - Viewing apparatus | 1 |
Kalle Kallio | FI | Porvoo | 2015-11-26 / 20150337060 - POLYMERISATION PROCESS | 11 |
Samu Matias Kallio | FI | Espoo | 2015-04-02 / 20150092186 - AMBIENT LIGHT DETECTION AND DATA PROCESSING | 1 |
Kiia Kaappoo Kallio | FI | Inkoo | 2013-05-16 / 20130120380 - TESSELLATION IN TILE-BASED RENDERING | 2 |
Janne Kallio | FI | Oulu | 2016-05-05 / 20160124407 - An Apparatus and a System for Controlling Sensors | 1 |
Jussi Kallio | FI | Helsinki | 2012-05-10 / 20120117648 - Malware Determination | 1 |
Marke Kallio | FI | Ruutana | 2012-05-17 / 20120119007 - METHOD FOR COATING A WEAR PART, USE OF A WEAR PART COATED ACCORDING TO THE METHOD, WEAR PART AND REFINER | 1 |
Jari Kallio | FI | Hyvinkaa | 2015-10-15 / 20150291388 - PRE-ALLOCATION OF AN ELEVATOR CALL | 1 |
Tommi Matias Eemeli Kallio | FI | Oulu | 2012-09-13 / 20120230180 - APPARATUS AND METHOD FOR HANDLING VALID PROTOCOL DATA UNITS | 1 |
Tero Kallioiinen | FI | Lahti | 2013-01-10 / 20130011628 - METHOD AND APPARATUS FOR PROCESSING DISPLAY LAMINATE | 1 |
Jouko Kallioinen | FI | Outokumpu | 2009-09-03 / 20090217741 - METHOD AND DEVICE FOR MONITORING THE OPERATION OF A FLOTATION CELL | 1 |
Jani Kallioinen | FI | Pori | 2011-11-10 / 20110274614 - PROCESS OF PREPARING TITANATES | 1 |
Sami Seppo Antero Kallioinen | FI | Espoo | 2014-02-20 / 20140049416 - Analogue to Digital Converter | 1 |
Sami Kallioinen | FI | Espoo | 2010-11-04 / 20100277212 - DELAY LOCKED LOOP | 1 |
Harri Kallioinen | FI | Haarajoki | 2014-07-24 / 20140205718 - MILK-BASED FORMULATION | 5 |
Anne Kallioinen | FI | Espoo | 2013-12-12 / 20130327319 - Process for the Mechanical or Mechano-Chemical Pretreatment of Biomass | 1 |
Tanja Kalliojarvi | FI | Kempele | 2013-05-02 / 20130110265 - PERFORMANCE INTENSITY ZONES | 1 |
Kari Kalliojarvi | FI | Kangasala | 2011-03-24 / 20110070885 - Cognitive Control Radio Access Information Via Database Or Cognitive Pilot Channel | 3 |
Ari Kalliokoski | FI | Kello | 2008-09-11 / 20080218420 - Antenna arrangement and method for making the same | 1 |
Satu Kalliokulju | FI | Vesilahti | 2013-06-06 / 20130145024 - METHOD AND APPARATUS FOR TRANSFER OF RADIO RESOURCE ALLOCATION | 1 |
Kimmo Kalliola | FI | Helsinki | 2015-06-11 / 20150160329 - DETERMINING LOCATION AND ORIENTATION OF DIRECTIONAL TRANCEIVERS | 8 |
Kimmo Kalliola | FI | Espoo | 2014-12-04 / 20140355503 - TRANSMITTING SERVICE ADVERTISEMENTS | 2 |
Kimmo Heikki Juhana Kalliola | FI | Helsinki | 2012-11-15 / 20120289241 - DIRECTION-ENHANCED NAVIGATION | 5 |
Janne Kalliola | FI | Espoo | 2012-01-19 / 20120016748 - SYSTEMS, METHODS, NETWORK ELEMENTS AND APPLICATIONS IN CONNECTION WITH BROWSING OF WEB/WAP SITES AND SERVICES | 8 |
Kimmo Kalliola | FI | Helsinki | 2015-06-11 / 20150160329 - DETERMINING LOCATION AND ORIENTATION OF DIRECTIONAL TRANCEIVERS | 8 |
Janne Kalliola | FI | Espoo | 2012-01-19 / 20120016748 - SYSTEMS, METHODS, NETWORK ELEMENTS AND APPLICATIONS IN CONNECTION WITH BROWSING OF WEB/WAP SITES AND SERVICES | 8 |
Hannu Kalliomaa | FI | Helsinki | 2010-05-06 / 20100115259 - Methods, Apparatuses, and Computer Program Products for Reducing Power Consumption in Computing Devices | 1 |
Eric V. Kalliomaki | CA | Uxbridge | 2015-02-05 / 20150035320 - DRIVE SYSTEM FOR SUNROOF ASSEMBLY | 3 |
Eric V. Kalliomaki | CA | Ontario | 2014-05-08 / 20140125087 - DOOR ASSEMBLY WITH CARRIER WITH INTRUSION MEMBER | 1 |
Kalevi Kalliomaki | FI | Espoo | 2009-12-24 / 20090319203 - System for Determining Pedalling Effort of Bicycle | 1 |
Jaakko Kalliomäki | FI | Vantaa | 2016-03-31 / 20160090272 - ELEVATOR | 8 |
Jaakko Kalliomäki | FI | Vantaa | 2016-03-31 / 20160090272 - ELEVATOR | 8 |
Mauri Kalliomäki | FI | Turku | 2016-05-19 / 20160137277 - Apparatus and Method for Treating an Underwater Surface | 1 |
Jorma Kalliomäki | FI | Tampere | 2010-01-07 / 20100000797 - DRILLING TOOL | 1 |
Jorma Kalliomäki | FI | Tampere | 2010-01-07 / 20100000797 - DRILLING TOOL | 1 |
Jaakko KalliomÄki | FI | Vantaa | 2013-08-22 / 20130213742 - METHOD FOR CONTROLLING AN ELEVATOR, AND AN ELEVATOR USING STARTING POSITION DATA OF THE ELEVATOR AND SWAY DATA OF A BUILDING | 2 |
Marianne Kallio-Meriluoto | FI | Kauniainen | 2011-02-10 / 20110034725 - PROCESS FOR SEPARATING STEROLS AND ACIDS FROM TALL OIL PITCH | 1 |
Antti Kallioniemi | FI | Jokela | 2015-03-19 / 20150075917 - DRIVE DEVICE OF AN ELEVATOR | 17 |
Antti Kallioniemi | FI | Jokela | 2015-03-19 / 20150075917 - DRIVE DEVICE OF AN ELEVATOR | 17 |
Anne Kallioniemi | FI | Tampere | 2010-11-04 / 20100279876 - DETECTION OF NUCLEIC ACID SEQUENCE DIFFERENCES BY COMPARATIVE GENOMIC HYBRIDIZATION | 2 |
Olli-Pekka Kallioniemi | FI | Tampere | 2010-11-04 / 20100279876 - DETECTION OF NUCLEIC ACID SEQUENCE DIFFERENCES BY COMPARATIVE GENOMIC HYBRIDIZATION | 1 |
Olli Kallioniemi | FI | Kirjala | 2010-08-26 / 20100215638 - METHOD FOR TREATMENT OF PROSTATE CANCER AND SCREENING OF PATIENTS BENEFITING FROM SAID METHOD | 2 |
Olli-P Kallioniemi | FI | Turku | 2010-05-13 / 20100120025 - Compositions and Methods for Prognosis, Diagnosis, Prevention and Treatment of Cancers | 1 |
Antti Kallioniemi | FI | Tuusula | 2013-01-17 / 20130015021 - ADJUSTMENT DEVICE AND AN ELECTRIC DEVICE OF AN ELEVATOR | 1 |
Rami Kallir | IL | Givat Ada | 2011-07-07 / 20110162243 - SYSTEM AND A METHOD FOR SIGNALING | 1 |
Shaun Kallis | US | Waller | 2016-03-17 / 20160076372 - METHODS AND SYSTEM FOR INDEPENDENTLY CONTROLLING INJECTOR HEAD DRIVE MOTOR SPEEDS | 1 |
James M. Kallis | US | Los Angeles | 2013-06-20 / 20130158623 - Method and Apparatus for Detecting Imminent Structural Failure of an Electrical Lead in an Implanted Cardiac Therapy Medical Device | 3 |
Ville Kallis | FI | Helsinki | 2015-11-19 / 20150329186 - Oscillating foil propulsion system and method for controlling a motion of an oscillating movable foil | 1 |
Zacharias Kallis | CY | Nicosia | 2011-06-23 / 20110151556 - METHODS FOR ISOLATING MONONUCLEAR CELLS THAT INCLUDE A SUBPOPULATION OF MESENCHYMAL PROGENITOR CELLS AND VASCULAR CELLS THAT INCLUDE A SUBPOPULATION OF ENDOTHELIAL PROGENITOR CELLS FROM UMBILICAL CORD TISSUE | 1 |
John Kallis | US | Upper Saddle River | 2011-10-06 / 20110244417 - DEVICE FOR SELECTIVE TARGETING OF A SUBSTANCE TO A BODY PART | 1 |
Joannis Kallitsis | GR | Patras | 2011-04-07 / 20110082261 - Multifunctional materials consisting of regioregular poly(3-alkylthiophene)s covalently attached on carbon nanotubes for photovoltaic applications | 4 |
Jacob A. Kallivayalil | US | Pittsburgh | 2009-02-12 / 20090042012 - METHOD FOR PRODUCING FOAMED ALUMINUM PRODUCTS BY USE OF SELECTED CARBONATE DECOMPOSITION PRODUCTS | 1 |
Bengt Kallman | SE | Leksand | 2013-04-18 / 20130092164 - POWERED AIR PURIFYING RESPIRATOR | 3 |
Jeffrey S. Kallman | US | Pleasanton | 2011-12-08 / 20110302463 - APPROXIMATE ERROR CONJUGATION GRADIENT MINIMIZATION METHODS | 1 |
William R. Kallman | US | Woodland | 2016-01-28 / 20160028799 - Peer-To-Peer Email | 7 |
Joel R. Kallman | US | Powell | 2015-04-02 / 20150095973 - CLOUD DATABASE LOCKDOWN | 1 |
Jeffrey Kallman | US | Pleasanton | 2011-12-01 / 20110293215 - LOW LOSS LASER TRANSMISSION THROUGH TELESCOPES WITH MIRROR OBSCURATIONS | 1 |
Benjamin J. Kallman | US | Menlo Park | 2016-03-03 / 20160064840 - RECEPTACLE FOR CONNECTING TO FLEXIBLE CIRCUIT BOARD | 1 |
Tom Kallman | US | Lino Lakes | 2010-06-10 / 20100140425 - PORTABLE VIDEO STAND | 1 |
Jeff Kallman | US | Pleasanton | 2008-12-04 / 20080298761 - LOW LOSS, HIGH AND LOW INDEX CONTRAST WAVEGUIDES IN SEMICONDUCTORS | 1 |
Jeffery S. Kallman | US | Pleasanton | 2011-09-29 / 20110235972 - SEPARATING AND COMBINING SINGLE-MODE AND MULTIMODE OPTICAL BEAMS | 1 |
Mikael Kallman | SE | Bromma | 2015-04-23 / 20150107518 - ARRANGEMENT AND METHOD FOR A MILKING SYSTEM | 2 |
Charles W. Kallmann | US | Provo | 2009-04-09 / 20090090152 - SYSTEMS AND METHODS FOR PROVIDING CONTROL AND DISPOSAL OF WASTE MATERIALS | 2 |
Ulrich Kallmann | DE | Tuebingen | 2014-03-20 / 20140078491 - Measuring Apparatus and Measuring Device for Measuring a Target Object in a Multidimensional Manner | 16 |
Andrew Kallmes | US | Bethesda | 2009-10-01 / 20090241640 - FLUID TRANSPORTATION BY A PLURALITY OF PARTICULATES | 1 |
Andrew Kallmes | US | Peabody | 2014-07-17 / 20140196859 - DIGESTER WITH IMPROVED VAPOR CONTROL | 5 |
David F. Kallmes | US | Rochester | 2012-08-09 / 20120201446 - COMPUTER-AIDED DETECTION (CAD) OF INTRACRANIAL ANEURYSMS | 2 |
Andrew Kallmes | US | Potomac | 2009-06-25 / 20090158825 - FLUID TRANSPORTATION BY A SHEET OF MATERIAL | 2 |
Todd A. Kallmyer | US | Tempe | 2015-03-12 / 20150073509 - REMOVABLE HEAT MANAGEMENT FOR RECHARGE COILS | 16 |
Todd A. Kallmyer | US | Tempe | 2015-03-12 / 20150073509 - REMOVABLE HEAT MANAGEMENT FOR RECHARGE COILS | 16 |
Samuel Kallner | IL | Tal Menashe | 2015-05-14 / 20150135324 - HYPERLINK DATA PRESENTATION | 2 |
Samuel Kallner | IL | Menashe | 2014-08-28 / 20140245233 - READABLE STRUCTURAL TEXT-BASED REPRESENTATION OF ACTIVITY FLOWS | 4 |
Samuel Kallner | IL | D.n. Menashe | 2010-04-29 / 20100106547 - Automated workflow generation | 1 |
Samuel Kallner | IL | D. N. Menashe | 2010-03-25 / 20100077325 - In Situ Editing of GUI Features | 1 |
Peter Kallo | HU | Budapest | 2008-12-18 / 20080308730 - Real-Time, 3D, Non-Linear Microscope Measuring System and Method for Application of the Same | 1 |
John Kallo | CA | Toronto | 2014-05-15 / 20140134534 - Sustainable Toner | 1 |
John P. Kallo | CA | Toronto | 2016-05-12 / 20160128369 - USE OF RESISTANT STARCHES IN COATING COMPOSITIONS | 1 |
Josef Kallo | DE | Stuttgart | 2010-10-21 / 20100266921 - POWER CONTROL FOR HYBRID FUEL CELL SYSTEMS | 1 |
Miika Kallonen | FI | Nousiainen | 2009-10-29 / 20090266620 - Arrangement for Weighing Transport Vehicle Load | 1 |
Anthony N. Kalloo | US | Baltimore | 2015-05-21 / 20150141900 - Method and Device for Targeted Delivery of Fluid Therapeutics | 7 |
Anthony Nicholas Kalloo | US | Bowie | 2009-11-12 / 20090281553 - Devices and Methods for Treatment of Gastrointestinal Disorders | 1 |
Shaffick Kiwi Kalloo | US | South Ozone Park | 2014-09-18 / 20140260018 - SHEAR STUD | 1 |
Anthony Nicolas Kalloo | US | Baltimore | 2015-05-07 / 20150126906 - Methods and Devices for Diagnostic and Therapeutic Interventions in the Peritoneal Cavity | 1 |
Anthony Nicholas Kalloo | US | Glenn Dale | 2013-04-11 / 20130090526 - ANASTOMOSIS SYSTEM | 2 |
Anthony N. Kalloo | US | Bowie | 2011-03-31 / 20110077662 - DEVICES AND METHODS FOR TREATMENT OF GASTROINTESTINAL DISORDERS | 1 |
Bobby Kalloor | US | Huber Heights | 2008-12-25 / 20080317619 - TANDEM COMPRESSOR SYSTEM AND METHOD | 1 |
Bijoy Kalloor | US | Sunnyvale | 2010-10-21 / 20100264973 - ECONOMY PRECISION PULSE GENERATOR | 1 |
Rick Kallop | US | Lexington | 2009-11-05 / 20090274504 - Modular RFID Imaging Device Option | 1 |
Dara Kallop | US | San Francisco | 2011-05-12 / 20110110942 - METHOD OF PROMOTING DENDRITIC SPINE DENSITY | 1 |
Themos Kallos | GB | London | 2015-02-05 / 20150036350 - Optical Device | 2 |
Themas Kallos | GB | London | 2016-02-18 / 20160048043 - Optical Diode Comprising Components Made From Metamaterials | 1 |
Efthymios Kallos | GB | London | 2016-03-31 / 20160093760 - Tapered Optical Waveguide Coupled to Plasmonic Grating Structure | 2 |
Franz Kallos | DE | Warstein | 2014-10-02 / 20140292164 - SYSTEM FOR THE MODULAR CONSTRUCTION OF COUNTERS, MORE PARTICULARLY SALES COUNTERS | 2 |
Michael S. Kallos | CA | Calgary | 2009-09-17 / 20090233360 - Methods and Compositions for Culturing of neural Precursor Cells | 1 |
Evangelos Kalloudis | GR | Athens | / - | 1 |
Claes Kallqvist | SE | Lund | 2009-02-26 / 20090054103 - PORTABLE COMMUNICATION DEVICE WITH AUTOMATED LOCALIZED CALENDAR | 1 |
Kent Jeffrey Kallsen | US | Jefferson | 2015-07-30 / 20150209572 - CLOSED FLUID TRANSFER SYSTEM | 8 |
Kent Kallsen | US | Jefferson | 2014-12-25 / 20140373883 - CONNECTOR FOR A DISHWASHER MIDDLE SPRAY ARM | 5 |
Benjamin Kallsen | DE | Mohrkirch | 2013-01-24 / 20130020181 - Elastic Spreading Belt | 1 |
Kent J. Kallsen | US | Jefferson | 2015-04-16 / 20150102048 - Automatic Napkin Dispenser | 8 |
Kent J. Kallsen | US | Jefferson | 2015-04-16 / 20150102048 - Automatic Napkin Dispenser | 8 |
Kent Jeffrey Kallsen | US | Jefferson | 2015-07-30 / 20150209572 - CLOSED FLUID TRANSFER SYSTEM | 8 |
Erik Jonas Kallstrom | US | Redmond | 2016-02-25 / 20160057076 - Equitable Sharing of System Resources in Workflow Execution | 2 |
George Kallstrom | US | St. Louis | 2014-02-13 / 20140045744 - CULTURED COLLECTION OF GUT MICROBIAL COMMUNITY | 1 |
Martin Kallstrom | SE | Linkoping | 2015-04-16 / 20150103164 - LIFELOGGING DEVICE, LIFELOGGING SYSTEM AND METHOD OF CONTROLLING A WEARABLE LIFELOGGING DEVICE | 1 |
Sudhir R. Kallu | US | Peoria | 2016-02-11 / 20160040399 - High Performance Implement Wear Member | 1 |
Radhika Kallu | IN | Guntur | 2016-01-28 / 20160026648 - SYSTEM AND METHOD FOR ENSURING CODE QUALITY COMPLIANCE FOR VARIOUS DATABASE MANAGEMENT SYSTEMS | 1 |
Praneeth Kallu | US | Redmond | 2014-09-18 / 20140274402 - MATCHMAKING IN MULTIPLAYER GAMING | 1 |
Flavio J. H. Kalluf | BR | Joinville | 2012-09-06 / 20120223610 - STATOR BLADE FOR AN ELECTRIC MOTOR | 1 |
Flavio J.h. Kalluf | BR | Joinville | 2015-11-12 / 20150326082 - SINGLE-PHASE INDUCTION MOTOR | 3 |
Flavio J.h. Kalluf | BR | Joinville Sc | 2014-05-08 / 20140125269 - WINDING SWITCHING CIRCUIT AND THERMAL PROTECTION FOR DUAL VOLTAGE HERMETIC INDUCTION MOTOR OF HERMETIC COOLING COMPRESSOR | 2 |
Mathew Kallumadil | GB | London | 2012-01-26 / 20120019316 - APPARATUS FOR DRIVING A RESONANT CIRCUIT | 1 |
Vineeth Seshadri Kallur | US | Ypsilanti | 2013-02-07 / 20130034767 - BATTERY PACK LIQUID COOLING SYSTEM | 1 |
Ram K. Kallur | US | Fountainville | 2012-08-02 / 20120196834 - Highly stable compositions of orally active nucleotide analogues or orally active nucleotide analogue prodrugs | 1 |
Vineeth S. Kallur | US | Novi | 2015-08-06 / 20150217642 - ENERGIZING AN AUTOMOTIVE VEHICLE HIGH VOLTAGE BUS USING A SINGLE MAIN CONTACTOR | 1 |
Vineeth Seshadri Kallur | US | Novi | 2015-03-26 / 20150086833 - ELECTRIC VEHICLE BATTERY ATTACHMENT ASSEMBLY AND METHOD | 1 |
Prashanth Kalluraya | US | Foster City | 2016-01-21 / 20160019936 - ULTRA LOW POWER ARCHITECTURE TO SUPPORT ALWAYS ON PATH TO MEMORY | 4 |
Sridhar Kalluri | US | El Cerrito | 2016-05-19 / 20160142833 - SPATIAL ENHANCEMENT MODE FOR HEARING AIDS | 10 |
Rama Kalluri | US | San Jose | 2012-04-12 / 20120090012 - EVENT BOOKING MECHANISM | 1 |
Udaya C. Kalluri | US | Knoxville | 2014-11-06 / 20140329308 - PLATFORM FOR IMMOBILIZATION AND OBSERVATION OF SUBCELLULAR PROCESSES | 2 |
Madhusudan Kalluri | US | Sunnyvale | 2014-01-02 / 20140006878 - Systems and Methods for Enhanced Accuracy NPML Calibration | 6 |
Raghu Kalluri | US | Houston | 2016-01-28 / 20160024503 - miRNA BIOGENESIS IN EXOSOMES FOR DIAGNOSIS AND THERAPY | 1 |
Rammahan Rao Kalluri | DE | Munich | 2014-05-01 / 20140117794 - COOLING ASSEMBLY FOR ELECTRICAL MACHINES AND METHODS OF ASSEMBLING THE SAME | 1 |
Veerabhadra Rao Kalluri | IN | Secunderabad | 2015-07-30 / 20150213446 - ENHANCED COMMUNICATION PLATFORM AND RELATED COMMUNICATION METHOD USING THE PLATFORM | 3 |
Srini Kalluri | US | Madison | 2015-01-15 / 20150019233 - SITE-SPECIFIC CLINICAL TRIAL PERFORMANCE METRIC SYSTEM | 1 |
Ravi Kiran Kalluri | US | San Jose | 2014-04-10 / 20140098180 - SYSTEMS AND METHODS FOR SCALABLE DISTRIBUTED GLOBAL INFRASTRUCTURE FOR REAL-TIME MULTIMEDIA COMMUNICATION | 7 |
Sridhar Kalluri | US | El Cerrito | 2016-05-19 / 20160142833 - SPATIAL ENHANCEMENT MODE FOR HEARING AIDS | 10 |
Madhusuda Kalluri | US | Sunnyvale | 2013-12-19 / 20130335850 - Initialization for Decoder-Based Filter Calibration | 1 |
Ravi Kalluri | US | San Jose | 2015-10-01 / 20150279381 - AUDIO FINGERPRINTING FOR ADVERTISEMENT DETECTION | 3 |
Rama Murty Kalluri | US | San Jose | 2015-11-12 / 20150326897 - EVENT BOOKING MECHANISM | 1 |
Prakasam Kalluri | US | Chester | 2012-11-01 / 20120277866 - Angled Bullet-Nose Banana Cage | 1 |
Venugopal Kalluri | US | San Ramon | 2015-09-17 / 20150264461 - TELECOMMUNICATIONS DEVICE | 1 |
Rama Kalluri | US | Cupertino | 2015-03-12 / 20150071346 - PARALLEL VIDEO ENCODING BASED ON COMPLEXITY ANALYSIS | 2 |
Seshagiri Prasad Kalluri | US | Richardson | 2008-09-11 / 20080219440 - ELECTRONIC DEVICE AND SOFTWARE INTERLOCKING SECURITY SYSTEM | 1 |
Sudhakar Kalluri | US | Cupertino | 2008-11-20 / 20080285740 - Method and apparatus for crosstalk estimation | 1 |
Raghu Kalluri | US | Weston | 2015-12-10 / 20150355181 - METHODS AND COMPOSITIONS FOR HUMAN EPIDIDYMIS PROTEIN-4 (HE4) | 3 |
Raghuram Kalluri | US | Weston | 2009-02-26 / 20090054344 - ANTI-ANGIOGENIC PROTEINS AND FRAGMENTS AND METHODS OF USE THEREOF | 1 |
Rammohan Rao Kalluri | IN | Bangalore | 2011-06-09 / 20110133590 - ROTOR STRUCTURE FOR INTERIOR PERMANENT MAGNET ELECTROMOTIVE MACHINE | 2 |
Rammohan Rao Kalluri | IN | Kandukur | / - | 1 |
Hemanth Kalluri | US | San Jose | 2015-07-30 / 20150212910 - HIGH AVAILABILITY ACROSS GEOGRAPHICALLY DISJOINT CLUSTERS | 4 |
Rammohan Rao Kalluri | IN | Ongole | 2011-12-29 / 20110315257 - LINEAR HOLLOW SPOOL VALVE | 1 |
Ranjeeth Kalluri | US | Morrisville | 2011-06-09 / 20110135543 - MICROFIBROUS MEDIA AND PACKING METHOD FOR OPTIMIZING AND CONTROLLING HIGHLY EXOTHERMIC AND HIGHLY ENDOTHERMIC REACTIONS/PROCESSES | 1 |
Venugopal Kalluri | US | San Jose | 2009-10-08 / 20090252315 - Audio Signal Routing | 1 |
Srikanth V. Kallurkar | US | Chadds Ford | 2012-01-12 / 20120011170 - COLLABORATION PORTAL - COPO - A SCALEABLE METHOD, SYSTEM AND APPARATUS FOR PROVIDING COMPUTER-ACCESSIBLE BENEFITS TO COMMUNITIES OF USERS | 2 |
Rahul B. Kallurwar | US | Cookeville | 2014-08-07 / 20140217259 - MOUNTING INTERFACE FOR A HOUSING OF A FILTRATION MODULE | 3 |
Rahul Kallurwar | US | Columbus | 2016-02-11 / 20160040578 - DUAL FUEL DIESEL OXIDATION CATALYST WITH REMOVABLE CATALYSTS | 2 |
Rahul B. Kallurwar | US | Columbus | 2012-10-18 / 20120261323 - FILTER APPARATUS WITH TORQUE LIMITING MECHANISM | 1 |
Rahul Kallurwar | US | Cookeville | 2010-08-12 / 20100200490 - FILTER WITH LOCALIZED FLOW ATTACHMENT AND FILTER HEAD | 1 |
Christopher Kallus | DE | Frankfurt Am Main | 2016-05-19 / 20160137618 - Macrocyclic Urea Derivatives as Inhibitors of TAFIa, Their Preparation and Their Use as Pharmaceuticals | 8 |
Christopher Kallus | DE | Frankfurt Am Main | 2016-05-19 / 20160137618 - Macrocyclic Urea Derivatives as Inhibitors of TAFIa, Their Preparation and Their Use as Pharmaceuticals | 8 |
Christopher Kallus | DE | Frankfurt | 2010-02-11 / 20100035930 - UREA AND SULFAMIDE DERIVATIVES AS INHIBITORS OF TAFIa | 1 |
Jürg-Heinrich Kallweit | DE | Osnabrueck | 2009-08-27 / 20090211711 - Device for Filling Packing Wrappers With Stuffing | 1 |
Gerhard Kallweit | DE | Bottrop | 2015-03-05 / 20150059260 - BUILDING FACADE WITH LOCK ELEMENT AND LOCK ELEMENT | 2 |
René Kallweit | CH | Schaffhausen | 2013-01-10 / 20130008873 - ELECTRICAL HIGH-VOLTAGE ON-LOAD DISCONNECTOR AND METHOD FOR OPENING THE SAME | 2 |
Torsten Kallweit | DE | Ulm | 2014-03-27 / 20140084090 - METHOD AND DEVICE FOR CLEANING OF PAPER MACHINE CLOTHING WHICH IS TO BE RECYCLED | 2 |
Wolfgang Martin Kallweit | DE | Mettmann | 2011-06-30 / 20110161985 - METHOD FOR ACCESS TO A TRANSMISSION MEDIUM | 1 |
Jürg-Heinrich Kallweit | DE | Osnabrueck | 2009-08-27 / 20090211711 - Device for Filling Packing Wrappers With Stuffing | 1 |
René Kallweit | CH | Schaffhausen | 2013-01-10 / 20130008873 - ELECTRICAL HIGH-VOLTAGE ON-LOAD DISCONNECTOR AND METHOD FOR OPENING THE SAME | 1 |
W. Scott Kalm | US | Sarasota | 2010-11-04 / 20100276249 - MAILPIECE INSERTER ADAPTED FOR ONE-SIDED OPERATION (OSO) AND INPUT CONVEYOR MODULE THEREFOR | 4 |
Jouni Kalm | FI | Hyvinkaa | 2009-05-07 / 20090114486 - ELEVATOR | 1 |
Gaurav Kalmady | US | Kirkland | 2011-07-14 / 20110173168 - DATA VERSIONING THROUGH DATA TRANSFORMATIONS | 2 |
Gaurav D. Kalmady | US | Kirkland | 2012-03-08 / 20120060035 - Secure and Verifiable Data Handling | 2 |
George P. Kalmakis | US | Gloucester | 2015-01-29 / 20150030513 - Multi-Channel Pipettor with Repositionable Tips | 6 |
Nagaraj Kalmala | US | Sunnyvale | 2008-08-21 / 20080201602 - Method and apparatus for transactional fault tolerance in a client-server system | 1 |
Dean Kalman | US | Durham | 2014-03-27 / 20140090043 - Controlled Discovery of SAN-Attached SCSI Devices and Access Control Via Login Authentication | 1 |
Israel Kalman | IL | Kfar Saba | 2015-05-21 / 20150142340 - DEVICE AND SYSTEM FOR PREDICTING FAILURES OF ACTUATED VALVES | 1 |
Andrew E. Kalman | US | San Francisco | 2013-08-15 / 20130206957 - ANTI-TWIST JOINT, ORIENTING SYSTEM AND METHOD | 5 |
Zwi H. Kalman | IL | Rishon Le Zion | 2009-01-22 / 20090020916 - Composite ceramic having nano-scale grain dimensions and method for manufacturing same | 1 |
Erika Kalman | HU | Budapest | 2010-06-24 / 20100158790 - METHOD FOR PREPARING ANISOTROPIC PARTICLES AND DEVICES THEREOF | 1 |
Dean Kalman | US | Milpitas | 2009-02-19 / 20090049535 - CONTROLLED DISCOVERY OF SAN-ATTACHED SCSI DEVICES AND ACCESS CONTROL VIA LOGIN AUTHENTICATION | 1 |
Jeffrey M. Kalman | US | Cleveland Heights | 2013-11-21 / 20130309515 - CONTAINER WITH HIGH MOISTURE BARRIER PROPERTIES AND A RECLOSABLE POUR SPOUT | 18 |
Kevin Kalman | CA | Okotoks | 2016-05-19 / 20160138341 - MUD MOTOR WITH INTEGRATED REAMER | 2 |
Jeffrey Kalman | US | Cleveland Hts. | 2012-10-04 / 20120253837 - MEDICAL KIOSK AND METHOD OF USE | 1 |
Mark D. Kalman | US | Luthersville | 2013-06-13 / 20130146290 - SELECTIVE PLACEMENT OF CONFORMANCE TREATMENTS IN MULTI-ZONE WELL COMPLETIONS | 3 |
Jeffrey Michael Kalman | US | Cleveland Heights | 2011-02-24 / 20110041864 - VIBRATING DEVICE | 1 |
Lina Kalman | CA | Kilworth | 2010-07-29 / 20100190135 - DENTAL PROSTHESIS ABUTMENT SYSTEM AND METHOD FOR USING SAME | 1 |
Laszlo Kalman | CA | Kilworth | 2010-07-29 / 20100190135 - DENTAL PROSTHESIS ABUTMENT SYSTEM AND METHOD FOR USING SAME | 1 |
Lajos Kalman | HU | Torokszentmiklos | / - | 1 |
Benjamin Kalman | AU | Chatswood | 2013-09-12 / 20130238742 - TIERS OF DATA STORAGE FOR WEB APPLICATIONS AND BROWSER EXTENSIONS | 1 |
Jeffrey Kalman | US | Cleveland Heights | 2015-07-16 / 20150199783 - Medical Kiosk and Method of Use | 20 |
Mark Douglas Kalman | US | Luthersville | 2015-06-04 / 20150152983 - PRESSURE ARMOR WITH INTEGRAL ANTI-COLLAPSE LAYER | 3 |
Mark Kalman | US | Tappan | 2015-05-21 / 20150142456 - SYSTEMS AND METHODS FOR IMPLEMENTING EFFICIENT CROSS-FADING BETWEEN COMPRESSED AUDIO STREAMS | 1 |
Daniel Kalman | IL | Tel-Aviv | 2015-11-12 / 20150327033 - ENCODING AND DECODING IN-TEXT GRAPHIC ELEMENTS IN SHORT MESSAGES | 13 |
Daniel Kalman | IL | Tel-Aviv | 2015-11-12 / 20150327033 - ENCODING AND DECODING IN-TEXT GRAPHIC ELEMENTS IN SHORT MESSAGES | 13 |
Daniel Kalman | IL | Te-Aviv | 2014-04-17 / 20140109227 - TRANSFORMING UNIT TESTS FOR SECURITY TESTING | 1 |
Jonathan Kalman | US | Haverford | 2015-07-02 / 20150186915 - LOYALTY PROGRAM MANAGEMENT SYSTEM | 1 |
Jeffrey Kalman | US | Cleveland | 2013-12-26 / 20130341297 - DRINKING CONTAINER | 1 |
Nick Kalman | CA | Hamilton | 2014-09-18 / 20140262175 - Heat Exchanger with Jointed Frame | 1 |
Steve Henry Kalman | US | Wellington | 2014-04-03 / 20140090211 - CLAMP | 1 |
Jeff M. Kalman | US | Cleveland Heights | 2013-08-01 / 20130191995 - FEMUR SUPPORT FOR A MEDICAL TABLE | 1 |
Daniel Kalman | IL | Hertzelyia | 2013-05-30 / 20130139267 - DETECTING VULNERABILITIES IN WEB APPLICATIONS | 2 |
Daniel Kalman | IL | Herzelyia | 2013-03-28 / 20130081003 - SELECTIVE DATA FLOW ANALYSIS OF BOUNDED REGIONS OF COMPUTER SOFTWARE APPLICATIONS | 2 |
Daniel Kalman | IL | Herzliya | 2013-08-08 / 20130205399 - AUTOMATIC SYNTHESIS OF UNIT TESTS FOR SECURITY TESTING | 7 |
Mark Kalman | US | Houston | 2012-06-28 / 20120160510 - FLEXIBLE CATENARY RISER HAVING DISTRIBUTED SAG BEND BALLAST | 2 |
Dean Kalman | US | Cary | 2014-12-11 / 20140365433 - CROSS DOMAIN LOCKING IN A DISTRIBUTED ENVIRONMENT | 4 |
Dean Kalman | US | Sunnyvale | 2013-09-26 / 20130254573 - POWER SAVINGS USING DYNAMIC STORAGE CLUSTER MEMBERSHIP | 1 |
Jeffery Kalman | US | Cleveland Heights | 2014-01-09 / 20140008390 - SIMPLE SERVE TOPPING DISPENSER | 3 |
Mark D. Kalman | US | Carrollton | 2011-03-03 / 20110048707 - SELECTIVE PLACEMENT OF CONFORMANCE TREATMENTS IN MULTI-ZONE WELL COMPLETIONS | 3 |
Jeff Kalman | US | Cleveland Heights | 2011-10-06 / 20110239594 - SYSTEMS AND METHODS FOR FILLING A COLLAPSIBLE CONTAINER | 5 |
Douglas Kalman | US | Weston | 2015-08-27 / 20150238438 - COMPOSITION COMPRISING SCIRPUSIN A AND SCIRPUSIN B AND ANTI-OBESITY POTENTIAL THEREOF | 1 |
Jeffrey Kalman | US | Cleveland Heights | 2015-07-16 / 20150199783 - Medical Kiosk and Method of Use | 20 |
Mark Kalman | US | San Francisco | 2015-05-14 / 20150134771 - ADAPTIVE CONTENT TRANSMISSION | 5 |
Mark D. Kalman | US | Houston | 2011-12-29 / 20110315405 - WELL TOOLS UTILIZING SWELLABLE MATERIALS ACTIVATED ON DEMAND | 1 |
Michael Kalman | US | Tenafly | 2009-12-24 / 20090315313 - Blank book and archiving system | 1 |
Mark Kalman | US | Carrollton | 2010-03-04 / 20100051295 - SWELLABLE PACKER CONSTRUCTION FOR CONTINUOUS OR SEGMENTED TUBING | 1 |
David M. Kalman | US | San Mateo | 2014-03-20 / 20140081761 - METHOD AND SYSTEM FOR REAL-TIME SOCIAL GIFTING | 1 |
Rick Kalman | US | San Jose | 2010-10-28 / 20100275149 - Interactive Sub-Summaries In Database Applications | 1 |
Jeffrey Kalman | US | Cleaveland Heights | 2013-07-04 / 20130168464 - Cartridge Spreader System | 1 |
Daniel Kalman | US | Atlanta | 2012-11-29 / 20120302565 - KINASE INHIBITORS FOR PREVENTING OR TREATING PATHOGEN INFECTION AND METHOD OF USE THEREOF | 6 |
Charles Robert Kalmanek | US | Short Hills | 2013-10-24 / 20130279899 - METHOD AND APPARATUS FOR PROVIDING A CONTROL PLANE ACROSS MULTIPLE OPTICAL NETWORK DOMAINS | 2 |
Charles Robert Kalmanek, Jr. | US | Short Hills | 2015-03-12 / 20150071087 - Methods, Systems, and Products for Voice-Over Internet Protocol Calls | 11 |
Charles Robert Kalmanek, Jr. | US | Short Hills | 2015-03-12 / 20150071087 - Methods, Systems, and Products for Voice-Over Internet Protocol Calls | 11 |
Charles R. Kalmanek, Jr. | US | Short Hills | 2009-08-06 / 20090198569 - METHOD AND APPARATUS FOR PRESENTING ADVERTISEMENTS | 1 |
Arun Kalmanje | US | Sunnyvale | 2014-04-17 / 20140109127 - PAUSE AND REPLAY OF MEDIA CONTENT THROUGH BOOKMARKS ON A SERVER DEVICE | 3 |
Arun Kalmanje | US | Fremont | / - | 1 |
Menno Kalmann | NL | Elspeet | 2015-12-24 / 20150367123 - EXTRACTOR FOR REMOVING A LEAD FROM A PATIENT | 11 |
Menno Kalmann | NL | Elspeet | 2015-12-24 / 20150367123 - EXTRACTOR FOR REMOVING A LEAD FROM A PATIENT | 11 |
Anatoly Kalmanovsky | US | Buffalo Grove | 2013-10-03 / 20130255596 - HYDROGEN FEED METHOD AND SYSTEMS FOR ENGINES | 1 |
Paul Lawrence Kalmar | US | Zirconia | 2014-03-06 / 20140064954 - TURBOMACHINE DIAPHRAGM AND METHOD OF REPAIRING A TURBOMACHINE DIAPHRAGM | 2 |
Paul L. Kalmar | US | Zirconia | 2012-04-12 / 20120087752 - METHOD AND APPARATUS FOR MACHINING A SHROUD BLOCK | 4 |
Julian Renato Kalmar | US | Marina Del Rey | 2009-03-12 / 20090070179 - Impact meter | 1 |
Alain Kalmar | BE | Gent | 2014-01-02 / 20140005613 - CAPILLARY TUBE ASSEMBLY | 4 |
Isabelle Kalmar | BE | Gent | 2014-10-23 / 20140316004 - TREATMENT OF POULTRY FOR REDUCING THE FEED CONVERSION RATE OR FOR REDUCING THE INCIDENCE OF ASCITES | 2 |
Alain Kalmar | BE | Wichelen | 2011-10-06 / 20110245704 - METHODS AND SYSTEMS FOR ANALYSING RESUSCITATION | 1 |
Andras Kalmar | AT | Schwechat | 2015-10-01 / 20150281789 - COMMUNICATING MEDIA RELATED MESSAGES | 1 |
John W. Kalmar | US | Saginaw | 2015-04-30 / 20150114749 - RETAINER ASSEMBLY FOR POWER STEERING SYSTEM | 1 |
Paul Kalmar | US | Greenville | 2012-08-16 / 20120204398 - Shroud Retaining Pin Extraction Systems and Methods | 1 |
László Kalmár | HU | Vancsod | 2013-07-04 / 20130172548 - DERIVATIZATION OF OLIGOSACCHARIDES | 3 |
László Kalmár | HU | Vancsod | 2013-07-04 / 20130172548 - DERIVATIZATION OF OLIGOSACCHARIDES | 3 |
László Kalmár | HU | Vancsod | 2013-07-04 / 20130172548 - DERIVATIZATION OF OLIGOSACCHARIDES | 3 |
Reino Kalmari | FI | Espoo | 2011-02-10 / 20110034725 - PROCESS FOR SEPARATING STEROLS AND ACIDS FROM TALL OIL PITCH | 1 |
Helmut Kalmbach | DE | Freudenstadt | 2009-02-26 / 20090053397 - Device and a method for applying an even, thin fluid layer to substrates | 1 |
Thomas Kalmbach | DE | Stuttgart | 2015-05-21 / 20150140235 - METHOD FOR OPERATING A ROTARY ATOMIZER, SPRAY HEAD, AND ROTARY ATOMIZER WITH SUCH A SPRAY HEAD | 1 |
Wilhelm Kalmbach | DE | Schopfloch | 2016-05-19 / 20160136838 - MACHINING DEVICE | 1 |
Klaus Kalmbach | LU | Luxembourg | 2013-12-19 / 20130333958 - CRAWLER VEHICLE AND RELATIVE CONTROL METHOD | 1 |
Michael T. Kalmbach | US | Elgin | 2015-04-09 / 20150100969 - DETECTING DEPLOYMENT CONFLICTS IN HETEROGENOUS ENVIRONMENTS | 46 |
Michael T. Kalmbach | US | Elgin | 2015-04-09 / 20150100969 - DETECTING DEPLOYMENT CONFLICTS IN HETEROGENOUS ENVIRONMENTS | 46 |
Jens Kalmbach | DE | Pfalzgrafenweiler | 2012-07-05 / 20120167959 - PHOTOVOLTAIC MODULE HAVING A PLANAR CELL CONNECTOR | 3 |
Michael T. Kalmbach | US | Rochester | 2014-02-06 / 20140040721 - RESOURCE-ADAPTIVE CONTENT DELIVERY ON CLIENT DEVICES | 1 |
Paul M. Kalmbach | US | Upper Sandusky | 2013-12-05 / 20130323336 - METHOD OF INCREASING OMEGA-3 CONTENT IN POULTRY | 1 |
Klaus Kalmbach | DE | Mossingen | 2013-10-17 / 20130274052 - DRIVE DEVICE FOR A MOTOR VEHICLE | 5 |
Simon Kalmbach | DE | Altensteig | 2011-11-03 / 20110267158 - RELAY WITH INTEGRATED SAFETY WIRING | 3 |
Thomas P. Kalmbach | US | Southwick | 2012-09-06 / 20120226509 - SYSTEM AND METHOD FOR PROCESSING DATA RELATED TO DEATH BENEFIT AMOUNTS FOR LIFE INSURANCE POLICIES | 2 |
Robert Peter Kalmeijer | NL | Rozenburg | 2009-06-11 / 20090148363 - Production of Titanium Tetrachloride Using Fluidized Bed Reactor | 1 |
Pamela Kalmes | DE | Merchweiler | 2011-05-26 / 20110122198 - INK JET RECORDING HEAD, PRODUCING METHOD THEREFOR AND COMPOSITION FOR INK JET RECORDING HEAD | 6 |
Donald John Kalmes | US | Peoria | 2015-10-08 / 20150284042 - MOBILE MACHINE TRACK ROLLER HAVING A GUARD MEMBER | 1 |
Pamela Kalmes | DE | Quierschied | 2010-09-30 / 20100245476 - INK JET HEAD AND ITS MANUFACTURE METHOD | 2 |
Linda D. Kalmes | US | Loveland | 2008-12-04 / 20080301807 - System and Method for Controlling On-Demand Security | 1 |
Chad Kalmes | US | Lafayette | 2015-02-26 / 20150058903 - GEOGRAPHIC CONTENT RECOMMENDATION | 10 |
Chad Kalmes | US | Lafayette | 2015-02-26 / 20150058903 - GEOGRAPHIC CONTENT RECOMMENDATION | 10 |
Michael Kalmon | US | Boyertown | 2015-08-20 / 20150231599 - FIBERS OF VARIABLE WETTABILITY AND MATERIALS CONTAINING THE FIBERS | 1 |
Michael Kalmon | US | Frederica | 2010-05-13 / 20100120707 - Dosages for menstrual suppression, contraception, and hormone replacement therapy, and methods of administering same | 1 |
Michael F. Kalmon | US | Ball Ground | 2015-12-24 / 20150367012 - MULTI-PANEL STERILIZATION ASSEMBLY WITH STRETCH COMPONENTS | 2 |
Michael Franklin Kalmon | US | Ball Ground | 2015-10-29 / 20150305949 - DISPOSABLE ABSORBENT PRODUCT WITH COATED ELEMENT AND RELATED METHODS | 2 |
Sam Kalmouni | US | Pembroke | 2011-09-01 / 20110212204 - CONTROLLING DELIVERY OF POLYMER MATERIAL IN A SEQUENTIAL INJECTION MOLDING PROCESS | 3 |
William Kalms | US | Parkville | 2015-02-26 / 20150053073 - Multiple Missile Carriage and Launch Guidance Module | 2 |
Michael Kalms | DE | Delmenhorst | 2011-08-04 / 20110188251 - DEVICE FOR LASER-OPTICAL GENERATION OF MECHANICAL WAVES FOR PROCESSING AND/OR EXAMINING A BODY | 1 |
Ingo Kalms | DE | Mulheim | 2014-08-28 / 20140241343 - Method and Device for Starting Limited-Time Licenses for Telecommunication Systems in a Controlled Manner | 1 |
William Kalms | US | Baltimore | 2012-06-21 / 20120152092 - Stowable Elevating Trainable Launcher (SETL) | 2 |
Sven Kalms | DE | Munich | 2012-12-13 / 20120314363 - HEAT TRANSFER SYSTEM | 2 |
Marc Van Kalmthout | NL | Helmond | 2009-08-27 / 20090211469 - Double shuttle configuration for digital printers | 1 |
David Kalmuk | CA | Totonto, Ontario | 2014-06-26 / 20140181833 - PROCESSOR PROVISIONING BY A MIDDLEWARE SYSTEM FOR A PLURALITY OF LOGICAL PROCESSOR PARTITIONS | 1 |
David Kalmuk | CA | Ontario | 2014-07-17 / 20140201756 - ADAPTIVE RESOURCE USAGE LIMITS FOR WORKLOAD MANAGEMENT | 2 |
David Kalmuk | CA | Totonto | 2014-07-17 / 20140201757 - PROCESSOR PROVISIONING BY A MIDDLEWARE PROCESSING SYSTEM FOR A PLURALITY OF LOGICAL PROCESSOR PARTITIONS | 1 |
David Kalmuk | CA | Toronto | 2016-03-31 / 20160092275 - TUNABLE COMPUTERIZED JOB SCHEDULING | 7 |
Christopher E. Kalmus | US | Lagrange | 2010-08-05 / 20100198662 - WEB SYSTEM AND METHOD FOR OUTCOME BASED ASSESSMENTS | 1 |
Steven P. Kalnas | US | St. Cloud | 2010-07-01 / 20100162612 - Fishing lure | 1 |
Sergiy Kalnaus | US | Knoxville | 2014-04-24 / 20140113062 - METHOD AND APPARATUS FOR IN-SITU DRYING INVESTIGATION AND OPTIMIZATION OF SLURRY DRYING METHODOLOGY | 1 |
Edward Kalnay | US | Cleveland | 2013-02-14 / 20130036977 - ANIMAL FEEDING SYSTEM | 1 |
Peter Andres Kalnay | US | Cupertino | 2015-07-23 / 20150204302 - Trimming Right-Angularly Reorienting Extending Segmented Ocean Wave Power Extraction System | 1 |
John Kalnay | US | Cleveland | 2013-02-14 / 20130036977 - ANIMAL FEEDING SYSTEM | 1 |
Tom A. Kalnes | US | Lagrange | 2011-12-22 / 20110312051 - PROCESS FOR GENERATION OF POLYOLS FROM SACCHARIDE CONTAINING FEEDSTOCK | 1 |
Tom N. Kalnes | US | Lagrange | 2015-12-03 / 20150344382 - SYSTEMS AND METHODS FOR HYDROGEN SELF-SUFFICIENT PRODUCTION OF RENEWABLE HYDROCARBONS | 46 |
Tom N. Kalnes | US | Des Plaines | / - | 1 |
Kirill Kalnin | US | Pelham | 2014-06-12 / 20140161833 - NOVEL NEUTRALIZING IMMUNOGEN (NIMIV) OF RHINOVIRUS AND ITS USES FOR VACCINE APPLICATIONS | 5 |
Nikolai N. Kalnine | US | Belmont | 2012-03-08 / 20120060216 - MEDICAL INFORMATION NAVIGATION ENGINE (MINE) SYSTEM | 1 |
Iiya Kalnish | CA | Woodbridge | 2009-10-08 / 20090252308 - METHOD, SYSTEM AND APPARATUS FOR HANDLING ESTABLISHMENT OF A COMMUNICATION SESSION | 2 |
Ilya Kalnish | CA | Woodbridge | 2010-09-09 / 20100226287 - METHOD, SYSTEM AND APPARATUS FOR PARTICIPANT VERIFICATION IN A MULTI-PARTY CALL ENVIRONMENT | 1 |
Alex Kalnitsky | US | San Francisco | 2015-09-24 / 20150270143 - HANDLE WAFER FOR HIGH RESISTIVITY TRAP-RICH SOI | 17 |
Alexander Kalnitsky | US | San Francisco | 2016-04-21 / 20160111411 - STRUCTURE AND METHOD FOR ENHANCING ROBUSTNESS OF ESD DEVICE | 31 |
Alexander Kalnitsky | TW | Hsinchu | 2015-11-12 / 20150325678 - METHOD FOR FABRICATING ENHANCEMENT MODE TRANSISTOR | 2 |
Alex Kalnitsky | US | San Francisco | 2015-09-24 / 20150270143 - HANDLE WAFER FOR HIGH RESISTIVITY TRAP-RICH SOI | 17 |
Howard Jay Kalnitz | US | Cincinnati | 2014-12-25 / 20140377506 - Bonding Apparatus and Method | 1 |
Tibor Kalnoki-Kis | US | Westlake | 2015-01-22 / 20150020993 - MECHANICAL-CHEMICAL ENERGY STORAGE | 1 |
Edgar A. Kalns | US | San Jose | 2015-05-28 / 20150149182 - Sharing Intents to Provide Virtual Assistance in a Multi-Person Dialog | 2 |
Edgar T. Kalns | US | San Jose | 2014-11-13 / 20140337814 - RAPID DEVELOPMENT OF VIRTUAL PERSONAL ASSISTANT APPLICATIONS | 3 |
Edgar Kalns | US | San Jose | 2013-09-19 / 20130247055 - Automatic Execution of Actionable Tasks | 2 |
John E. Kalns | US | San Antonio | 2015-09-10 / 20150252101 - Methods and Compositions for Biomarkers of Fatigue, Fitness and Physical Performance Capacity | 7 |
John Kalns | US | San Antonio | 2009-05-28 / 20090137492 - METHOD TO REDUCE THE RISK AND/OR SEVERITY OF ANTHRAX INFECTION | 1 |
Andris Kalns | US | Helotes | 2014-11-20 / 20140338223 - Single Pull and Double Pull Fit Adjustment System for Shoes | 2 |
Shaun Kalnsay | US | West Hills | 2014-05-08 / 20140129372 - METHODS AND SYSTEMS FOR TRAVEL RECOMMENDATIONS | 1 |
Matthew Kalo | US | San Francisco | 2013-05-30 / 20130137157 - GLYCOPEGYLATED FACTOR VII AND FACTOR VIIA | 3 |
Abdel Magid Kalo | LB | Beirut | 2010-04-29 / 20100102007 - Process for Treatment of Sewage Waste Water | 1 |
Mattew Kalo | US | San Francisco | 2009-12-10 / 20090305967 - GLYCOPEGYLATED FACTOR VII AND FACTOR VIIA | 1 |
Arie Kalo | IL | Ness-Ziona | 2011-05-05 / 20110102178 - INTRUSION DETECTION SYSTEM AND ITS SENSORS | 2 |
Hussein Kalo | DE | Bayreuth | 2013-02-07 / 20130035432 - PROCESS FOR THE PRODUCTION OF PHYLLOSILICATE DISCS HAVING A HIGH ASPECT RATIO | 2 |
Miroslav Kaloc | CZ | Dolní Lhota | 2010-06-10 / 20100140074 - Method and equipment for pyrolytic conversion of combustible material | 1 |
Miroslav Kaloc | CZ | Dolní Lhota | 2010-06-10 / 20100140074 - Method and equipment for pyrolytic conversion of combustible material | 1 |
Antonios Kalochairetis | GB | Derby | 2016-04-07 / 20160097287 - FAN | 1 |
Siarhei Kalodka | TW | Taipei | 2012-12-20 / 20120320632 - POWER SWITCH CONTROLLERS AND METHODS USED THEREIN FOR IMPROVING CONVERSION EFFECIENCY OF POWER CONVERTERS | 2 |
Isabel Kalofonos | US | Cambridge | 2015-02-26 / 20150057319 - NOVEL CHOLINE COCRYSTAL OF EPALRESTAT | 11 |
Dimitris Kalofonos | US | Cambridge | 2015-02-26 / 20150057319 - NOVEL CHOLINE COCRYSTAL OF EPALRESTAT | 15 |
Dimitris Kalofonos | US | Cambridge | 2015-02-26 / 20150057319 - NOVEL CHOLINE COCRYSTAL OF EPALRESTAT | 15 |
Isabel Kalofonos | US | Cambridge | 2015-02-26 / 20150057319 - NOVEL CHOLINE COCRYSTAL OF EPALRESTAT | 11 |
Aris Kalogerakis | CH | Winterthur | 2015-11-05 / 20150313864 - Fe(III) Complex Compounds for the Treatment and Prophylaxis of Iron Deficiency Symptoms and Iron Deficiency Anemias | 5 |
Georgios Kalogerakis | US | Mountain View | 2015-12-31 / 20150381172 - DRIVER CIRCUIT | 8 |
Spiros Kalogeropulos | US | Redwood City | 2015-07-09 / 20150193238 - METHODS AND SYSTEMS FOR OPTIMALLY SELECTING AN ASSIST UNIT | 1 |
Spiros Kalogeropulos | US | Los Gatos | 2016-03-24 / 20160085528 - AUTOMATED ADAPTIVE COMPILER OPTIMIZATION | 22 |
Eleni Kalogianni | GR | Thessaloniki | 2014-09-25 / 20140283584 - Apparatuses and methods for the measurement of liquid properties and particularly frying oil quality | 1 |
Georgios Kalogridis | GB | Bristol | 2013-06-20 / 20130154849 - PRIVACY PROTECTION FOR SMART METERING DATA | 6 |
Alexander Kalogroulis | GB | Surrey | 2015-04-16 / 20150101870 - WEIGHT SENSING | 1 |
Alexander Joshef Kalogroulis | GB | Coulsdon | 2010-10-21 / 20100263555 - Salad, rice and other foods washer and spinner | 3 |
Alexander Joseph Kalogroulis | GB | Surrey | 2010-02-18 / 20100042113 - Manual odor removal structure | 1 |
Alexander Joshef Kalogroulis | GB | Surrey | 2014-04-17 / 20140101946 - ROTARY CAN OPENER | 2 |
Alexander Joseph Kalogroulis | GB | Coulsdon | 2010-07-01 / 20100162905 - Fat eraser | 8 |
Dennis M. Kaloi | US | Simi Valley | 2015-12-03 / 20150350779 - AUDIO SYSTEM AND METHOD FOR REDUCTION OF MICROPHONE DISTORTION | 1 |
David Kalokitis | US | Robbinsville | 2015-12-10 / 20150356855 - APPARATUS AND METHOD FOR MONITORING AND CONTROLLING DETECTION OF STRAY VOLTAGE ANOMALIES | 10 |
Theo Kalomirakis | US | Brooklyn | 2010-08-26 / 20100215201 - Light Bulb Loudspeaker and Sound System | 1 |
Vasilios Kalomiris | US | Coronado | 2014-05-15 / 20140136676 - INTER-NETWORK POLICY | 1 |
Ndiata Kalonji | US | San Francisco | 2011-02-24 / 20110044167 - AUTO ADAPTIVE QUALITY OF SERVICE ARCHITECTURE AND ASSOCIATED METHOD OF PROVISIONING CUSTOMER PREMISES TRAFFIC | 1 |
Ndiata Kalonji | FR | Saint Jacques De La Lande | 2016-04-21 / 20160112850 - METHOD TO MANAGE MULTIPLE CALLER IDENTITIES IN A TELECOMMUNICATION SYSTEM | 2 |
Ndiata Kalonji | US | South San Francisco | 2010-10-21 / 20100269160 - SYSTEM AND METHOD FOR MANAGING VIRTUAL USER DOMAINS | 1 |
Pankaj V. Kalore | US | Buffalo Grove | 2014-03-13 / 20140070673 - ACTUATOR ARRANGEMENT WITH WORM GEAR AND ROTATIONAL OUTPUT HAVING AN ENCODER | 4 |
Pankaj V. Kalore | US | Chicago | 2009-10-08 / 20090251329 - Use of OLED Technology in HVAC Sensors | 1 |
Maria L. Kalorides | US | Garden City | 2011-11-03 / 20110268537 - METHOD OF FORMING A CUSTOMIZABLE NOTEBOOK COVER | 1 |
Michael D. Kalos | US | Duarte | 2008-11-27 / 20080292633 - COMPOSITIONS AND METHODS FOR THE THERAPY AND DIAGNOSIS OF LUNG CANCER | 1 |
Matthew J. Kalos | US | Tucson | 2016-05-19 / 20160140053 - RE-MRU OF METADATA TRACKS TO REDUCE LOCK CONTENTION | 109 |
Michael D. Kalos | US | Philadelphia | 2015-10-15 / 20150290244 - USE OF CART19 TO DEPLETE NORMAL B CELLS TO INDUCE TOLERANCE | 14 |
Michael D. Kalos | US | Philadelphia | 2015-10-15 / 20150290244 - USE OF CART19 TO DEPLETE NORMAL B CELLS TO INDUCE TOLERANCE | 14 |
Matthew Joseph Kalos | US | Tucson | 2013-10-24 / 20130282996 - SYSTEMS AND METHODS FOR MIGRATING DATA | 27 |
Michael D. Kalos | US | Philadelphila | 2016-05-12 / 20160130355 - Compositions and Methods for Treatment of Cancer | 1 |
Michael D. Kalos | US | Pasadena | 2012-01-19 / 20120016340 - COMPOSITIONS AND METHODS FOR THE THERAPY AND DIAGNOSIS OF PROSTATE CANCER | 18 |
Andrei Kaloshin | RU | Moscow | 2014-05-01 / 20140122008 - Device and Method for Measuring Mass Flow Rate of Fluids | 5 |
Andrey Kaloshin | RU | Moscow | 2014-10-23 / 20140311255 - Single Straight Tube Flowmeter and Method of Flow Measurement | 1 |
Vadim Anatolievich Kaloshin | RU | Moscow | 2009-01-29 / 20090025973 - ELECTROMAGNETIC SCREEN | 1 |
Vadim Anatoljevich Kaloshin | RU | Moscow | 2009-05-07 / 20090115675 - PLANAR ANTENNA | 1 |
Dennis J. Kalota | US | Fenton | 2010-05-06 / 20100113831 - Highly Pure Crystalline Benzphetamine Hydrochloride and Processes for Preparing | 4 |
Tatiana Kalougina | CA | Waterloo | 2015-10-15 / 20150295846 - SYSTEM AND METHOD FOR PROVISIONING A REMOTE RESOURCE FOR AN ELECTRONIC DEVICE | 8 |
Tatiana Kalougina | CA | Waterloo | 2015-10-15 / 20150295846 - SYSTEM AND METHOD FOR PROVISIONING A REMOTE RESOURCE FOR AN ELECTRONIC DEVICE | 8 |
Bachir Kaloun El | FR | Roquettes | 2009-02-12 / 20090042876 - Pyrroloquinoline Derivatives And Their Use As Protein Kinases Inhibitors | 1 |
D. Scott Kalous | US | Kenosha | 2014-06-19 / 20140166462 - CAPACITIVE TOUCH KEYPAD ASSEMBLY | 13 |
D. Scott Kalous | US | Kenosha | 2014-06-19 / 20140166462 - CAPACITIVE TOUCH KEYPAD ASSEMBLY | 13 |
Raffy Kaloustian | US | El Cajon | 2012-10-25 / 20120272293 - COLLABORATIVE GATEWAY | 1 |
Haik Kaloutian | US | Tujunga | 2012-03-22 / 20120067357 - HOOKAH ACCESSORY | 1 |
Jonathan D. Kalow | US | East Greenwich | 2014-01-02 / 20140001227 - CARTON CLOSING TOOL HAVING TOOL-FREE ADJUSTMENT MEMBERS | 2 |
Kerem N. Kalpakci | US | Memphis | 2016-05-19 / 20160136329 - SHAPED BONE GRAFT MATERIALS AND METHODS OF USE | 7 |
Andreas Kalpakci | CH | Lugano | 2013-08-29 / 20130222385 - Systems And Methods For Sketching And Imaging | 1 |
Kerem Kalpakci | US | Memphis | 2013-09-12 / 20130236513 - Synthetic Polyurethane Composite | 1 |
Latha Kalpala | US | Hopkinton | 2011-09-15 / 20110223375 - PAD FOR A STEAM APPLIANCE | 1 |
Pasupuleti Kalpana | IN | Andhra Pradesh | 2009-03-26 / 20090080133 - ELECTRONIC MODULE FOR AC/DC COIL WITHIN AN ELECTROMAGNETIC CONTACTOR | 1 |
Sriram Kalpat | US | Austin | 2009-03-05 / 20090061608 - METHOD OF FORMING A SEMICONDUCTOR DEVICE HAVING A SILICON DIOXIDE LAYER | 2 |
Santhosh Kalpathy | US | Jamestown | 2016-01-07 / 20160002142 - PHENYLGLYOXYLIC ACID DERIVATIVES AND THEIR PREPARATION AND USE | 3 |
Giridhar Kalpathy Narayanan | IN | Hyderabad | 2014-11-20 / 20140341087 - COMMUNICATION REMINDERS | 1 |
Alex J. Kalpaxis | US | Glendale | 2012-11-01 / 20120276999 - Methods and apparatuses for measuring pressure points | 8 |
Alex J. Kalpaxis | US | Glendale | 2012-11-01 / 20120276999 - Methods and apparatuses for measuring pressure points | 8 |
Scott L. Kalpin | US | Harris | 2014-06-05 / 20140153719 - PROXIMITY SIGNATURE FOR SECURE COMMUNICATION WITH IMPLANTABLE MEDICAL DEVICE | 32 |
Scott L. Kalpin | US | Minneapolis | 2012-10-18 / 20120265141 - DETECTING A FULL RESERVOIR OF AN IMPLANTABLE INFUSION DEVICE | 1 |
Jalesh Kalra | US | Naperville | 2015-11-12 / 20150321976 - METHODS AND SYSTEMS FOR REFORMING AND TRANSALKYLATING HYDROCARBONS | 1 |
Rajesh Kalra | US | Atlanta | 2015-03-05 / 20150066561 - VEHICLE YARD PLANNER SYSTEM AND METHOD | 1 |
Vibha Kalra | US | Baltimore | 2015-12-10 / 20150352767 - Fabrication of Porous Carbon Nanofibers with Large Power Handling Capability | 2 |
Prem K. Kalra | IN | Hauz Khas | 2016-02-11 / 20160042520 - METHOD AND APPARATUS FOR ENVIRONMENTAL PROFILE GENERATION | 1 |
Pankaj Kalra | US | San Jose | 2015-01-22 / 20150023115 - COMPENSATION SCHEME FOR NON-VOLATILE MEMORY | 4 |
Alok Kalra | IN | Lucknow | 2009-07-30 / 20090191292 - High essential oil and eugenol yielding cultivar of ocimum sanctum 'CIM-AYU' | 1 |
Mohit Kalra | IN | New Delhi | 2011-02-03 / 20110029958 - TIME-BASED BREAKPOINTS IN DEBUGERS | 1 |
Mohit Kalra | IN | Delhi | 2013-02-14 / 20130041651 - Computer Program Product and Computer System for Language-Enhanced Programming Tools | 6 |
Ashish Kalra | US | Belmont | 2014-06-19 / 20140170075 - NON-INVASIVE IMAGING METHODS FOR PATIENT SELECTION FOR TREATMENT WITH NANOPARTICULATE THERAPEUTIC AGENTS | 1 |
Chiranjeev Kalra | US | Niskayuna | 2014-06-26 / 20140174105 - SYSTEMS AND METHODS FOR RE-CONDENSATION OF BOIL-OFF GAS | 3 |
Sandeep Kalra | US | Salt Lake City | 2015-04-02 / 20150092008 - METHODOLOGY FOR NEGOTIATING VIDEO CAMERA AND DISPLAY CAPABILITIES IN A MULTI-CAMERA/MULTI-DISPLAY VIDEO CONFERENCING ENVIRONMENT | 5 |
Jay Kalra | US | San Jose | 2016-03-31 / 20160092501 - DATA INSIGHT AND INTUITION SYSTEM FOR TANK STORAGE | 2 |
Chiranjeev Singh Kalra | US | Niskayuna | 2015-12-10 / 20150354383 - TURBINE AND METHODS OF ASSEMBLING THE SAME | 4 |
Amandeep Singh Kalra | US | Pullman | 2015-10-29 / 20150311714 - Resilient Communication for an Electric Power Delivery System | 3 |
Bikramjit S. Kalra | US | Seattle | 2008-08-28 / 20080209138 - File Blocking Mitigation | 1 |
Pavandeep Kalra | US | Shresbury | 2013-02-28 / 20130054584 - METHOD AND APPARATUS FOR PROVIDING SEARCH WITH CONTEXTUAL PROCESSING | 1 |
Pavandeep Kalra | US | Shrewsbury | 2015-06-04 / 20150154239 - METHOD AND APPARATUS FOR MULTIDIMENSIONAL DATA STORAGE AND FILE SYSTEM WITH A DYNAMIC ORDERED TREE STRUCTURE | 7 |
Gurmeet Singh Kalra | US | Newak | 2008-09-11 / 20080222212 - PEER-TO-PEER DATA SYNCHRONIZATION ARCHITECTURE | 1 |
Mannudeep Kalra | US | Arlington | 2009-12-24 / 20090316972 - ENGINEERED PHANTOMS FOR PERFUSION IMAGING APPLICATIONS | 1 |
Hitesh Kalra | US | Pleasant Hill | 2009-12-31 / 20090327102 - SYSTEM AND METHOD FOR PROVIDING REAL TIME ASSET VISIBILITY | 1 |
Amrit Lal Kalra | US | Sugar Land | 2010-02-04 / 20100025634 - METHOD OF TREATING A HYDROCARBON GAS STREAM HAVING A HIGH CARBON DIOXIDE CONCENTRATION BY USING A LEAN SOLVENT CONTAINING AQUEOUS AMMONIA | 2 |
Pankaj Kalra | US | Mountain View | 2011-10-27 / 20110260290 - MEMORY CELL THAT INCLUDES A CARBON-BASED MEMORY ELEMENT AND METHODS OF FORMING THE SAME | 2 |
Bobbie H. Kalra | IN | Bangalore | 2014-06-19 / 20140172294 - METHOD AND SYSTEM FOR PREDICTING EXPECTED TIME OF ARRIVAL OF OBJECTS | 1 |
Anita R. Kalra | US | New York | 2015-04-16 / 20150105808 - DEVICES FOR APPROXIMATING TISSUE AND RELATED METHODS OF USE | 1 |
Chiranjeev Singh Kalra | US | Glenville | 2014-05-01 / 20140119881 - APPARATUS FOR RECIRCULATING A FLUID WITHIN A TURBOMACHINE AND METHOD FOR OPERATING THE SAME | 1 |
Pankaj Kalra | US | Fremont | 2013-12-12 / 20130332227 - SYSTEM, METHOD, AND ARTICLE OF MANUFACTURE FOR HOUSEHOLD UNIT ORGANIZATION AND COMMUNICATION | 1 |
Pradeep Kalra | US | San Jose | 2014-10-23 / 20140317743 - METHOD AND APPARATUS FOR MANAGEMENT AND TROUBLESHOOTING OF A PROCESSING SYSTEM | 2 |
Parul Kalra | US | San Carlos | 2016-02-25 / 20160057654 - MODELING NETWORK SIGNALING IN A MOBILE NETWORK BASED ON ELAPSED TIME | 1 |
Pradeep K. Kalra | US | San Jose | 2016-04-21 / 20160110240 - FORENSICS COLLECTION FOR FAILED STORAGE CONTROLLERS | 2 |
Gurmeet Singh Kalra | US | Newark | 2010-11-25 / 20100299308 - PEER-TO-PEER DATA SYNCHRONIZATION ARCHITECTURE | 1 |
Devendra Kalra | US | Freemont | 2009-11-19 / 20090287998 - Text Creating and Editing System and Method with Dynamic Data Loading | 1 |
Anita Kalra | US | Fargo | 2011-03-31 / 20110077668 - DEVICES FOR APPROXIMATING TISSUE AND RELATED METHODS OF USE | 1 |
Ishmeet Kalra | US | Englewood | 2014-10-16 / 20140308721 - Cytosolic Isobutanol Pathway Localization for the Production of Isobutanol | 3 |
Kanika Kalra | IN | Pune | 2015-08-06 / 20150220864 - SYSTEM AND METHOD FOR PROVIDING CROWD SOURCING PLATFORM FOR TASK ALLOCATION | 1 |
Varinder Kumar Kalra | US | Chesterfield | 2008-09-11 / 20080218305 - Low resistance polymer matrix fuse apparatus and method | 1 |
Krishan L. Kalra | US | Danville | 2015-09-17 / 20150260618 - SAMPLE PROCESSING SYSTEM | 3 |
Kulbhushan Kalra | US | Mountain View | 2015-08-06 / 20150220458 - Protection Scheme for Embedded Code | 1 |
Simran K. Kalra | US | New York | 2011-05-26 / 20110125637 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR SAVING AND INVESTING THROUGH USE OF TRANSACTION CARDS | 1 |
Sachin Kalra | IN | Ghaziabad | 2015-07-30 / 20150214167 - SEMICONDUCTOR DIE WITH VARIABLE LENGTH BOND PAD | 1 |
Rachpon Kalra | AU | Sydney | 2015-07-23 / 20150206061 - QUANTUM LOGIC | 1 |
Varinder Kumar Kalra | US | Fort Meyers | 2012-03-22 / 20120068810 - FUSE AND ARC RESISTANT END CAP ASSEMBLY THEREFOR | 1 |
Devendra Kalra | US | Fremont | 2012-02-02 / 20120030565 - TEXT CREATING AND EDITING METHOD AND COMPUTER-READABLE STORAGE MEDIUM WITH DYNAMIC DATA LOADING | 1 |
Chiranjeev Kalra | US | Glenville | 2014-10-02 / 20140290273 - CONDUCTION BASED MAGNETO CALORIC HEAT PUMP | 5 |
Sumit Kalra | IN | Bikaner | 2014-04-17 / 20140106722 - SMARTPHONE WITH DETACHABLE INPUT AND OUTPUT (I/O) COMPONENTS (DIOC) | 2 |
Atul Kalra | IN | Delhi | 2013-08-08 / 20130199453 - PET MAT ASSEMBLY | 1 |
Vinay Kalra | US | San Jose | 2014-04-24 / 20140115032 - PRESERVING A CONSUMPTION CONTEXT FOR A USER SESSION | 5 |
Vishal Kalra | US | Sammamish | 2013-05-16 / 20130125136 - HOST AGNOSTIC MESSAGING IN A CONTINUATION BASED RUNTIME | 1 |
Sandeep Kalra | US | Amherst | 2015-12-10 / 20150357848 - Managing a Picogrid with a Computing Device | 1 |
Pankaj Kalra | US | Santa Clara | 2014-12-04 / 20140353573 - METHODS AND SYSTEMS TO REDUCE LOCATION-BASED VARIATIONS IN SWITCHING CHARACTERISTICS OF 3D RERAM ARRAYS | 1 |
Sanjay Kalra | US | San Jose | 2013-07-04 / 20130173797 - CLOUD BASED CUSTOMER PREMISES EQUIPMENT | 2 |
Mathias Kals | AT | Innsbruck | 2015-11-05 / 20150314122 - Real Time Cochlear Implant Insertion Status Indicator | 11 |
Venkateshwarlu Kalsani | US | Allison Park | 2012-09-20 / 20120238703 - THERMOSETTING COMPOSITIONS CATALYZED WITH PHOSPHOTUNGSTIC ACID | 6 |
Venkateshwarlu Kalsani | US | Gibsonia | 2015-10-29 / 20150307738 - WATERBORNE COATING COMPOSITIONS FOR SOFT TOUCH COATINGS | 4 |
Venkateshwarlu Kalsani | US | Watertown | 2010-11-11 / 20100286250 - FLUORINATED LIPIDS AND METHODS OF USE | 1 |
Joseph L. Kalscheuer | US | Minneapolis | 2009-04-23 / 20090105630 - ARTERIAL BLOOD FILTER | 1 |
Cary Kalscheuer | US | Covina | 2010-07-29 / 20100191556 - MULTIPLE EMPLOYER PROSPECTIVE JOB ANNOUNCEMENTS POSTING SYSTEM WITH INTEGRATED SERVICE FEATURES | 1 |
David Michael Kalscheur | US | Dodge City | 2012-09-13 / 20120231130 - ANTIMICROBIAL PACKAGING SYSTEM | 1 |
Gary A. Kalser | US | Winter Park | 2016-04-21 / 20160106953 - Stretch Valve Balloon Catheter and Methods for Producing and Using Same | 13 |
Brian W. Kalser | US | Lincoln | 2009-03-19 / 20090076843 - INTERACTIVE TEAM PORTAL SYSTEM | 1 |
Gary M. Kalser | US | Winter Park | 2013-02-07 / 20130035556 - Illuminating Balloon Catheter and Method for Using the Catheter | 3 |
Adam David Kalsey | US | Fair Oaks | 2011-02-24 / 20110046960 - Multi-Channel Interactive Self-Help Application Platform and Method | 1 |
Gurbinder Singh Kalsi | GB | West Midlands | 2015-07-30 / 20150211266 - LATCH ASSEMBLY | 2 |
Harpritt Kalsi | GB | Slough | 2015-12-10 / 20150356111 - FILE TRACKING ON CLIENT MACHINES SYNCHRONIZED WITH A CONTENT MANAGEMENT SYSTEM REPOSITORY | 2 |
Aaditya Kalsi | US | Natick | 2015-12-10 / 20150356157 - UNIFIED MAPREDUCE FRAMEWORK FOR LARGE-SCALE DATA PROCESSING | 2 |
Gurbinder Singh Kalsi | GB | Oldbury | 2014-10-23 / 20140312629 - LATCH ASSEMBLY | 2 |
Vivica Kalsi | US | Eastvale | 2015-10-22 / 20150302672 - PROCESS, SYSTEM, METHOD AND APPARATUS FOR MONITORING STATUS AND CONTROL OF EQUIPMENT | 1 |
Sumit Kalsi | GB | Southampton | 2016-03-03 / 20160062239 - METHOD FOR FABRICATING AT LEAST ONE APERTURE WITH SHAPED SIDEWALLS IN A LAYER OF A LIGHT SENSITIVE PHOTOPOLYMER | 1 |
Karan Kalsi | US | Richland | 2014-07-03 / 20140188689 - DISTRIBUTED HIERARCHICAL CONTROL ARCHITECTURE FOR INTEGRATING SMART GRID ASSETS DURING NORMAL AND DISRUPTED OPERATIONS | 1 |
Simardip Kalsi | US | Easton | 2010-09-02 / 20100223157 - ONLINE VIRTUAL KNOWLEDGE MARKETPLACE | 1 |
Manmohan S. Kalsi | US | Houston | 2011-01-13 / 20110006484 - ROTARY SHAFT SEALING ASSEMBLY | 1 |
Sukhveer Singh Kalsi | IN | Andhra Pradesh | 2010-10-07 / 20100252326 - MODULAR SYSTEM FOR A BACK REAMER AND METHOD | 1 |
Gurbinder S. Kalsi | GB | West Midlands | 2010-02-11 / 20100032968 - MOTOR ARRANGEMENT | 1 |
Wadhava Ram Kalsi | IN | Haryana | 2009-09-03 / 20090217571 - METHOD FOR BIO-OXIDATIVE DESULFURIZATION OF LIQUID HYDROCARBON FUELS AND PRODUCT THEREOF | 1 |
Sunny Singh Kalsi | AU | Nsw | 2011-09-15 / 20110225254 - AUTOMATIC DISPLAY OF EMAIL DISTRIBUTION LISTS | 1 |
Henna Kalsi | US | Rochester | 2013-02-21 / 20130046331 - BODY LUMEN OCCLUSION APPARATUS AND METHODS | 1 |
Yadvender Singh Kalsi | US | Eastvale | 2015-10-22 / 20150302672 - PROCESS, SYSTEM, METHOD AND APPARATUS FOR MONITORING STATUS AND CONTROL OF EQUIPMENT | 2 |
Gurbinder Kalsi | GB | Oldbury | 2015-07-02 / 20150184430 - LATCH BUFFER ASSEMBLY | 1 |
Karanjit Kalsi | US | Richland | 2016-03-31 / 20160092986 - COORDINATION OF THERMOSTATICALLY CONTROLLED LOADS WITH UNKNOWN PARAMETERS | 3 |
Alexander M. Kalsin | RU | Moscow | 2011-12-29 / 20110317253 - Poly(Ferrocenyl)Silane Based Polymer, Method Of Preparing The Same, And Film Including The Poly(Ferrocenyl)Silane Based Polymer | 1 |
Alexander M. Kalsin | RU | Izhevsk | 2009-04-16 / 20090098366 - Methods of coating surfaces with nanoparticles and nanoparticle coated surfaces | 1 |
Judy Kalski | US | Westlake | 2015-12-17 / 20150359333 - SLOPED BIN COVER | 2 |
Amy Kalson | US | Burbank | 2012-11-22 / 20120295718 - GHOST EXPRESSIONS BASED ON GHOST DATA AUGMENTED BY USER-PROVIDED INFORMATION | 6 |
Amy Beth Kalson | US | Burbank | 2012-07-19 / 20120184366 - Player Specific Limited Licenses | 1 |
Amy B. Kalson | US | Burbank | 2013-09-12 / 20130237320 - METHOD FOR USING IMAGES TO GENERATE GAMEPLAY CONTENT | 1 |
Carolyn M. Kalsow | US | Mendon | 2009-03-26 / 20090081194 - COMPOSITIONS AND METHODS FOR REDUCING RISK OF DEVELOPMENT, OR SEVERITY, OF INAPPROPRIATE IMMUNE RESPONSE IN EYES | 1 |
R. Gregory Kalsow | US | 2011-01-13 / 20110010231 - AUDIOVISUAL SYSTEM AND METHOD FOR DISPLAYING SEGMENTED ADVERTISEMENTS TAILORED TO THE CHARACTERISTIC VIEWING PREFERENCES OF A USER | 1 | |
Steffen Kalsow | DE | Hamburg | 2011-12-22 / 20110309784 - COUNTER ELECTRO-MOTORIC FORCE BASED FUNCTIONAL STATUS DETECTION OF AN ELECTRO-MOTOR | 2 |
Walter Lee Kalsow | US | Dayton | 2014-09-18 / 20140278794 - Systems and Methods for Interfacing an Electrical Energy End User with a Utility | 1 |
Wolfgang Kalss | AT | Feldkirch | 2012-09-20 / 20120234143 - WORKPIECE WITH HARD COATING | 2 |
Georg Kalss | AT | Wels | 2010-08-05 / 20100196188 - Method of producing a steel moulding | 1 |
Georg Kalss | AT | Wien | 2015-07-09 / 20150189891 - Method and apparatus for cleaning baking surfaces | 1 |
Robert M. Kalstabakken | US | Cambridge | 2012-12-06 / 20120304714 - Locking Mechanism for Configurable Enclosure | 3 |
Kyle A. Kalstabakken | US | St. Paul | 2015-11-12 / 20150321013 - IMPLANTABLE MEDICAL DEVICE WITH A HYDROGEN GETTER | 1 |
Robert Marvin Kalstabakken | US | Cambridge | 2014-07-31 / 20140210323 - SIDE MOUNTED COMPONENT FOR CONFIGURABLE ENCLOSURE | 2 |
Megan Kalstad | US | Santa Rosa | 2010-05-20 / 20100125326 - Braided Stent With a Shortenable Tether | 1 |
Lucas Kalt | CH | Muenchenbuchsee | 2013-06-27 / 20130163769 - ACOUSTIC WARNING LEVEL OPTIMIZATION IN AMBULATORY MEDICAL SYSTEMS | 1 |
Samuel Kalt | DE | Reutlingen | 2009-05-07 / 20090114818 - Particle-Optical Component | 1 |
Jeff Kalt | US | San Francisco | 2014-08-28 / 20140240578 - LIGHT-FIELD BASED AUTOFOCUS | 2 |
Andrew S. Kalt | US | Palo Alto | 2010-02-18 / 20100040903 - Anisotropically compliant horns for ultrasonic vibratory solid-state bonding | 1 |
Christian Kalt | DE | Fuerth | 2011-09-01 / 20110209300 - Wiper | 1 |
David S. Kalt | US | Glencoe | 2014-04-03 / 20140095377 - TRADING SYSTEM AND METHODS | 3 |
Lucas Kalt | CH | Munchenbuchsee | 2011-08-18 / 20110202040 - ADMINISTRATION DEVICE HAVING A BOLUS ADMINISTRATION PROFILE CONTROLLER | 1 |
David Kalt | US | Chicago | 2015-11-19 / 20150332362 - SYSTEM AND METHOD FOR FACILITATING SALE OF GOODS | 2 |
Manfred Kalt | CH | Altstatten | 2014-05-15 / 20140134572 - Polymeric Material for Taking a Dental Impression and Method Thereof | 1 |
Markus Gerhard Kalt | CH | Doettingen | 2014-12-18 / 20140370884 - Optimization System for Distributed Antenna System | 1 |
Lucas Kalt | CH | Munchcenbuchsee | 2012-02-02 / 20120029833 - ASSESSMENT METHOD TO PROCESS A GLUCOSE CONCENTRATION SIGNAL AND DEVICES THEREOF | 2 |
Heinz Kalt | DE | Stutensee | 2011-02-24 / 20110044581 - MICROOPTICAL COMPONENT AND METHOD FOR ITS MANUFACTURE | 1 |
Lucas Kalt | CH | Bern | / - | 1 |
David S. Kalt | US | Chicago | 2013-12-05 / 20130325685 - SYSTEM AND METHODS FOR PRIORITIZED MANAGEMENT OF FINANCIAL INSTRUMENTS | 2 |
Peter Anthony Markus Kalt | AU | Kensington | 2010-06-10 / 20100142314 - Particle Interactions in a Fluid Flow | 1 |
Erdem Kaltalioglu | US | Iselin | 2014-10-02 / 20140295661 - Passivated Copper Chip Pads | 1 |
Erdem Kaltalioglu | US | Wappingers Falls | 2008-09-04 / 20080213993 - Method and Apparatus of Stress Relief in Semiconductor Structures | 1 |
Erdem Kaltalioglu | TW | Hsin-Chu | 2008-11-27 / 20080290459 - MIM Capacitors | 1 |
Erdem Kaltalioglu | US | Newburgh | 2016-04-28 / 20160118348 - STRAIN DETECTION STRUCTURES FOR BONDED WAFERS AND CHIPS | 34 |
Igor A. Kaltashov | US | Leverett | 2013-09-12 / 20130234017 - TEMPERATURE-CONTROLLED ELECTROSPRAY IONIZATION SOURCE AND METHODS OF USE THEREOF | 1 |
Johannes Kaltenbach | US | 2010-11-18 / 20100292046 - METHOD AND DEVICE FOR OPERATING A HYBRID DRIVE OF A VEHICLE | 1 | |
Christopher Kaltenbach | JP | Tokyo | 2010-11-04 / 20100277472 - METHOD AND SYSTEM FOR CAPTURING 3D IMAGES OF A HUMAN BODY IN A MOMENT OF MOVEMENT | 3 |
Johannes Kaltenbach | DE | Friedrichshafen | 2016-03-03 / 20160061304 - VEHICLE GEARBOX | 84 |
Patrick Kaltenbach | DE | Waldbronn | 2009-10-29 / 20090266713 - CHANNELLESS FLUIDIC SAMPLE TRANSPORT MEDIUM | 2 |
Gerhard Kaltenbach | DE | Gundelfingen | 2011-08-04 / 20110186645 - ROADWAY SYSTEM FOR MODEL VEHICLES WITH ENERGY-INDEPENDENT PROPULSION | 1 |
Stefan Kaltenbach | DE | Biberach | 2010-03-04 / 20100053311 - Eyewear with Segmented Look-Through Elements | 1 |
Alexandre Kaltenbach | FR | Cannes La Bocca | 2016-01-28 / 20160023780 - METHOD FOR STATIONING A SATELLITE AND IN-ORBIT TESTING OF ITS PAYLOAD | 1 |
Stefan Kaltenbach | CH | Rebstein | 2015-10-29 / 20150309303 - MAGNIFICATION LOUPE WITH ENERGY-HARVESTING SYSTEM | 3 |
Peter Kaltenbach | US | Austin | 2011-10-20 / 20110252939 - PORTABLE ROLLER PRESS | 1 |
Markus Kaltenbach | US | San Jose | 2014-01-02 / 20140007236 - SYSTEMS, METHODS, AND APPARATUS FOR IMPROVED APPLICATION SECURITY | 1 |
Pierre Francois Kaltenbach | FR | Paris | 2012-03-15 / 20120066029 - COMPUTER SYSTEM AND METHOD FOR DETERMINING A GEOGRAPHIC REGION FROM WHICH TO SOURCE A RESOURCE | 1 |
Markus Kaltenbach | DE | Leinfelden | 2014-03-13 / 20140075153 - REDUCING ISSUE-TO-ISSUE LATENCY BY REVERSING PROCESSING ORDER IN HALF-PUMPED SIMD EXECUTION UNITS | 8 |
Sebastian Kaltenbach | DE | Muenchen | 2015-02-05 / 20150037161 - METHOD FOR MOUNTING A GAS TURBINE BLADE IN AN ASSOCIATED RECEIVING RECESS OF A ROTOR BASE BODY | 5 |
Sebastian Kaltenbach | DE | Munchen | 2014-04-17 / 20140102107 - HOUSING STRUCTURE WITH IMPROVED SEAL AND COOLING | 1 |
Markus Kaltenbach | DE | Holzgerlingen | 2016-03-31 / 20160092276 - INDEPENDENT MAPPING OF THREADS | 11 |
Richard Kaltenbach | US | Beaverton | 2010-07-01 / 20100169690 - SYSTEM POWER MANAGEMENT USING MEMORY THROTTLE SIGNAL | 1 |
Markus Kaltenbach | DE | Boeblingen | 2010-12-30 / 20100332798 - Digital Processor and Method | 1 |
Konrad Kaltenbach | DE | Furtwangen | 2012-10-04 / 20120248068 - Process Module for the Inline-Treatment of Substrates | 4 |
Peter A. Kaltenbach | US | Austin | 2015-01-08 / 20150007699 - TORQUE WRENCH WITH SHOCK ABSORPTION | 1 |
Markus Kaltenbach | DE | Leinfelden | 2014-03-13 / 20140075153 - REDUCING ISSUE-TO-ISSUE LATENCY BY REVERSING PROCESSING ORDER IN HALF-PUMPED SIMD EXECUTION UNITS | 8 |
Johannes Kaltenbach | DE | Friedrichafen | 2013-07-25 / 20130186233 - HYBRID DRIVE HAVING AN AUTOMATED CONVENTIONAL GEARBOX | 1 |
Michael J. Kaltenbach | US | New Castle | 2014-03-27 / 20140086272 - Sensor system for bottom electrodes of an electric arc furnace | 3 |
Jarrod Kaltenbach | US | Chagrin Falls | 2016-02-04 / 20160031761 - Surfactant Composition for Use in Gypsum Wallboard Manufacture | 1 |
Florian Kaltenbach | DE | Schonwald | 2013-02-07 / 20130032030 - EXHAUST AIR SYSTEM AND METHOD THEREFOR | 1 |
Thomas F. Kaltenbach | US | Webster | 2011-03-31 / 20110074905 - APPARATUS FOR CONTROLLING PEEL POSITION IN A PRINTER | 2 |
Markus Kaltenbach | DE | Holzgerlingen | 2016-03-31 / 20160092276 - INDEPENDENT MAPPING OF THREADS | 11 |
Andreas Kaltenbach | DE | Unterschleissheim | 2015-03-19 / 20150078026 - Vehicle Headlight | 1 |
Axel Kaltenbacher | DE | Mintraching | 2016-03-24 / 20160087161 - LIGHTING APPARATUS INCLUDING AN OPTOELECTRONIC COMPONENT | 12 |
Axel Kaltenbacher | DE | Mintraching | 2016-03-24 / 20160087161 - LIGHTING APPARATUS INCLUDING AN OPTOELECTRONIC COMPONENT | 12 |
Phillip Kaltenbacher | US | Fort Myers | 2014-08-07 / 20140219585 - Multi-Chamber Bag | 1 |
Axel Kaltenbacher | DE | Mintracing | 2013-08-15 / 20130207139 - RADIATION-EMITTING COMPONENT AND METHOD FOR PRODUCING RADIATION-EMITTING COMPONENTS | 1 |
Sascha Kaltenbacher | AT | Linz | 2008-09-18 / 20080227889 - Flame-Resistant Amino Resin System | 1 |
Axel Kaltenbacher | DE | Ingolstadt | 2016-02-25 / 20160056343 - OPTOELECTRONIC SEMICONDUCTOR COMPONENT | 4 |
Alfons Kaltenberger | DE | Simbach | 2012-04-19 / 20120090869 - EQUIPMENT CABINET WITH STIFFENED DESIGN FOR EARTHQUAKE RESISTANCE | 2 |
Bernhard Kaltenboeck | US | Auburn | 2015-11-05 / 20150313987 - Low Antigen-dose Immunization for Maximizing T-helper Cell 1 (Th1) Immunity Against a Pathogen | 6 |
Georg Kaltenboeck | US | Pasadena | 2014-11-27 / 20140345350 - FORMING OF FERROMAGNETIC METALLIC GLASS BY RAPID CAPACITOR DISCHARGE | 9 |
Georg Kaltenboeck | US | Pasadena | 2014-11-27 / 20140345350 - FORMING OF FERROMAGNETIC METALLIC GLASS BY RAPID CAPACITOR DISCHARGE | 9 |
George Kaltenboeck | US | Pasadena | 2013-12-05 / 20130319062 - Electromagnetic Forming of Metallic Glasses Using a Capacitive Discharge and Magnetic Field | 1 |
Sven Kaltenborn | DE | Duderstadt | 2015-01-15 / 20150018971 - METHOD AND DEVICE FOR CONTROLLING AN ARTIFICIAL ORTHOTIC OR PROSTHETIC JOINT | 8 |
Uwe Kaltenborn | DE | Regensburg | 2012-07-26 / 20120188676 - METHOD FOR OPERATING AN ELECTRICAL POWER SUPPLY NETWORK | 2 |
Sven Kaltenborn | DE | Duderstadt | 2015-01-15 / 20150018971 - METHOD AND DEVICE FOR CONTROLLING AN ARTIFICIAL ORTHOTIC OR PROSTHETIC JOINT | 8 |
Mark Kaltenborn | US | Ridgefield | 2013-08-22 / 20130216638 - Molding Apparatus and Method | 2 |
Mark H. Kaltenborn | US | Ridgefield | 2011-03-31 / 20110075310 - Electrical power switching with efficient switch protection | 2 |
Martin Kaltenbrunner | DE | Taufkirchen (vils) | 2015-08-13 / 20150224948 - Vehicle Electrical System Architecture | 1 |
Martin Kaltenbrunner | DE | Taufkirchen/vils | 2013-02-07 / 20130033101 - Vehicle with a Power Distributor and a Control Unit | 1 |
Martin Kaltenbrunner | AT | Linz | 2013-03-07 / 20130059196 - GALVANIC CELL | 2 |
Kurt Kaltenegger | CH | Lengnau | 2008-09-25 / 20080233299 - ELECTRICAL INSULATION SYSTEM BASED ON POLY(DICYCLOPENTADIENE) | 2 |
Martin Kaltenegger | AT | Graz | 2014-09-18 / 20140268442 - CIRCUIT ARRANGEMENT AND METHOD FOR EVALUATING A SIGNAL | 4 |
Kurt Kaltenegger | CH | Baldingen Ag | 2008-08-28 / 20080203063 - Vacuum interrupter chamber | 1 |
Herfried Kaltenegger | AT | Graz | 2016-04-21 / 20160107658 - CARRYING STRUCTURE OF A RAIL VEHICLE | 1 |
Gisela Kaltenpoth | DE | Eschborn | 2008-10-09 / 20080245798 - Device for Comprising a Releasable Latch Connection | 1 |
Cedric Kaltenrieder | CH | Courtelary | 2016-04-28 / 20160113361 - BRACELET CLASP | 3 |
Véronique Kaltenrieder | CH | Palézieux-Village | 2015-10-22 / 20150296936 - OPTICAL VARIABLE EFFECTS AS SECURITY FEATURE FOR EMBOSSED METAL COINS | 1 |
Andre Kaltenrieder | CH | Preles | 2016-03-03 / 20160062315 - METHOD AND DEVICE FOR ASSEMBLING A MOVEMENT TO A WATCH CASE | 7 |
Reynald Kaltenrieder | CH | Muhen | 2014-04-17 / 20140104740 - SWTICHING PHASE OFFSET FOR CONTACTOR OPTIMIZATION | 3 |
Cédric Kaltenrieder | CH | Bienne | 2012-09-27 / 20120240359 - BRACELET CLASP | 2 |
Cedric Kaltenrieder | CH | Bienne | 2015-12-03 / 20150342309 - CLASP FOR A WATCH BRACELET OR A GARMENT BELT | 7 |
Margret Kalter | DE | Berlin | 2014-07-17 / 20140199169 - Method and apparatus for controlling an operational parameter of a wind turbine | 1 |
Dimos Kalteziotis | GR | Athens | 2012-09-06 / 20120222285 - METHOD AND MACHINE FOR AUTOMATIC ASSEMBLY OF COMPLEX CAGES FORMED FROM ELECTRO-WELDED METAL NETS | 1 |
Bernfried Kalthof | DE | Kleinwallstadt | 2012-09-13 / 20120232531 - PROCESS FOR PRODUCING PLASTIC RODS | 1 |
Adam L. Kalthoff | US | Albany | 2015-09-24 / 20150267828 - COMBINATION AIR VALVE | 2 |
Frank Stephan Kalthoff | AT | Wien | 2010-12-16 / 20100317657 - 1H-IMIDAZO[4,5-c]QUINOLINONE DERIVATIVES | 1 |
Paul W. Kalthoff | US | Colorado Springs | 2016-01-28 / 20160025786 - METHOD AND APPARATUS FOR SENSING CAPACITANCE VALUE AND CONVERTING IT INTO DIGITAL FORMAT | 3 |
Holger Kalthoff | DE | Kiel | 2014-10-30 / 20140323352 - Means and Methods for Diagnosing Pancreatic Cancer in a Subject | 3 |
Friedrich Kalthoff | DE | Meinerzhagen | 2009-03-12 / 20090069805 - Endoscopic Surgical Instrument | 1 |
Timothy V. Kalthoff | US | Tucson | 2012-11-08 / 20120280841 - Zero-power sampling SAR ADC circuit and method | 4 |
Rudolf Kalthoff | DE | Weingarten | 2014-03-27 / 20140088843 - METHOD FOR ADAPTING SHIFTS IN A MOTOR VEHICLE TRANSMISSION | 2 |
Wolfgang Kalthoff | DE | Bad Schonbom | 2012-06-07 / 20120143926 - EXTERNAL EVALUATION PROCESSES | 1 |
Frank Stephan Kalthoff | AT | Guntramsdorf | 2014-05-15 / 20140135330 - Oxazolidin-2-one-Pyrimidine Derivatives | 2 |
James Alan Kalthoff | US | Waconia | 2015-08-20 / 20150231365 - MRI COMPATIBLE HANDLE AND STEERABLE SHEATH | 3 |
Christoph Kalthoff | DK | Frederikssund | / - | 1 |
Valérie Kaltimbacher | FR | Fontenay Sous Bois | 2015-08-13 / 20150225740 - IMPORTATION OF MITOCHODRIAL PROTEIN BY AN ENHANCED ALLOTOPIC APPROACH | 4 |
Valérie Kaltimbacher | FR | Fontenay Sous Bois | 2009-12-10 / 20090306188 - IMPORTATION OF MITOCHONDRIAL PROTEIN BY AN ENHANCED ALLOTOPIC APPROACH | 1 |
Valérie Kaltimbacher | FR | Fontenay Sous Bois | 2015-08-13 / 20150225740 - IMPORTATION OF MITOCHODRIAL PROTEIN BY AN ENHANCED ALLOTOPIC APPROACH | 4 |
Mikko Kaltiokallio | FI | Espoo | 2012-07-12 / 20120178391 - ADJUSTABLE CIRCUIT ARCHITECTURE | 2 |
Kim Kaltiokallio | FI | Salo | 2015-04-02 / 20150094001 - Method and Arrangement for Transmitting and Receiving RF Signals Through Various Radio Interfaces of Communication Systems | 4 |
Kim Kaltiokallio | FI | Helsinki | 2014-05-01 / 20140118200 - Wireless Communication Arrangement | 5 |
Dennis M. Kaltman | US | Princeton | 2015-02-05 / 20150034710 - FOLDABLE PACKAGING CONTAINER | 5 |
Claus Kaltner | DE | Dachau | 2014-05-01 / 20140118011 - Method and Sensor Device for the Detection of a Gripping of a Hand-Held Device | 1 |
Claus Kaltner | DE | Bergkirchen | 2016-02-11 / 20160041639 - Electrode Device, Circuit Arrangement And Method For The Approach And Touch Detection | 9 |
Claus Kaltner | DE | Unterbachern | 2014-09-18 / 20140267155 - Matrix Electrode Design for Three-Dimensional E-Field Sensor | 3 |
Claus Kaltner | DE | Bergkirchen | 2016-02-11 / 20160041639 - Electrode Device, Circuit Arrangement And Method For The Approach And Touch Detection | 9 |
Claus Kaltner | DE | Bergkirchen/unterbachern | 2015-05-14 / 20150130478 - Method For Adapting The Sensitivity Of A Sensor System | 2 |
Claus Kaltner | DE | Unterbachern/bergkirchen | 2014-04-24 / 20140111222 - Electrode Design for Electric Field Measurement System | 1 |
Thomas Kaltofen | DE | Berlin | 2011-02-03 / 20110023919 - DEVICE AND METHOD FOR DETERMINING A FILL LEVEL WITHIN A SUDS TUB OF A WASHING MACHINE | 3 |
Petra Karin Kaltofen | IT | Milano | 2010-08-05 / 20100197799 - HYDROXYLAMINE DERIVATIVES | 1 |
Jens Kaltofen | DE | Pfaffenhofen | 2014-07-10 / 20140195115 - METHOD FOR CONTROLLING A VERTICAL CONTROL SYSTEM OF A VEHICLE | 1 |
Adam L. Kaltoff | US | Circle Pines | 2013-02-21 / 20130045123 - INTERNAL BELLOWS PUMP FLUID PATH | 1 |
Monica J. Kaltreider | US | Chicago | 2015-01-29 / 20150028556 - Frame Hanger For Vehicle Suspension | 2 |
Vassilios Kaltsatos | FR | Libourne | 2013-07-11 / 20130178432 - COMPOSITIONS FOR CONTROLLING HEARTWORM INFESTATION | 3 |
Mikko Kaltto | FI | Kalkkiranta | 2009-11-26 / 20090290525 - WIRELESS DATA COMMUNICATION METHOD AND WIRELESS DATA COMMUNICATION SYSTEM | 1 |
Klaus Kaltwasser | DE | Koln | 2016-03-10 / 20160069236 - TEMPERED SCR-LINE AND TEMPERATE SCR-LINE BUNDLES | 1 |
Uwe Kaltwasser | DE | Marl | 2015-12-24 / 20150368550 - Highly Concentrated, Water-Free Amine Salts of Hydrocarbon Alkoxysulfates and Use and Method Using Aqueous Dilutions of the Same | 3 |
Daryl Kaltwasser | US | Katy | 2012-11-22 / 20120292021 - Rod Guide With Wrapping Vanes | 2 |
Georg Kaltwasser | DE | Koda | 2010-06-03 / 20100133456 - ACTUATION DEVICE, VALVE MEANS AND OPERATING METHOD | 1 |
Kalu O. Kalu | CA | Waterloo | 2010-10-14 / 20100262579 - Reducing Transmission of Location Updates Based on Loitering | 1 |
Kalu Onuka Kalu | CA | Waterloo | 2014-12-11 / 20140365902 - SYSTEM AND METHOD OF MODE-SWITCHING FOR A COMPUTING DEVICE | 17 |
Onuka Kalu Kalu | CA | Waterloo | 2013-01-17 / 20130018915 - MOBILE WIRELESS COMMUNICATIONS DEVICE WITH SEARCH SHORTCUT AND RELATED METHODS | 1 |
Kalu Kalu | CA | Waterloo | 2010-09-09 / 20100228720 - MOBILE WIRELESS DEVICE TO DISPLAY SELECTED WEB FEEDS AND ASSOCIATED METHODS | 1 |
Kalu Onuka Kalu | CA | Waterloo | 2014-12-11 / 20140365902 - SYSTEM AND METHOD OF MODE-SWITCHING FOR A COMPUTING DEVICE | 17 |
Nina Kaludercic | US | Baltimore | 2009-11-19 / 20090286883 - TREATMENT OF HEART FAILURE AND ASSOCIATED CONDITIONS BY ADMINISTRATION OF MONOAMINE OXIDASE INHIBITORS | 1 |
Ljubomir Kaludjercic | CA | Vancouver | 2012-05-17 / 20120118867 - METHODS AND SYSTEMS FOR SUPPORTING A WORKPIECE AND FOR HEAT-TREATING THE WORKPIECE | 1 |
Yakov Kalugin | RU | Ekaterinburg | 2010-12-23 / 20100323314 - Hot Air Stove | 1 |
Lisbeth Kalum | DK | Bagsvaerd | 2016-04-14 / 20160100612 - Process for Treating Vegetable Material with an Enzyme | 3 |
Lisbeth Kalum | DK | Vaerloese | 2016-01-07 / 20160002683 - Polypeptides Having Peroxygenase Activity and Polynucleotides Encoding Same | 26 |
Lisbeth Kalum | DK | Vaerlose | 2009-06-04 / 20090142445 - Methods for producing a vegetable product | 2 |
Lisbeth Kalum | DK | Vaerloese | 2016-01-07 / 20160002683 - Polypeptides Having Peroxygenase Activity and Polynucleotides Encoding Same | 26 |
Holger Kalus | DE | Duisburg | 2014-09-25 / 20140284953 - INTERIOR FITTING PART HAVING PLANT-BASED NATURAL PRODUCTS | 4 |
Ram Kalus | US | Charleston | 2014-03-20 / 20140081397 - SURGICAL DEVICES, KITS, AND RELATED INTRA-OPERATIVE METHODS FOR SELECTING BREAST IMPLANTS | 1 |
Christopher Kalus | GB | Hampshire | 2010-05-06 / 20100115251 - METHOD, SYSTEM, AND COMPUTER PROGRAM PRODUCT FOR OPTIMIZING RUNTIME BRANCH SELECTION IN A FLOW PROCESS | 1 |
Jason Christopher Kalus | US | San Francisco | 2014-04-17 / 20140102510 - CONCENTRATING SOLAR ENERGY COLLECTOR | 4 |
Christian Kalus | DE | Muenchen | 2008-10-09 / 20080247632 - Method for Mask Inspection for Mask Design and Mask Production | 1 |
Mark Kalus | US | New York | 2016-05-12 / 20160134700 - METHODS AND APPARATUS TO DETERMINE IMPRESSIONS USING DISTRIBUTED DEMOGRAPHIC INFORMATION | 10 |
Christian Kalus | DE | Friedrichsdorf | 2011-10-06 / 20110246055 - METHOD OF OPERATING A NAVIGATION SYSTEM TO PROVIDE A PEDESTRIAN ROUTE | 1 |
Mark Kalus | US | New York | 2016-05-12 / 20160134700 - METHODS AND APPARATUS TO DETERMINE IMPRESSIONS USING DISTRIBUTED DEMOGRAPHIC INFORMATION | 10 |
Holger Kalus | DE | Moers | 2014-04-17 / 20140105675 - MULTI-PART EQUIPMENT PIECE FOR A VEHICLE AND CONNECTING METHOD | 2 |
Jason C. Kalus | US | San Francisco | 2014-09-18 / 20140261632 - CONCENTRATING SOLAR ENERGY COLLECTOR | 5 |
Shriram Kalusalingam | US | Irvine | 2014-07-17 / 20140197900 - SYSTEMS AND METHODS FOR IMPEDANCE SWITCHING | 1 |
Geert Kalusche | CH | Mannedorf | 2010-05-27 / 20100128961 - SYSTEM AND METHOD FOR THE AUTOMATED ANALYSIS OF CELLULAR ASSAYS AND TISSUES | 3 |
Dino John Kalush | US | Owings Mills | 2011-08-11 / 20110194883 - FOOD COATING DEVICE | 1 |
Gregory Brian Kalush | US | Colleyville | 2012-09-27 / 20120244940 - Interactive Display System | 2 |
Israel Kalush | IL | Kiriat Ono | 2014-04-03 / 20140095422 - DATA METRIC RESOLUTION PREDICTION SYSTEM AND METHOD | 2 |
Pramod B. Kaluskar | US | Edison | 2012-10-25 / 20120271904 - Method and Apparatus for Caching in a Networked Environment | 1 |
Nandan Kaluskar | IN | Pune | 2013-06-27 / 20130166424 - SYSTEM AND METHOD FOR SYSTEM INTEGRATION | 1 |
Sanket Kaluskar | US | Snoqualmie | 2015-02-26 / 20150058621 - PROOF OF POSSESSION FOR WEB BROWSER COOKIE BASED SECURITY TOKENS | 1 |
Pramod Balkrishna Kaluskar | US | Edison | 2011-11-10 / 20110277001 - Gateway Device | 1 |
Edo Kaluski | US | Florham Park | 2010-04-15 / 20100094247 - BIFURCATED BALLOON & STENT DELIVERY SYSTEM | 1 |
Mariusz Kaluski | CA | Chilliwack | 2012-10-18 / 20120261407 - Sea-land shipping comtainer slideout conversion system | 1 |
Sharon Kaluski Kimchi | IL | Shaked | 2008-12-04 / 20080301483 - Surge-Protected Peripheral Devices | 2 |
Aaron Kaluszka | US | Hayward | 2015-10-22 / 20150302754 - SYSTEMS AND METHODS FOR A SEARCH DRIVEN, VISUAL ATTENTION TASK FOR ENHANCING COGNITION | 8 |
Shyam Kaluve | US | Bangalore | 2012-04-05 / 20120084410 - Configuring Interfaces of a Switch Using Templates | 1 |
Georg Kaluza | DE | Lauf | 2009-12-31 / 20090320660 - METHOD FOR PRODUCING AT LEAST ONE WINDOW OPENING IN AN ELONGATE PAPER SUBSTRATE, AND APPARATUS | 1 |
Klaus Kaluza | DE | Weilheim | 2016-02-25 / 20160053015 - ANTIBODIES AGAINST HUMAN CSF-1R AND USES THEREOF | 10 |
Klaus Kaluza | DE | Weilhelm | 2015-03-19 / 20150080556 - ANTIBODIES AGAINST HUMAN CSF-1R AND USES THEREOF | 1 |
Jens Kaluza | DE | Bonn | 2015-08-13 / 20150229608 - METHOD FOR CONFIGURING NETWORK NODES OF A TELECOMMUNICATIONS NETWORK, TELECOMMUNICATIONS NETWORK, PROGRAM AND COMPUTER PROGRAM PRODUCT | 1 |
Nora Kaluza | DE | Heidelberg | 2016-03-24 / 20160082123 - Hydrogel-Linked Prodrugs Releasing Tagged Drugs | 2 |
Heinrich Kaluza | DE | Erfurt | 2011-07-07 / 20110163640 - Rotor cooling for a dynamoelectric machine | 1 |
Klaus Kaluza | DE | Bad Heilbrunn | 2015-10-01 / 20150274831 - ANTIBODIES AGAINST HUMAN CSF-1R AND USES THEREOF | 12 |
Brigitte Kaluza | DE | Weilheim | 2015-08-20 / 20150232560 - METHOD FOR THE SELECTION AND PRODUCTION OF TAILOR-MADE, SELECTIVE AND MULTI-SPECIFIC THERAPEUTIC MOLECULES COMPRISING AT LEAST TWO DIFFERENT TARGETING ENTITIES AND USES THEREOF | 1 |
Jan Kaluza | DE | Boeblingen | 2011-05-26 / 20110120559 - Device and method for controlling an electromagnetic valve | 4 |
Horst Jürgen Kaluza | DE | Ludwigshafen | 2012-11-22 / 20120296296 - FEMININE HYGIENE ABSORBENT ARTICLES COMPRISING WATER-ABSORBING COMPOSITES | 1 |
Klaus Kaluza | DE | Bad Heilbrunn | 2015-10-01 / 20150274831 - ANTIBODIES AGAINST HUMAN CSF-1R AND USES THEREOF | 12 |
Horst Jurgen Kaluza | DE | Ludwigshafen | 2016-01-07 / 20160000961 - FEMININE HYGIENE ABSORBENT ARTICLES COMPRISING WATER-ABSORBING COMPOSITES | 1 |
Olaf Kaluza | DE | Herten | 2015-12-10 / 20150355652 - FIELD DEVICE WITH A SWITCHING CONVERTER CIRCUIT | 1 |
Klaus Kaluza | DE | Weilheim | 2016-02-25 / 20160053015 - ANTIBODIES AGAINST HUMAN CSF-1R AND USES THEREOF | 10 |
Peter Kaluza | DE | Rieden | 2010-01-14 / 20100007335 - Measuring Apparatus | 1 |
Mirko Kaluza | DE | Jena | 2009-11-05 / 20090275875 - EXTRACORPOREAL CIRCULATION SYSTEM, ESPECIALLY FOR A MULTIFUNCTIONAL HEART-LUNG BYPASS AND FOR THE MINIMIZATION OF AIR EMBOLISMS | 1 |
Horst Kaluza | DE | Ludwigshafen | 2014-06-12 / 20140158626 - WATER-ABSORBING COMPOSITES | 1 |
Sebastian Kaluza | DE | Muenchen | 2011-02-17 / 20110040817 - CIRCUIT AND METHOD FOR GENERATING A TRUE, CIRCUIT-SPECIFIC AND TIME-INVARIANT RANDOM NUMBER | 1 |
Roland Kaluza | DE | Langen | 2009-05-14 / 20090120331 - Apparatus for shaking stacks of sheet material | 1 |
Robert P. Kaluzavich | US | Kingston | 2011-07-28 / 20110180503 - SYSTEM AND DEVICE FOR PREVENTING CORROSION ON SHELVING CORNER POSTS | 1 |
Uri Kaluzhny | IL | Ramat Beit Shemesh | 2014-08-21 / 20140237251 - Digital Signature System | 3 |
Uri Kaluzhny | IL | Jerusalem | 2010-02-11 / 20100036899 - BIT GENERATOR | 1 |
Mikhail Kaluzhny | US | Newton | 2011-04-07 / 20110080723 - EDGE ILLUMINATION OF BEZELLESS DISPLAY SCREEN | 3 |
Uri Kaluzhny | IL | Beit Shemesh | 2016-05-19 / 20160140356 - SECURE DATA STORAGE DEVICE AND DATA WRITING AND READ METHODS THEREOF | 6 |
Richard John Kaluzny | US | Greenfield | 2014-09-18 / 20140265637 - Systems and Methods for Bypassing a Voltage Regulator | 1 |
Susan K. Kaluzny | US | Seattle | 2010-09-16 / 20100230310 - Warp Stable Wood Product Package and Methods for Sorting a Wood Product | 3 |
Ralf Kaluzny | DE | Maisach | 2010-02-11 / 20100034992 - ARTIFICIAL PALM FROND FOR AN ARTIFICIAL PALM TREE | 1 |
Joseph R. Kaluzny | US | Harrisburg | 2015-03-26 / 20150089232 - DYNAMIC OBJECT CREATION AND CERTIFICATE MANAGEMENT | 1 |
Bartlomiej Kaluzny | PL | Bydgoszcz | 2011-11-10 / 20110276132 - METHOD AND IMPLANT FOR REFRACTIVE ERROR CORRECTION | 1 |
Richard J. Kaluzny | US | Greenfield | 2009-03-05 / 20090063063 - Preventive Maintenance Tapping and Duty Cycle Monitor for Voltage Regulator | 1 |
Hari Kalva | US | Delray Beach | 2015-02-12 / 20150042683 - SYSTEMS AND METHODS FOR VIDEO/MULTIMEDIA RENDERING, COMPOSITION, AND USER-INTERACTIVITY | 8 |
Hari Kalva | US | New York | 2015-04-02 / 20150095040 - OBJECT-BASED AUDIO-VISUAL TERMINAL AND BITSTREAM STRUCTURE | 5 |
Hari Kalva | US | Delray Beach | 2015-02-12 / 20150042683 - SYSTEMS AND METHODS FOR VIDEO/MULTIMEDIA RENDERING, COMPOSITION, AND USER-INTERACTIVITY | 8 |
Timothy E. Kalvaitis | US | Nashua | 2010-09-16 / 20100231296 - Radio Frequency Power Delivery System | 1 |
Laura M. Kalvass | US | Mountain View | 2013-08-29 / 20130226283 - OFFSET PEAK-TO-PEAK STENT PATTERN | 5 |
Ove Kalvatn | NO | Stavanger | 2013-01-17 / 20130014937 - Rotary Table Device for a Pipe StringAANM Krohn; HelgeAACI SandnesAACO NOAAGP Krohn; Helge Sandnes NOAANM Kalvatn; OveAACI StavangerAACO NOAAGP Kalvatn; Ove Stavanger NOAANM Grinrod; MadsAACI StavangerAACO NOAAGP Grinrod; Mads Stavanger NOAANM Skjaerseth; Odd B.AACI StavangerAACO NOAAGP Skjaerseth; Odd B. Stavanger NO | 2 |
Joakim Kalvenes | US | Dallas | 2010-07-22 / 20100185864 - Multi-Dimensional Credentialing Using Veiled Certificates | 1 |
Stefan Kalveram | DE | Viernheim | 2015-08-27 / 20150241268 - MEASURING MODULE FOR REMISSION PHOTOMETRIC ANALYSIS AND METHOD FOR THE PRODUCTION THEREOF | 6 |
Birte Kalveram | US | Galveston | 2013-09-19 / 20130243815 - INHIBITORS OF DSRNA-DEPENDENT PROTEIN KINASE (PKR) AS ENHANCERS OF BUNYAVIRUS LIVE-ATTENUATED VACCINES | 1 |
Hans Kalveram | DE | Spardorf | 2008-08-21 / 20080200199 - Method and Arrangement For Setting the Transmission of a Mobile Communication Device | 1 |
Klemens Kalverkamp | DE | Damme | 2015-08-06 / 20150217528 - APPARATUS FOR COMPACTING FIBROUS PLANT MATERIAL, ESPECIALLY FOR COMPACTING STALK MATERIAL | 8 |
Klemens Kalverkamp | DE | Damme | 2015-08-06 / 20150217528 - APPARATUS FOR COMPACTING FIBROUS PLANT MATERIAL, ESPECIALLY FOR COMPACTING STALK MATERIAL | 8 |
Edvard Kalvesten | SE | Hagersten | 2016-05-05 / 20160122180 - METHOD OF MAKING A SEMICONDUCTOR DEVICE HAVING A FUNCTIONAL CAPPING | 9 |
Edvard Kalvesten | SE | Hagersten | 2016-05-05 / 20160122180 - METHOD OF MAKING A SEMICONDUCTOR DEVICE HAVING A FUNCTIONAL CAPPING | 9 |
Alan D. Kalvin | US | Irvington | 2009-09-03 / 20090219289 - FAST THREE-DIMENSIONAL VISUALIZATION OF OBJECT VOLUMES WITHOUT IMAGE RECONSTRUCTION BY DIRECT DISPLAY OF ACQUIRED SENSOR DATA | 1 |
Douglas M. Kalvin | US | Buffalo Grove | 2014-11-20 / 20140343066 - 5-SUBSTITUTED INDAZOLES AS KINASE INHIBITORS | 2 |
Ivars Kalvins | LV | Ikskile | 2014-10-02 / 20140296317 - 4R,5S-ENANTIOMER OF 2-(5-METHYL-2-OXO-4-PHENYL-PYRROLIDIN-1-YL)-ACETAMIDE WITH NOOTROPIC ACTIVITY | 5 |
Ivars Kalvins | LV | Lkskile | 2016-05-19 / 20160137589 - USE OF 4-[ETHYL(DIMETHYL)AMMONIO]BUTANOATE IN THE TREATMENT OF CARDIOVASCULAR DISEASE | 2 |
Ivars Kalvins | LV | Ikshkile | 2012-04-12 / 20120088742 - ACETYLSALICYLIC ACID SALTS | 1 |
Ivars Kalvins | LV | Riga | 2012-08-30 / 20120220656 - USE OF 4-[ETHYL(DIMETHYL)AMMONIO]BUTANOATE IN THE TREATMENT OF CARDIOVASCULAR DISEASE | 9 |
Ivars Kalvins | LV | Salaspils | 2016-05-19 / 20160137664 - THIENO [2,3-b] PYRIDINES AS MULTIDRUG RESISTANCE MODULATORS | 1 |
Ivars Kalvinsh | LV | Riga | 2011-11-10 / 20110275810 - CARBAMIC ACID COMPOUNDS COMPRISING A PIPERAZINE LINKAGE AS HDAC INHIBITORS | 10 |
Ivars Kalvinsh | LV | Ikskile | 2015-08-06 / 20150216846 - N-Carbamoylmethyl-4-(R)-Phenyl-2-Pyrrolidinone, Method of its Preparation and Pharmaceutical Use | 6 |
Ivars Kalvinsh | LV | Salaspils | / - | 1 |
Ivars Kalvinsh | LV | Ikshkile | 2011-10-27 / 20110263864 - REGENERATION OF 2,2'-CYCLOPROPYLIDENE-BIS (OXAZOLINES) | 2 |
Joerg Kalwa | DE | Weyhe | 2013-09-19 / 20130239869 - UNDERWATER VEHICLE FOR UNCOVERING SUBMERGED OBJECTS AND UNDERWATER SYSTEM WITH AN UNDERWATER VEHICLE OF THIS TYPE | 1 |
Chakrapal Kalwa | US | Sunnyvale | 2014-07-31 / 20140215104 - Crosstalk Mitigation in On-Chip Interfaces | 1 |
Jorg Kalwa | DE | Weyhe | 2015-07-30 / 20150211368 - DEVICE AND METHOD FOR MINING SOLID MATERIALS FROM THE SEA BED | 3 |
Norbert Kalwa | DE | Horn-Bad Meinberg | 2016-05-05 / 20160123871 - Method for Determining the Moisture Content of a Resin Layer on a Carrier Board | 16 |
Matthias Kalwa | DE | Lippstadt | 2010-04-15 / 20100091510 - HEADLIGHT FOR A MOTOR VEHICLE | 1 |
Norbert Kalwa | DE | Horn-Bad Meinberg | 2016-05-05 / 20160123871 - Method for Determining the Moisture Content of a Resin Layer on a Carrier Board | 16 |
Jörg Kalwa | DE | Weyhe | 2012-07-05 / 20120167814 - Coupling Head, Coupling Device with Coupling Head, Rendezvous Head Couplable Thereto, Rendezvous Device with Rendezvous Head and Underwater Vehicle Therewith, Coupling System, Coupling Method and Deployment Method for an Underwater Vehicle | 2 |
Norbert Kalwa | DE | Horn-Bad | 2010-09-09 / 20100223881 - METHOD AND INSTALLATION FOR PRODUCING A WOOD-FIBER BOARD | 1 |
Sameer Kalwani | US | Irvine | 2011-11-24 / 20110288899 - CONTROL SYSTEM FOR A WATER DISTRIBUTION SYSTEM | 2 |
Santosh Kumar Kalwar | FI | Lappeenranta | 2010-11-18 / 20100293182 - METHOD AND APPARATUS FOR VIEWING DOCUMENTS IN A DATABASE | 1 |
Joseph John Kalwara | US | Indianapolis | 2015-12-17 / 20150361669 - THERMOPLASTIC FLASHING LAMINATE | 11 |
Joseph J. Kalwara | US | Indianapolis | 2011-07-07 / 20110162791 - METHOD OF MAKING A BUILDING MATERIAL HAVING A SELVAGE EDGE | 2 |
Joseph John Kalwara | US | Indianapolis | 2015-12-17 / 20150361669 - THERMOPLASTIC FLASHING LAMINATE | 11 |
Joseph Kalwara | US | Indianapolis | 2010-04-15 / 20100089418 - PACKAGED PRIMER AND SCRUB PAD | 2 |
Joshua R. Kalwat | US | West Lawn | 2010-03-04 / 20100051568 - Collapsible merchandising display | 3 |
Nicholas John Kalweit | US | Calumet City | 2009-03-12 / 20090064663 - METHOD AND APPARATUS FOR DETERMINING TEMPERATURE IN A GAS FEEDSTREAM | 1 |
Tani Kalweit | US | Shakopee | 2014-09-18 / 20140278900 - CONSUMER DATABASE LOYALTY PROGRAM FOR A MONEY TRANSFER SYSTEM | 2 |
Harvey Kalweit | US | Burnsville | 2015-09-10 / 20150253642 - Particle Removal From Electrochromic Films Using Non-Aqueous Fluids | 2 |
Nicholas John Kalweit | US | Novi | 2012-11-29 / 20120303239 - WASTEGATE CONTROL SYSTEM FOR BOTH CURRENT-CONTROLLED AND ON/OFF PWM-TYPE SOLENOIDS | 8 |
Nicholas John Kalweit | US | Farmington Hills | 2009-07-23 / 20090187306 - CONTROL MODULE WITH FLEXIBLE SENSOR INPUTS | 1 |
Nicholas John Kalweit | US | Novi | 2012-11-29 / 20120303239 - WASTEGATE CONTROL SYSTEM FOR BOTH CURRENT-CONTROLLED AND ON/OFF PWM-TYPE SOLENOIDS | 8 |
Harvey Kalweit | US | Faribault | 2014-09-11 / 20140253996 - LASER CUTS TO REDUCE ELECTRICAL LEAKAGE | 5 |
George Alexander Kalwitz | US | Mead | 2014-09-11 / 20140258612 - Mirrored data storage with improved data reliability | 6 |
Anirudha Kalya | US | 2016-02-11 / 20160044159 - SYSTEM AND METHOD FOR ACOUSTIC ECHO CANCELLATION | 1 | |
Hari Kalya | US | New York | 2012-05-03 / 20120105727 - OBJECT-BASED AUDIO-VISUAL TERMINAL AND BITSTREAM STRUCTURE | 3 |
Anirudha Kalya | US | Gainesville | 2016-02-11 / 20160044159 - SYSTEM AND METHOD FOR ACOUSTIC ECHO CANCELLATION | 1 |
Prabhanjana Kalya | US | Greenville | 2013-11-28 / 20130318018 - NEURAL NETWORK-BASED TURBINE MONITORING SYSTEM | 1 |
Prabhanjana Kalya | IN | Madhapur | 2015-07-09 / 20150192912 - METHOD AND SYSTEM FOR COMBUSTION MODE TRANSFER FOR A GAS TURBINE ENGINE | 1 |
Prabhanjana Kalya | IN | Kondapur | 2015-08-27 / 20150240726 - MODEL-BASED FEED FORWARD APPROACH TO COORDINATED AIR-FUEL CONTROL ON A GAS TURBINE | 1 |
Narender K. Kalyan | US | Ridgewood | 2015-10-29 / 20150307563 - COMPOSITIONS RELATING TO A MUTANT CLOSTRIDIUM DIFFICILE TOXIN AND METHODS THEREOF | 4 |
Malathi Kalyan | IN | Bangalore | 2016-03-03 / 20160063501 - SYSTEM FOR DETECTING BANKING FRAUDS BY EXAMPLES | 4 |
Narender Kumar Kalyan | US | Ridgewood | 2015-10-15 / 20150291940 - COMPOSITIONS AND METHODS RELATING TO A MUTANT CLOSTRIDIUM DIFFICILE TOXIN | 3 |
Yuriy Kalyan | US | Staten Island | 2015-11-19 / 20150329593 - Synthesis of Beta-Arrestin Effectors | 2 |
Yuriy B. Kalyan | US | Staten Island | 2015-08-13 / 20150225461 - CRYSTALLINE AND AMORPHOUS FORMS OF A BETA-ARRESTIN EFFECTOR | 6 |
Kiran Kalyan | IN | Bangalore | 2011-04-14 / 20110087703 - SYSTEM AND METHOD FOR DEEP ANNOTATION AND SEMANTIC INDEXING OF VIDEOS | 1 |
Vibhu Kalyan | US | Plano | 2008-08-28 / 20080208678 - Generating an Optimized Price Schedule for a Product | 1 |
Ramkishen Kalyana | US | Irving | 2013-04-11 / 20130090963 - METHOD AND SYSTEM FOR OPTIMIZING DISPATCH WORKFLOW INFORMATION | 1 |
Sundram Manickam A/i Kalyana | MY | Selangor Darul Ehsan | 2015-07-16 / 20150196472 - BOTANICAL EXTRACTS FROM OIL PALM VEGETATION LIQUOR FOR COSMECEUTICAL APPLICATIONS | 1 |
Shankar S. Kalyana | US | Austin | 2016-01-07 / 20160006804 - CLOCK SYNCHRONIZATION IN CLOUD COMPUTING | 3 |
Vishwanath Kalyanadurga | US | Ypsilanti | 2010-07-22 / 20100180410 - Two-piece Fastener | 1 |
Shivaram Kalyanakrishnan | US | Austin | 2010-11-18 / 20100292838 - Machine Learning Approach for Predicting Humanoid Robot Fall | 1 |
Krishnamoorthy Kalyanam | IN | Bangalore | 2010-07-08 / 20100174427 - SYSTEM AND METHOD FOR LIMITING IN-TRAIN FORCES OF A RAILROAD TRAIN | 3 |
Karthik Kalyanam | US | Cambridge | 2013-06-27 / 20130166313 - SYSTEMS AND METHODS FOR MANAGING TREATMENT OF AN ORPHAN DISEASE | 1 |
Vijayaraghavan Kalyanapasupathy | US | Sammamish | 2014-06-26 / 20140181065 - Creating Meaningful Selectable Strings From Media Titles | 1 |
Rajaramanan Kalyanaraman | US | Fremont | 2015-11-12 / 20150325414 - UNITIZED CONFINEMENT RING ARRANGEMENTS AND METHODS THEREOF | 2 |
Vignesh Kalyanaraman | US | Boise | 2010-09-23 / 20100237848 - REFERENCE CIRCUIT WITH START-UP CONTROL, GENERATOR, DEVICE, SYSTEM AND METHOD INCLUDING SAME | 1 |
Viswanathan Kalyanaraman | US | Athens | 2010-04-15 / 20100093915 - IMPACT-MODIFIED MOLDING COMPOSITION AND METHOD | 1 |
Shivkumar Kalyanaraman | IN | Bangalore | 2016-03-31 / 20160094066 - INTELLIGENT COMPOSABLE MULTI-FUNCTION BATTERY PACK | 41 |
Shivkumar Kalyanaraman | US | 2013-07-04 / 20130172041 - ONLINE AND DISTRIBUTED OPTIMIZATION FRAMEWORK FOR WIRELESS ANALYTICS | 1 | |
Vani Kalyanaraman | US | St. Louis | 2014-03-27 / 20140087463 - OPTICAL CONTROL OF CELL SIGNALING | 1 |
Shankar Kalyanaraman | US | New York | 2014-04-10 / 20140101145 - DYNAMIC CAPTIONS FROM SOCIAL STREAMS | 1 |
Raajeev Kalyanaraman | US | Round Rock | 2015-01-15 / 20150020062 - In-Band Hypervisor-Managed Firmware Updates | 3 |
Shivkumar Kalyanaraman | IN | Bangalore | 2016-03-31 / 20160094066 - INTELLIGENT COMPOSABLE MULTI-FUNCTION BATTERY PACK | 41 |
Shivkumar Kalyanaraman | IN | Vibhutipura | 2013-02-14 / 20130042071 - Video Object Placement for Cooperative Caching | 3 |
Karthik Kalyanaraman | US | Bellevue | 2014-10-30 / 20140324819 - EFFICIENT FORWARD RANKING IN A SEARCH ENGINE | 10 |
Ram Sunder Kalyanaraman | FR | Vaucresson | 2016-04-14 / 20160103238 - Systems and Methods for Data Driven Parametric Correction of Acoustic Cement Evaluation Data | 6 |
Karthik Kalyanaraman | US | Redmond | 2016-03-31 / 20160092765 - Tool for Investigating the Performance of a Distributed Processing System | 2 |
Karthik Kalyanaraman | US | Bellevue | 2014-10-30 / 20140324819 - EFFICIENT FORWARD RANKING IN A SEARCH ENGINE | 10 |
Raghuraman Kalyanaraman | US | Austin | 2015-03-19 / 20150081827 - INTEGRATED TWO-WAY COMMUNICATIONS BETWEEN DATABASE CLIENT USERS AND ADMINISTRATORS | 9 |
Vaniambadi Kalyanaraman | US | Rockville | 2014-08-07 / 20140220107 - TRUNCATED HIV ENVELOPE PROTEINS (ENV), METHODS AND COMPOSITIONS RELATED THERETO | 2 |
Mohan Kalyanaraman | US | Media | 2014-06-19 / 20140171293 - Xylene Isomerization Process and Catalyst Therefor | 17 |
Chandrasekaran Kalyanaraman | IN | Bangalore | 2015-07-09 / 20150195130 - Configuration of Security Devices Using Spatially-Encoded Optical Machine-Readable Indicia | 2 |
Raghava Kalyanaraman | US | Lexington | 2015-06-04 / 20150156230 - METHOD AND SYSTEM FOR SOCIAL COLLABORATIVE CURATION | 1 |
Suresh Kumar Kalyanaraman | IN | Chennai | 2013-06-20 / 20130159406 - Location Aware Commenting Widget for Creation and Consumption of Relevant Comments | 1 |
Ramnarayan Kalyanaraman | US | 2015-03-05 / 20150065509 - HIGHLY 6-SUBSTITUTED -2,4-DIAMINOPYRIMIDINES AS INHIBITORS OF ANTHRAX | 1 | |
Venkat Kalyanaraman | US | Richardson | 2009-04-23 / 20090105983 - TEST DEFINER, A METHOD OF AUTOMATICALLY DETERMINING AND REPRESENTING FUNCTIONAL TESTS FOR A PCB HAVING ANALOG COMPONENTS AND A TEST SYSTEM | 1 |
Ramakrishnan Kalyanaraman | US | Knoxville | 2012-11-08 / 20120279561 - Hollow Photovoltaic Fiber | 1 |
Shankar Kalyanaraman | US | San Francisco | 2013-02-14 / 20130041876 - LINK RECOMMENDATION AND DENSIFICATION | 2 |
Viswanathan Kalyanaraman | US | Newburgh | 2012-09-27 / 20120245239 - FAST DISSOLVING POLYIMIDE POWDERS | 1 |
Raghuraman Kalyanaraman | US | Round Rock | 2015-05-07 / 20150127828 - SERVICE ORIENTED ARCHITECTURE SERVICE DEPENDENCY DETERMINATION | 7 |
Raghurama Kalyanaraman | US | Austin | 2008-09-04 / 20080215693 - Systems, Methods, and Media for Communication with Database Client Users | 1 |
Raghuraman Kalyanaraman | US | Austin | 2015-03-19 / 20150081827 - INTEGRATED TWO-WAY COMMUNICATIONS BETWEEN DATABASE CLIENT USERS AND ADMINISTRATORS | 9 |
Shankari Kalyanaraman | US | Mountain View | 2015-12-31 / 20150379167 - CROWD-SOURCED OPERATIONAL METRIC ANALYSIS OF VIRTUAL APPLIANCES | 2 |
Raghuraman Kalyanaraman | US | 2012-04-19 / 20120096525 - Supporting Compliance in a Cloud Environment | 1 | |
Ram Sunder Kalyanaraman | FR | L'Eglise | 2015-12-24 / 20150369947 - Systems and Methods for Determining Annular Fill Material Based on Resistivity Measurements | 1 |
Balaraman Kalyanaraman | US | Wauwatosa | 2013-12-19 / 20130338110 - MITOCHONDRIA TARGETED CATIONIC ANTI-OXIDANT COMPOUNDS FOR PREVENTION, THERAPY OR TREATMENT OF HYPER-PROLIFERATIVE DISEASE, NEOPLASIAS AND CANCERS | 8 |
Balaraman Kalyanaraman | US | Wauwatosa | 2013-12-19 / 20130338110 - MITOCHONDRIA TARGETED CATIONIC ANTI-OXIDANT COMPOUNDS FOR PREVENTION, THERAPY OR TREATMENT OF HYPER-PROLIFERATIVE DISEASE, NEOPLASIAS AND CANCERS | 8 |
Shivkumar Kalyanaraman | US | Niskayuna | 2011-04-28 / 20110099437 - Loss Tolerant Transmission Control Protocol | 2 |
Suresh Kalyanasundaram | IN | Bangalore | 2016-05-19 / 20160142943 - METHOD AND APPARATUS FOR COMMUNICATING DELIVER OF DATA PACKETS TO A USER EQUIPMENT IN A WIRELESS COMMUNICATION SYSTEM | 20 |
Ramaswamy Kalyanasundaram | US | Rockford | 2015-10-29 / 20150307566 - VACCINE AND METHODS FOR DETECTING AND PREVENTING FILARIASIS | 2 |
Sanjay Kalyanasundaram | CA | Kitchener | 2014-09-25 / 20140289644 - DELIVERY AND MANAGEMENT OF STATUS NOTIFICATIONS FOR GROUP MESSAGING | 3 |
Suresh Kalyanasundaram | IN | Bangalore | 2016-05-19 / 20160142943 - METHOD AND APPARATUS FOR COMMUNICATING DELIVER OF DATA PACKETS TO A USER EQUIPMENT IN A WIRELESS COMMUNICATION SYSTEM | 20 |
Arun Kalyanasundaram | IN | Bangalore | 2015-12-17 / 20150363741 - TASK ASSIGNMENT IN CROWDSOURCING | 1 |
Suresh Kalyanasundaram | IN | Bangalore Karnataka | 2008-08-28 / 20080207225 - Method and Apparatus for Managing Delivery of Information in a Wireless Communication Network | 1 |
Krithika Kalyanasundaram | US | Longmont | 2015-11-19 / 20150332733 - APPARATUS WITH SEALED CAVITY | 2 |
Suresh Kalyanasundaram | US | 2013-09-05 / 20130229989 - Resource Allocation Methods and use Thereof for Sounding Reference Signals in Uplink | 1 | |
Sanjay Kalyanasundaram | CA | Millbrae | / - | 1 |
Rajesh Kalyanasundaram | IN | Bangalore | 2013-12-19 / 20130340048 - MOBILE APPLICATION MANAGEMENT FRAMEWORK | 2 |
Anand Kumar Kalyanasundaram | IN | Chennai | 2013-03-14 / 20130067317 - SYSTEM AND METHOD FOR IMPLEMENTING INTELLIGENT JAVA SERVER FACES (JSF) COMPOSITE COMPONENT GENERATION | 1 |
Krithika Kalyanasundaram | US | Hauppauge | 2011-08-25 / 20110206912 - LIQUID BARRIER AND METHOD FOR MAKING A LIQUID BARRIER | 1 |
Anand Kumar Kalyanasundaram | IN | Bangalore | 2016-03-31 / 20160092414 - STANDARDIZED APPROACH TO CONTEXTUAL CODE IDENTIFICATION IN EDITORS AND IDES | 1 |
Shankar Kalyanasundaram | IN | Bangalore | 2016-04-07 / 20160099053 - CONTENT ADDRESSABLE MEMORY ARRAY | 1 |
Suresh Kalyanasundaram | DE | Munich | 2016-03-03 / 20160066335 - COMMUNICATION TERMINAL AND METHOD FOR CONTROLLING A DATA TRANSMISSION | 1 |
Nagarajan Kalyanasundaram | US | San Jose | 2011-12-29 / 20110318142 - MINIMUM CONTACT AREA WAFER CLAMPING WITH GAS FLOW FOR RAPID WAFER COOLING | 1 |
Kristina Kalyanasundaram | US | Elmhurst | 2009-03-26 / 20090080233 - METHOD AND APPARATUS FOR PRINTED RESISTIVE READ ONLY MEMORY | 1 |
Krishna Kalyanasundaram | US | Elmhurst | 2009-04-16 / 20090098668 - Method and Apparatus to Facilitate Testing of Printed Semiconductor Devices | 3 |
Krithika Kalyanasundaram | US | Stony Brook | 2012-04-19 / 20120094124 - ELECTROSPUN SINGLE CRYSTAL MoO3 NANOWIRES FOR BIO-CHEM SENSING PROBES | 2 |
Sanjay Kalyanasundaram | CA | Waterloo | 2012-09-20 / 20120239949 - ELECTRONIC DEVICE AND METHOD FOR APPLICATION AND PROFILE SENSITIVE BATTERY POWER MANAGEMENT | 3 |
Pramod Kalyanasundaram | US | Acton | 2010-10-14 / 20100261509 - Vibration Modulation Applications and Techniques in Mobile devices | 1 |
Nagarajan Kalyanasundaram | US | Cupertino | 2016-03-31 / 20160088880 - PORTABLE ELECTRONIC DEVICE CONNECTOR | 4 |
Sanjay Kalyanasundaram | US | Millbrae | 2012-09-13 / 20120231770 - DELIVERY AND MANAGEMENT OF STATUS NOTIFICATIONS FOR GROUP MESSAGING | 2 |
Vydhyanathan Kalyanasundharam | US | San Jose | 2016-04-28 / 20160117248 - COHERENCY PROBE WITH LINK OR DOMAIN INDICATOR | 17 |
Sheetal Kalyani | IN | Chennai | 2015-08-20 / 20150236777 - INDOOR PERSONAL RELAY | 7 |
Anjali J. Kalyani | US | Salt Lake City | 2013-01-17 / 20130017179 - Lineage-Restricted Neuronal Precursors | 2 |
Babasaheb Neelkanth Kalyani | US | 2015-02-19 / 20150050513 - Method For Manufacturing Hollow Shafts | 1 | |
G. Kalyani | IN | Bangalore | 2013-06-20 / 20130159468 - COMPUTER IMPLEMENTED METHOD, COMPUTER SYSTEM, ELECTRONIC INTERFACE, MOBILE COMPUTING DEVICE AND COMPUTER READABLE MEDIUM | 1 |
Amit Kalyani | IN | Chennai | 2015-04-23 / 20150110624 - METHOD OF CONTROLLING A WIND TURBINE, AND A WIND TURBINE | 1 |
Sheetal Kalyani | IN | Adyar | 2013-07-25 / 20130188757 - ROBUST CHANNEL ESTIMATION AND INTERPOLATION | 1 |
Babasaheb Neelkanth Kalyani | IN | Pune | 2015-02-19 / 20150050513 - Method For Manufacturing Hollow Shafts | 3 |
Kejul Pravin Kalyani | IN | Pune | 2014-03-27 / 20140089738 - SYSTEM AND METHOD FOR IDENTIFYING SOURCE OF RUN-TIME EXECUTION FAILURE | 1 |
Nikhil D. Kalyankar | US | Hayward | 2014-02-20 / 20140050914 - ANTIREFLECTIVE COATINGS WITH CONTROLLABLE POROSITY AND REFRACTIVE INDEX PROPERTIES USING A COMBINATION OF THERMAL OR CHEMICAL TREATMENTS | 12 |
Nikhil Kalyankar | US | Mountain View | 2014-09-18 / 20140272387 - Anti-Glare Coatings with Aqueous Particle Dispersions and Methods for Forming the Same | 15 |
Nikhil Kalyankar | US | Mountain View | 2014-09-18 / 20140272387 - Anti-Glare Coatings with Aqueous Particle Dispersions and Methods for Forming the Same | 15 |
Varsha G. Kalyankar | US | Chicago | 2012-07-19 / 20120180351 - RECIPIENT VERIFICATION SYSTEM AND METHODS OF USE, INCLUDING RECIPIENT IDENTIFICATION | 3 |
Nikhil Kalyankar | US | 2012-09-20 / 20120238050 - Combinatorial Screening of Transparent Conductive Oxide Materials for Solar Applications | 1 | |
Varsha Kalyankar | US | Chicago | 2013-11-21 / 20130305577 - RECIPIENT VERIFICATION SYSTEM AND METHODS OF USE, INCLUDING RECIPIENT IDENTIFICATION | 1 |
Nikhil Kalyankar | US | Hayward | 2014-02-06 / 20140037841 - ANTIREFLECTIVE COATINGS WITH CONTROLLABLE POROSITY AND DURABILITY PROPERTIES USING CONTROLLED EXPOSURE TO ALKALINE VAPOR | 2 |
Nikhil Kalyankar | US | San Jose | 2011-09-22 / 20110230004 - Methods of Building Crystalline Silicon Solar Cells For Use In Combinatorial Screening | 3 |
Nikhil Kalyankar | US | Fremont | 2015-01-22 / 20150024182 - Antireflective Coatings with Self-Cleaning, Moisture Resistance and Antimicrobial Properties | 1 |
Parshwakumar V. Kalyankar | IN | Magao | 2010-12-23 / 20100323017 - Escitalopram and Solid Pharmaceutical Composition Comprising the Same | 1 |
Nikhil D. Kalyankar | US | Hayward | 2014-02-20 / 20140050914 - ANTIREFLECTIVE COATINGS WITH CONTROLLABLE POROSITY AND REFRACTIVE INDEX PROPERTIES USING A COMBINATION OF THERMAL OR CHEMICAL TREATMENTS | 12 |
Nikhil D. Kalyankar | US | San Jose | 2009-09-17 / 20090232966 - Stamp Usage To Enhance Surface Layer Functionalization And Selectivity | 1 |
Parshwakumar V. Kalyankar | IN | Aurangabad | 2012-08-23 / 20120214820 - ORALLY DISINTEGRATING PHARMACEUTICAL DOSAGE FORM CONTAINING ARIPIPRAZOLE | 1 |
Nikhil D. Kalyankar | US | Mountain View | 2014-09-18 / 20140272126 - ANTI-REFLECTION GLASS MADE FROM SOL MADE BY BLENDING TRI-ALKOXYSILANE AND TETRA-ALKOXYSILANE INCLUSIVE SOLS | 2 |
Parshwakumar V. Kalyankar | IN | Goa | 2012-11-15 / 20120288712 - ESCITALOPRAM AND SOLID PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
Genadiy Kalyanov | SE | Huddinge | 2011-07-14 / 20110172410 - ANTIVIRAL NUCLEOSIDES | 2 |
Aditya A. Kalyanpur | US | Westwood | 2016-03-24 / 20160086503 - COMBINING DIFFERENT TYPE COERCION COMPONENTS FOR DEFERRED TYPE EVALUATION | 22 |
Aditya Kalyanpur | US | Westwood | 2013-12-12 / 20130332478 - QUERYING AND INTEGRATING STRUCTURED AND INSTRUCTURED DATA | 4 |
Aditya A. Kalyanpur | US | Westwood | 2016-03-24 / 20160086503 - COMBINING DIFFERENT TYPE COERCION COMPONENTS FOR DEFERRED TYPE EVALUATION | 22 |
Aditya Anand Kalyanpur | US | Westwood | 2012-02-09 / 20120036110 - Automatically Reviewing Information Mappings Across Different Information Models | 1 |
Aditya A. Kalyanpur | US | Weslwood | 2014-03-13 / 20140072948 - GENERATING SECONDARY QUESTIONS IN AN INTROSPECTIVE QUESTION ANSWERING SYSTEM | 1 |
Anil Kalyanpur | US | Edison | 2014-11-06 / 20140326273 - MULTI-COMPARTMENT CONTAINER | 3 |
Gaurang Kalyanpur | US | Allen | 2009-12-03 / 20090296694 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR PROVIDING NEXT GENERATION NETWORK (NGN)-BASED END USER SERVICES TO LEGACY SUBSCRIBERS IN A COMMUNICATIONS NETWORK | 1 |
Aditya A. Kalyanpur | US | Fort Lee | 2016-05-05 / 20160125013 - EVALUATING PASSAGES IN A QUESTION ANSWERING COMPUTER SYSTEM | 3 |
Bharat Kalyanpur | US | Freemont | 2016-03-10 / 20160070703 - DISTANCE BASED ADJUSTMENTS OF SEARCH RANKING | 1 |
Arjun Kalyanpur | US | Edison | 2015-02-26 / 20150057696 - HEMORRHAGE CONTROL DEVICES AND METHODS | 2 |
Ram Sunder Kalyanraman | FR | Vaucresson | 2016-03-10 / 20160069181 - Systems and Methods for Cement Evaluation | 1 |
Serkan Kalyoncu | DE | Berlin | 2016-05-05 / 20160127456 - MUSICAL COMPOSITION AND PRODUCTION INFRASTRUCTURE | 1 |
Val Kalyuzhny | US | Reseda | 2012-04-26 / 20120099750 - SPEAKER AND MIXER ASSEMBLY | 1 |
Aaron Kalyuzhny | IL | Haifa | 2010-12-02 / 20100301874 - CORRECTIVE DEVICE PROTECTION | 2 |
Alexander Kalyuzhny | US | Roseville | 2016-03-10 / 20160069890 - MOLECULAR LABELING METHODS | 2 |
Gregory Kalyuzhny | IL | Rehovot | 2012-05-31 / 20120135536 - Method and Apparatus for Detecting and Quantifying a Chemical Substance Employing an Optical Transmission Property of Metallic Islands on a Transparent Substrate | 1 |
Athena Kalyvas | CA | Laval | 2011-05-05 / 20110105610 - Phospholipase A2 Inhibitors and their Use in Treating Neurological Injury and Disease | 2 |
Charalampos Kalyvas | GR | Varibombi | 2015-11-05 / 20150317751 - Method of Posting Information Regarding Sales Transactions to Social Networks | 2 |
Franz-Peter Kalz | DE | Regensburg | 2016-02-04 / 20160035700 - CHIP PACKAGE AND CHIP ASSEMBLY | 14 |
Franz-Peter Kalz | DE | Regensburg | 2016-02-04 / 20160035700 - CHIP PACKAGE AND CHIP ASSEMBLY | 14 |
Franz-Josef Kalze | DE | Harsewinkel | 2010-10-21 / 20100264824 - HEADLIGHT FOR VEHICLES | 1 |
Glendy Kam | US | Itasca | 2009-01-22 / 20090025092 - SECURE ONLINE DATA STORAGE AND RETRIEVAL SYSTEM AND METHOD | 1 |
Victor Wai Tak Kam | US | New York | 2009-02-05 / 20090037118 - METHODS FOR PREDICTING THREE-DIMENSIONAL STRUCTURES FOR ALPHA HELICAL MEMBRANE PROTEINS AND THEIR USE IN DESIGN OF SELECTIVE LIGANDS | 1 |
Brandon R. Kam | US | Waipalu | 2009-04-23 / 20090102452 - CIRCUIT AND METHOD FOR ON-CHIP JITTER MEASUREMENT | 1 |
Nadine Wong Shi Kam | US | Ann Arbor | 2013-02-07 / 20130034610 - HYDROPHOBIC NANOTUBES AND NANOPARTICLES AS TRANSPORTERS FOR THE DELIVERY OF DRUGS INTO CELLS | 2 |
Hei Kam | US | Fremont | 2009-05-21 / 20090129139 - NANO-ELECTRO-MECHANICAL MEMORY CELLS AND DEVICES | 2 |
David Kam | US | Port Washington | 2009-11-05 / 20090276369 - SYSTEM AND METHOD FOR BENEFIT CONVERSION | 1 |
Russell Lee Kam | US | San Jose | 2010-04-22 / 20100098885 - PLASMA SILANIZATION SUPPORT METHOD AND SYSTEM | 1 |
Dong G. Kam | US | White Plains | 2013-01-31 / 20130027271 - ANTENNA ARRAY PACKAGE AND METHOD FOR BUILDING LARGE ARRAYS | 4 |
Andrew Kam | US | Odessa | 2013-04-11 / 20130090658 - Guide Pin Gauge | 4 |
Pascal C. Kam | US | Union City | 2011-10-06 / 20110240341 - COOLING DISC FOR BUNDLES OF CURRENT CARRYING CABLES | 1 |
Gordon Kar Kee Kam | US | Seattle | 2014-08-07 / 20140220942 - MANAGING COMMUNICATIONS UTILIZING COMMUNICATION CATEGORIES | 2 |
Dong-Hyuck Kam | KR | Hwaseong-Si | 2015-09-10 / 20150255694 - LIGHT EMITTING DEVICE PACKAGE | 4 |
Brandon R. Kam | US | Waipahu | 2012-05-31 / 20120134403 - CIRCUIT AND METHOD FOR ON-CHIP JITTER MEASUREMENT | 1 |
Sang- Ho Kam | KR | Gimhae-Si | 2012-08-30 / 20120218044 - Three-Stage GaN HEMT Doherty Power Amplifier for High Frequency Applications | 2 |
Elaine Kam | US | Castro Valley | 2008-12-04 / 20080300385 - Covalently-linked complexes of HIV Tat and Env proteins | 1 |
Moshe Kam | US | Philadelphia | 2015-11-19 / 20150333943 - JOINT PAPR REDUCTION AND RATE ADAPTIVE ULTRASONIC OFDM PHYSICAL LAYER FOR HIGH DATA RATE THROUGH-METAL COMMUNICATIONS | 3 |
Lance Cameron Kam | SG | Singapore | 2013-03-14 / 20130065794 - PROCESS FOR MAKING AN ARRAY | 1 |
Kiew Kam | US | Round Rock | 2014-09-18 / 20140259886 - ADVANCED PROCESS CONTROL OF A BIODIESEL PLANT | 1 |
Kin Fai Kam | GB | York | 2009-10-01 / 20090245029 - ACTIVITY REMINDER SMART CARD | 1 |
Jeong Heon Kam | KR | Yongin-City | 2009-09-17 / 20090229943 - CLUTCH ACTUATOR | 2 |
Keong W. Kam | US | Santa Clara | 2014-09-18 / 20140268900 - POWER SUPPLY WITH CONTINUOUS SPREAD-SPECTRUM SWITCHING SIGNAL | 1 |
See Hoon Kam | SG | Singapore | 2009-08-13 / 20090203988 - Apparatus And Method For Non-Invasively Sensing Pulse Rate And Blood Flow Anomalies | 1 |
Zvi Kam | IL | Tel-Aviv | 2011-06-09 / 20110134552 - METHOD AND APPARATUS FOR CORRECTING OPTICAL ABERRATIONS USING A DEFORMABLE MIRROR | 2 |
Lup Yoong Kam | SG | Singapore | 2009-06-04 / 20090140805 - Voltage Regulator For Biasing Current-To-Voltage Amplifiers | 1 |
Benjamin Kam | BE | Brasschaat | 2010-12-02 / 20100301320 - METHOD FOR FABRICATING ORGANIC OPTOELECTRONIC DEVICES | 1 |
Shianyeu Kam | SG | Singapore | / - | 1 |
Bum-Soo Kam | KR | Yongin-City | 2015-01-29 / 20150029684 - FLAT PANEL DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kok Siu Kam | SG | Sims Ville | 2009-04-30 / 20090109718 - INTEGRATED CIRCUIT INCLUDING A CONTROLLER FOR REGULATING A POWER SUPPLY | 1 |
Bumsoo Kam | KR | Yongin-Si | 2015-05-14 / 20150130777 - DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Jin Ming Kam | MY | Perak | 2009-03-19 / 20090074002 - METHOD, APPARATUS AND SYSTEM FOR OPTIMIZING PACKET THROUGHPUT FOR CONTENT PROCESSING SYSTEMS ON CHIPS | 2 |
Dong G. Kam | KR | Seoul | 2015-05-14 / 20150129668 - INTEGRATED ANTENNA FOR RFIC PACKAGE APPLICATIONS | 1 |
Steven Chee-Man Kam | US | Mountain View | 2011-12-29 / 20110320613 - METHOD OF ESTABLISHING COMMUNICATION BETWEEN USERS AND PROFESSIONAL SERVICE PROVIDERS | 1 |
Na'Aman Kam | IL | Givataim | 2015-10-22 / 20150297693 - PEPTIDE INHIBITORS FOR MEDIATING STRESS RESPONSES | 4 |
Boon Hee Kam | MY | Penang | 2014-01-16 / 20140015964 - TECHNIQUES FOR VIDEO ANALYTICS OF CAPTURED VIDEO CONTENT | 1 |
Yan Ho Kam | HK | Kowloon | 2010-12-30 / 20100329341 - METHOD AND APPARATUS FOR CODING MODE SELECTION | 1 |
Moochul Kam | KR | Gyeonggi-Do | 2009-11-19 / 20090283707 - SOLENOID VALVE HAVING A SHORT AXIS | 1 |
Jae-Woo Kam | KR | Yongin-Si | 2015-07-09 / 20150194823 - BATTERY CHARGING DEVICE AND BATTERY CHARGING METHOD | 6 |
Lance C. Kam | US | New York | 2015-01-29 / 20150030619 - Activation and Expansion of T Cell Subsets Using Biocompatible Solid Substrates with Tunable Rigidity | 1 |
Andy Ning Fan Kam | CN | Hong Kong | 2014-11-13 / 20140334904 - DUMP CART | 1 |
Wing Chi Kam | HK | Kowloon | 2008-08-21 / 20080196189 - MULTIFUNCTION EYEGLASS CLEANER | 1 |
Hye-Jin Kam | KR | Seongnam-Si | 2016-02-18 / 20160048972 - COMPUTER-AIDED DIAGNOSIS APPARATUS AND METHOD | 7 |
Chi Shan Anna Kam | HK | Causeway | 2015-11-12 / 20150320344 - Method and System for Self-Managed Sound Enhancement | 2 |
Yiu-Wing Jason Kam | SG | Singapore | 2014-02-20 / 20140050754 - IMMUNOGENIC CHIKUNGUNYA VIRUS PEPTIDES | 1 |
Dongmin Kam | KR | Daejeon | 2015-02-05 / 20150038067 - AIR CONDITIONER FOR VEHICLE | 1 |
Zvi Kam | IL | Rehovot | 2013-08-29 / 20130225547 - PROTEASOME INHIBITORS AND USES THEREOF | 2 |
Raymond Hoi-Yan Kam | CN | New Territories | 2015-02-12 / 20150041244 - Universal Tablet Holder | 1 |
Raymond Kam | HK | New Territories | 2011-06-02 / 20110127304 - Carry bag with hinged support surface | 1 |
Moo Chul Kam | KR | Yongin-Si | 2014-12-04 / 20140354037 - SOLENOID VALVE | 1 |
Dong Hyuck Kam | KR | Hwaseong | 2013-09-12 / 20130234274 - LIGHT EMITTING APPARATUS | 1 |
Kwok Wa Kenny Kam | HK | Tai Po | 2014-02-13 / 20140047254 - Sleep Mode Operation for Networked End Devices | 1 |
Wendy Kam | US | Boston | 2015-05-21 / 20150141328 - STIMULATION OF HUMAN MEIBOMIAN GLAND FUNCTION | 1 |
Kwok Wah Kam | CN | Hong Kong | 2012-06-21 / 20120155668 - Noise Canceling Earphone and a Driving Circuit | 1 |
Jeong Heom Kam | KR | Yongin-Si | 2013-03-21 / 20130072337 - HYBRID POWER TRAIN FOR VEHICLES | 1 |
Fong Yu Kam | SG | Singapore | 2014-03-27 / 20140087191 - Method of Transferring Thin Films | 1 |
Timothy Y. Kam | US | Portland | 2012-06-28 / 20120166823 - MECHANISMS FOR UTILIZING EFFICIENCY METRICS TO CONTROL EMBEDDED DYNAMIC RANDOM ACCESS MEMORY POWER STATES ON A SEMICONDUCTOR INTEGRATED CIRCUIT PACKAGE | 2 |
Dong Gun Kam | US | White Plains | 2013-05-16 / 20130118008 - THERMAL INTERFACE MATERIAL APPLICATION FOR INTEGRATED CIRCUIT COOLING | 1 |
Andrew S. Kam | US | Odessa | 2015-07-16 / 20150196385 - SUSPENSORY GRAFT FIXATION WITH ADJUSTABLE LOOP LENGTH | 2 |
Shian Yeu Kam | SG | Singapore | 2016-02-11 / 20160041114 - INTEGRATED MULTI-SENSOR MODULE | 7 |
Shuk Ting Kam | HK | Hong Kong | 2015-11-26 / 20150336479 - MULTIFUNCTIONAL SEAT FOR AUTOMOBILE | 1 |
Handani Kam | US | Franklin | 2015-04-02 / 20150092405 - Beacon Light Having A Lens | 3 |
Lance Kam | US | New York | 2008-12-25 / 20080317724 - Micropatterned T cell stimulation | 1 |
Dongmin Kam | KR | Daejeon-Si | 2013-02-21 / 20130045670 - AIR CONDITIONER FOR VEHICLE | 1 |
Gordon Kam | US | Seattle | 2010-12-30 / 20100329642 - Dynamic Icons Associated with Remote Content | 1 |
William S. Kam | US | Pittsburgh | 2013-03-28 / 20130080343 - Real estate freed from immobilization | 1 |
Iris Sok Yee Kam | SG | Singapore | 2015-09-24 / 20150267503 - CUT-TO-RELEASE PACKER WITH LOAD TRANSFER DEVICE TO EXPAND PERFORMANCE ENVELOPE | 1 |
Tai Yan Kam | TW | Hsinchu | 2009-11-26 / 20090290748 - Moving-Coil Planar Speaker | 1 |
Jae-Woo Kam | KR | Cheonan-Si | 2015-05-14 / 20150130424 - BATTERY PACK AND PROTECTION METHOD USING THE SAME | 1 |
Jeong Heon Kam | KR | Seongnam-Si | 2016-03-24 / 20160082967 - METHOD AND SYSTEM FOR PREVENTING OVERHEATING OF CLUTCH BY MEANS OF FORCIBLE CREEPING | 4 |
Yoou Gyu Kam | KR | Seoul | 2009-10-29 / 20090268342 - DISK CLAMP AND HARD DISK DRIVE HAVING THE SAME | 1 |
Tai-Yan Kam | TW | Hsinchu City | 2009-10-22 / 20090262959 - METHOD FOR MANUFACTURING A LOUDSPEAKER HAVING A VOICE COIL ASSEMBLY | 1 |
Pascal Kam | US | Union City | 2014-01-16 / 20140014292 - CONTROLLING DATA CENTER AIRFLOW | 1 |
Youn-Seok Kam | KR | Seoul | 2015-10-01 / 20150280161 - WHITE ORGANIC LIGHT EMITTING DEVICE | 7 |
Younseok Kam | KR | Seoul | 2015-02-05 / 20150034923 - WHITE ORGANIC LIGHT EMITTING DIODE DEVICE | 2 |
Jin Ming Kam | MY | Ipoh | 2009-10-01 / 20090245005 - RECOVERY OF EXISTING SRAM CAPACITY FROM FUSED-OUT BLOCKS | 1 |
Kok Siu Kam | SG | Singapore | 2010-01-14 / 20100008108 - NOVEL ADAPTIVE SLOPE COMPENSATION METHOD FOR STABILIZING A CONTINUOUS CONDUCTION MODE CONVERTER | 1 |
Chi Shan Anna Kam | CN | Causeway Bay | 2012-07-26 / 20120189130 - METHOD AND SYSTEM FOR SELF-MANAGED SOUND ENHANCEMENT | 1 |
Alon Kama | US | Pleasanton | 2016-04-14 / 20160104176 - VISUALIZING FORECAST DATA | 1 |
Alon Kama | US | Sunnyvale | 2012-09-27 / 20120246260 - METHODS AND SYSTEMS FOR CREATING BUSINESS-ORIENTED REPORT ENTITIES | 1 |
Mitsuo Kama | JP | Ibaraki | 2008-11-06 / 20080273842 - Optical Connector | 1 |
Sayim Kama | DE | Lappersdorf | 2009-03-05 / 20090056313 - TEST METHOD FOR AN EXHAUST GAS PROBE OF AN INTERNAL COMBUSTION ENGINE, IN PARTICULAR FOR A LAMBDA PROBE | 1 |
Akira Kamabuchi | JP | Ashiya-Shi | 2008-10-30 / 20080269506 - Chemical amplification type resist composition | 1 |
Akira Kamabuchi | JP | Hyogo | 2011-08-04 / 20110189618 - RESIST PROCESSING METHOD | 1 |
Akira Kamabuchi | JP | Kobe-Shi | 2014-02-06 / 20140038105 - RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN | 7 |
Akira Kamabuchi | JP | Osaka | 2013-01-24 / 20130022910 - RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN | 5 |
Motoaki Kamachi | JP | Kanagawa | 2009-03-26 / 20090081147 - PERMANENT WAVING AGENT | 2 |
Koh Kamachi | JP | Kawasaki-Shi | 2009-03-19 / 20090071818 - FILM DEPOSITION APPARATUS AND METHOD OF FILM DEPOSITION | 1 |
Masanao Kamachi | JP | Kumagaya-Shi | 2016-01-07 / 20160002815 - METHOD FOR REMOVING RARE EARTH IMPURITIES FROM NICKEL-ELECTROPLATING SOLUTION | 3 |
Naoya Kamachi | JP | Tokyo | 2013-05-16 / 20130124516 - DATA DISPLAY SERVER, DATA DISPLAY METHOD AND PROGRAM THEREOF | 1 |
Kazunori Kamachi | JP | Tokyo | 2015-12-10 / 20150358180 - NETWORK DEVICE | 1 |
Makoto Kamachi | JP | Tokyo | 2015-08-27 / 20150239405 - VEHICLE ELECTRIC BATTERY CONTROLLING APPARATUS | 2 |
Daisuke Kamachi | JP | Tokyo | 2012-05-03 / 20120105309 - INFORMATION SHARING SYSTEM, INFORMATION SHARING METHOD, TERMINAL DEVICE AND PROGRAM | 2 |
Makoto Kamachi | JP | Okazaki-Shi, Aichi | 2016-05-19 / 20160137149 - POWER SUPPLY DEVICE FOR AUXILIARY DEVICE BATTERY | 1 |
Makoto Kamachi | JP | Okazaki-Shi | 2015-01-29 / 20150028019 - WARM-UP APPARATUS FOR VEHICLE | 5 |
Harumi Kamachi | JP | Chiba | 2010-10-28 / 20100273877 - EMULSIFIED SKIN EXTERNAL PREPARATIONS AND COSMETICS | 5 |
Harumi Kamachi | JP | Chiba-Shi | 2010-12-23 / 20100322887 - HAIR NOURISHING COSMETIC | 3 |
Hideari Kamachi | JP | Tochigi-Ken | 2011-11-17 / 20110278266 - LASER WELDING METHOD | 1 |
Teruhisa Kamachi | JP | Tokyo | 2010-09-16 / 20100235364 - DATA DISPLAY SERVER, DATA DISPLAY METHOD AND PROGRAM THEREOF | 1 |
Hideari Kamachi | JP | Hagagun | 2014-04-17 / 20140107840 - INFORMATION PROCESSING METHOD, APPARATUS, AND COMPUTER READABLE MEDIUM | 4 |
Masanao Kamachi | JP | Saitama | 2013-11-28 / 20130313125 - PRODUCTION METHOD FOR R-FE-B BASED SINTERED MAGNET HAVING PLATING FILM ON SURFACE THEREOF | 3 |
Atsushi Kamachi | JP | Wako-Shi | 2010-11-25 / 20100297524 - MEMBRANE ELECTRODE ASSEMBLY FOR POLYMER ELECTROLYTE FUEL CELL | 1 |
Kazunori Kamachi | JP | Kashiwa | 2011-06-09 / 20110134760 - SWITCH APPARATUS AND NETWORK SYSTEM | 2 |
Motoaki Kamachi | JP | Chiba | 2009-04-30 / 20090111153 - METHOD FOR ACYLTRANSFERASE REACTION USING ACYL COENZYME A | 3 |
Yasuharu Kamachi | JP | Kumamoto | 2009-04-16 / 20090099338 - Novel Protein Highly Producing Recombinant Animal Cell, Method for Preparing the Same, and Method for Mass-Producing Protein Using the Same | 1 |
Hideari Kamachi | JP | Tochigi | 2013-11-14 / 20130299510 - NUT FEEDING SYSTEM | 1 |
Hirotoshi Kamachi | JP | Kitakyushu-Shi | 2013-04-04 / 20130085604 - ROBOT APPARATUS, ROBOT SYSTEM, AND METHOD FOR PRODUCING A TO-BE-PROCESSED MATERIAL | 1 |
Katsuhito Kamachi | JP | Itami | 2013-09-19 / 20130244381 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Kensuke Kamada | JP | Hiroshima | 2008-11-27 / 20080292541 - Hydrogen Generating Agent and Use Thereof | 1 |
Tomiko Kamada | JP | Sendai | 2013-08-15 / 20130209666 - EVAPORATING APPARATUS AND EVAPORATING METHOD | 1 |
Toyohiro Kamada | JP | Sendai | 2013-08-15 / 20130209666 - EVAPORATING APPARATUS AND EVAPORATING METHOD | 1 |
Shinya Kamada | JP | Tokyo | 2015-04-23 / 20150110106 - COMMUNICATION SYSTEM AND COMMUNICATION CONTROL METHOD | 11 |
Youichi Kamada | JP | Yamato | 2014-12-18 / 20140369080 - COMPOUND SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 6 |
Hiroshi Kamada | JP | Kanagawa | 2016-03-03 / 20160062256 - ELECTROSTATIC CHARGE IMAGE DEVELOPING CARRIER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, DEVELOPER CARTRIDGE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 5 |
Kazunori Kamada | JP | Ushiku | 2015-12-10 / 20150354086 - METHOD FOR PRODUCING NITRIDE CRYSTAL | 4 |
Ikuko Kamada | JP | Tokyo | 2015-05-28 / 20150147418 - SLEEP QUALITY IMPROVING AGENT | 1 |
Hidemi Kamada | JP | Osaka | 2011-08-18 / 20110200223 - SPEAKER UNIT INCLUDING DIAPHRAGM HAVING A VOICE COIL ATTACHED THERETO | 1 |
Tsuyoshi Kamada | JP | Hamamatsu City | 2016-01-07 / 20160000394 - ARITHMETIC PROCESSOR AND BONE DENSITY MEASURING DEVICE | 1 |
Tsuyoshi Kamada | JP | Kawasaki | 2012-06-21 / 20120154701 - LIQUID CRYSTAL DISPLAY AND METHOD OF MANUFACTURING THE SAME | 9 |
Tsuyoshi Kamada | JP | Kawasaki-Shi | 2012-05-17 / 20120120338 - LIQUID CRYSTAL DISPLAY DEVICE | 6 |
Tsuyoshi Kamada | JP | Tokyo | 2010-05-13 / 20100118061 - LIQUID-CRYSTAL DISPLAY DEVICE AND DRIVE CONTROL CIRCUIT | 1 |
Teruo Kamada | JP | Hagagun | 2012-11-08 / 20120279273 - MANUFACTURING METHOD OF PRESS PRODUCT AND PRESS FORMING APPARATUS | 3 |
Yusuke Kamada | JP | Tokyo | 2015-11-19 / 20150330881 - ANALYZING METHOD OF SPOT WELDED PORTION, ANALYZING PROGRAM OF SPOT WELDED PORTION, RECORDING MEDIUM AND ANALYZING APPARATUS OF SPOT WELDED PORTION | 1 |
Yasuhiro Kamada | JP | Kumamoto | 2015-07-30 / 20150215551 - SOLID-STATE IMAGING APPARATUS, METHOD FOR MANUFACTURING THE SAME, AND ELECTRONIC SYSTEM | 2 |
Takeaki Kamada | JP | Takamatsu-Shi | 2013-04-04 / 20130081451 - Measurement Device of Position of Center of Gravity of Vehicle to be Measured on Truck Scale and Truck Scale | 1 |
Yuya Kamada | JP | Kawaguchi-Shi | 2013-04-04 / 20130085274 - PROCESS FOR THE PRODUCTION OF A CARBODIIMIDE | 1 |
Toshihiro Kamada | JP | Kanagawa | 2015-06-25 / 20150175819 - INK COMPOSITION, INK SET, AND IMAGE FORMING METHOD | 7 |
Toshimitsu Kamada | JP | Osaka | 2013-11-21 / 20130306285 - HEAT EXCHANGER AND AIR CONDITIONER | 8 |
Yoshihiro Kamada | JP | Osaka-Shi | 2015-05-21 / 20150135771 - METHOD OF MANUFACTURING GLASS MOLDED PRODUCT AND LOWER DIE FOR MOLDING GLASS | 2 |
Tatsuya Kamada | JP | Osaka | 2010-10-21 / 20100266877 - BATTERY PACK AND BATTERY PACK MANUFACTURING METHOD | 2 |
Yoshihiro Kamada | JP | Osaka | 2011-07-14 / 20110167872 - Method for Manufacturing Molded Glass Body | 4 |
Keiichi Kamada | JP | Osaka | 2010-01-28 / 20100021617 - EDIBLE OIL REGENERATING APPARATUS AND METHOD | 1 |
Takeshi Kamada | JP | Osaka | 2010-01-28 / 20100021617 - EDIBLE OIL REGENERATING APPARATUS AND METHOD | 1 |
Tomiko Kamada | JP | Miyagi | 2015-05-21 / 20150140822 - MULTILAYER FILM ETCHING METHOD AND PLASMA PROCESSING APPARATUS | 1 |
Tomiko Kamada | JP | Kurokawa-Gun | 2015-03-05 / 20150064926 - PLASMA PROCESSING METHOD | 2 |
Toshiya Kamada | JP | Tokyo | 2015-12-10 / 20150357074 - HIGH STRENGTH Cu-Ni-Co-Si BASED COPPER ALLOY SHEET MATERIAL AND METHOD FOR PRODUCING THE SAME, AND CURRENT CARRYING COMPONENT | 3 |
Rui Kamada | JP | Tokyo | 2016-03-03 / 20160059730 - POWER STORAGE DEVICE, POWER STORAGE SYSTEM, AND ELECTRIC VEHICLE | 3 |
Nozomu Kamada | JP | Osaka | 2009-10-15 / 20090258399 - METHOD FOR PRODUCTION OF AMINO ACID | 1 |
Katsuyoshi Kamada | JP | Osaka | 2008-10-23 / 20080257766 - Storage container | 1 |
Kenji Kamada | JP | Osaka | 2016-02-25 / 20160053168 - LIGHT UP-CONVERSION LUMINESCENT SUBSTANCE | 5 |
Yuudai Kamada | JP | Tokyo | 2016-03-31 / 20160091525 - ACCELERATION SENSOR | 2 |
Kentaro Kamada | JP | Osaka | 2015-09-03 / 20150247964 - LIGHT SOURCE DEVICE AND DISPLAY DEVICE EQUIPPED WITH SAME | 12 |
Atsushi Kamada | JP | Aichi-Ken | 2010-09-02 / 20100222171 - VEHICULAR DRIVE SYSTEM | 13 |
Atsushi Kamada | JP | Miyoshi-Shi | 2011-05-12 / 20110112736 - VEHICLE CONTROL DEVICE | 1 |
Masashi Kamada | JP | Osaka | 2016-03-17 / 20160076786 - AIR CONDITIONING INDOOR UNIT | 3 |
Atsushi Kamada | JP | Nishikamo-Gun | 2012-10-04 / 20120253615 - VEHICLE CONTROL APPARATUS, VEHICLE CONTROL METHOD, COMPUTER PROGRAM FOR IMPLEMENTING SAME METHOD, AND DATA STORAGE MEDIUM STORING SAME COMPUTER PROGRAM | 4 |
Atsushi Kamada | JP | Tsukuba | 2010-11-04 / 20100280243 - PROCESS FOR PRODUCTION OF 4- (SUBSTITUTED PHENYL) HEXAHYDROPYRIDO [2,1-C] [1,4] OXAZIN-6-ONE | 2 |
Atsushi Kamada | JP | Ibaraki | 2010-01-28 / 20100022778 - PROCESS FOR PRODUCTION OF SULFINYLBENZIMIDAZOLE COMPOUNDS OR SALTS THEREOF | 3 |
Youhei Kamada | JP | Hyogo | 2014-02-06 / 20140039673 - BANKNOTE HANDLING APPARATUS | 2 |
Atsushi Kamada | JP | Tsukuba-Shi | 2012-01-05 / 20120004315 - Selective Estrogen Receptor Modulator | 4 |
Atsushi Kamada | JP | Toyota-Shi, Aichi-Ken | / - | 1 |
Atsushi Kamada | JP | Aichi | 2012-01-19 / 20120016558 - ANTITHEFT DEVICE FOR VEHICLE | 1 |
Ko Kamada | JP | Tokyo | 2016-03-03 / 20160058285 - MEDICAL DEVICE SYSTEM AND MEDICAL DEVICE | 1 |
Ryuji Kamada | JP | Tokyo | 2016-05-19 / 20160139492 - SHUTTER HOLDING MECHANISM | 1 |
Keisuke Kamada | JP | Tokyo | 2015-06-11 / 20150161088 - DETECTING INFLUENCE CAUSED BY CHANGING THE SOURCE CODE OF AN APPLICATION FROM WHICH A DOCUMENT OBJECT MODEL TREE AND CASCADING STYLE SHEET MAY BE EXTRACTED | 1 |
Koukichi Kamada | JP | Susono-Shi | 2015-08-06 / 20150221552 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 8 |
Toru Kamada | JP | Kawasaki | 2012-04-19 / 20120093243 - COMMUNICATION DEVICE, COMMUNICATION SYSTEM AND COMMUNICATION METHOD | 1 |
Atsushi Kamada | JP | Ushiku-Shi | 2009-08-27 / 20090215795 - CYCLIC AMIDINE DERIVATIVES | 1 |
Yudai Kamada | JP | Tokyo | 2014-10-16 / 20140306700 - MAGNETIC FIELD MEASURING APPARATUS AND METHOD FOR MANUFACTURING SAME | 2 |
Koukichi Kamada | JP | Susono-Shi | 2015-08-06 / 20150221552 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 8 |
Kei Kamada | JP | Ibaraki | 2015-01-29 / 20150028218 - RADIATION DETECTOR | 3 |
Takashi Kamada | JP | Tokyo | 2012-11-01 / 20120274665 - OPERATION DISPLAY DEVICE, SCROLL DISPLAY CONTROLLING METHOD AND TANGIBLE COMPUTER-READABLE RECORDING MEDIUM | 1 |
Hiroshi Kamada | JP | Koka-Shi | 2011-05-12 / 20110108308 - Packaging Device and Base Member for Packaging | 1 |
Kentaroh Kamada | JP | Osaka-Shi | 2011-05-12 / 20110109833 - LIGHTING DEVICE, DISPLAY DEVICE, TELEVISION RECEIVER AND METHOD OF MANUFACTURING LIGHTING DEVICES | 1 |
Shinya Kamada | JP | Toyota-Shi | 2015-03-05 / 20150064566 - METHOD FOR MANUFACTURING LITHIUM SECONDARY BATTERY | 3 |
Koh Kamada | JP | Ashigarakami-Gun | 2013-10-03 / 20130260139 - HEAT-RAY SHIELDING MATERIAL | 2 |
Teruki Kamada | JP | Kanagawa | 2011-06-30 / 20110160668 - INFUSION PUMP MODULE | 3 |
Nozomu Kamada | JP | Mishima-Gun | 2013-04-18 / 20130095537 - PROCESS FOR PRODUCTION OF USEFUL SUBSTANCES | 1 |
Kentaro Kamada | JP | Kameyama-Shi | 2010-09-09 / 20100225844 - LAMP HOLDER, BACKLIGHT UNIT, AND LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Tsuyoshi Kamada | JP | Osaka-Shi | 2015-08-13 / 20150226394 - LIGHTING FILM, WEB ROLL FOR LIGHTING FILM, WINDOW PANE, ROLL SCREEN, AND LIGHTING LOUVER | 33 |
Shinobu Kamada | JP | Yokohama-Shi | 2015-05-07 / 20150122226 - CONTROL DEVICE AND CONTROL METHOD FOR INTERNAL COMBUSTION ENGINE | 5 |
Yuki Kamada | JP | Tokyo | 2011-07-28 / 20110180198 - CONDUCTIVE PASTE AND A METHOD FOR PRODUCING ELECTRONIC COMPONENT | 2 |
Koh Kamada | JP | Kanagawa | 2010-07-15 / 20100177383 - GLASS | 10 |
Kazuya Kamada | JP | Nagoya-Shi | 2012-11-22 / 20120292543 - SOLENOID VALVE CONTROL DEVICE | 1 |
Kentaro Kamada | JP | Osaka-Shi | 2013-04-18 / 20130094187 - LED BACKLIGHT DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE | 10 |
Ikuko Kamada | JP | Kanagawa | 2014-09-04 / 20140248383 - SLEEP QUALITY IMPROVING AGENT | 1 |
Takayuki Kamada | JP | Chita-County | 2012-11-29 / 20120297805 - COOLING SYSTEM FOR BATTERY | 1 |
Tsuyoshi Kamada | JP | Kanagawa | 2015-05-28 / 20150146148 - LIQUID CRYSTAL DISPLAY UNIT AND METHOD OF MANUFACTURING THE SAME | 25 |
Toshiya Kamada | JP | Sendai-Shi | 2012-09-20 / 20120235110 - PHASE-CHANGE MATERIAL AND PHASE-CHANGE TYPE MEMORY DEVICE | 1 |
Shinya Kamada | JP | Hiroshima | 2009-04-02 / 20090088282 - AUTOMATIC TRANSMISSION | 1 |
Akira Kamada | JP | Toyota-Shi | 2014-03-27 / 20140084087 - FUEL INJECTION VALVE | 3 |
Shinya Kamada | JP | Aichi | 2012-05-10 / 20120111103 - DETECTING APPARATUS | 1 |
Hirofumi Kamada | JP | Ehime | 2012-05-10 / 20120114940 - ANTISTATIC ULTRAFINE FIBER AND METHOD FOR PRODUCING THE SAME | 1 |
Makoto Kamada | JP | Hiratsuka-Shi | 2012-05-10 / 20120114711 - PHARMACEUTICAL COMPOSITION HAVING IMPROVED SOLUBILITY | 1 |
Yasunori Kamada | JP | Kanagawa | 2016-05-19 / 20160140768 - INFORMATION PROCESSING APPARATUS AND RECORDING MEDIUM | 64 |
Tsuyoshi Kamada | JP | Kawasaki | 2012-06-21 / 20120154701 - LIQUID CRYSTAL DISPLAY AND METHOD OF MANUFACTURING THE SAME | 9 |
Shinsaku Kamada | JP | Osaka-Shi | 2016-04-14 / 20160102194 - RUBBER COMPOSITION AND PNEUMATIC TIRE | 2 |
Hideki Kamada | JP | Yonezawa-Shi | 2013-04-18 / 20130093308 - ORGANIC EL ILLUMINATION DEVICE | 2 |
Masayoshi Kamada | JP | Dazaifu | 2013-06-27 / 20130165187 - APPARATUS AND METHOD FOR CONTROLLING DATA TRANSMISSION BASED ON POWER CONSUMPTION OF NODES IN A COMMUNICATION NETWORK | 2 |
Taisuke Kamada | JP | Kurashiki-Shi | 2012-11-22 / 20120292577 - REGIOREGULAR POLYTHIOPHENE AND PROCESS FOR PRODUCTION THEREOF | 2 |
Shinsaku Kamada | JP | Osaka | 2012-11-29 / 20120302664 - RUBBER COMPOSITION FOR TIRE TREAD AND PNEUMATIC TIRE | 2 |
Masahiko Kamada | JP | Mie | 2011-06-30 / 20110159426 - SILICA-TITANIA MIXED OXIDE PARTICLE | 1 |
Ken'Ichi Kamada | JP | Tokyo | 2015-05-21 / 20150139494 - SLOW CHANGE DETECTION SYSTEM | 2 |
Shinya Kamada | JP | Kure-Shi | 2016-04-21 / 20160109019 - HYDRAULIC CONTROL DEVICE OF AUTOMATIC TRANSMISSION | 14 |
Yasushi Kamada | JP | Fukui | 2008-08-28 / 20080203842 - MOTOR | 1 |
Toyohiro Kamada | JP | Miyagi | 2011-07-28 / 20110183069 - DEPOSITION APPARATUS, DEPOSITION METHOD, AND STORAGE MEDIUM HAVING PROGRAM STORED THEREIN | 1 |
Kei Kamada | JP | Miyagi | 2008-09-04 / 20080213151 - Pr-Containing Scintillator Single Crystal, Method of Manufacturing the Same, Radiation Detector, and Inspection Apparatus | 1 |
Shinya Kamada | JP | Tokyo | 2015-04-23 / 20150110106 - COMMUNICATION SYSTEM AND COMMUNICATION CONTROL METHOD | 11 |
Kou Kamada | JP | Shizuoka | 2008-09-11 / 20080219129 - Filter For Optical Recording Medium, Optical Recording Medium, Method For Producing the Same, Optical Recording Method and Optical Reproducing Method | 1 |
Masayoshi Kamada | JP | Fukuoka | 2008-09-25 / 20080232266 - NETWORK MONITORING APPARATUS, NETWORK MONITORING METHOD AND RECORDING MEDIUM | 1 |
Masafumi Kamada | JP | Kanagawa | 2008-10-02 / 20080241134 - USES OF HUMAN MONOCLONAL ANTIBODIES AGAINST OXIDIZED LDL RECEPTOR | 1 |
Tetsurou Kamada | JP | Yokosuka-Shi | 2008-10-09 / 20080249686 - STEERING APPARATUS, AUTOMOTIVE VEHICLE WITH THE SAME, AND STEERING CONTROL METHOD | 1 |
Hachiro Kamada | JP | Tsukuba-Shi | 2008-10-09 / 20080248027 - Method of enhancing intestinal pinocytosis of immunoglobulins in postnatal domestic mammals | 1 |
Jun Kamada | JP | Kawasaki-Shi | 2008-10-30 / 20080271154 - Apparatus, method and computer readable storage medium with recorded program for managing files with alteration preventing/detecting functions | 1 |
Kenji Kamada | JP | Hikone-Shi | 2008-10-30 / 20080263887 - HAIR DRYER | 1 |
Tomiyuki Kamada | JP | Ibaraki | 2008-11-06 / 20080274406 - Negative Electrode Material for Lithium Secondary Battery, Method for Producing Same, Negative Electrode for Lithium Secondary Battery Using Same and Lithium Secondary Battery | 1 |
Masaki Kamada | JP | Fujisawa-Shi | 2008-11-20 / 20080284685 - Plasma display device and capacitive load driving circuit | 1 |
Shinya Kamada | JP | Kure-Shi | 2016-04-21 / 20160109019 - HYDRAULIC CONTROL DEVICE OF AUTOMATIC TRANSMISSION | 14 |
Kenichi Kamada | JP | Akita | 2008-12-04 / 20080296058 - Antenna Device and Shield Cover Thereof | 1 |
Yoshiharu Kamada | JP | Ibaraki-Ken | 2008-12-18 / 20080313093 - Electronic business transaction system | 1 |
Hiroshi Kamada | JP | Yamashina-Ku Kyoto | 2009-01-15 / 20090017687 - DUAL-USE MEDIA CARD CONNECTOR FOR BACKWARDS COMPATIBLE HOLOGRAPHIC MEDIA CARD | 2 |
Masami Kamada | JP | Chiba-Ken | 2009-02-26 / 20090054718 - Method for Producing Decomposer of Organic Halogenated Compounds | 2 |
Akihiro Kamada | JP | Toyama | 2009-03-05 / 20090057677 - FERROELECTRIC DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Hideki Kamada | JP | Okayama | 2011-12-22 / 20110309014 - FILTRATION MATERIAL FOR FILTERS, AND FILTER CARTRIDGE | 2 |
Taisuke Kamada | JP | Ibaraki | 2009-03-19 / 20090075363 - CELL CULTURE CONTAINER AND METHOD OF PRODUCING THE SAME | 1 |
Hachiro Kamada | JP | Ibaraki | 2012-01-12 / 20120010289 - METHOD FOR PLACENTAL EXFOLIATION USING OXO-ARACHIDONIC ACID OR THE LIKE | 2 |
Hiroshi Kamada | JP | Kyoto-Shi | 2009-03-26 / 20090082115 - Attachment device to electronic apparatus and electronic apparatus system | 2 |
Tadashi Kamada | JP | Nagoya-City | 2015-01-15 / 20150015596 - DRIVING SUPPORT APPARATUS | 4 |
Kentaro Kamada | JP | Osaka-Shi | 2013-04-18 / 20130094187 - LED BACKLIGHT DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE | 10 |
Toshimitsu Kamada | JP | Sakai-Shi | 2013-11-14 / 20130299142 - HEAT EXCHANGER AND AIR CONDITIONER | 1 |
Yoichi Kamada | JP | Kawasaki-Shi | 2012-08-30 / 20120217591 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME, AND POWER SUPPLY APPARATUS | 1 |
Takafumi Kamada | JP | Kanagawa-Ken | 2009-05-21 / 20090126574 - MOISTURE SEPARATION HEATER | 1 |
Eri Kamada | JP | Nara | 2014-04-24 / 20140110153 - WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 1 |
Ryoju Kamada | JP | Yokohama-Shi | 2009-05-28 / 20090138791 - APPARATUS AND METHOD FOR HELPING IN THE READING OF AN ELECTRONIC MESSAGE | 1 |
Rui Kamada | JP | Higashiomi-Shi | 2013-06-20 / 20130153014 - PHOTOELECTRIC CONVERTER AND METHOD OF MANUFACTURING PHOTOELECTRIC CONVERTER | 6 |
Hideyo Kamada | JP | Kashiwa | / - | 1 |
Masao Kamada | JP | Neyagawa-Shi | 2009-07-02 / 20090168404 - ILLUMINATING DEVICE FOR LIQUID CRYSTAL PANEL | 1 |
Minori Kamada | JP | Shiga | 2011-06-16 / 20110140600 - PLASMA DISPLAY REAR PANEL AND ITS MANUFACTURING METHOD | 3 |
Akihiko Kamada | JP | Yasu-Shi | 2011-09-08 / 20110216456 - ESD PROTECTION DEVICE | 3 |
Toshimitsu Kamada | JP | Osaka | 2013-11-21 / 20130306285 - HEAT EXCHANGER AND AIR CONDITIONER | 8 |
Tsuyoshi Kamada | JP | Osaka-Shi | 2015-08-13 / 20150226394 - LIGHTING FILM, WEB ROLL FOR LIGHTING FILM, WINDOW PANE, ROLL SCREEN, AND LIGHTING LOUVER | 33 |
Kyoko Kamada | JP | Tokyo | 2013-02-21 / 20130045597 - LIQUID COMPOSITION FOR CLEANING SEMICONDUCTOR SUBSTRATE AND METHOD OF CLEANING SEMICONDUCTOR SUBSTRATE USING THE SAME | 1 |
Kenichi Kamada | JP | Kawasaki | 2009-09-17 / 20090232266 - SIGNAL PROCESSING DEVICE | 1 |
Masao Kamada | JP | Tokyo | 2009-10-01 / 20090242536 - METAL-BASED FLUX CORD WIRE FOR AR-CO2 MIXED GAS SHIELDED ARC WELDING | 1 |
Kazuhiro Kamada | JP | Anan-Shi | 2009-11-26 / 20090289268 - LIGHT EMITTING APPARATUS AND SEMICONDUCTOR APPARATUS, AND METHOD FOR MANUFACTURING THE SAME | 1 |
Michiru Kamada | JP | Miyagi | 2012-01-12 / 20120009711 - SEMICONDUCTOR LIGHT EMITTING DEVICE, METHOD FOR MANUFACTURING SAME, AND METHOD FOR FORMING UNDERLYING LAYER | 4 |
Ryuji Kamada | JP | Hino-Shi | 2010-02-04 / 20100027985 - In-finder display apparatus | 1 |
Yasuhiro Kamada | JP | Tokyo | 2014-12-11 / 20140361771 - MAGNETIC RESONANCE IMAGING APPARATUS AND RECONSTRUCTED IMAGE ACQUISITION METHOD | 7 |
Jun Kamada | JP | Kawasaki | 2014-03-27 / 20140089681 - SECURE PROCESSOR AND A PROGRAM FOR A SECURE PROCESSOR | 7 |
Yoichi Kamada | JP | Kawasaki | 2014-04-17 / 20140106527 - METHOD OF PRODUCING SEMICONDUCTOR DEVICE | 4 |
Yasushi Kamada | JP | Nara | 2010-04-29 / 20100101358 - SWIVEL JOINT | 1 |
Noboru Kamada | JP | Azumino-Shi | 2010-05-06 / 20100112053 - GASTRIC RETENTION-TYPE SUSTAINED-RELEASE LEVODOPA PREPARATION | 3 |
Mikio Kamada | JP | Kanagawa | 2013-05-02 / 20130111603 - INFORMATION PROCESSING APPARATUS AND METHOD, RECORDING MEDIUM, AND PROGRAM | 5 |
Takahiro Kamada | JP | Matsubara | 2013-01-03 / 20130005800 - COMPOSITION FOR TRANSDERMAL OR TRANSMUCOSAL ADMINISTRATION | 2 |
Kazuo Kamada | JP | Hirakata-Shi | 2010-06-17 / 20100148196 - LED LIGHTING FIXTURE | 2 |
Yoshinobu Kamada | JP | Ichinomiya-Shi | 2014-10-02 / 20140292244 - CONTROL APPARATUS FOR SWITCHED RELUCTANCE MOTOR | 4 |
Hideki Kamada | JP | Okayama-Shi | 2015-10-15 / 20150292127 - DRAWING DEVICE AND DRAWING METHOD | 3 |
Kazuhiro Kamada | JP | Tokushima-Shi | 2016-02-04 / 20160035952 - LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE | 10 |
Nobuo Kamada | JP | Shizuoka | 2010-08-26 / 20100218267 - Methods for Producing Antibodies | 1 |
Takahiro Kamada | JP | Chiyoda-Ku | 2010-09-09 / 20100226393 - COMMUNICATION SYSTEM AND COMMUNICATION APPARATUS | 1 |
Atsuko Kamada | JP | Tokyo | 2010-10-28 / 20100271569 - Liquid crystal display device and color filter for liquid crystal display device | 2 |
Etsuo Kamada | JP | Nobeoka-Shi | 2010-11-18 / 20100291161 - CELLULOSE POWDER | 1 |
Yumiko Kamada | JP | Tokyo | 2010-11-18 / 20100288295 - MAKEUP METHOD, MAKEUP SIMULATION DEVICE, AND MAKEUP SIMULATION PROGRAM | 1 |
Takanori Kamada | JP | Kyoto | 2010-11-18 / 20100288024 - DEGASIFIER AND LIQUID CHROMATOGRAPH EQUIPPED THEREWITH | 2 |
Atsushi Kamada | JP | Toyota-Shi | 2014-10-30 / 20140318294 - VEHICLE SHIFT CONTROL DEVICE | 2 |
Kenichi Kamada | JP | Minamiakita-Gun | 2010-12-16 / 20100315305 - HYBRID ANTENNA UNIT | 4 |
Masaya Kamada | JP | Aichi-Ken | 2010-12-16 / 20100313552 - EXHAUST EMISSION CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 5 |
Yousuke Kamada | JP | Yokohama-Shi | 2013-05-02 / 20130109318 - DATA CONTROL APPARATUS, DATA CONTROL METHOD, AND STORAGE MEDIUM | 5 |
Shinobu Kamada | JP | Kanagawa | 2011-01-20 / 20110015849 - INTAKE AIR CONTROL APPARATUS FOR VEHICULAR INTERNAL COMBUSTION ENGINE | 2 |
Ikuo Kamada | JP | Ishikawa | 2009-10-08 / 20090250445 - Automatic Cutting Device and Production Method for Beveled Product | 1 |
Masato Kamada | JP | Chiba-Shi | 2011-02-17 / 20110036916 - MOISTURE CONTROL MODULE, PROCESS FOR PRODUCING THE MOISTURE CONTROL MODULE, AND APPARATUS FOR PRODUCING THE MOISTURE CONTROL MODULE | 1 |
Takamitsu Kamada | JP | Mobara | 2015-06-04 / 20150153616 - LIQUID CRYSTAL DISPLAY DEVICE | 9 |
Hiroyuki Kamada | JP | Toyama | 2011-03-03 / 20110049644 - FABRICATION METHOD OF SEMICONDUCTOR DEVICE | 2 |
Hiroyuki Kamada | JP | Nishishirakawa | 2013-12-26 / 20130340671 - SILICA GLASS CRUCIBLE, METHOD FOR MANUFACTURING SAME, AND METHOD FOR MANUFACTURING SILICON SINGLE CRYSTAL | 2 |
Kokichi Kamada | JP | Shizuoka | / - | 1 |
Tomiyuki Kamada | JP | Tokyo | 2011-03-10 / 20110059371 - MULTI-LAYER STRUCTURED CARBONACEOUS MATERIAL, PROCESS FOR PRODUCING THE SAME, AND NONAQUEOUS SECONDARY BATTERY ADOPTING THE SAME | 2 |
Tomihisa Kamada | JP | Chiyoda-Ku | 2011-03-17 / 20110067093 - USAGE PERIOD MANAGEMENT SYSTEM FOR APPLICATIONS | 1 |
Toshiyuki Kamada | JP | Saitama | 2013-02-07 / 20130032509 - SUBSTRATE STORAGE CONTAINER | 3 |
Yoshiharu Kamada | JP | Moriya | 2011-04-14 / 20110088101 - INFORMATION EXCHANGE/SHARE SYSTEM, METHOD AND PROGRAM THEREOF | 1 |
Minoru Kamada | JP | Hyogo | 2013-10-17 / 20130269328 - Hydrostatic Stepless Transmission | 3 |
Rui Kamada | JP | Shiga | 2011-12-22 / 20110308616 - Photoelectric Conversion Device | 2 |
Takurou Kamada | JP | Wako-Shi | 2013-12-12 / 20130330587 - VEHICLE BATTERY UNIT | 1 |
Takashi Kamada | JP | Osaka | 2013-02-14 / 20130037482 - COMPOSITE SEPARATION MEMBRANE AND SEPARATION MEMBRANE ELEMENT USING THE SAME | 8 |
Kentaro Kamada | JP | Komaki-Shi | 2014-07-10 / 20140190828 - GAS SENSOR ELEMENT AND GAS SENSOR | 2 |
Masaru Kamada | JP | Fukaya | 2012-06-14 / 20120144938 - INSPECTION DEVICE AND METHOD | 1 |
Minoru Kamada | JP | Amagasaki-Shi | 2016-02-11 / 20160040691 - CONTROL MECHANISM FOR HYDROSTATIC TRANSMISSION | 3 |
Seiji Kamada | JP | Kanagawa | 2013-03-14 / 20130066934 - SEMICONDUCTOR DEVICE | 3 |
Tomihisa Kamada | JP | Tokyo | 2013-11-14 / 20130304469 - INFORMATION PROCESSING METHOD AND APPARATUS, COMPUTER PROGRAM AND RECORDING MEDIUM | 11 |
Hitoshi Kamada | JP | Itami-Shi | 2014-05-22 / 20140137797 - COATING DEVICE | 2 |
Michiru Kamada | JP | Tokyo | 2015-11-26 / 20150336309 - LIQUID BLEND FOR REACTION INJECTION MOLDING, METHOD FOR PRODUCING REACTION INJECTION MOLDED BODY, AND REACTION INJECTION MOLDED BODY | 1 |
Shohhei Kamada | JP | Tokyo | 2014-12-18 / 20140368791 - OPHTHALMOLOGIC APPARATUS AND CONTROL METHOD FOR OPHTHALMOLOGIC APPARATUS | 5 |
Itaru Kamada | JP | Toyoyama-Cho | 2015-11-26 / 20150340847 - MANUFACTURING METHOD OF SPARK PLUG | 1 |
Kenichi Kamada | JP | Sukagawa-City | 2012-06-28 / 20120162769 - IMAGING LENS | 1 |
Toshihiro Kamada | JP | Ashigarakami-Gun | 2014-05-15 / 20140132685 - INK COMPOSITION AND IMAGE FORMING METHOD | 2 |
Kazuhiro Kamada | JP | Tokushima-Shi | 2016-02-04 / 20160035952 - LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE | 10 |
Yasuo Kamada | US | 2015-12-17 / 20150361213 - POLYMER PRODUCT AND PRODUCTION METHOD THEREOF, AND POLYMER PRODUCT PRODUCING APPARATUS | 3 | |
Yasuo Kamada | JP | Shizuoka | 2016-03-24 / 20160083512 - POLYMER PRODUCT, FILM, MOLDED ARTICLE, SHEET, PARTICLE, FIBER, AND METHOD FOR PRODUCING POLYMER | 6 |
Masao Kamada | JP | Osaka | 2015-10-15 / 20150295645 - VISIBLE LIGHT COMMUNICATION TERMINAL | 3 |
Takashi Kamada | JP | Osaka | 2013-02-14 / 20130037482 - COMPOSITE SEPARATION MEMBRANE AND SEPARATION MEMBRANE ELEMENT USING THE SAME | 8 |
Takashi Kamada | JP | Kawasaki | 2010-12-23 / 20100322190 - MOBILE TERMINAL IN MOBILE COMMUNICATION SYSTEM, TRANSMISSION TIMING ADJUSTMENT APPARATUS AND METHOD FOR ADJUSTING TRANSMISSION TIMING | 2 |
Takashi Kamada | JP | Chiba-Shi | 2011-09-29 / 20110233443 - DIAPHRAGM, DIAPHRAGM VALVE, AND METHOD OF MANUFACTURING DIAPHRAGM | 2 |
Yoshihiro Kamada | JP | Tokyo | 2011-08-04 / 20110185770 - Device for Manufacturing Molded Glass Body | 1 |
Natsuki Kamada | JP | Toyama | 2015-04-23 / 20150108676 - Method for Manufacturing Slide Fastener | 2 |
Masaya Kamada | JP | Toyota-Shi | 2014-02-27 / 20140057776 - EXHAUST GAS PURIFICATION CATALYST | 5 |
Kunitoshi Kamada | JP | Kanagawa | 2016-02-11 / 20160043639 - SEMICONDUCTOR DEVICE | 2 |
Takamitsu Kamada | JP | Mobara | 2015-06-04 / 20150153616 - LIQUID CRYSTAL DISPLAY DEVICE | 9 |
Tsuyoshi Kamada | JP | Osaka | 2015-05-28 / 20150146132 - SURFACE LIGHT SOURCE DEVICE, DISPLAY DEVICE, AND LIGHTING DEVICE | 3 |
Terumi Kamada | JP | Oshu-Shi | 2013-01-31 / 20130028687 - HEAT TREATMENT APPARATUS AND METHOD OF TRANSFERRING SUBSTRATES TO THE SAME | 1 |
Yasushi Kamada | JP | Kyoto | 2013-05-16 / 20130119828 - MOTOR AND FAN MOTOR USING THE SAME MOTOR | 3 |
Kentaro Kamada | JP | Kameyama-Shi Mie | 2009-02-19 / 20090046446 - LIGHTING UNIT, BACKLIGHT UNIT, AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Kentaro Kamada | JP | Osaka | 2015-09-03 / 20150247964 - LIGHT SOURCE DEVICE AND DISPLAY DEVICE EQUIPPED WITH SAME | 12 |
Kentaro Kamada | JP | Mie | 2010-08-12 / 20100201919 - LIQUID CRYSTAL DISPLAY DEVICE | 7 |
Mitsuhiko Kamado | JP | Osaka | 2009-05-14 / 20090120386 - Control Apparatus and Control Method for Hydraulically Driven Cooling Fan | 2 |
Shigeharu Kamado | JP | Nagaoka-Shi | 2013-08-22 / 20130213528 - Magnesium-Alloy Member, Compressor for Use in Air Conditioner, and Method for Manufacturing Magnesium-Alloy Member | 1 |
Shigeharu Kamado | JP | Niigata | 2010-10-14 / 20100257976 - Protective Gas Composition For Magnesium/Magnesium Alloy Production And Combustion Preventing Method | 2 |
Mitsuhiko Kamado | JP | Hirakata-Shi | 2013-04-04 / 20130081836 - CONSTRUCTION MACHINE | 3 |
Ryousuke Kamae | JP | Yokohama | 2011-05-05 / 20110101585 - Shock absorber | 1 |
Kentarou Kamae | JP | Mishima-Shi | 2010-02-04 / 20100028793 - TONER | 1 |
Kentaro Kamae | JP | Mishima-Shi | 2013-09-12 / 20130236830 - TONER, BINARY DEVELOPER, AND IMAGE FORMING METHOD | 3 |
Kentaro Kamae | JP | Kashiwa-Shi | 2015-06-25 / 20150177634 - TONER AND TWO-COMPONENT DEVELOPER | 9 |
Toshiya Kamae | JP | Ehime | 2011-04-28 / 20110097568 - EPOXY RESIN COMPOSITION, FIBER-REINFORCED COMPOSITE MATERIAL, AND METHOD FOR PRODUCING THE SAME | 1 |
Kentaro Kamae | JP | Yokohama-Shi | 2013-08-01 / 20130196265 - MAGNETIC CARRIER, TWO-COMPONENT DEVELOPER, AND DEVELOPER FOR REPLENISHMENT | 2 |
Toshiya Kamae | JP | Iyo-Gun | 2013-04-11 / 20130089736 - METHOD FOR PRODUCING SIZING AGENT-COATED CARBON FIBERS, AND SIZING AGENT-COATED CARBON FIBERS | 2 |
Kentaro Kamae | JP | Kashiwa-Shi | 2015-06-25 / 20150177634 - TONER AND TWO-COMPONENT DEVELOPER | 9 |
Toshikazu Kamae | JP | Tokyo | 2012-09-13 / 20120231715 - METHOD AND DEVICE FOR DEBONING BONE-IN LEG | 1 |
Toshiya Kamae | JP | Otsu-Shi | 2014-11-20 / 20140342144 - CARBON FIBER FORMING RAW MATERIAL, FORMED MATERIAL, AND CARBON FIBER-REINFORCED COMPOSITE MATERIAL | 2 |
Toshiya Kamae | JP | Otsu | 2015-07-23 / 20150207151 - GAS DIFFUSION ELECTRODE MEDIUM FOR FUEL CELL | 2 |
Pavel Kamaev | US | Lexington | 2015-09-24 / 20150265762 - SYSTEMS AND METHODS FOR MONITORING TIME BASED PHOTO ACTIVE AGENT DELIVERY OR PHOTO ACTIVE MARKER PRESENCE | 9 |
Pavel Kamaev | US | Lexington | 2015-09-24 / 20150265762 - SYSTEMS AND METHODS FOR MONITORING TIME BASED PHOTO ACTIVE AGENT DELIVERY OR PHOTO ACTIVE MARKER PRESENCE | 9 |
Pavel Kamaev | US | North Reading | 2010-11-25 / 20100298744 - SYSTEM AND METHOD OF TREATING TISSUE WITH ULTRASOUND ENERGY | 1 |
Svetlana Kamaeva | RU | Moscow | 2014-12-18 / 20140368191 - APPARATUS AND METHOD FOR METALLIC CONSTRUCTIONS ASSESSMENT | 2 |
Ryuichi Kamaga | US | 2015-12-10 / 20150352969 - VEHICLE-MOUNTED CHARGING DEVICE AND VEHICLE CHARGING SYSTEM | 1 | |
Ryuichi Kamaga | JP | Nisshin-Shi | 2011-11-24 / 20110288710 - HYBRID VEHICLE | 10 |
Ryuichi Kamaga | JP | Toyota-Shi | 2014-09-11 / 20140254694 - COMMUNICATION SYSTEM AND COMMUNICATION DEVICE | 2 |
Ryuichi Kamaga | JP | Nissin-Shi | 2015-10-08 / 20150286233 - CONTROL SYSTEM | 6 |
Ryuichi Kamaga | JP | Nisshin-Shi | 2011-11-24 / 20110288710 - HYBRID VEHICLE | 10 |
Ryuichi Kamaga | JP | Nissin-Shi, Aichi-Ken | 2015-12-10 / 20150352969 - VEHICLE-MOUNTED CHARGING DEVICE AND VEHICLE CHARGING SYSTEM | 1 |
Ryuichi Kamaga | JP | Aichi | 2014-10-02 / 20140292069 - COMMUNICATION SYSTEM AND COMMUNICATION DEVICE | 3 |
Tadashi Kamagata | JP | Yokohama-Shi | 2011-12-08 / 20110297305 - COLORING COMPOSITION AND COLORING METHOD | 2 |
Tadashi Kamagata | JP | Kanagawa | 2009-02-26 / 20090049625 - COLORING COMPOSITION AND COLORING METHOD | 1 |
Eiji Kamagata | JP | Kamakura-Shi | 2012-09-27 / 20120242461 - COMMUNICATION APPARATUS | 2 |
Masayuki Kamagata | JP | Shibuya-Ku | 2015-04-30 / 20150113812 - CUTTER FOR DOUBLE-EDGED EYELID-FORMING TAPE OR THREAD | 1 |
Eiji Kamagata | JP | Kanagawa | 2012-08-30 / 20120219092 - COMMUNICATION DEVICE AND PROGRAM PRODUCT | 2 |
Masayuki Kamagata | JP | Sanbu-Gun | 2016-03-17 / 20160073768 - DOUBLE EYELID FORMATION TAPE, METHOD FOR MANUFACTURING SAME, AND METHOD FOR FORMING DOUBLE EYELID USING DOUBLE EYELID FORMATION TAPE | 1 |
Kentaro Kamagata | JP | Gunma | 2011-04-07 / 20110079675 - MEDIUM STORING AND ADVANCING APPARATUS | 2 |
Eiji Kamagata | JP | Kanagawa-Ken | 2012-04-05 / 20120081212 - REMOTE ACTIVATING DEVICE | 2 |
Masayuki Kamagata | JP | Tokyo | 2011-11-10 / 20110271973 - SOLUTION FOR FORMING DOUBLE EYELID AND METHOD FOR FORMING DOUBLE EYELID USING SAME | 1 |
Kohki Kamagome | JP | Saitama | 2013-05-09 / 20130112534 - SWITCH DEVICE | 1 |
Masataka Kamahara | JP | Kochi | 2011-02-24 / 20110041397 - GREENHOUSE WINDBREAK MECHANISM | 1 |
Takashi Kamahara | JP | Chiba | 2008-09-04 / 20080214564 - MACROLIDE COMPOUND IN SOLID FORM, PROCESS FOR PREPARATION THEREOF, AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 1 |
Joji Kamahara | JP | Tokyo | 2014-08-07 / 20140218416 - DISPLAY APPARATUS AND CONTROL METHOD THEREOF | 3 |
Masataka Kamahara | JP | Kochi-Shi | 2012-08-23 / 20120210637 - LIGHTING ENVIRONMENT CONTROL FACILITY FOR CULTIVATION OF CROPS, PEST CONTROL METHOD, AND INTENSIVE CULTIVATION METHOD | 1 |
Atsushi Kamahora | JP | Miyagi | 2008-08-28 / 20080203194 - Fuel Injection Valve | 1 |
Atsushi Kamahora | JP | Shioya-Gun | 2014-05-08 / 20140123933 - SUPPORT STRUCTURE OF DIRECT FUEL INJECTION VALVE | 2 |
Atsushi Kamahora | JP | Tochigi | 2013-08-29 / 20130220277 - FUEL INJECTION VALVE SUPPORTING STRUCTURE | 1 |
Akira Kamahori | JP | Tokyo | 2013-12-05 / 20130318694 - CHEMICAL PROTECTIVE SUIT | 1 |
Takao Kamahori | JP | Tokyo | 2010-02-18 / 20100041656 - Novel amide derivatives and medicinal use thereof | 1 |
Hideo Kamahori | JP | Kanagawa | 2008-10-02 / 20080238456 - SEMICONDUCTOR INSPECTION APPARATUS | 1 |
Masao Kamahori | JP | Tokyo | 2016-02-25 / 20160054257 - Electrolyte Concentration Measuring Apparatus and Measuring Method Using Same | 5 |
Masao Kamahori | JP | Kokubunji | 2013-01-31 / 20130029872 - ARRAY FOR DETECTING BIOLOGICAL SUBSTANCE, ASSAY SYSTEM AND ASSAY METHOD | 10 |
Yasuhiro Kamahori | JP | Fukuoka | 2010-01-28 / 20100021735 - Nickel-rhenium alloy powder and conductor paste containing the same | 2 |
Ayako Kamahori | JP | Hiratsuka-Shi, Kanagawa | 2016-02-25 / 20160053094 - Rubber Composition for Heavy-Load Pneumatic Tire | 2 |
Takao Kamahori | JP | Osaka-Shi | 2010-06-17 / 20100152261 - NOVEL NITROGEN-CONTAINING HETEROCYCLIC COMPOUND | 1 |
Masao Kamahori | JP | Kokubunji | 2013-01-31 / 20130029872 - ARRAY FOR DETECTING BIOLOGICAL SUBSTANCE, ASSAY SYSTEM AND ASSAY METHOD | 10 |
Shaden Kamahwi | US | Rockville | 2014-10-02 / 20140294875 - Leishmania Vaccine Using Sand Fly Salivary Immunogen | 3 |
Takahiro Kamai | JP | Osaka | 2009-08-13 / 20090204395 - STRAINED-ROUGH-VOICE CONVERSION DEVICE, VOICE CONVERSION DEVICE, VOICE SYNTHESIS DEVICE, VOICE CONVERSION METHOD, VOICE SYNTHESIS METHOD, AND PROGRAM | 1 |
Takahiro Kamai | JP | Kyoto | 2014-10-02 / 20140293737 - ACOUSTO-OPTIC IMAGE CAPTURE DEVICE | 17 |
Ryo Kamai | JP | Hyogo | 2015-10-22 / 20150303487 - CARBON-BASED MATERIAL, ELECTRODE CATALYST, ELECTRODE, GAS DIFFUSION ELECTRODE, ELECTROCHEMICAL DEVICE, FUEL BATTERY, AND PROCESS FOR PRODUCING CARBON-BASED MATERIAL | 3 |
Ryo Kamai | JP | Osaka | 2014-05-15 / 20140131197 - CARBON DIOXIDE ENRICHMENT DEVICE | 3 |
Yasuyuki Kamai | JP | Tokyo | 2015-02-26 / 20150058717 - Document Editing Apparatus, Non-Transitory Computer-Readable Recording Medium and Document Editing Method | 4 |
Yasuki Kamai | JP | Tokyo | 2015-05-07 / 20150125454 - ANTI-FGFR2 ANTIBODY | 1 |
Tamir Kamai | US | Davis | 2009-07-02 / 20090166520 - IN-SITU SOIL NITRATE ION CONCENTRATION SENSOR | 1 |
Noriyoshi Kamai | JP | Hyogo | 2015-06-11 / 20150159063 - ADHESIVE FOR LAMINATED SHEETS | 2 |
Moses M. Kamai | US | Bristow | 2010-12-30 / 20100332359 - ACTIVE CONTAINER MANAGEMENT SYSTEM | 1 |
Theodoros Kamakaris | US | Jersey City | 2010-06-10 / 20100146289 - RADIO SCENE ENCRYPTION AND AUTHENTICATION PROCESS | 1 |
Theodoros Kamakaris | US | San Jose | 2014-09-11 / 20140256269 - SYSTEM AND METHOD FOR CONFIRMING RADIO FREQUENCY (RF) SIGNAL CONNECTIVITY WITH DEVICE UNDER TEST (DUT) | 1 |
Haruyoshi Kamakawa | JP | Tokyo | 2011-05-26 / 20110120857 - FUNCTIONAL SOLUTION SUPPLY SYSTEM | 1 |
Preeti Kamakoti | US | Summit | 2015-11-19 / 20150328578 - Method And Apparatus For Removal of Oil From Utility Gas Stream | 9 |
Preeti Kamakoti | US | Summit | 2015-11-19 / 20150328578 - Method And Apparatus For Removal of Oil From Utility Gas Stream | 9 |
Junichi Kamakura | JP | Yokohama | 2010-03-18 / 20100070723 - STORAGE AREA MANAGEMENT METHOD FOR CREATING COPY PAIR | 1 |
Katsutoshi Kamakura | JP | Tokyo | 2010-01-14 / 20100009072 - CODE DISK WITH A PLURALITY OF TRACKS HAVING DIFFERENT PATTERNS | 1 |
Takanobu Kamakura | JP | Kitakyushu Fukuoka | 2016-03-03 / 20160064599 - SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND OPTICAL COUPLING DEVICE | 1 |
Yoshimitsu Kamakura | JP | Kanagawa | 2015-09-24 / 20150264958 - CHEWING GUM PRODUCT AND METHOD FOR THE FORMATION THEREOF | 1 |
Kentaro Kamakura | JP | Tsuchiura-Shi | 2013-12-12 / 20130327427 - Hydraulic Oil Tank for Construction Machine | 1 |
Toshinari Kamakura | JP | Tokyo | 2015-05-14 / 20150134263 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND RECORDING MEDIUM | 1 |
Takanobu Kamakura | JP | Kanagawa-Ken | 2012-05-31 / 20120132948 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 6 |
Ayumu Kamakura | US | 2015-11-12 / 20150325832 - BATTERY | 1 | |
Takanobu Kamakura | JP | Fukuoka-Ken | 2015-10-29 / 20150311393 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 12 |
Yoshifumi Kamakura | JP | Tsushima-Shi | 2013-03-28 / 20130074699 - CLOG INDICATING DEVICE IN A DUST COLLECTOR | 2 |
Kei Kamakura | JP | Hara-Mura | 2015-07-23 / 20150205180 - METHOD OF MANUFACTURING ELECTROPHORESIS DISPERSION LIQUID, ELECTROPHORESIS DISPERSION LIQUID, DISPLAY DEVICE AND ELECTRONIC APPARATUS | 1 |
Kenji Kamakura | JP | Yamanashi | 2016-01-28 / 20160029521 - COMPONENT MOUNTING APPARATUS AND COMPONENT MOUNTING METHOD | 2 |
Shogo Kamakura | JP | Hamamatsu-Shi | 2013-01-10 / 20130009266 - PHOTODIODE ARRAY, METHOD FOR DETERMINING REFERENCE VOLTAGE, AND METHOD FOR DETERMINING RECOMMENDED OPERATING VOLTAGE | 1 |
Tsukasa Kamakura | JP | Toyama | 2015-04-16 / 20150101755 - SUBSTRATE PROCESSING APPARATUS | 7 |
Mitsutoshi Kamakura | JP | Osaka | 2009-10-22 / 20090262509 - Welding Part Structure Of A Stem And A Component To Be Welded, A Semiconductor Device Which Has The Welding Part Structure, An Optical Module Which Has The Semiconductor, And The Production Method Thereof | 1 |
Takashi Kamakura | JP | Kagawa-Ken | 2010-12-23 / 20100324464 - WOUND-COVERING HYDROGEL MATERIAL | 1 |
Takanobu Kamakura | JP | Fukuoka-Ken | 2015-10-29 / 20150311393 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 12 |
Tsukasa Kamakura | JP | Toyama-Shi | 2016-03-03 / 20160064219 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM | 8 |
Masafumi Kamakura | JP | Yokohama | 2012-11-01 / 20120275473 - SHELF OF A NETWORK SYNCHRONIZATION DEVICE, AND NETWORK SYNCHRONIZATION DEVICE | 4 |
Youichi Kamakura | JP | Anjo-Shi | 2013-01-17 / 20130014381 - METHOD OF MANUFACTURING STATOR COIL FOR ELECTRIC ROTATING MACHINEAANM KAYUKAWA; KimiharuAACI NagoyaAACO JPAAGP KAYUKAWA; Kimiharu Nagoya JPAANM DOBASHI; MasaomiAACI Kariya-shiAACO JPAAGP DOBASHI; Masaomi Kariya-shi JPAANM SHIMAOKA; HidejiAACI Anjo-shiAACO JPAAGP SHIMAOKA; Hideji Anjo-shi JPAANM KAMAKURA; YouichiAACI Anjo-shiAACO JPAAGP KAMAKURA; Youichi Anjo-shi JP | 4 |
Masafumi Kamakura | JP | Miyazaki | 2009-10-01 / 20090244040 - PLASMA DISPLAY PANEL, DRIVING METHOD OF PLASMA DISPLAY PANEL, AND PLASMA DISPLAY APPARATUS | 1 |
Ryosuke Kamakura | JP | Inuyama-Shi | 2012-12-27 / 20120325603 - DAMPING VALVE | 1 |
Tomoyuki Kamakura | JP | Matsumoto-Shi | 2016-04-14 / 20160101470 - THREE-DIMENSIONAL FORMING APPARATUS AND THREE-DIMENSIONAL FORMING METHOD | 2 |
Emiko Kamakura | JP | Tsukuba-Shi | 2011-05-05 / 20110104171 - EphA4 Polypeptide Having a Novel Activity and Use Thereof | 2 |
Satoko Kamakura | JP | Hyogo | 2009-03-05 / 20090059665 - Semiconductor Memory | 1 |
Masaomi Kamakura | JP | Tokyo | 2010-05-06 / 20100109775 - SEMICONDUCTOR DEVICE HAVING RESISTORS WITH A BIASED SUBSTRATE VOLTAGE | 1 |
Tomoo Kamakura | JP | Kawasaki-Shi | 2010-10-07 / 20100251823 - ACOUSTIC TRANSDUCER AND IMAGE GENERATION APPARATUS | 1 |
Takaya Kamakura | JP | Yokosuka-Shi | 2015-03-05 / 20150062923 - Light-Emitting Module and Lighting System | 3 |
Tomoyuki Kamakura | JP | Matsumoto | 2016-04-28 / 20160120051 - PACKAGE, MANUFACTURING METHOD OF PACKAGE, ELECTRONIC DEVICE, ELECTRONIC APPARATUS, AND MOVING OBJECT | 7 |
Tsukasa Kamakura | JP | Toyama-Shi | 2016-03-03 / 20160064219 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM | 8 |
Yoshimitsu Kamakura | JP | Shinagawa-Ku | 2012-06-28 / 20120164280 - Multiple-Region Candy and Manufacturing Method Therefor | 1 |
Ayumu Kamakura | JP | Toyota-Shi | 2015-11-12 / 20150325832 - BATTERY | 1 |
Takashi Kamakura | JP | Higashikagawa-Shi | 2014-06-26 / 20140179734 - ADHESIVE SKIN PATCH CONTAINING SEROTONIN RECEPTOR ANTAGONIST DRUG | 5 |
Ken Kamakura | JP | Kawasaki | 2012-11-15 / 20120291111 - BIOMETRIC AUTHENTICATION SYSTEM AND BIOMETRIC AUTHENTICATION METHOD | 6 |
Ryosuke Kamakura | JP | Aichi | 2016-01-28 / 20160025237 - DAMPING VALVE | 5 |
Yoshinori Kamakura | JP | Kanagawa | 2012-03-15 / 20120064812 - STUFFING APPARATUS AND CASING BREAKAGE DETECTING DEVICE FOR STUFFING APPARATUS | 2 |
Tomoyuki Kamakura | JP | Matsumto | 2014-01-09 / 20140009875 - BASE SUBSTRATE, ELECTRONIC DEVICE, AND ELECTRONIC APPARATUS | 1 |
Takashi Kamakura | JP | Kita-Gun | 2011-04-28 / 20110097407 - Butenafine Hydrochloride-Containing Aqueous Patch | 2 |
Yoshimitsu Kamakura | JP | Tokyo | 2014-05-08 / 20140127353 - FLUIDIC FOOD COMPOSITION AND CONFECTIONARY | 1 |
Ken Kamakura | JP | Machida | 2013-10-31 / 20130290565 - STORAGE MEDIUM, INFORMATION PROCESSING DEVICE AND METHOD | 2 |
Tatsuo Kamakura | JP | Anjo-Shi | 2010-02-11 / 20100032993 - OPENING AND CLOSING CONTROL APPARATUS FOR ROOF PANEL FOR VEHICLE | 1 |
J. Robert Kamal | US | Brighton | 2013-11-21 / 20130309998 - Method and System For Tracking Communications Between Telecommunication Devices | 4 |
Hassan Kamal | KR | Suwon-Si | 2016-05-19 / 20160142042 - ELIMINATION METHOD FOR COMMON SUB-EXPRESSION | 2 |
Musa Kamal | CA | Westmount | 2015-10-29 / 20150307692 - SPRAY FREEZE-DRIED NANOPARTICLES AND METHOD OF USE THEREOF | 1 |
Kushal Kamal | IN | Noida | 2014-05-01 / 20140122010 - LCD DRIVER VERIFICATION SYSTEM | 2 |
Thaher Kamal | DE | Berlin | 2015-12-10 / 20150352166 - Compositions for the Treatment of Dermatological Conditions, Disorders or Diseases | 1 |
Mohammad Ashfaq Kamal | US | King Of Prussia | 2014-09-18 / 20140279024 - SYSTEM FOR AND METHOD FOR A CONSUMER EXPERIENCE PLATFORM | 5 |
Nitin Kamal | IN | Mumbai | 2015-02-12 / 20150046393 - METHOD AND DEVICE FOR EXECUTING AN ENTERPRISE PROCESS | 1 |
Mohammad Kamal | US | Livermore | 2015-06-04 / 20150153563 - WAVELENGTH TUNABLE MEMS-FABRY PEROT FILTER | 1 |
Ashfaq Kamal | US | Norristown | 2015-04-23 / 20150113262 - ADAPTIVE APPLICATION OF ACCESSORY DEVICE SETTINGS | 4 |
Adeela Kamal | US | Gaithersburg | 2014-10-23 / 20140314784 - ANTI-CXCR4 ANTIBODIES AND METHODS OF USE | 1 |
Azhar N. Kamal | DE | Muenchen | 2015-05-28 / 20150147998 - METHOD AND DEVICE FOR TRANSMITTING SOUND, IMAGE AND POSITION DATA TO A CONTROL CENTER IN THE EVENT OF AN EMERGENCY | 1 |
Arif Kamal | SE | Lulea | 2014-01-30 / 20140032538 - Apparatus, Methods, and Computer Program Products For Adaptive Multimedia Content Indexing | 1 |
Takahiro Kamal | JP | Kyoto | 2014-05-01 / 20140121490 - ACOUSTO-OPTIC IMAGING DEVICE | 1 |
Khalid Kamal | US | Novi | 2014-12-04 / 20140354755 - Method For Color Marking Metallic Surfaces | 1 |
Yousef Kamal | US | Novi | 2014-12-04 / 20140354755 - Method For Color Marking Metallic Surfaces | 1 |
Pratyush Kamal | US | San Diego | 2016-02-11 / 20160042110 - HIGH QUALITY PHYSICAL DESIGN FOR MONOLITHIC THREE-DIMENSIONAL INTEGRATED CIRCUITS (3D IC) USING TWO-DIMENSIONAL INTEGRATED CIRCUIT (2D IC) DESIGN TOOLS | 15 |
Abu Kamal | US | Santa Clara | 2014-09-18 / 20140265887 - VOLTAGE TO CURRENT ARCHITECTURE TO IMPROVE PWM PERFORMANCE OF OUTPUT DRIVERS | 1 |
Hisham Kamal | DE | Waldkraiburg | 2015-12-24 / 20150369241 - Screw Pump | 13 |
Farrukh Kamal | US | Redmond | 2010-12-09 / 20100311280 - DUAL-BARREL, CONNECTOR JACK AND PLUG ASSEMBLIES | 1 |
Manish Kamal | US | Seal Beach | 2016-03-17 / 20160076581 - FASTENERS WITH DUAL SKIN DEPTH WASHERS | 4 |
Lilian Kamal | US | 2011-04-28 / 20110095366 - FORMING AN EXTREMELY THIN SEMICONDUCTOR-ON-INSULATOR (ETSOI) LAYER | 2 | |
Lilian Kamal | US | Saratoga | 2012-11-08 / 20120280356 - UNIFORMLY ALIGNED WELL AND ISOLATION REGIONS IN A SUBSTRATE AND RESULTING STRUCTURE | 4 |
Ahmed E. Kamal | US | Ames | 2009-05-28 / 20090135717 - NETWORK PROTECTION USING NETWORK CODING | 1 |
Tazrien Kamal | US | San Jose | 2013-09-12 / 20130237022 - METHOD AND APPARATUS FOR PROTECTION AGAINST PROCESS-INDUCED CHARGING | 2 |
Ehab Kamal | US | Novi | 2015-12-24 / 20150368937 - Retention Mechanism for Insertion Member in Vehicular Door Handle Assembly | 14 |
Ehab Khalid Kamal | US | Novi | 2013-10-31 / 20130285390 - Rotary Locking Mechanism For Outside Vehicle Door handle | 2 |
Kushal Kamal | IN | New Delhi | 2016-02-18 / 20160048147 - VOLTAGE REGULATION SUBSYSTEM | 2 |
Ahmed K. Kamal | US | Bellevue | 2010-08-05 / 20100194778 - PROJECTING DATA DIMENSIONS ON A VISUALIZATION DATA SET | 3 |
Lilian Kamal | US | Jericho | 2012-04-05 / 20120084741 - STRUCTURE, DESIGN STRUCTURE AND PROCESS FOR INCREASING MAGNITUDE OF DEVICE THRESHOLD VOLTAGE FOR LOW POWER APPLICATIONS | 1 |
Yasuyuki Kamal | JP | Toshima-Ku | 2013-06-27 / 20130162713 - NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM STORING DISTRIBUTED PRINTING CONTROL PROGRAM | 1 |
Neel Kamal | US | Sunnyvale | 2010-04-01 / 20100082535 - Method and System for Uploading Advertisement Content | 1 |
Mohammed Kamal | US | Troy | 2011-05-26 / 20110125642 - METHODS AND SYSTEMS FOR INDIRECTLY RETRIEVING ACCOUNT DATA FROM DATA STORAGE DEVICES | 1 |
Irfan Ahmed Kamal | US | Arlington | 2010-01-07 / 20100004055 - SYSTEM AND METHOD FOR DONATIONS USING ONLINE INTERACTIVE GAMES | 1 |
Ehab Kamal | US | Novi | 2015-12-24 / 20150368937 - Retention Mechanism for Insertion Member in Vehicular Door Handle Assembly | 14 |
Ahmed Kamal | US | Bellevue | 2009-12-24 / 20090319562 - CANVAS APPROACH FOR ANALYTICS | 1 |
Ahmed Tashrif Kamal | US | San Jose | 2015-11-05 / 20150316996 - SYSTEMS AND METHODS FOR REMAPPING THREE-DIMENSIONAL GESTURES ONTO A FINITE-SIZE TWO-DIMENSIONAL SURFACE | 2 |
Pratyush Kamal | US | San Diego | 2016-02-11 / 20160042110 - HIGH QUALITY PHYSICAL DESIGN FOR MONOLITHIC THREE-DIMENSIONAL INTEGRATED CIRCUITS (3D IC) USING TWO-DIMENSIONAL INTEGRATED CIRCUIT (2D IC) DESIGN TOOLS | 15 |
Ibrahim Kamal | FR | Limoges | 2011-07-07 / 20110162245 - Firearm Sensing Device and Method | 1 |
Medhat Kamal | US | Bronxville | 2013-08-22 / 20130218767 - Web Enabled Bank Teller Machine | 1 |
Ahmed Kamal | IN | Hyderabad | 2015-11-19 / 20150329527 - PYRAZOLE LINKED BENZIMIDAZOLE CONJUGATES AND A PROCESS FOR PREPARATION THEREOF | 21 |
Ahmed Kamal | IN | Andhra Pradesh | 2011-08-18 / 20110201600 - CHALCONE LINKED PYRROLO[2,1-C][1, 4]BENZODIAZEPINE HYBRIDS AS POTENTIAL ANTICANCER AGENTS AND PROCESS FOR THE PREPARATION THEREOF | 4 |
Faiza H. Kamal | US | Hewlett Harbor | 2009-07-16 / 20090182712 - Systems and methods for rapid delivery of media content | 1 |
Mustafa Kamal | US | San Ramon | 2012-02-09 / 20120036488 - Method and Apparatus for Automatic Relative Placement Rule Generation | 1 |
Rami Ahmed Kamal | SA | Dhahran | 2015-10-15 / 20150293258 - SYSTEMS, MACHINES, METHODS, AND ASSOCIATED DATA PROCESSING TO EXPLORE AND ANALYZE SUBTERRANEAN GEOPHYSICAL FORMATIONS | 5 |
Abdallah Kamal | FR | Chateau Gontier | 2010-08-05 / 20100194523 - ELECTRICAL RESISTOR STRUCTURE | 1 |
Hisham Kamal | DE | Waldkraiburg | 2015-12-24 / 20150369241 - Screw Pump | 13 |
Alameh Kamal | AU | West Australia | 2009-03-12 / 20090068775 - Method for Fabricating Micro-Lens and Micro-Lens Integrated Optoelectronic Devices Using Selective Etch of Compound Semiconductor | 1 |
Masud Kamal | US | Pflugerville | 2009-03-12 / 20090070554 - Register File System and Method for Pipelined Processing | 1 |
Adeela Kamal | US | San Diego | 2008-12-25 / 20080318338 - Assays and Implements for Determining and Modulating HSP90 Binding Activity | 1 |
Tamir Kamal | US | Davis | 2011-10-06 / 20110242530 - IN-SITU SOIL NITRATE ION CONCENTRATION SENSOR | 1 |
Aneela Kamal | CH | Chardonne | 2013-09-26 / 20130251854 - FOOD OR BEVERAGE COMPOSITION COMPRISING UNROASTED COFFEE SOLIDS | 2 |
Ahmed Kamal | IN | Hyderabad | 2015-11-19 / 20150329527 - PYRAZOLE LINKED BENZIMIDAZOLE CONJUGATES AND A PROCESS FOR PREPARATION THEREOF | 21 |
Ashfaq Kamal | US | White Plains | 2016-03-31 / 20160092876 - ON-DEVICE SHARED CARDHOLDER VERIFICATION | 6 |
Adeela Kamal | US | Encinitas | 2008-11-13 / 20080280878 - Methods and Compositions for Treating Chronic Lymphocytic Leukemia | 1 |
Rajan Kamala | US | Newtown | 2014-04-03 / 20140091282 - PROCESS FOR FABRICATING METAL BUS LINES FOR OLED LIGHTING PANELS | 1 |
Rajendran Kamala | IN | Chennai | 2011-06-16 / 20110144337 - PROCESSES FOR THE PREPARATION OF 2,5-DIHYDROXYBENZENESULFONIC ACID SALTS | 1 |
Rajendran Kamala | IN | Sholinganallur | 2016-03-17 / 20160074347 - Processes For The Preparation Of 2,5-Dihydroxybenzenesulfonic Acid Salts | 3 |
Arunkumar Kamalakannan | IN | Chennai | 2015-12-17 / 20150364138 - COMPUTER-GENERATED SPEECH DEVICE FOR SITE SURVEY AND MAINTENANCE | 11 |
Arunkumar Kamalakannan | IN | Chennai | 2015-12-17 / 20150364138 - COMPUTER-GENERATED SPEECH DEVICE FOR SITE SURVEY AND MAINTENANCE | 11 |
Chandra Kamalakantha | US | Plano | 2015-12-03 / 20150347093 - Provisioning Tools for Application Development | 2 |
Chandra H. Kamalakantha | US | Plano | 2016-05-12 / 20160132561 - EXPIRATION TAG OF DATA | 9 |
Radha Kamalakaran | IN | Kolkata | 2012-12-20 / 20120322703 - COMPOSITIONS HAVING REDUCED FRICTIONAL COEFFICIENT, METHOD OF MANUFACTURE THEREOF AND ARTICLES COMPRISING THE SAME | 1 |
Sitharthan Kamalakaran | US | Pelham | 2016-02-04 / 20160031091 - FORCE FEEDBACK GRIPPING DEVICE WITH MAGNETORHEOLOGICAL BASED ACTUATOR | 16 |
Sitharthan Kamalakaran | US | Pelham | 2016-02-04 / 20160031091 - FORCE FEEDBACK GRIPPING DEVICE WITH MAGNETORHEOLOGICAL BASED ACTUATOR | 16 |
Sitharthan Kamalakaran | US | Huntington | 2011-03-31 / 20110077964 - MEDICAL ANALYSIS SYSTEM | 1 |
Radha Kamalakaran | IN | Bangalore | 2011-11-03 / 20110265408 - THERMALLY INSULATED STRUCTURAL MEMBERS, AND DOORS AND WINDOWS INCORPORATING THEM | 2 |
Goli Kamalakar Reddy | IN | Andhrapradesh | 2013-08-01 / 20130195978 - Darunavir Compositions | 1 |
Goli Kamalakar Reddy | IN | Hyderabad | 2015-11-26 / 20150335653 - PHARMACEUTICAL COMPOSITIONS OF LINEZOLID | 8 |
Goli Kamalakar Reddy | IN | Hyderabad, Andhra Pradesh | 2015-02-12 / 20150045400 - RITONAVIR COMPOSITIONS | 1 |
Goli Kamalakar Reddy | IN | Hyderabad | 2015-11-26 / 20150335653 - PHARMACEUTICAL COMPOSITIONS OF LINEZOLID | 8 |
Venkataraman Kamalaksha | IN | Bangalore Karnataka | 2009-01-29 / 20090031063 - Data Processing System And Method | 1 |
Gopakumar Kamalakshakurup | US | Detroit | 2014-06-05 / 20140150887 - OPTOFLUIDIC TWEEZERS | 1 |
Pradeep Kamalakumar | US | Redmond | 2008-10-23 / 20080263010 - TECHNIQUES TO SELECTIVELY ACCESS MEETING CONTENT | 1 |
Pradeep Kamalakumar | IN | Hyderebad | 2013-06-13 / 20130151690 - TECHNIQUES TO MANAGE REMOTE EVENTS | 1 |
Parthiban Kamalanathan | IN | Chennai | 2015-11-12 / 20150324722 - METHOD FOR DETERMINING A SAFETY STOCK | 1 |
Deepak Kamalanathan | US | Santa Clara | 2013-11-14 / 20130301337 - Resistive Devices and Methods of Operation Thereof | 1 |
Agamudi Sivasankaran Kamalanathan | IN | Vellore, Tamil Nadu | 2015-11-05 / 20150315263 - MONOLITH-BASED PSEUDO-BIOAFFINITY PURIFICATION METHODS FOR FACTOR VIII AND APPLICATIONS THEREOF | 1 |
Chandar Kamalanathan | US | Austin | 2015-04-30 / 20150121362 - System and Method for Information Handling System Image Network Communication | 3 |
Vinod Kamalaraj | US | Shirley | 2013-06-20 / 20130157658 - SYSTEMS AND METHODS FOR CLASSIFYING USER EQUIPMENT AND SELECTING TRACKING AREAS | 8 |
Vinod Kamalaraj | US | Shirley | 2013-06-20 / 20130157658 - SYSTEMS AND METHODS FOR CLASSIFYING USER EQUIPMENT AND SELECTING TRACKING AREAS | 8 |
Vinod K. Kamalaraj | US | Shirley | 2014-02-13 / 20140044051 - SYSTEM AND METHOD FOR MANAGING TRACKING AREA IDENTITY LISTS IN A MOBILE NETWORK ENVIRONMENT | 2 |
Sukumar Kamalasadan | US | Concord | 2015-11-19 / 20150333523 - GRID TIED BATTERY ENERGY STORAGE SYSTEM CONTROLLER SYSTEMS AND METHODS | 1 |
Kaladhar Kamalasanan | US | Pittsburgh | 2012-08-23 / 20120214001 - METHODS TO PREPARE PATCHY MICROPARTICLES | 1 |
Hariharan Kamalavannan | IN | Chennai | 2014-05-29 / 20140149662 - SYSTEMS AND METHODS FOR SMART STORAGE INTERCONNECTION IN A HETEROGENEOUS STORAGE ENVIRONMENT | 6 |
Afaf Kamal-Eldin | SE | Vittinge | 2010-06-10 / 20100144865 - COMPOUND FEED FOR AQUACULTURE | 1 |
Ashkan Kamali | US | West Conshohocken | 2009-04-23 / 20090105265 - Pharmaceutical Compositions of Combinations of Dipeptidyl Peptidase-4 Inhibitors With Metformin | 2 |
Ali Kamali | GB | Cambridge | 2015-02-26 / 20150056513 - POWDER COMPRISING CARBON NANOSTRUCTURES AND ITS METHOD OF PRODUCTION | 1 |
Masoud M. Kamali | US | San Francisco | 2016-02-25 / 20160051168 - Real-Time Human Activity Recognition Engine | 4 |
Afrand Kamali | US | East Lansing | 2013-08-15 / 20130205921 - Device for Collection of Materials from Surfaces | 1 |
Peter Kamali | US | Scranton | 2013-08-22 / 20130219298 - Web-Based Interactive Meeting Facility with Recommendations to Users | 7 |
Jalil Kamali | US | San Jose | 2016-02-04 / 20160036451 - METHOD FOR PPL AND CDR DESIGNS FOR ACHIEVING SPECIFIC BANDWIDTH AND PHASE MARGIN REQUIREMENTS | 4 |
Mohammad Reza Kamali | IR | Tehran | 2014-11-27 / 20140345866 - MODIFIED CEMENT COMPOSITION, PREPARATION AND APPLICATION THEREOF | 2 |
Amir H. Kamalizad | US | Irvine | 2015-06-11 / 20150162970 - Narrowband OFDM (NOFDM) Transceiver for Powerline Communications (PLC) | 2 |
Amir Hosein Kamalizad | US | Irvine | 2014-12-25 / 20140376647 - SYSTEM AND METHOD FOR APPLYING MULTI-TONE OFDM BASED COMMUNICATIONS WITHIN A PRESCRIBED FREQUENCY RANGE | 10 |
Theo Kamalski | NL | Waert | 2009-07-09 / 20090177378 - Navigation device and method | 1 |
Theo Kamalski | NL | Weert | 2013-10-03 / 20130261954 - MAPPING OR NAVIGATION APPARATUS AND METHOD OF OPERATION THEREOF | 5 |
Theodor Kamalski | NL | Weert | 2009-06-25 / 20090165120 - Mobile Terminal for a Traffic Information System, and Method for Activating an Access Control Device in a Mobile Terminal | 1 |
Mirza Kamaludeen | CA | Burlington | 2014-02-20 / 20140049146 - DATA CENTER EQUIPMENT CABINET SYSTEM | 2 |
Ajay Kamalvanshi | US | San Jose | 2014-04-03 / 20140092113 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR PROVIDING A DYNAMIC DISPLAY REFRESH | 2 |
Nazila Kamaly | US | Boston | 2016-01-28 / 20160022835 - Targeted Polymeric Inflammation-Resolving Nanoparticles | 1 |
Nazila Kamaly | GB | London | 2013-05-23 / 20130129636 - Novel Liposome Nanoparticles for Tumor Magnetic Resonance Imaging | 2 |
Hitoshi Kamamori | JP | Chiba-Shi | 2013-01-03 / 20130001409 - OPTICAL SENSOR DEVICE | 8 |
Shigeo Kamamoto | JP | Kashiwara-Shi | 2016-03-03 / 20160061259 - TAPER ROLLER BEARING | 9 |
Yutaka Kamamoto | JP | Kanagawa | 2015-07-09 / 20150194163 - DECODING METHOD, DECODING APPARATUS, PROGRAM, AND RECORDING MEDIUM THEREFOR | 17 |
Shunichiro Kamamoto | JP | Izunokuni-Shi | 2015-01-15 / 20150019245 - MEDICAMENT REGISTRATION APPARATUS AND METHOD | 4 |
Shunichiro Kamamoto | JP | Izunokuni Shizuoka | 2015-02-12 / 20150046177 - MEDICINE REGISTRATION APPARATUS AND PROGRAM | 1 |
Shigeo Kamamoto | JP | Kashiwara-Shi | 2016-03-03 / 20160061259 - TAPER ROLLER BEARING | 9 |
Yutaka Kamamoto | JP | Bunkyo-Ku | 2011-07-07 / 20110166854 - METHOD, APPARATUS, PROGRAM AND RECORDING MEDIUM FOR LONG-TERM PREDICTION CODING AND LONG-TERM PREDICTION DECODING | 1 |
Shunichiro Kamamoto | JP | Shizuoka-Ken | 2013-11-21 / 20130311195 - NURSING SUPPORT SYSTEM AND METHOD | 1 |
Yutaka Kamamoto | JP | Kanagawa | 2015-07-09 / 20150194163 - DECODING METHOD, DECODING APPARATUS, PROGRAM, AND RECORDING MEDIUM THEREFOR | 17 |
Shigeo Kamamoto | JP | Osaka | 2011-02-17 / 20110039643 - POWER TRANSMISSION CHAIN AND POWER TRANSMISSION APPARATUS INCLUDING SAME | 15 |
Yutaka Kamamoto | JP | Atsugi-Shi | 2016-05-19 / 20160140975 - LINEAR PREDICTION ANALYSIS DEVICE, METHOD, PROGRAM, AND STORAGE MEDIUM | 1 |
Shunichiro Kamamoto | JP | Shizuoka | 2014-09-11 / 20140253757 - APPARATUS FOR MANAGING MEDICINE ADMINISTERING PROCESS, AND CONTROL PROGRAM THEREFOR | 3 |
Yutaka Kamamoto | JP | Tokyo | 2011-11-17 / 20110282657 - CODING METHOD, DECODING METHOD, AND APPARATUSES, PROGRAMS AND RECORDING MEDIA THEREFOR | 3 |
Tomoharu Kamamura | JP | Tokyo | 2013-02-28 / 20130048013 - ULTRASONIC CLEANING METHOD FOR GENERATING ULTRASONIC VIBRATIONS BY A FREQUENCY MODULATED SIGNAL | 3 |
Charles H. Kaman | US | Lincoln | 2016-05-19 / 20160142422 - SYSTEM FOR CROSS-HOST, MULTI-THREAD SESSION ALIGNMENT | 1 |
Volkan Kaman | US | Santa Barbara | 2009-10-22 / 20090263122 - Method and apparatus for network diagnostics in a passive optical network | 1 |
Bulli Raju Kamana | IN | Hyderabad | 2013-03-07 / 20130060004 - Novel Process For The Preparation Of Leuprolide And Its Pharmaceutically Acceptable Salts Thereof | 1 |
Rajesh Kamana | US | Boise | 2012-01-12 / 20120007073 - Semiconductor Wafer Constructions, And Methods For Quality Testing Material Removal Procedures During Semiconductor Fabrication Processes | 1 |
Bulliraju Kamana | IN | Hyderabad | 2014-10-02 / 20140296144 - PROCESS FOR THE PREPARATION OF OCTREOTIDE ACETATE | 2 |
Salar Arta Kamangar | US | Mountain View | 2012-03-22 / 20120072278 - METHODS AND APPARATUS FOR ORDERING ADVERTISEMENTS BASED ON PERFORMANCE INFORMATION AND PRICE INFORMATION | 2 |
Salar Arta Kamangar | US | Palo Alto | 2014-11-27 / 20140351062 - AUTOMATED PRICE MAINTENANCE FOR USE WITH A SYSTEM IN WHICH ADVERTISEMENTS ARE RENDERED WITH RELATIVE PREFERENCE BASED ON PERFORMANCE INFORMATION AND PRICE INFORMATION | 5 |
Farhad A. Kamangar | US | Arlington | 2011-03-03 / 20110055327 - System and Method for Service Management | 2 |
Chirag Kamani | US | West Hartford | 2016-03-03 / 20160061573 - TAPE RULE ASSEMBLY WITH A FLEXIBLE COVER AT OPENING IN HOUSING | 1 |
Kavita Kamani | US | Issaquah | 2010-11-25 / 20100299300 - RUNTIME INTERPRETATION OF DECLARATIVE PROGRAMS | 4 |
Jay Kamani | US | Beaverton | 2013-03-28 / 20130074428 - ROOF RIDGE VENTILATION SYSTEM | 1 |
Sejal Pranlal Kamani | US | Sunnyvale | 2016-03-31 / 20160094574 - DETERMINING MALWARE BASED ON SIGNAL TOKENS | 1 |
Prashant Kamani | US | Issaquah | 2011-02-03 / 20110029581 - Load-Balancing and Scaling for Analytics Data | 4 |
Konstantin V. Kamanin | RU | Moscow | 2014-10-30 / 20140325234 - System and Method for Controlling User Access to Encrypted Data | 2 |
Chad H. Kamann | US | Carver | 2015-05-07 / 20150126091 - PROCESS FOR MAKING NON-WOVEN FABRICS USING POLYLACTIDE RESIN BLENDS | 1 |
Akira Kamano | JP | Kawasaki | 2016-04-28 / 20160118051 - AUDIO ENCODING DEVICE AND AUDIO ENCODING METHOD | 13 |
Tadao Kamano | JP | Shizuoka-Ken | 2012-04-26 / 20120099896 - TRANSFER DEVICE AND IMAGE FORMING APPARATUS | 2 |
Kenichi Kamano | JP | Las Vegas | 2008-10-09 / 20080248855 - Gaming machine and method for gaming machine | 1 |
Atsushi Kamano | JP | Ogaki-Shi | 2011-12-08 / 20110300307 - METHOD FOR MANUFACTURING WIRING BOARD | 1 |
Hideki Kamano | JP | Chiba | 2013-05-23 / 20130130954 - LUBRICANT OIL COMPOSITION | 12 |
Hideki Kamano | JP | Sodegaura-Shi, Chiba | 2016-01-28 / 20160024417 - LUBRICATING-OIL COMPOSITION | 1 |
Kenichi Kamano | JP | Zama-Shi | 2012-11-08 / 20120282999 - GAMING MACHINE AND EXTENDED DEVICE CONNECTED THERETO | 1 |
Hideki Kamano | JP | Sodegaura-Shi | 2016-01-28 / 20160024416 - LUBRICATING-OIL COMPOSITION | 2 |
Emiko Kamano | JP | Tokyo | 2010-03-04 / 20100057632 - Questionnaire research system | 1 |
Toshihisa Kamano | JP | Shizuoka-Ken | 2009-01-29 / 20090025430 - DIE ASSEMBLY FOR MOLDING OF GLASS ELEMENT | 1 |
Akira Kamano | JP | Kawasaki | 2016-04-28 / 20160118051 - AUDIO ENCODING DEVICE AND AUDIO ENCODING METHOD | 13 |
Shuhei Kamano | JP | Kanagawa | 2013-03-28 / 20130077398 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND PROGRAMMING METHOD | 1 |
Tadao Kamano | JP | Shizuoka | 2010-12-09 / 20100310277 - TONER CARTRIDGE AND IMAGE FORMING APPARATUS | 1 |
Hideki Kamano | JP | Ichihara-Shi | 2016-01-07 / 20160002563 - LUBRICANT OIL COMPOSITION | 3 |
Hideki Kamano | JP | Chiba | 2013-05-23 / 20130130954 - LUBRICANT OIL COMPOSITION | 12 |
Hiroyuki Kamao | JP | Wako-Shi | 2015-09-10 / 20150250828 - METHOD FOR PRODUCING RETINAL PIGMENT EPITHELIAL CELL SHEET | 1 |
Hiroyuki Kamao | JP | Kobe-Shi | 2013-08-22 / 20130218293 - MEDICAL INSTRUMENT | 1 |
Hiroyuki Kamao | JP | Saitama | 2014-02-27 / 20140057281 - METHOD OF PRODUCING RETINAL PIGMENT EPITHELIAL CELL SHEET | 1 |
Christopher Kamar | US | Palos Verdes Estates | 2010-03-04 / 20100056018 - Weighted Stuffed Animal | 1 |
Semiha Ece Kamar | US | Providence | 2010-12-30 / 20100332315 - GENERATION OF IMPRESSION PLANS FOR PRESENTING AND SEQUENCING ADVERTISEMENT AND SALES OPPORTUNITIES ALONG POTENTIAL ROUTES | 1 |
Ece Kamar | US | Cambridge | 2010-12-30 / 20100332242 - COLLABORATIVE PLAN GENERATION BASED ON VARYING PREFERENCES AND CONSTRAINTS | 1 |
Sunil Kamar | IN | Bangalore | 2014-11-06 / 20140330162 - BIOLOGICAL CELL ASSESSMENT USING WHOLE GENOME SEQUENCE AND ONCOLOGICAL THERAPY PLANNING USING SAME | 1 |
Hesham Kamar | CA | Edmonton | 2011-12-29 / 20110316534 - SIMULTANEOUS DETERMINATION OF BITUMEN AND WATER CONTENT IN OIL SAND AND OIL SAND EXTRACTION PROCESS SAMPLES USING LOW-FIELD TIME-DOMAIN NMR | 1 |
Anthony Kamar | US | Mesa | 2013-12-19 / 20130335233 - SYSTEMS AND METHODS FOR PORTABLE DEVICE COMMUNICATIONS AND INTERACTION | 1 |
Ece E. Kamar | US | Redmond | 2016-02-04 / 20160034705 - STOCHASTIC PRIVACY | 1 |
Maria Kamar | US | Athens | 2009-02-12 / 20090042247 - N-ACETYLGLUCOSAMINYLTRANSFERASE VB CODING SEQUENCES, RECOMBINANT CELLS AND METHODS | 1 |
Semiha Ece Kamar | US | Kirkland | 2013-01-03 / 20130006754 - MULTI-STEP IMPRESSION CAMPAIGNS | 1 |
Semiha Ece Kamar | US | Cambridge | 2011-10-20 / 20110258153 - COMBINING PREDICTIVE MODELS OF FORGETTING, RELEVANCE, AND COST OF INTERRUPTION TO GUIDE AUTOMATED REMINDING | 3 |
Joy Kamar | US | Palos Verdes Estates | 2010-03-04 / 20100056018 - Weighted Stuffed Animal | 1 |
Jim Kamara | DE | Mainz | 2009-07-16 / 20090181270 - Anode Recirculation Pump Control Strategy | 1 |
Tamir Kamara | IL | Neve Yamin | 2015-02-26 / 20150058139 - EXISTENT DOMAIN NAME DNS TRAFFIC CAPTURE AND ANALYSIS | 1 |
Seny Fakaba Kamara | US | Kirkland | 2010-07-29 / 20100191975 - PRIVACY-PRESERVING COMMUNICATION | 1 |
Steven Kamara | US | Princeton | 2014-09-11 / 20140257560 - BIO-NEURO AND ARTIFICAL INTELLIGENCE CONTROLLED ROBOTIC APPARATUS, SYSTEM AND METHOD | 11 |
Tamir Kamara | IL | Yamin | 2015-03-26 / 20150089056 - DOMAIN POPULARITY SCORING | 2 |
Seny F. Kamara | US | Seattle | 2012-09-13 / 20120233460 - SERVER-AIDED MULTI-PARTY PROTOCOLS | 3 |
Seny Kamara | US | Seattle | 2015-05-28 / 20150149763 - Server-Aided Private Set Intersection (PSI) with Data Transfer | 1 |
Seny Fakaba Kamara | US | Seattle | 2015-06-04 / 20150156011 - DYNAMIC SYMMETRIC SEARCHABLE ENCRYPTION | 3 |
Steven Kamara | US | Princeton | 2014-09-11 / 20140257560 - BIO-NEURO AND ARTIFICAL INTELLIGENCE CONTROLLED ROBOTIC APPARATUS, SYSTEM AND METHOD | 11 |
Seny F. Kamara | US | Kirkland | 2016-02-11 / 20160044003 - SECURE COMPUTATION USING A SERVER MODULE | 5 |
Mani Kamarai | IN | Tamil Nadu | 2010-06-17 / 20100152188 - Novel Heterocyclic Compounds | 1 |
Mani Kamaraj | IN | Tamilnadu | 2009-07-02 / 20090170872 - Compounds and Their Pharmaceutical Use | 1 |
Sairam Kamaraju | IN | Bangalore | 2015-04-16 / 20150106816 - PERFORMANCE MEASUREMENT OF HARDWARE ACCELERATORS | 3 |
Venkat Haricharan Kamaraju | US | North Wales | 2014-06-19 / 20140170748 - Nutrient Enriched Media for hUTC Growth | 1 |
Divya Kamaraju | IN | New Delhi | 2015-12-17 / 20150361445 - A METHOD FOR THE CONTROL OF NEMATODES IN PLANTS | 1 |
Raghavndra Rao Kamaraju | IN | Secunderabad | 2012-05-24 / 20120130084 - PREPARATION OF FIPAMEZOLE | 1 |
Mallika Kamarajugadda | US | Eden Prairie | 2010-12-30 / 20100330800 - METHODS OF FORMING LAYERS OF ALPHA-TANTALUM | 2 |
Rama Krishna Kamarajugadda | US | Cupertino | 2014-08-28 / 20140241275 - PRIORITIZING APPLICATION DATA FOR TRANSMISSION IN A WIRELESS USER DEVICE | 2 |
Aravind Kamarajugadda | US | San Diego | 2014-04-17 / 20140105139 - BANDWIDTH MANAGEMENT FOR A CONVERGED GATEWAY IN A HYBRID NETWORK | 3 |
Michael R. Kamarauskas | US | Bartlett | 2015-10-29 / 20150311648 - CIRCUIT MEMBER WITH ENHANCED PERFORMANCE | 8 |
Aiman Kamarazuman | NO | Porsgrunn | 2015-12-24 / 20150368544 - METHODS OF INHIBITING SALT PRECIPITATION AND CORROSION | 1 |
A. Reza Kamarei | US | Apopka | 2011-04-07 / 20110081455 - NEUTRALIZED JUICE-BASED BEVERAGES AND METHOD OF MAKING SAME | 4 |
Mahmoud Kamarei | NL | Delft | 2015-12-24 / 20150372665 - QUADRATURE LC TANK DIGITALLY CONTROLLED RING OSCILLATOR | 1 |
Reza Kamarei | US | East Windsor | 2014-10-09 / 20140303258 - Method of solubilizing insoluble bioactive compounds using triterpene glycosides and compositions thereof | 1 |
Vijay Kamarshi | US | Cupertino | 2014-10-23 / 20140314335 - SYSTEM FOR REDUCING NOISE IN VIDEO PROCESSING | 4 |
Kavitha Kamarthy | US | Milpitas | 2013-02-14 / 20130042106 - Security Management In A Group Based Environment | 10 |
Kavitha Kamarthy | US | Milpitas | 2013-02-14 / 20130042106 - Security Management In A Group Based Environment | 10 |
Gowri Kamarthy | US | Pleasanton | 2016-03-24 / 20160086795 - INTERNAL PLASMA GRID APPLICATIONS FOR SEMICONDUCTOR FABRICATION | 14 |
Gowri Kamarthy | US | Pleasanton | 2016-03-24 / 20160086795 - INTERNAL PLASMA GRID APPLICATIONS FOR SEMICONDUCTOR FABRICATION | 14 |
Brian D. Kamas | US | Cheektowaga | 2014-05-01 / 20140116843 - METHODS AND SYSTEMS FOR ORIENTING ARTICLES | 3 |
Kimihiro Kamasaka | JP | Hyogo | 2010-11-25 / 20100298605 - PROCESS FOR PRODUCING A CONCENTRATED SOLUTION FOR A PHOTORESIST-STRIPPING LIQUID HAVING LOW WATER CONTENT | 1 |
Hiroshi Kamasaka | JP | Osaka | 2014-01-23 / 20140023602 - EXTERNAL PREPARATION FOR SKIN CONTAINING A PHOSPHORYLATED SACCHARIDE | 3 |
Takeshi Kamasaka | JP | Toyota-Shi | 2010-01-28 / 20100018664 - CASTING PROCESS, UPPER MOLD ASSEMBLY AND METHOD OF SECURING CORE TO UPPER MOLD | 1 |
Hitoshi Kamasaka | JP | Tokyo | 2010-09-23 / 20100240353 - REMOTE CONTROL SYSTEM AND FACILITY SIDE CONTROL APPARATUS AND CONTROL PROGRAM OF FACILITY APPARATUS AND CONTROL METHOD OF FACILITY APPARATUS | 2 |
Takeshi Kamasaka | JP | Aichi-Ken | 2010-12-23 / 20100319871 - METHOD FOR CASTING DISK ROTOR | 1 |
Shouta Kamasaki | JP | Kawasaki | 2009-07-09 / 20090177993 - INPUT OF INFORMATION USING A PLURALITY OF SCREENS IN COMBINATION WITH DISPLAY OF KEY WITH COLORS, DISPLAY OF INFORMATION AND SYSTEM USING THEM | 1 |
Krishna Kamasamudram | US | Columbus | 2015-12-10 / 20150354424 - SYSTEM, METHOD, AND APPARATUS FOR IMPROVED DESULFURIZATION OF AFTERTREATMENT COMPONENTS | 18 |
Krishna Kamasamudram | US | Columbus | 2015-12-10 / 20150354424 - SYSTEM, METHOD, AND APPARATUS FOR IMPROVED DESULFURIZATION OF AFTERTREATMENT COMPONENTS | 18 |
Satyanarayana Reddy Kamasani | US | Deerfield | 2014-08-07 / 20140222493 - PROCESS MANAGEMENT SYSTEM, METHOD, AND COMPUTER-READABLE MEDIUM | 1 |
Satyanarayana Reddy Kamasani | IN | Hyderabad | 2014-08-07 / 20140222885 - SYSTEM FOR REAL-TIME DATA PROCESSING | 1 |
Yevgen Kamashev | CA | Ottawa | 2009-08-20 / 20090210426 - SYSTEM AND METHOD FOR EFFICIENT MANAGEMENT OF DISTRIBUTED SPATIAL DATA | 1 |
Hideyoshi Kamashima | JP | Tokyo | 2012-05-31 / 20120135162 - MOLDED RESIN PRODUCT AND PROCESS FOR SURFACE TREATMENT THEREOF | 1 |
Karol Kamasinski | DE | Freiburg | 2012-09-13 / 20120228363 - PROCESS AND APPARATUS FOR PROVIDING A SOLAR CELL WITH A SOLDER RIBBON | 1 |
Miho Kamasu | JP | Kanagawa | 2010-11-04 / 20100279661 - PORTABLE ELECTRONIC DEVICE | 1 |
Hideyuki Kamasuka | JP | Ota | 2013-10-17 / 20130275565 - NETWORK CONFIGURATION METHOD | 3 |
Hideyuki Kamasuka | JP | Kumagaya-Shi | 2015-05-07 / 20150127707 - TERMINAL COMMUNICATION APPARATUS, AND DISTRIBUTED CONTROL SYSTEM | 1 |
Atsushi Kamasuka | JP | Matsudo-Shi | 2016-04-14 / 20160103409 - Device, System and Method for Detecting and Managing Toner Bottle Installation History | 5 |
Atsushi Kamasuka | US | New Hyde Park | 2014-10-30 / 20140320890 - SYSTEM AND METHOD FOR RESETTING A COUNTER ASSOCIATED WITH A COMPONENT OF AN IMAGE PROCESSING DEVICE | 4 |
Rajeev G. Kamat | US | Murrysville | 2014-09-04 / 20140248177 - 6XXX ALUMINUM ALLOYS, AND METHODS FOR PRODUCING THE SAME | 7 |
Vaishali Vilas Kamat | US | Arlington | 2015-06-04 / 20150151120 - ELECTRONIC CONTROL OF DRUG DELIVERY SYSTEM | 2 |
Vishnu Govind Kamat | US | Cupertino | 2010-06-17 / 20100153894 - METHOD AND SYSTEM FOR SEMICONDUCTOR DESIGN HIERARCHY ANALYSIS AND TRANSFORMATION | 1 |
Harshad Narayan Kamat | US | Sammamish | 2012-07-05 / 20120173351 - Mobile Electronic Shopping | 1 |
Vaishali Kamat | US | Arlington | 2014-08-28 / 20140243749 - DEVICES, SYSTEMS AND METHODS FOR LOCATING AND INTERACTING WITH MEDICAMENT DELIVERY SYSTEMS | 1 |
Sachit Kamat | US | San Mateo | 2014-05-15 / 20140136434 - REFERRING MEMBERS OF A SOCIAL NETWORK AS JOB CANDIDATES | 2 |
Rajeev G. Kamat | US | Marietta | 2016-05-05 / 20160122852 - 7XXX ALUMINUM ALLOYS, AND METHODS FOR PRODUCING THE SAME | 9 |
Balachandra Kamat | IN | Belgaum | 2012-07-05 / 20120173568 - GETTING INDIVIDUAL ROW COUNTS FROM RELATED TABLES MATCHING SELECTION CRITERIA | 1 |
Pawankumar Jagannath Kamat | IN | Bangalore | 2012-04-12 / 20120087596 - METHODS AND SYSTEMS FOR PIPELINED IMAGE PROCESSING | 1 |
Neha P. Kamat | US | Granger | 2010-04-22 / 20100098773 - POLYMER VESICLES FOR SELECTIVE ELECTROMAGNETIC ENERGY-INDUCED DELIVERY | 1 |
Sachit Kamat | US | San Carlos | 2016-03-31 / 20160092841 - MOBILE JOB POSTING | 6 |
Angad Kamat | US | Redmond | 2012-06-07 / 20120144390 - CUSTOMIZED COMPUTER IMAGE PREPARATION AND DEPLOYMENT INCLUDING VIRTUAL MACHINE MODE | 1 |
Vipul Chandrakant Kamat | IN | Goregoan | 2016-04-21 / 20160110687 - SYSTEM AND METHOD FOR CROSS ENTERPRISE COLLABORATION | 1 |
Vineet R. Kamat | US | Ann Arbor | 2015-10-29 / 20150310669 - BLENDING REAL AND VIRTUAL CONSTRUCTION JOBSITE OBJECTS IN A DYNAMIC AUGMENTED REALITY SCENE OF A CONSTRUCTION JOBSITE IN REAL-TIME | 2 |
Amit Kamat | US | Sammamish | 2014-02-06 / 20140040788 - DECLARATIVE AND MULTI-MODE WIZARD FRAMEWORK | 3 |
Shreyas Kamat | US | Draper | 2014-06-26 / 20140180852 - COMMUNICATING PAYMENTS | 2 |
Ehan Vinay Kamat | US | St. Louis | 2015-08-27 / 20150238348 - Apparatus for plantar foot pain treatment | 1 |
Nitin Kamat | SG | Singapore | 2013-02-07 / 20130034954 - INTEGRATED CIRCUIT SYSTEM INCLUDING NITRIDE LAYER TECHNOLOGY | 1 |
Vinay Gopal Kamat | US | St. Louis | 2015-08-27 / 20150238348 - Apparatus for plantar foot pain treatment | 1 |
Ashish M. Kamat | US | Houston | / - | 1 |
Rohit Kamat | HK | Hong Kong | 2015-01-22 / 20150025941 - METHODS AND SYSTEMS FOR MANAGING PRODUCT TEST ORDER TRACKING, REPORTING, AND PRODUCT DISPOSITION | 3 |
Vimalesh Kamat | IN | Pune | 2014-05-01 / 20140121022 - METHOD AND SYSTEM FOR VIDEO GAMING USING TOUCHSCREEN INPUT ADAPTATION | 3 |
Gurudeep Kamat | US | Mountain View | 2014-06-05 / 20140153567 - System and Method for Implementation of Layer 2 Redundancy Protocols Across Multiple Networks | 5 |
Anand Gopalkrishna Kamat | IN | Andhra Pradesh | 2010-10-21 / 20100267987 - Process for Preparing Tamsulosin Hydrochloride | 1 |
Shekhar Shripad Kamat | US | Redondo Beach | 2009-10-22 / 20090260223 - Solid Oxide Electrochemical Devices Having a Dimensionally Stable Bonding Agent to Bond an Anode to Anode Interconnect and Methods | 1 |
Pankaj Mohan Kamat | US | Kirkland | 2012-03-29 / 20120079557 - DERIVING EXPRESS RIGHTS IN PROTECTED CONTENT | 4 |
Vishnu G. Kamat | US | Cupertino | 2009-05-28 / 20090136857 - Correcting 3D Effects In Phase Shifting Masks Using Sub-Resolution Features | 1 |
Prashant Kamat | US | Granger | 2009-05-07 / 20090114273 - NANOMATERIAL SCAFFOLDS FOR ELECTRON TRANSPORT | 1 |
Harshad N. Kamat | US | Sammamish | 2013-01-03 / 20130007164 - EMAIL SERVER WITH PROXY CACHING OF UNIQUE IDENTIFIERS | 1 |
Mayur Kamat | US | Bothell | 2015-06-04 / 20150156205 - POLICY ENFORCEMENT OF CLIENT DEVICES | 4 |
Shreyas J. Kamat | US | Orem | 2014-02-27 / 20140058867 - METHOD AND SYSTEM FOR PROVIDING POINT OF SALE SERVICES | 1 |
Vishal Kamat | US | Bergenfield | 2014-05-15 / 20140134719 - RECOMBINANT CELL SURFACE CAPTURE PROTEINS | 3 |
Harshad N. Kamat | US | Maple Valley | 2012-08-09 / 20120202467 - SYSTEM AND METHOD FOR NOTIFYING USERS OF AN EVENT USING ALERTS | 9 |
Priyanka Kamat | US | Palo Alto | 2014-09-18 / 20140272577 - METHODS AND APPARATUS FOR HIGH CAPACITY ANODES FOR LITHIUM BATTERIES | 2 |
Mayur Kamat | US | Bellevue | 2009-04-16 / 20090097660 - MULTI-FACTOR CONTENT PROTECTION | 1 |
Hrishikesh Kamat | US | San Carlos | 2009-01-22 / 20090024910 - ASYNCHRONOUS COMMUNICATION AND CONTENT SHARING | 1 |
Mithun R. Kamat | US | Manchester | 2008-12-25 / 20080319586 - On-Site Power Plant Control Including Adaptive Response to Transient Load Requirements | 1 |
Gurudeep Kamat | US | San Jose | 2016-03-31 / 20160094433 - APPLICATIONS OF PROCESSING PACKETS WHICH CONTAIN GEOGRAPHIC LOCATION INFORMATION OF THE PACKET SENDER | 13 |
Ranjan Kumar Kamat | US | Smyrna | 2015-11-05 / 20150315465 - STABILIZING COMPOSITIONS FOR STABILIZING MATERIALS AGAINST ULTRAVIOLET LIGHT AND THERMAL DEGRADATION | 1 |
Gajendra Nishad Kamat | IN | Bangalore | 2013-06-20 / 20130160120 - PROTECTING END USERS FROM MALWARE USING ADVERTISING VIRTUAL MACHINE | 3 |
Rajeev G. Kamat | US | Marietta | 2016-05-05 / 20160122852 - 7XXX ALUMINUM ALLOYS, AND METHODS FOR PRODUCING THE SAME | 9 |
Dattaguru. V. Kamat | IN | Karnataka | 2012-06-28 / 20120161891 - OTA-BASED CURRENT-MODE FILTER AND OSCILLATOR | 1 |
Sanjay D. Kamat | US | Marlboro | 2012-10-04 / 20120254944 - METHOD AND APPARATUS FOR PROVIDING SECURE REMOTE ACCESS TO ENTERPRISE NETWORKS | 1 |
Sameer Kamat | US | Columbia | 2015-09-24 / 20150269029 - Immediate Recovery of an Application from File Based Backups | 1 |
Ajit Kamat | GB | London | 2011-12-15 / 20110307310 - METHOD AND APPARATUS FOR RECEIVING UNSOLICITED CONTENT | 1 |
Sachin Purandardas Kamat | IN | Bangalore | 2010-03-25 / 20100076944 - MULTIPROCESSOR SYSTEMS FOR PROCESSING MULTIMEDIA DATA AND METHODS THEREOF | 1 |
Suraj Govind Kamat | US | Alice | 2015-10-08 / 20150282968 - Deployment of Stents within Bifurcated Vessels | 3 |
Shekhar Shripad Kamat | US | Saint Augustine | 2013-06-13 / 20130146325 - IGNITION LEAD | 1 |
Viraj Rajan Kamat | IN | Pune | 2011-08-18 / 20110202795 - DATA CORRUPTION PREVENTION DURING APPLICATION RESTART AND RECOVERY | 1 |
Rajeev Kamat | US | Marietta | 2013-10-17 / 20130270859 - CRASHWORTHY STRUCTURES FORMED OF MULTILAYERED METALLIC MATERIALS | 1 |
Pankaj M. Kamat | US | Kirkland | 2010-12-02 / 20100306535 - Business To Business Secure Mail | 4 |
Pradip P. Kamat | US | Orange Village | 2015-12-17 / 20150359996 - CATHETER HAVING A TAPERED STRUCTURE AND BALLOON FORMED ABOVE A LOWER DRAINAGE HOLE | 1 |
Maruti Kamat | IN | Bangalore | 2014-07-31 / 20140215066 - NETWORK ACCESS MANAGEMENT BASED ON SESSION INFORMATION | 1 |
Mithun Kamat | US | Manchester | 2014-11-06 / 20140329160 - SYSTEM AND METHOD FOR THERMAL PRIORITY OPERATION OF A FUEL CELL POWER PLANT | 2 |
Anand Gopalkrishna Kamat | IN | Hyderabad | 2015-05-21 / 20150141382 - NOVEL SOLVATES OF DARUNAVIR | 2 |
Krishnaparag Prakash Kamat | IN | Mumbai | 2013-10-03 / 20130260356 - ELECTRONIC ASSIGNMENT MANAGEMENT SYSTEM FOR ONLINE LEARNING PLATFORM | 1 |
Pankaj Kamat | US | Kirkland | 2011-11-03 / 20110271103 - Generic File Protection Format | 1 |
Gurudatt S. Kamat | IN | Bangalore | 2013-06-20 / 20130156152 - METHOD AND SYSTEM FOR MAINTAINING ISO CENTER CONSTANT IN AN ISO CENTRIC X-RAY IMAGING SYSTEM | 1 |
Gurudeep Kamat | US | San Jose | 2016-03-31 / 20160094433 - APPLICATIONS OF PROCESSING PACKETS WHICH CONTAIN GEOGRAPHIC LOCATION INFORMATION OF THE PACKET SENDER | 13 |
Viraj Kamat | IN | Maharashtra | 2013-05-16 / 20130124451 - CLUSTER SYSTEMS AND METHODS | 1 |
Vineet Kamat | US | Cambridge | 2015-06-04 / 20150154467 - Method for Extracting Planes from 3D Point Cloud Sensor Data | 1 |
Maruti Haridas Kamat | IN | Bangalore Karnataka | 2013-06-27 / 20130167211 - RE-AUTHENTICATION | 1 |
Harshad Kamat | US | Sammamish | 2011-08-25 / 20110208815 - COMMUNICATIONS SYSTEM WITH POLLING SERVER PROVIDING DYNAMIC RECORD ID POLLING AND RELATED METHODS | 1 |
Eiichi Kamata | JP | Yokohama | 2008-12-25 / 20080320243 - MEMORY-SHARING SYSTEM DEVICE | 1 |
Tatsuo Kamata | JP | Kyoto-Shi | 2012-06-21 / 20120158314 - MEASURING APPARATUS FOR MEASURING A PHYSICAL PROPERTY OF A SAMPLE | 1 |
Asae Kamata | JP | Kanagawa | 2016-02-11 / 20160041513 - POLYIMIDE SEAMLESS BELT FOR IMAGE FORMING APPARATUS | 1 |
Kiyohiko Kamata | JP | Yokohama-Shi | 2014-06-19 / 20140169874 - LATCH APPARATUS | 3 |
Atsushi Kamata | JP | Sagamihara-Shi | 2015-01-15 / 20150013720 - BATTERY CLEANING DEVICE AND BATTERY CLEANING METHOD | 1 |
Tamaki Kamata | JP | Yokohama-Shi | 2013-12-05 / 20130326324 - DOCUMENT MANAGEMENT SERVER, DOCUMENT MANAGEMENT METHOD, AND STORAGE MEDIUM | 3 |
Shigeto Kamata | JP | Yokohama-Shi | 2010-02-11 / 20100035507 - MANUFACTURING METHOD OF IMAGE DISPLAY APPARATUS | 3 |
Yasutaka Kamata | JP | Ehime | 2016-05-12 / 20160133927 - NICKEL-COBALT-MANGANESE COMPOSITE HYDROXIDE, AND PRODUCTION METHOD THEREFOR | 5 |
Junichi Kamata | JP | Chiyoda-Ku | 2015-01-29 / 20150032247 - NUMERICAL CONTROL DEVICE | 1 |
Kaori Kamata | JP | Yokohama-Shi | 2009-05-07 / 20090117335 - Method for Producing a Nanoporous Substrate | 1 |
Yoshihiko Kamata | JP | Yokohama-Shi | 2013-10-31 / 20130286738 - SEMICONDUCTOR MEMORY APPARATUS | 11 |
Masahiko Kamata | JP | Yokohama-Shi | 2010-04-22 / 20100097007 - LIGHT-EMITTING DIODE LIGHTING DEVICE | 3 |
Kazushi Kamata | JP | Tokyo | 2011-04-14 / 20110086553 - CONNECTOR HAVING CONTACTS WITH A LINKAGE PORTION HAVING A WIDTH SMALLER THAN THAT OF THE CONTACT PORTION | 3 |
Hiroyuki Kamata | JP | Tokyo | 2016-04-21 / 20160107138 - REACTOR | 5 |
Nobuhide Kamata | US | Ann Arbor | 2015-07-16 / 20150197246 - LATERAL MANEUVER PLANNER FOR AUTOMATED DRIVING SYSTEM | 1 |
Hiroyuki Kamata | JP | Sendai | 2009-05-28 / 20090138860 - PROGRAM ANALYSIS METHOD AMD APPARATUS | 1 |
Hiroyuki Kamata | JP | Sendai-Shi | 2008-12-11 / 20080303624 - INDUCTOR | 1 |
Seigo Kamata | JP | Nanae | 2013-01-17 / 20130017669 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 2 |
Hiroshi Kamata | JP | Yokosuka-Shi | 2014-08-07 / 20140218921 - Lighting Device | 2 |
Chikayoshi Kamata | JP | Kawasaki-Shi | 2015-01-15 / 20150014756 - MAGNETORESISTIVE ELEMENT AND MAGNETIC MEMORY | 25 |
Nobuyuki Kamata | JP | Tokyo | 2011-04-14 / 20110084118 - MANUFACTURING METHOD OF SOLID-STATE IMAGE PICKUP DEVICE | 1 |
Isaho Kamata | JP | Tokyo | 2016-01-28 / 20160024652 - FILM FORMING APPARATUS, SUSCEPTOR, AND FILM FORMING METHOD | 9 |
Miho Kamata | JP | Yokohama-Shi | 2015-08-13 / 20150226372 - ROTATIONAL SUPPORT MECHANISM | 1 |
Hiroyuki Kamata | JP | Tsuchiura-Shi | 2012-04-05 / 20120079810 - Exhaust Assembly for Construction Machine | 2 |
Toru Kamata | JP | Tokyo | 2015-03-26 / 20150087501 - BASE MATERIAL-CARRIED CATALYST AND METHOD OF MANUFACTURING BASE MATERIAL-CARRIED CATALYST | 2 |
Yoshihiro Kamata | JP | Tokyo | 2015-04-02 / 20150091282 - VEHICLE SEAT AIRBAG SYSTEM AND VEHICLE SEAT | 1 |
Shigeo Kamata | JP | Tokyo | 2011-02-03 / 20110026859 - BAG CONTAINER | 2 |
Keitaro Kamata | JP | Tokyo | 2014-04-24 / 20140112776 - BEARING DEVICE FOR TURBOCHARGER | 2 |
Kazuhide Kamata | JP | Shizuoka | 2011-12-22 / 20110309709 - ROTATING MACHINE PROVIDED WITH COIL AND METHOD OF PRODUCING THE ROTATING MACHINE | 2 |
Yusuke Kamata | JP | Tochigi | 2016-04-28 / 20160116789 - IMAGE DISPLAY DEVICE | 14 |
Yoshio Kamata | JP | Tokyo | 2013-05-16 / 20130119228 - ARCHITECTURE UNIT OF CONCRETE STRUCTURE AND ARCHITECTURE CONSTRUCTING METHOD THEREOF | 5 |
Yoshiyuki Kamata | JP | Tokyo | 2016-05-12 / 20160131880 - MEDICAL OBSERVATION APPARATUS, MEDICAL VIDEO MICROSCOPE APPARATUS, AND MEDICAL VIDEO MICROSCOPE SYSTEM | 82 |
Akira Kamata | JP | Ibaraki | 2014-11-13 / 20140336234 - CHLOROPHYLL c CONTAINING DEGRANULATION SUPPRESSOR | 1 |
Kazushi Kamata | JP | Hirosaki | 2012-01-05 / 20120003857 - CONNECTOR ASSEMBLY | 1 |
Yoshiki Kamata | JP | Tokyo | 2010-08-26 / 20100213532 - SEMICONDUCTOR DEVICES | 5 |
Isamu Kamata | JP | Tokyo | 2011-04-14 / 20110085918 - WATER-LIFTING PUMP APPARATUS AND METHOD OF CONTROLLING OPERATION THEREOF | 1 |
Kouji Kamata | JP | Osaka | 2013-02-07 / 20130034677 - ROLL BODY OF BAND-LIKE PATCH | 1 |
Yoshihisa Kamata | JP | Tokyo | 2010-07-15 / 20100178068 - IMAGE PRINTING APPARATUS AND TANDEM IMAGE PRINTING METHOD | 2 |
Kumiko Kamata | JP | Kanagawa | 2014-07-10 / 20140194332 - TWO-PHASE LUBRICATING OIL COMPOSITION | 2 |
Tomoya Kamata | JP | Iwate | 2015-08-27 / 20150238087 - BIOLOGICAL INFORMATION MEASUREMENT DEVICE AND INPUT DEVICE UTILIZING SAME | 1 |
Kazushi Kamata | US | Irvine | 2015-08-06 / 20150222064 - RECEPTACLE CONNECTOR, PLUG CONNECTOR AND CONNECTOR ASSEMBLY | 2 |
Hirotoshi Kamata | JP | Yokohama-Shi, Kanagawa | 2016-04-07 / 20160096964 - FLAKY GRAPHITE CONTAINING BORON AND PRODUCTION METHOD THEREFOR | 1 |
Shuji Kamata | JP | Nonoichi Ishikawa | 2016-02-11 / 20160043205 - SEMICONDUCTOR DEVICE | 2 |
Yuichi Kamata | JP | Isehara | 2016-04-14 / 20160103493 - DRIVE CONTROL APPARATUS, ELECTRONIC APPARATUS, AND CONTROL METHOD | 6 |
Masato Kamata | JP | Tokyo | 2010-07-15 / 20100180268 - ARITHMETIC UNIT, PROCESSOR, COMPILER AND COMPILING METHOD | 4 |
Masashi Kamata | JP | Tokyo | 2010-04-08 / 20100085987 - Communication Device, Control Method Therefor, and Information Storage Medium | 1 |
Masazumi Kamata | JP | Tokyo | 2009-01-29 / 20090029428 - Novel microorganism, lipid-modifying agent, and the method of manufacturing 2-acyl lysophospholipids | 1 |
Satoshi Kamata | JP | Yokkaichi | 2016-05-05 / 20160126130 - Air Gaps Structures for Damascene Metal Patterning | 1 |
Yoshihiko Kamata | JP | Yokohama-Shi | 2013-10-31 / 20130286738 - SEMICONDUCTOR MEMORY APPARATUS | 11 |
Takashi Kamata | JP | Tokyo | 2008-12-18 / 20080310492 - Parameter Adjustment Device and Parameter Adjustment Method | 1 |
Masahiro Kamata | JP | Kawasaki-Shi | 2015-10-22 / 20150300161 - Down Hole Subsurface Wave System with Drill String Wave Discrimination and Method of Using Same | 18 |
Masahiro Kamata | JP | Kasawaki-Shi | 2011-08-11 / 20110194375 - METHODS AND SYSTEMS FOR SEISMIC SENSORS | 1 |
Hiroyuki Kamata | JP | Kanagawa | 2016-03-03 / 20160066017 - RECEPTION DEVICE, RECEPTION METHOD, AND PROGRAM | 11 |
Kyoko Kamata | JP | Tokyo | 2011-10-20 / 20110256483 - RESIDUE REMOVING LIQUID COMPOSITION AND METHOD FOR CLEANING SEMICONDUCTOR ELEMENT USING SAME | 1 |
Toshihide Kamata | JP | Ibaraki | 2013-12-19 / 20130333738 - THERMOELECTRIC CONVERSION MATERIAL, AND FLEXIBLE THERMOELECTRIC CONVERSION ELEMENT USING THE SAME | 1 |
Yoshihisa Kamata | JP | Hadano | 2013-08-22 / 20130214477 - IMAGE FORMING APPARATUS | 1 |
Koji Kamata | JP | Chiba-Shi | 2012-08-23 / 20120214662 - CARBON CATALYST AND USE THEREOF | 1 |
Mitsukazu Kamata | JP | Nasushiobara Tochigi | 2014-11-27 / 20140347052 - MAGNETIC RESONANCE IMAGING APPARATUS AND FREQUENCY SHIFT MEASURING METHOD | 1 |
Ryuji Kamata | JP | Tokyo | 2011-01-20 / 20110013250 - LIGHT DEFLECTION APPARATUS | 1 |
Yayoi Kamata | JP | Yokohama-Shi | 2015-04-30 / 20150118686 - METHOD FOR SCREENING AMELIORANTS OF DRY SKIN CAUSED BY ATOPIC DERMATITIS USING BLEOMYCIN HYDROLASE ACTIVITY AS INDICATOR | 2 |
Yasuhiro Kamata | JP | Osaka | 2016-03-17 / 20160073607 - ONION WITH REDUCED PUNGENCY THAT DOES NOT GENERATE LACHRYMATORY COMPONENT | 1 |
Yukio Kamata | JP | Tokyo | 2011-01-13 / 20110006970 - WIDE-BAND FEEDER CIRCUIT AND ANTENNA HAVING THE SAME | 1 |
Hideyuki Kamata | JP | Kawasaki | 2016-03-10 / 20160071793 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Makoto Kamata | JP | Kanagawa | 2015-10-29 / 20150307497 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUND | 9 |
Minoru Kamata | JP | Tokyo | 2015-11-26 / 20150336587 - DRIVING ASSIST DEVICE | 1 |
Chizuna Kamata | JP | Tokyo | 2013-01-31 / 20130028784 - COPPER ALLOY WROUGHT MATERIAL, COPPER ALLOY PART, AND METHOD OF PRODUCING A COPPER ALLOY WROUGHT MATERIAL | 1 |
Naoto Kamata | JP | Tokyo | 2010-12-16 / 20100315733 - DISPERSING AGENT FOR ORGANIC PIGMENT AND USE THEREOF | 1 |
Seiryo Kamata | JP | Saitama-Ken | 2013-02-28 / 20130048357 - PHOTOSENSITIVE RESIN COMPOSITION, CURED PRODUCT THEREOF, AND PRINTED WIRING BOARD | 1 |
Shuji Kamata | JP | Hyogo-Ken | 2012-09-27 / 20120241814 - POWER SEMICONDUCTOR DEVICE | 3 |
Yoshiji Kamata | JP | Kanagawa-Ken | 2012-11-22 / 20120293086 - Light-Emitting Module and Luminaire | 1 |
Shigeyuki Kamata | JP | Miyagi-Ken | 2011-03-31 / 20110072995 - PRINTER HAVING DETACHABLY MOUNTED PLATEN ROLLER | 1 |
Mitsukazu Kamata | JP | Nasushiobara-Shi | 2014-07-31 / 20140212017 - MRI DIFFUSION WEIGHTED IMAGING WITH ESTIMATED MOTION PROBING GRADIENT b-FACTORS BASED ON ACQUIRED APPARENT DIFFUSION COEFFICIENTS FOR EACH PIXEL | 5 |
Keitaro Kamata | JP | Nagasaki | 2011-06-16 / 20110142640 - CYLINDER DRIVING DEVICE | 2 |
Hisahiro Kamata | JP | Iwanuma | 2012-09-20 / 20120236614 - HIGH VOLTAGE INVERTER DEVICE | 3 |
Yutaka Kamata | JP | Wako-Shi | 2012-08-09 / 20120200427 - DRIVING SUPPORT APPARATUS FOR VEHICLE | 2 |
Isaho Kamata | JP | Yokosuka-Shi | 2011-01-13 / 20110006309 - EPITAXIAL SiC SINGLE CRYSTAL SUBSTRATE AND METHOD OF MANUFACTURE OF EXPITAXIAL SiC SINGLE CRYSTAL SUBSTRATE | 4 |
Keiji Kamata | JP | Akita-Shi | 2015-06-04 / 20150151087 - SHEATH FOR GASTROSTOMA, SHEATHED DILATOR, SHEATH FOR GASTROSTOMA WITH INSERTION AID, GASTROSTOMY CATHETER KIT, AND METHOD OF SPLITTING SHEATH FOR GASTROSTOMA | 2 |
Hirotoshi Kamata | JP | Gunma | 2010-11-25 / 20100298506 - METHOD FOR PRODUCING AN AMINO GROUP-CONTAINING PHOSPHATE COMPOUND, AND A FLAME-RETARDANT RESIN AND FLAME-RETARDANT RESIN COMPOSITION | 2 |
Tatsuo Kamata | JP | Kyoto | 2012-04-19 / 20120094367 - Blood analysis apparatus | 6 |
Junichi Kamata | JP | Ibaraki | 2010-10-21 / 20100267754 - INTEGRIN EXPRESSION INHIBITOR | 2 |
Yuko Kamata | JP | Aichi-Ken | 2010-09-30 / 20100247262 - TILT DEVICE | 1 |
Hiroki Kamata | JP | Hirosaki-Shi | 2010-08-19 / 20100210130 - Connector assembly | 1 |
Hajime Kamata | JP | Kawasaki | 2011-06-23 / 20110154450 - REMOTE OPERATION PROGRAM, METHOD AND TERMINAL APPARATUS | 1 |
Yusuke Kamata | JP | Utsunomiya-Shi | 2014-11-06 / 20140329431 - RESIN COMPOSITION AND DISPLAY UNIT | 4 |
Chikayoshi Kamata | JP | Atsugi-Shi | 2011-07-14 / 20110170333 - DATA READ/WRITE DEVICE | 2 |
Junichi Kamata | JP | Tsukuba-Shi | 2011-05-19 / 20110118470 - NITROGEN-CONTAINING AROMATIC DERIVATIVES | 2 |
Kazushi Kamata | JP | Hirosaki-Shi | 2010-07-22 / 20100184337 - Connector assembly | 1 |
Yuko Kamata | JP | Aichi | 2010-07-08 / 20100170081 - CLAMP DEVICE | 1 |
Shinnosuke Kamata | JP | Kawasaki | 2013-08-08 / 20130201751 - MULTI-PORT MEMORY BASED ON DRAM CORE | 5 |
Makoto Kamata | JP | Osaka-Shi | 2010-06-24 / 20100160255 - SPIRO-CYCLIC COMPOUND | 2 |
Azumi Kamata | JP | Tokyo | 2015-09-17 / 20150259890 - HUMAN BODY DETECTION SENSOR AND AUTOMATIC FAUCET | 1 |
Toshihide Kamata | JP | Tsukuba-Shi | 2015-10-29 / 20150311012 - MEMBRANE SWITCH AND OBJECT EMPLOYING SAME | 8 |
Makoto Kamata | JP | Osaka | 2012-01-12 / 20120010247 - BICYCLIC COMPOUND | 4 |
Norihiko Kamata | JP | Saitama | 2010-06-10 / 20100144078 - OPTICAL SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR | 3 |
Takehiro Kamata | JP | Saitama | 2014-06-12 / 20140165065 - PROCESS REQUESTING APPARATUS, METHOD OF CONTROLLING PROCESS REQUESTING APPARATUS AND RECORDING MEDIUM FOR PROCESS REQUESTING APPARATUS | 4 |
Hiroyuki Kamata | JP | Ebina-Shi | 2010-05-13 / 20100119317 - Machining apparatus and machining method | 2 |
Hiroyuki Kamata | JP | Ibaraki | 2011-05-26 / 20110120085 - EXHAUST GAS TREATMENT DEVICE | 4 |
Koji Kamata | JP | Sagae-Shi | 2013-08-08 / 20130202233 - SUPPORT MEMBER | 2 |
Etsuho Kamata | JP | Kameoka-Shi | 2016-02-04 / 20160033457 - CHROMATOGRAM DATA PROCESSING DEVICE AND PROCESSING METHOD | 1 |
Seiji Kamata | JP | Shioya | 2009-12-03 / 20090295401 - LEAK DETECTING CIRCUIT | 2 |
Isaho Kamata | JP | Tokyo | 2016-01-28 / 20160024652 - FILM FORMING APPARATUS, SUSCEPTOR, AND FILM FORMING METHOD | 9 |
Shoji Kamata | JP | Aomori-Shi | 2009-11-05 / 20090273357 - CONTACT FOR ELECTRICAL TEST OF ELECTRONIC DEVICES, METHOD FOR MANUFACTURING THE SAME, AND PROBE ASSEMBLY | 1 |
Tomoya Kamata | JP | Iwate-Ken | 2009-05-21 / 20090128686 - IMAGING APPARATUS | 1 |
Yoshie Kamata | JP | Fukuoka-Ken | 2009-09-17 / 20090231857 - ILLUMINATING DEVICE | 1 |
Toshiro Kamata | JP | Gifu | 2008-12-25 / 20080314151 - Buried Pipe Examining Method | 1 |
Yuki Kamata | JP | Kanagawa-Ken | 2014-08-28 / 20140240015 - SEMICONDUCTOR DEVICE | 6 |
Yuki Kamata | JP | Fujisawa-Shi | 2012-04-26 / 20120098515 - DC-DC CONVERTER | 4 |
Koichiro Kamata | JP | Atsugi | 2012-08-09 / 20120200312 - Element Substrate, Inspecting Method, and Manufacturing Method of Semiconductor Device | 5 |
Daisuke Kamata | JP | Osaka-Shi | 2013-05-30 / 20130135539 - LIGHTING DEVICE, DISPLAY DEVICE AND TELEVISION DEVICE | 3 |
Masahiko Kamata | JP | Yokosuka-Shi | 2015-02-26 / 20150054414 - LUMINAIRE | 26 |
Koichiro Kamata | JP | Isehara | 2016-05-12 / 20160134133 - CONTACTLESS POWER FEEDING SYSTEM | 61 |
Yoshihisa Kamata | JP | Hadano-Shi | 2013-03-21 / 20130070272 - IMAGE FORMING APPARATUS | 6 |
Takeshi Kamata | JP | Shizuoka | 2011-11-24 / 20110288228 - Ink for Marking | 5 |
Masahiko Kamata | JP | Kanagawa-Ken | 2013-09-26 / 20130249412 - LIGHTING CIRCUIT AND LUMINAIRE | 5 |
Masatoshi Kamata | JP | Otake-Shi | 2009-04-23 / 20090101579 - Hollow Fiber Membrane Module, Hollow Fiber Membrane Module Unit, Membrane Filtration Device Using the Same, and Method of Operating the Same | 1 |
Yuuki Kamata | JP | Himeji | 2010-12-23 / 20100320468 - THIN FILM TRANSISTOR SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 1 |
Seiryo Kamata | JP | Hiki-Gun | 2014-12-11 / 20140360758 - PHOTOSENSITIVE RESIN COMPOSITION, CURED PRODUCT THEREOF, AND PRINTED WIRING BOARD | 1 |
Takayuki Kamata | US | Boulder | 2015-04-02 / 20150095757 - CONVERTING ELECTRONIC DOCUMENTS HAVING VISIBLE OBJECTS | 3 |
Etsuho Kamata | JP | Kameoka-City | 2014-09-11 / 20140257712 - SYSTEM AND METHOD FOR PROCESSING CHROMATOGRAM DATA | 1 |
Yukio Kamata | JP | Kanagawa | 2011-10-06 / 20110241955 - ANTENNA AND MANUFACTURING METHOD THEREFOR | 1 |
Shuji Kamata | JP | Ishikawa | 2015-03-12 / 20150069589 - SEMICONDUCTOR DEVICE | 1 |
Kouichi Kamata | JP | Saitama-Shi | 2010-07-01 / 20100163531 - METHOD FOR THERMAL WELDING OF JACKET MEMBER OF ENDOSCOPE FLEXIBLE TUBE | 1 |
Yoshihiko Kamata | JP | Yokohama | 2015-09-10 / 20150255162 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR DETECTING LEAK CURRENT | 2 |
Masakazu Kamata | US | Los Angeles | 2015-03-12 / 20150071999 - Novel RNAi Molecule Delivery Platform Based on Single-siRNA and shRNA Nanocapsules | 1 |
Kouji Kamata | JP | Ibaraki-Shi | 2010-04-01 / 20100081982 - PRESSURE-SENSITIVE ADHESIVE TAPE OR SHEET FOR APPLICATION TO SKIN, AND PROCESS FOR PRODUCING THE SAME | 1 |
Atsushi Kamata | JP | Fukushima | 2010-02-11 / 20100033755 - Image forming apparatus, image processing apparatus, and image forming system | 1 |
Yohei Kamata | JP | Okayama | 2010-01-21 / 20100015400 - ACRYLIC THERMOPLASTIC RESIN COMPOSITION, ACRYLIC RESIN FILM AND ACRYLIC RESIN COMPOSITE | 1 |
Daisuke Kamata | JP | Suzuka-Shi | 2010-01-14 / 20100010775 - ELECTRONIC APPARATUS, TIMER ADJUSTING DEVICE, TIMER SOFTWARE, TIMER PROCESSING METHOD, AND TIMER PROCESSING PROGRAM | 1 |
Kouichi Kamata | JP | Saitama | 2010-01-07 / 20100000621 - Method for repairing flexible tube | 1 |
Kunio Kamata | JP | Gosen-Shi | 2012-06-07 / 20120142054 - SRSV DETECTION KIT | 2 |
Kazushi Kamata | JP | Aomori | 2009-10-08 / 20090253297 - CONNECTOR FOR ON-BOARD MOUNTING | 1 |
Masahiro Kamata | JP | Kanagawa-Ken | 2009-09-24 / 20090238043 - GEOPHONE SECUREMENT MECHANISM | 2 |
Masazumi Kamata | JP | Hachiouji-Shi | 2012-07-05 / 20120171751 - NOVEL MICROBE, LIPID MODIFYING AGENT, PROCESS FOR PRODUCING 2-ACYL-LYSOPHOSPHOLIPID, PROCESS FOR PRODUCING DIACYLGLYCEROL, PROCESS FOR PRODUCING CERAMIDE, AND METHOD OF DEGUMMING OIL OR FAT | 2 |
Hiroyuki Kamata | JP | Sakura-Shi | 2012-02-16 / 20120039789 - APPARATUS FOR MANUFACTURING ALUMINUM NITRIDE SINGLE CRYSTAL, METHOD FOR MANUFACTURING ALUMINUM NITRIDE SINGLE CRYSTAL, AND ALUMINUM NITRIDE SINGLE CRYSTAL | 1 |
Hiroyuki Kamata | JP | Chiba | 2013-09-19 / 20130239878 - APPARATUS AND METHOD FOR PRODUCTION OF ALUMINUM NITRIDE SINGLE CRYSTAL | 1 |
Tohru Kamata | JP | Chiba-Shi | 2009-04-23 / 20090105601 - HEART-RATE VARIABILITY ANALYSIS METHOD AND ANALYSIS DEVICE | 1 |
Hideyuki Kamata | JP | Kawasaki Kanagawa | 2016-03-10 / 20160071870 - SEMICONDUCTOR MEMORY DEVICE | 2 |
Keitaro Kamata | JP | Nagoya-Shi | 2012-03-29 / 20120075667 - COMMUNICATION SYSTEM, COMMUNICATION DEVICE, SERVER SYSTEM AND RECORDING MEDIUM | 1 |
Yoshihiko Kamata | JP | Yokohama Kanagawa | 2015-09-17 / 20150262691 - SEMICONDUCTOR STORAGE DEVICE | 1 |
Masanori Kamata | JP | Yokohama | 2009-03-26 / 20090080427 - MULTICAST ACCOUNTING CONTROL SYSTEM AND BROADBAND ACCESS SERVER | 1 |
Masanao Kamata | JP | Tokyo | 2014-11-13 / 20140332687 - OPTICAL SYSTEM, TERAHERTZ EMISSION MICROSCOPE, AND METHOD OF MANUFACTURING A DEVICE | 4 |
Takeshi Kamata | JP | Susono | 2009-03-05 / 20090056852 - Method for manufacturing a colored electric wire | 1 |
Masahiko Kamata | JP | Kanagawa | 2013-11-07 / 20130293144 - LIGHT SOURCE LIGHTING DEVICE AND LUMINAIRE | 2 |
Yoshitaka Kamata | JP | Nigata | 2009-03-05 / 20090057121 - Key Base, Key Sheet and Method of Forming Key Base | 1 |
Kiyohiko Kamata | JP | Kanagawa | 2013-01-10 / 20130009440 - LOCK MECHANISM FOR AUTOMOBILE REAR SEAT | 2 |
Seiichiro Kamata | JP | Fukuoka | 2008-08-28 / 20080205756 - Matching Apparatus, Image Search System, and Histogram Approximate Restoring Unit, and Matching Method, Image Search Method, and Histogram Approximate Restoring Method | 1 |
Tetsuji Kamata | JP | Tokyo | 2016-02-18 / 20160048952 - ALGORITHM AND DEVICE FOR IMAGE PROCESSING | 2 |
Koichiro Kamata | JP | Lsehara | 2015-09-24 / 20150270723 - POWER FEEDING DEVICE, POWER RECEIVING DEVICE, AND WIRELESS POWER FEED SYSTEM | 1 |
Sokichi Kamata | JP | Osaka | 2015-10-01 / 20150273017 - Novel Method for Treating Cardiac Infarction Using HMGB1 Fragment | 1 |
Koichiro Kamata | JP | Isehara | 2016-05-12 / 20160134133 - CONTACTLESS POWER FEEDING SYSTEM | 61 |
Hiroshi Kamata | JP | Tokyo | 2009-08-20 / 20090206766 - HIGH-PRESSURE DISCHARGE LAMP, LIGHTING APPARATUS AND HIGH-PRESSURE DISCHARGE LAMP APPARATUS | 2 |
Kohki Kamata | JP | Machida-Shi | 2015-10-01 / 20150277266 - ROLLER MEMBER, ROLLER SUPPORTING MECHANISM, AND IMAGE FORMING APPARATUS | 3 |
Hideaki Kamata | JP | Kyoto-Shi | 2012-11-08 / 20120282816 - ELECTRONIC COMPONENT | 1 |
Hideyuki Kamata | JP | Kawasaki-Shi | 2015-03-05 / 20150062843 - SEMICONDUCTOR DEVICE AND ELECTRONIC APPARATUS | 1 |
Kaori Kamata | JP | Meguro-Ku | 2015-03-05 / 20150060281 - METHOD FOR MANUFACTURING METAMATERIAL | 1 |
Makoto Kamata | JP | Kanagawa | 2015-10-29 / 20150307497 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUND | 9 |
Kouhei Kamata | JP | Tokyo | 2015-02-26 / 20150056434 - CURABLE RESIN COMPOSITION, FILM, LAMINATED FILM, PREPREG, LAMINATE, CURED ARTICLE, AND COMPOSITE ARTICLE | 1 |
Toshihide Kamata | JP | Tsukuba-Shi | 2015-10-29 / 20150311012 - MEMBRANE SWITCH AND OBJECT EMPLOYING SAME | 8 |
Hiroyuki Kamata | JP | Kanagawa | 2016-03-03 / 20160066017 - RECEPTION DEVICE, RECEPTION METHOD, AND PROGRAM | 11 |
Shintarou Kamata | JP | Tokyo | 2015-10-22 / 20150299355 - MOLDED ARTICLE | 1 |
Seiji Kamata | JP | Utsunomiya-Shi | 2014-07-31 / 20140210414 - STORAGE BATTERY SYSTEM | 5 |
Mikio Kamata | JP | Ibaraki | 2013-10-24 / 20130276652 - SHEET PROCESSING APPARATUS | 1 |
Hideaki Kamata | JP | Kyoto | 2015-11-19 / 20150334861 - COVER AND INFORMATION PROCESSING APPARATUS WITH COVER | 2 |
Hisahiro Kamata | JP | Kanagawa | 2016-03-17 / 20160081175 - VOLTAGE RESONANT INVERTER, CONTROL METHOD, AND SURFACE TREATMENT DEVICE | 5 |
Tomoya Kamata | JP | Osaka | 2015-10-15 / 20150295263 - SOLID OXIDE FUEL CELL AND ELECTROLYSIS DEVICE | 1 |
Masahiko Kamata | JP | Yokosuka-Shi | 2015-02-26 / 20150054414 - LUMINAIRE | 26 |
Madoka Kamata | JP | Tokyo | 2011-07-28 / 20110184619 - VEHICLE CONTROLLING APPARATUS AND TRAIN | 1 |
Koichiro Kamata | JP | Kanagawa | 2009-02-05 / 20090033350 - Element Substrate, Inspecting Method, and Manufacturing Method of Semiconductor Device | 1 |
Yoshihiko Kamata | JP | Kanagawa-Ken | 2014-09-25 / 20140286104 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 2 |
Chikayoshi Kamata | JP | Kanagawa-Ken | 2012-09-27 / 20120243308 - MAGNETIC ELEMENT AND NONVOLATILE MEMORY DEVICE | 15 |
Tsuyoshi Kamata | JP | Osaka | 2015-06-25 / 20150177571 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Isaho Kamata | JP | Kanagawa | 2015-12-31 / 20150376813 - METHOD FOR PRODUCING HEXAGONAL SINGLE CRYSTAL, METHOD FOR PRODUCING HEXAGONAL SINGLE CRYSTAL WAFER, HEXAGONAL SINGLE CRYSTAL WAFER, AND HEXAGONAL SINGLE CRYSTAL ELEMENT | 4 |
Hirotoshi Kamata | JP | Kanagawa | 2009-11-05 / 20090274916 - PHOSPHORUS-CONTAINING BENZOXAZINE COMPOUND, PROCESS FOR PRODUCTION THEREOF, CURABLE RESIN COMPOSITION, CURED ARTICLE, AND LAMINATE PLATE | 4 |
Masatoshi Kamata | JP | Hiroshima | 2014-04-24 / 20140110371 - METHOD OF MANUFACTURING ROLL-SHAPED MOLD AND METHOD OF MANUFACTURING PRODUCT HAVING CONCAVE-CONVEX MICROSTRUCTURE ON SURFACE THEREOF | 2 |
Masahiko Kamata | JP | Yokosuka | 2014-01-30 / 20140029252 - LAMP, LAMP UNIT, AND LUMINAIRE | 1 |
Seiji Kamata | JP | Tochigi-Ken | 2013-04-11 / 20130088236 - BATTERY-MONITORING DEVICE | 1 |
Hikaru Kamata | JP | Sendai City | 2014-10-16 / 20140308815 - ETCHING METHOD AND DEVICE | 1 |
Kyoko Kamata | JP | Kawasaki | 2014-10-02 / 20140292727 - TERMINAL DEVICE AND KEY ENTRY METHOD | 1 |
Hideo Kamata | JP | Inagi-Shi | 2014-01-16 / 20140016833 - BIOMETRICS AUTHENTICATION APPARATUS, BIOMETRICS AUTHENTICATION SYSTEM, AND BIOMETRICS AUTHENTICATION METHOD | 4 |
Seiji Kamata | JP | Shioya-Gun | 2015-11-19 / 20150331058 - VOLTAGE DETECTING DEVICE | 7 |
Tomohiko Kamatani | JP | Hyogo | 2013-05-02 / 20130106327 - MOTOR DRIVE CONTROLLER AND CONTROL METHOD | 13 |
Hisashi Kamatani | JP | Osaka-Shi | 2014-09-11 / 20140251185 - COMPLEX INORGANIC COLORED PIGMENT WITH REDUCED ELUTION OF HEXAVALENT CHROMIUM THEREFROM | 1 |
Satoshi Kamatani | JP | Kawasaki, Kanagawa | 2016-03-24 / 20160085747 - SPEECH TRANSLATION APPARATUS AND METHOD | 3 |
Tomohiko Kamatani | JP | Hyogo | 2013-05-02 / 20130106327 - MOTOR DRIVE CONTROLLER AND CONTROL METHOD | 13 |
Junji Kamatani | JP | Kobe-Shi | 2016-02-25 / 20160052529 - GUIDE DEVICE FOR GUIDE RAIL-TYPE VEHICLE, AND GUIDE RAIL-TYPE VEHICLE | 2 |
Yoshiteru Kamatani | JP | Kanagawa | 2015-08-20 / 20150235359 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, PROGRAM, AND MEASURING SYSTEM | 28 |
Tomohiko Kamatani | JP | Kawanishi City | 2009-06-04 / 20090141762 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR LASER DRIVING DEVICE | 1 |
Satoshi Kamatani | JP | Kanagawa | 2012-11-22 / 20120296647 - INFORMATION PROCESSING APPARATUS | 7 |
Satoshi Kamatani | JP | Yokohama-Shi | 2015-07-16 / 20150199341 - SPEECH TRANSLATION APPARATUS, METHOD AND PROGRAM | 4 |
Yasuhiro Kamatani | JP | Kitakatsuragi-Gun | 2009-01-15 / 20090018726 - ELECTRIC POWER STEERING APPARATUS AND METHOD FOR CONTROLLING SAME | 1 |
Hideki Kamatani | JP | Nagoya-Shi | 2016-04-28 / 20160114788 - HYBRID VEHICLE | 4 |
Satoshi Kamatani | JP | Kanagawa-Ken | 2015-03-19 / 20150081274 - SYSTEM AND METHOD FOR TRANSLATING SPEECH, AND NON-TRANSITORY COMPUTER READABLE MEDIUM THEREOF | 7 |
Naoki Kamatani | JP | Ibaraki-Shi | 2015-08-20 / 20150232604 - POLYMERIZED ROSIN COMPOUND AND PRODUCTION METHOD THEREFOR | 1 |
Hideki Kamatani | JP | Toyota-Shi | 2016-04-28 / 20160114791 - HYBRID VEHICLE | 4 |
Tomohiko Kamatani | JP | Osaka | 2016-03-31 / 20160094211 - VOLTAGE LEVEL DETECTOR, MOTOR DRIVE CONTROLLER, MOTOR APPARATUS, AND METHOD OF DETECTING VOLTAGE LEVEL | 3 |
Jun Kamatani | JP | Tokyo | 2016-05-19 / 20160141506 - NAPHTHO[2,1-b]FLUORANTHENE COMPOUND, ORGANIC LIGHT-EMITTING DEVICE, DISPLAY APPARATUS, LIGHTING APPARATUS, IMAGE FORMING APPARATUS, AND EXPOSING APPARATUS | 125 |
Jun Kamatani | JP | Kanagawa-Ken | 2011-04-21 / 20110089821 - LIGHT EMITTING DEVICE HAVING DOPANTS IN A LIGHT EMITTING LAYER | 4 |
Jun Kamatani | JP | Kanagawa | 2009-09-17 / 20090230860 - POLYMER COMPOUND AND ELECTROLUMINESCENT ELEMENT | 2 |
Hideo Kamatani | JP | Yokohama | 2013-10-24 / 20130278121 - RACK DEVICE, RACK SYSTEM, AND HOUSING STRUCTURE | 1 |
Naoyuki Kamatani | JP | Tokyo | 2009-12-03 / 20090298838 - Method for Determination of Effective Dose of Methotrexate | 1 |
Satoshi Kamatani | JP | Kawasaki | 2015-03-19 / 20150081272 - SIMULTANEOUS SPEECH PROCESSING APPARATUS AND METHOD | 1 |
Satoshi Kamatani | JP | Kawasaki-Shi | 2015-04-02 / 20150095011 - SPEECH TRANSLATION SYSTEMS, SPEECH TRANSLATION METHOD AND PROGRAM PRODUCT FOR SPEECH TRANSLATION | 1 |
Naoyuki Kamatani | JP | Chiba | 2013-08-15 / 20130211329 - LIQUID LEAKAGE DETECTION SYSTEM | 1 |
Jun Kamatani | JP | Kawasaki-Shi | 2015-03-19 / 20150076478 - LUMINESCENCE DEVICE AND DISPLAY APPARATUS | 2 |
Takayuki Kamatani | JP | Osaka | 2010-04-29 / 20100103161 - PLASMA DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 2 |
Tomohiko Kamatani | JP | Kawanishi-Shi | 2011-03-10 / 20110057703 - SEMICONDUCTOR DEVICE | 4 |
Kohei Kamatani | JP | Takatsuki-Shi | 2014-12-04 / 20140354323 - I-V CHARACTERISTIC MEASURING APPARATUS AND I-V CHARACTERISTIC MEASURING METHOD FOR SOLAR CELL, AND RECORDING MEDIUM RECORDED WITH PROGRAM FOR I-V CHARACTERISTIC MEASURING APPARATUS | 1 |
Vikas Kamate | IN | New Delhi | 2013-08-01 / 20130198734 - ACCESS TO PROTECTED CONTENT BASED ON LICENSE HIERARCHY | 1 |
Anirudha Kamatgi | IN | Koramangala | 2014-09-11 / 20140259145 - Light Weight Profiling Apparatus Distinguishes Layer 7 (HTTP) Distributed Denial of Service Attackers From Genuine Clients | 1 |
Anirudha Kamatgi | IN | Bangalore | 2016-05-19 / 20160139910 - POLICY-DRIVEN MANAGEMENT OF APPLICATION TRAFFIC FOR PROVIDING SERVICES TO CLOUD-BASED APPLICATIONS | 1 |
Kathryn Kamath | US | Santa Barbara | 2016-05-05 / 20160122425 - Activatable Binding Polypeptides and Methods of Identification and Use Thereof | 14 |
Anil Kamath | US | Los Altos Hills | 2015-11-05 / 20150317670 - DYNAMIC MARKETING RESOURCE ARBITRAGE | 2 |
Dayavanti Gopal Kamath | US | Santa Clara | 2014-05-29 / 20140146708 - VIRTUAL SWITCHING PORTS ON HIGH-BANDWIDTH LINKS | 8 |
Girish R. Kamath | US | Lebanon | 2015-10-01 / 20150282290 - Wide Bandgap Semiconductor Based Power Supply Assemblies for Plasma Operating Systems and Related Methods and Devices | 5 |
Srijit Kamath | US | Gainesville | 2008-10-02 / 20080240348 - Variable Feathering Field Splitting For Intensity Modulated Fields of Large Size | 1 |
Kristen Kamath | US | Palatine | 2008-10-09 / 20080248738 - FLOW CONTROLLING ASSEMBLY AND METHOD | 1 |
Amar P. Kamath | US | Sparta | 2014-03-27 / 20140089002 - HIPAA COMPLIANT HEALTH INFORMATION SHARING DISPLAY AND METHOD | 1 |
Rajesh V. Kamath | US | Shrewsbury | 2016-04-21 / 20160109456 - PERINUCLEOLAR COMPARTMENT AS A CANCER MARKER | 9 |
Binita M. Kamath | CA | Toronto | 2016-01-07 / 20160002595 - METHODS FOR GENERATING HEPATOCYTES AND CHOLANGIOCYTES FROM PLURIPOTENT STEM CELLS | 1 |
Vinay Kamath | US | Rancho Santa Margarita | 2011-11-17 / 20110282866 - System And Method For Retrieving And Processing Information From A Supervisory Control Manufacturing/Production Database | 2 |
Mohan Kamath | US | Fremont | 2011-11-17 / 20110283281 - SYSTEM AND METHOD FOR PROVIDING COMPLEX ACCESS CONTROL IN WORKFLOWS | 2 |
Apurv Kamath | US | Minneapolis | 2015-02-05 / 20150039043 - SUBCUTANEOUS CARDIAC SENSING AND STIMULATION SYSTEM | 1 |
Ajith Kamath | IN | Malpe | 2012-11-01 / 20120274152 - Controlling a Release Event from an Electrical Component | 1 |
Das Ajee Kamath | IN | Pimpri Pune | 2016-05-19 / 20160138564 - A FLUID DRIVEN PRIME MOVER SYSTEM | 1 |
Harish B. Kamath | IN | Bangalore Karnataka | 2013-05-02 / 20130107324 - Processing Print Requests | 2 |
Anil Kamath | IN | Pune | 2015-04-16 / 20150104125 - INNER RING WITH UNDERCUT TO IMPROVE TANG FLEXIBILITY | 1 |
Aadithya Vishwanath Kamath | IN | Bangalore | 2014-02-06 / 20140040495 - SYSTEM AND METHOD FOR LOW DELAY FAST UPDATE FOR VIDEO STREAMING | 2 |
Ajith M. Kamath | US | Beaverton | 2014-07-03 / 20140185862 - MESSAGING BY WRITING AN IMAGE INTO A SPECTROGRAM | 1 |
Arvind Kamath | US | Los Altos | 2013-09-19 / 20130243940 - DIFFUSION BARRIER COATED SUBSTRATES AND METHODS OF MAKING THE SAME | 1 |
Narayana Kamath | US | Herndon | 2008-10-23 / 20080259954 - METHOD AND SYSTEMS FOR BANDWIDTH SCHEDULING AND PATH COMPUTATION FOR CONNECTION-ORIENTED NETWORKS | 1 |
Ramesh Kamath | US | Carmel | 2015-04-09 / 20150096989 - DOUBLE-WALL CLOSURE | 3 |
Prashant Kamath | US | Irving | 2008-12-18 / 20080313606 - XSL DIALOG MODULES | 2 |
Ajith Kamath | US | Beaverton | 2014-09-18 / 20140279493 - MOBILE DEVICES AS SECURITY TOKENS | 4 |
Rajesh Vasant Kamath | US | Shewsbury | 2008-12-25 / 20080317699 - Chemically modified dendrimers | 1 |
Monty Kamath | US | Hudson | 2012-07-26 / 20120188251 - SELECTIVE COMPUTATION USING ANALYTIC FUNCTIONS | 3 |
Janardhan K. Kamath | US | Solon | 2010-05-13 / 20100119039 - X-RAY BEAM Z-AXIS POSITIONING | 1 |
Ajeet G. Kamath | US | Houston | 2012-12-13 / 20120312523 - MODULAR CONTROL SYSTEM FOR DOWNHOLE TOOL | 2 |
Roshan Kamath | US | Hainesville | 2015-07-02 / 20150188325 - DC POWER SOURCE AND ASSOCIATED METHODS OF OPERATING SAME TO EFFICIENTLY SUPPLY A SPECIFICATION-COMPLIANT OUTPUT VOLTAGE | 4 |
Aravind Miyar Kamath | US | Santa Clara | 2016-01-07 / 20160002778 - SUBSTRATE SUPPORT WITH MORE UNIFORM EDGE PURGE | 2 |
Gautham Devendra Kamath | US | Austin | 2015-09-24 / 20150269926 - SOURCE AUDIO ACOUSTIC LEAKAGE DETECTION AND MANAGEMENT IN AN ADAPTIVE NOISE CANCELING SYSTEM | 10 |
Kishore Kamath | US | Fort Collins | 2010-11-04 / 20100279493 - DOPING OF SEMICONDUCTOR LAYER FOR IMPROVED EFFICIENCY OF SEMICONDUCTOR STRUCTURES | 3 |
Ramesh Kamath | US | Crawfordsville | 2011-01-06 / 20110000915 - CLOSURE WITH IMPROVED ROTATION-INHIBITING PROJECTIONS | 1 |
Dayavanti Gopal Kamath | US | Santa Clara | 2014-05-29 / 20140146708 - VIRTUAL SWITCHING PORTS ON HIGH-BANDWIDTH LINKS | 8 |
Kalpana Kamath | US | Natick | 2011-02-10 / 20110034993 - COATED MEDICAL IMPLANTS | 2 |
Vivekanand P. Kamath | US | Birmingham | 2009-01-08 / 20090012104 - Inhibitors of 5'-Methylthioadenosine Phosphorylase and 5'-Methylthioadenosine/S-Adenosylhomocysteine Nucleosidase | 1 |
Rajesh V. Kamath | US | Shrewsbury | 2016-04-21 / 20160109456 - PERINUCLEOLAR COMPARTMENT AS A CANCER MARKER | 9 |
Sunil J. Kamath | CA | Markham | 2012-04-19 / 20120096287 - COORDINATED APPROACH BETWEEN MIDDLEWARE APPLICATION AND SUB-SYSTEMS | 1 |
Sandeep Kamath | US | San Diego | 2014-12-04 / 20140355582 - BLE SCATTERNET SYSTEM AND METHOD | 1 |
Dayavanti G. Kamath | IN | Bangalore | 2015-12-31 / 20150381479 - MECHANISM FOR EXCHANGE OF ROUTING DATA BETWEEN CONTROLLERS | 1 |
Seema Kamath | US | Stanford | 2016-02-18 / 20160050254 - CROSS-DEVICE INTEGRATION SYSTEM AND METHOD | 1 |
Rahul Ratnakar Kamath | US | Santa Clara | 2016-03-31 / 20160092818 - METHOD AND SYSTEM FOR IMPLEMENTING AN ADAPTIVE DATA GOVERNANCE SYSTEM | 1 |
Satish Kamath | US | Mason | 2010-07-08 / 20100172987 - Three Layer Tablet Containing Cetirizine, Pseudoephedrine, and Naproxen | 4 |
Ramakrishna Kamath | IN | Shimoga | 2015-09-17 / 20150262107 - CUSTOMER EXPERIENCE MEASUREMENT SYSTEM | 1 |
Gautham Kamath | US | Austin | 2015-01-29 / 20150032396 - BOOST CONVERTER CONTROLLER WITH INDUCTANCE VALUE DETERMINATION | 2 |
Narasimha B. Kamath | IN | Bangalore | 2013-09-12 / 20130238385 - System and Method for Solving Large Scale Supply Chain Planning Problems with Integer Constraints | 1 |
Das Ajee Kamath | IN | Pune | 2016-05-19 / 20160138564 - A FLUID DRIVEN PRIME MOVER SYSTEM | 1 |
Vidya Kamath | US | Niskayuna | 2015-05-28 / 20150148677 - METHOD AND SYSTEM FOR LESION DETECTION IN ULTRASOUND IMAGES | 1 |
Prakash Kamath | US | Santa Clara | 2009-12-31 / 20090327572 - EXCHANGING INFORMATION BETWEEN COMPONENTS COUPLED WITH AN A I2C BUS VIA SEPARATE BANKS | 8 |
Dayavanti G. Kamath | US | Fremont | 2012-11-15 / 20120287939 - DISTRIBUTED FABRIC PROTOCOL (DFP) SWITCHING NETWORK ARCHITECTURE | 1 |
Dayavanti G. Kamath | US | Santa Clara | 2016-01-28 / 20160028623 - SWITCH ROUTING TABLE UTILIZING SOFTWARE DEFINED NETWORK (SDN) CONTROLLER PROGRAMMED ROUTE SEGREGATION AND PRIORITIZATION | 34 |
Manohar Raghunath Kamath | US | Fremont | 2015-01-29 / 20150029041 - DEVICE, SYSTEM AND METHOD FOR CAPTURING MOTOR VEHICLE BEHAVIOR | 1 |
Mithun Kamath | US | Shrewsbury | 2015-06-25 / 20150175837 - COATING MATERIALS AND LOW HAZE HEAT REJECTION COMPOSITES | 1 |
Harish B. Kamath | IN | Bengalooru Karanataka | 2013-10-17 / 20130275919 - OBJECT VISUALIZATION | 3 |
Anubhav H. Kamath | US | Houston | 2014-07-24 / 20140202905 - RADIOFREQUENCY SHIELDED CONTAINER | 1 |
Nidish Ramachandra Kamath | US | Placentia | 2008-09-25 / 20080235494 - MUSICAL INSTRUMENT DIGITAL INTERFACE HARDWARE INSTRUCTION SET | 7 |
Sandeep Kamath | US | Santa Clara | 2015-11-26 / 20150339164 - SYSTEMS AND METHODS FOR MANAGING SPILLOVER LIMITS IN A MULTI-CORE SYSTEM | 14 |
Ashwin Kamath | US | Cedar Park | 2015-08-27 / 20150242332 - SELF-ENCRYPTING FLASH DRIVE | 7 |
Nidish R. Kamath | US | Placentia | 2008-09-25 / 20080229915 - MUSICAL INSTRUMENT DIGITAL INTERFACE PARAMETER STORAGE | 1 |
Ashwin Kamath | US | San Ramon | 2015-02-26 / 20150058770 - METHOD AND APPRATUS FOR PROVIDING ALWAYS-ON-TOP USER INTERFACE FOR MOBILE APPLICATION | 3 |
Mithun Kamath | US | Lowell | 2012-01-12 / 20120007277 - DEVICE AND METHOD FOR FORMING MULTILAYERED LAMINATES | 1 |
Kiran Kamath | US | Mountain View | 2013-05-02 / 20130111475 - FAST DETERMINATION OF COMPATIBILITY OF VIRTUAL MACHINES AND HOSTS | 3 |
Hundi Panduranga Kamath | US | Los Altos | 2014-10-23 / 20140312691 - SMART POWER STRIP WITH AUTOMATIC DEVICE CONNECTION DETECTION | 12 |
Vidyadhar Kamath | US | San Diego | 2011-07-07 / 20110167104 - SELECTIVELY MIXING MEDIA DURING A GROUP COMMUNICATION SESSION WITHIN A WIRELESS COMMUNICATIONS SYSTEM | 2 |
Apurv Kamath | US | San Diego | 2012-12-20 / 20120323100 - SYSTEMS AND METHODS FOR REPLACING SIGNAL ARTIFACTS IN A GLUCOSE SENSOR DATA STREAM | 6 |
Nidish R. Kamath | US | San Diego | 2014-02-06 / 20140036683 - POWER OPTIMIZED BEHAVIOR IN MESH NETWORKS | 3 |
Vivek Prabhakar Kamath | US | San Diego | 2008-10-30 / 20080270019 - Systems and methods for enhancing private transportation | 1 |
Hundi P. Kamath | US | Los Altos | 2008-09-25 / 20080231410 - RFID Open Fuse Indicator, System, and Method | 1 |
Anil Kamath | US | Palo Alto | 2013-10-03 / 20130262219 - Method and Apparatus for Advertising Bidding | 4 |
Sandeep Kamath | US | San Jose | 2013-09-19 / 20130246619 - SYSTEMS AND METHODS FOR OBJECT RATE LIMITING IN MULTI-CORE SYSTEM | 3 |
Durgadatt R. Kamath | US | Cupertino | 2015-02-05 / 20150039449 - INDICATING UNAVAILABILITY OF AN UPLOADED VIDEO FILE THAT IS BEING BITRATE ENCODED | 5 |
Kathryn Kamath | US | Santa Barbara | 2016-05-05 / 20160122425 - Activatable Binding Polypeptides and Methods of Identification and Use Thereof | 14 |
Arun Thomas Kamath | AU | Lyons, Australian Capital Territory | 2016-01-28 / 20160024476 - ANTI-MYCOBACTERIAL VACCINES | 1 |
Hundi Panduranga Kamath | US | Los Altos | 2014-10-23 / 20140312691 - SMART POWER STRIP WITH AUTOMATIC DEVICE CONNECTION DETECTION | 12 |
Nidish Ramachandra Kamath | US | San Diego | 2009-01-22 / 20090024397 - UNIFIED FILTER BANK FOR PERFORMING SIGNAL CONVERSIONS | 2 |
Shripathi Kamath | US | Mission Viejo | 2011-09-08 / 20110219327 - SELECTIVELY PRESENTING TIMESTAMPED TIME-SERIES DATA VALUES FOR RETRIEVED SUPERVISORY CONTROL AND MANUFACTURING/PRODUCTION PARAMETERS | 1 |
Dayavanti G. Kamath | US | Santa Clara | 2016-01-28 / 20160028623 - SWITCH ROUTING TABLE UTILIZING SOFTWARE DEFINED NETWORK (SDN) CONTROLLER PROGRAMMED ROUTE SEGREGATION AND PRIORITIZATION | 34 |
Rajesh Vasant Kamath | US | Shrewsbury | 2016-04-07 / 20160096926 - Chemically Modified Dendrimers | 2 |
Sanjay Sridhar Kamath | US | San Diego | 2015-04-30 / 20150119046 - BACKHAUL MANAGEMENT OF A SMALL CELL | 6 |
Sanjay Kamath | US | Fremont | 2016-03-31 / 20160093488 - FLOWABLE LOW-K DIELECTRIC GAPFILL TREATMENT | 5 |
Harish B. Kamath | US | 2013-02-07 / 20130033722 - SYSTEMS AND METHODS FOR PROVIDING CONTENT TO A PERIPHERAL DEVICE | 1 | |
Deepak Manohar Kamath | US | Cincinnati | 2016-01-28 / 20160025339 - ENERGY-EFFICIENT AND CONTROLLED VAPORIZATION OF CRYOFUELS FOR AIRCRAFT ENGINES | 1 |
Shridhara Kamath | IN | Mumbai | 2016-05-12 / 20160128918 - USE OF BENZYL ALCOHOL AS A DEFOAMING AGENT | 1 |
Dayavanti G. Kamath | US | 2013-01-24 / 20130022050 - DISTRIBUTED FABRIC PROTOCOL (DFP) SWITCHING NETWORK ARCHITECTURE | 1 | |
Vikram Kamath | IN | Bangalore | 2011-01-13 / 20110010278 - EXPENSE TRACKING, ELECTRONIC ORDERING, INVOICE PRESENTMENT, AND PAYMENT SYSTEM AND METHOD | 2 |
Krishna Kamath | US | San Francisco | 2015-09-17 / 20150264523 - DYNAMIC GEOHASH-BASED GEOFENCING | 1 |
Apurv U. Kamath | US | Solana Beach | 2010-02-11 / 20100036225 - SYSTEMS AND METHODS FOR REPLACING SIGNAL ARTIFACTS IN A GLUCOSE SENSOR DATA STREAM | 7 |
Krishna Y. Kamath | IN | Bangalore | 2009-02-26 / 20090052321 - TAXONOMY BASED MULTIPLE ANT COLONY OPTIMIZATION APPROACH FOR ROUTING IN MOBILE AD HOC NETWORKS | 1 |
Vinay T. Kamath | US | Rancho Santa Margarita | 2016-01-07 / 20160004734 - SECURE DATA ISOLATION IN A MULTI-TENANT HISTORIZATION SYSTEM | 5 |
Vivek P. Kamath | US | Redmond | 2016-02-04 / 20160036781 - EXTENSIBLE ACCESS CONTROL ARCHITECTURE | 2 |
Apurv Ullas Kamath | US | San Diego | 2016-05-05 / 20160120448 - SYSTEMS AND METHODS FOR MONITORING AND MANAGING LIFE OF A BATTERY IN AN ANALYTE SENSOR SYSTEM WORN BY A USER | 124 |
Anagha J. Kamath | IN | Mangalore | 2014-08-21 / 20140234442 - BROAD SPECTRUM ROOT CANAL FILING COMPOSITION FOR ENDODONTRIC USAGE | 1 |
Purushotham Kamath | US | San Jose | 2015-08-20 / 20150235282 - Method and system to share, interconnect and execute components and compute rewards to contributors for the collaborative solution of computational problems. | 5 |
P. Raghavendra Kamath | IN | Bangalore | 2011-10-27 / 20110261146 - Determining Buffer Size Based on Retransmission Latency | 2 |
Harish B. Kamath | IN | Bengatooru | 2013-05-09 / 20130117063 - Arranging Functional Elements Into A Workflow | 1 |
Ganapati Kamath | IN | Ralajinagar Bangalore | 2013-07-25 / 20130189659 - Appraisal Process Framework for Scrum Projects | 1 |
Apurv Kamath | US | Solana Beach | 2012-03-22 / 20120071942 - Subcutaneous Cardiac Sensing and Stimulation System | 3 |
Ajit Annu Kamath | IN | Mumbai | 2011-12-15 / 20110306763 - PROCESS FOR THE PREPARATION OF IMATINIB AND SALTS THEREOF | 1 |
Sudesh Kamath | US | Fremont | 2012-02-02 / 20120030689 - BUSINESS APPLICATION INTEGRATION ADAPTERS MANAGEMENT SYSTEM | 1 |
Vishnu Ramachandra Kamath | IN | Upudi | 2012-04-12 / 20120089418 - INTEGRATED INTERACTIVE SYSTEMS AND METHODS WITH SINGLE TRANSACTIONAL DATABASE AND REPORTING APPLICATION FOR eCLINICAL TRIALS | 1 |
Pradeep Kamath | US | Sunnyvale | 2015-08-13 / 20150227444 - METHOD AND SYSTEM FOR EVALUATING THE RESILIENCY OF A DISTRIBUTED COMPUTING SERVICE BY INDUCING LATENCY | 3 |
Manoj Raveendranath Kamath | IN | Kasturinagar | 2011-12-01 / 20110292807 - METHOD AND SYSTEM FOR SIDEBAND COMMUNICATION ARCHITECTURE FOR SUPPORTING MANAGEABILITY OVER WIRELESS LAN (WLAN) | 1 |
Shwetha Ramachandra Kamath | US | Edison | 2012-04-12 / 20120089418 - INTEGRATED INTERACTIVE SYSTEMS AND METHODS WITH SINGLE TRANSACTIONAL DATABASE AND REPORTING APPLICATION FOR eCLINICAL TRIALS | 1 |
Harish Kamath | US | San Ramon | 2012-02-02 / 20120027155 - Integrated Reactor Missile Shield and Crane Assembly | 1 |
Deepak Kamath | US | Eden Prairie | 2014-05-22 / 20140140508 - Method, System and Program Product for Secure Storage of Content | 1 |
Apur U. Kamath | US | San Diego | 2012-08-23 / 20120215462 - SYSTEM AND METHODS FOR PROCESSING ANALYTE SENSOR DATA | 1 |
Srikanth Kamath | IL | Maharashtra | 2014-09-25 / 20140288211 - Light Diffusing Thermoplastic Resin Compositions And Light Diffusing Members | 1 |
Sandeep Kamath | IN | Bangalore | 2013-11-28 / 20130318232 - SYSTEMS AND METHODS FOR PROVIDING DYNAMIC CONNECTION SPILLOVER AMONG VIRTUAL SERVERS | 8 |
Manoj Kamath | IN | Kasturinagar | 2015-10-29 / 20150312802 - METHOD AND SYSTEM FOR SIDEBAND COMMUNICATION ARCHITECTURE FOR SUPPORTING MANAGEABILITY OVER WIRELESS LAN (WLAN) | 1 |
Vinay Ravindra Kamath | SG | Singapore | 2015-10-29 / 20150310470 - LOCATION-BASED CROWDSOURCED FUNDS | 1 |
Manoj Raveendranath Kamath | IN | Bangalore | 2015-12-03 / 20150351036 - TRANSITIONING FROM MIMO TO SISO TO SAVE POWER | 4 |
Yashavanth Kamath | US | Princeton | 2015-01-22 / 20150020838 - METHOD AND CHEMICAL COMPOSITIONS FOR RESHAPING HAIR | 2 |
Vidya Pundalik Kamath | US | Clifton Park | 2015-03-26 / 20150087982 - METHOD AND SYSTEM FOR LESION DETECTION IN ULTRASOUND IMAGES | 7 |
Aashit Ramachandra Kamath | SG | Singapore | 2015-10-08 / 20150287822 - SEMICONDUCTOR DEVICE PRODUCTION METHOD AND SEMICONDUCTOR DEVICE | 3 |
Rakesh R. Kamath | US | Santa Clara | 2012-04-19 / 20120096425 - Software Map | 1 |
Anant S. Kamath | IN | Bangalore | 2013-06-20 / 20130156131 - METHOD AND APPARATUS FOR CORRECTING SIGNAL DEPENDENT DUTY CYCLE ERRORS IN AMPLITUDE SHIFT KEYING RECEIVERS | 1 |
Sandeep Kamath | US | 2011-06-23 / 20110153831 - SYSTEMS AND METHODS FOR MIXED MODE OF IPV6 AND IPV4 DNS OF GLOBAL SERVER LOAD BALANCING | 1 | |
Kishore Kamath | US | San Jose | 2011-07-28 / 20110182571 - OPTICAL TRANSCEIVER WITH CLOCK FOR PROVIDING MAINTENANCE AND LIFETIME INFORMATION | 1 |
Sanmati S. Kamath | US | Plano | 2015-03-05 / 20150067008 - Determining Median Value of an Array on Vector SIMD Architectures | 2 |
Ananth Kamath | IN | Bangalore | 2012-10-18 / 20120261766 - Compensated Isolated P-WELL DENMOS Devices | 2 |
Sandeep Kamath | US | Santa Clara | 2015-11-26 / 20150339164 - SYSTEMS AND METHODS FOR MANAGING SPILLOVER LIMITS IN A MULTI-CORE SYSTEM | 14 |
Shirley Kamath | IN | Mumbai | 2013-07-04 / 20130173353 - ASSESSING MATURITY OF BUSINESS PROCESSES | 1 |
Prashanth L. Kamath | US | Redmond | 2014-12-04 / 20140359448 - ADDING CAPTIONS AND EMPHASIS TO VIDEO | 3 |
Harish B. Kamath | IN | Bangalore | 2015-09-03 / 20150248264 - USING DEVICE IDENTIFICATION INFORMATION FOR PAYMENT VIA A PRINTER | 5 |
Burde Suresh Kamath | IN | Chennai | 2013-06-20 / 20130159180 - WALLET BANKING SYSTEM | 1 |
Ajay Kamath | US | San Jose | 2016-05-05 / 20160124228 - Simplified Mirror | 1 |
Ajith Mulki Kamath | US | Beaverton | 2015-02-26 / 20150055855 - LEARNING SYSTEMS AND METHODS | 1 |
Niranjan R. Kamath | US | 2012-11-01 / 20120278182 - GENERATING AN ADVERTISEMENT MESSAGE | 1 | |
Nithin Moolky Kamath | IN | Bangalore | 2013-06-27 / 20130166598 - Managing Business Objects Data Sources | 2 |
Monty Ravindra Kamath | US | Westlake | 2012-03-15 / 20120066224 - CLUSTERING OF ANALYTIC FUNCTIONS | 1 |
Sandeep Kamath | IN | Bangalore | 2013-11-28 / 20130318232 - SYSTEMS AND METHODS FOR PROVIDING DYNAMIC CONNECTION SPILLOVER AMONG VIRTUAL SERVERS | 8 |
Gautham Devendra Kamath | US | Austin | 2015-09-24 / 20150269926 - SOURCE AUDIO ACOUSTIC LEAKAGE DETECTION AND MANAGEMENT IN AN ADAPTIVE NOISE CANCELING SYSTEM | 10 |
Vikram John Kamath | US | Cupertino | 2013-10-24 / 20130278413 - Electronic Leash System for Personal Articles | 1 |
Arvind Kamath | US | Mountain View | 2016-02-04 / 20160035762 - Methods for Manufacturing RFID Tags and Structures Formed Therefrom | 20 |
Anant Shankar Kamath | IN | Bangalore | 2014-06-19 / 20140169038 - DIGITAL ISOLATOR | 7 |
Sandeep Kamath | US | Sanjose | 2010-05-27 / 20100131668 - Systems and Methods For Object Rate Limiting | 1 |
Anant Shankar Kamath | US | Plano | 2016-04-14 / 20160105187 - FLL OSCILLATOR/CLOCK WITH AN FLL CONTROL LOOP INCLUDING A SWITCHED CAPACITOR RESISTIVE DIVIDER | 1 |
Udyavara Srikanth Kamath | US | Redmond | 2012-12-06 / 20120311263 - SECTOR-BASED WRITE FILTERING WITH SELECTIVE FILE AND REGISTRY EXCLUSIONS | 1 |
Harish B. Kamath | IN | Bengalooru | 2015-09-03 / 20150248261 - MANAGING NETWORK CONNECTIONS | 8 |
Jaya Kamath | US | Los Altos | 2015-09-17 / 20150262108 - MANAGED ASSESSMENT OF SUBMITTED DIGITAL CONTENT | 2 |
Apurv U. Kamath | US | San Diego | 2013-06-27 / 20130165756 - Analyte Sensor | 81 |
Harish B. Kamath | IN | Bengalooru | 2015-09-03 / 20150248261 - MANAGING NETWORK CONNECTIONS | 8 |
Rajesh Kamath | IN | Hyderabad | 2014-01-16 / 20140019516 - HYBRID APPLICATION ENVIRONMENTS | 2 |
Ashok C. Kamath | US | Sammamish | 2012-11-08 / 20120284490 - WORKING SET PROFILER | 2 |
Vinod Kamath | US | Raleigh | 2015-05-21 / 20150138715 - THERMOELECTRIC-ENHANCED, LIQUID-BASED COOLING OF A MULTI-COMPONENT ELECTRONIC SYSTEM | 46 |
Deepak Manohar Kamath | US | Fairfield | 2015-12-03 / 20150344144 - CRYOGENIC FUEL SYSTEM AND METHOD FOR DELIERING FUEL IN AN AIRCRAFT | 3 |
Shubhangi Kamatkar | US | Newton | 2012-10-18 / 20120264625 - COMPOSITIONS, KITS, AND METHODS FOR IDENTIFICATION, ASSESSMENT, PREVENTION, AND THERAPY OF CERVICAL CANCER | 10 |
Hirokazu Kamatori | JP | Matsumoto-Shi | 2014-08-21 / 20140232991 - PROJECTION DISPLAY DEVICE AND METHOD FOR CONTROLLING THE SAME | 5 |
Hirokazu Kamatori | JP | Azumino-Shi | 2015-09-10 / 20150256788 - PROJECTOR AND CONTROL METHOD FOR PROJECTOR | 3 |
Akimitsu Kamatsuka | JP | Kariya-Shi | 2012-01-12 / 20120006241 - SEWING MACHINE | 1 |
Tatsuki Kamatsuki | JP | Ibaraki | 2010-09-30 / 20100249450 - PROCESS FOR PRODUCING POLYISOCYANATE | 1 |
Maria N. Kamau | US | Santa Clara | 2013-03-21 / 20130067810 - DECORATIVE POLE AND BASE STAND STABILIZING CONTAINER | 2 |
Girish Kamavarapu | IN | Hyderabad | 2013-07-04 / 20130173125 - APPARATUS FOR DRIVING SHAFT ROTATION AND METHOD | 1 |
Yaniv Kamay | IL | Modiyin | 2009-02-12 / 20090043977 - METHOD FOR PERFORMING A SNAPSHOT IN A DISTRIBUTED SHARED FILE SYSTEM | 1 |
Yaniv Kamay | IL | Modl | 2010-12-02 / 20100303146 - MECHANISM FOR DYNAMICALLY CHANGING STREAMING VIDEO QUALITY | 1 |
Yaniv Kamay | IL | Modi'In | 2012-01-12 / 20120011263 - Using A Virtual Network Interface To Obtain Access To Resources | 2 |
Yaniv Kamay | IL | Modi | 2013-10-24 / 20130283272 - ADJUSTING CLIENT DISPLAY DEVICES BASED ON SETTINGS INCLUDED IN A NOTIFICATION FROM REMOTE VIRTUAL MACHINE HOST PRIOR TO CONNECTION ESTABLISHMENT | 13 |
Yamato Kamaya | JP | Tokyo | 2013-04-04 / 20130082877 - DETECTION OF A POSITION OF AN OBJECT | 2 |
Takafumi Kamaya | JP | Kyoto-Shi | 2013-11-28 / 20130317386 - BODY WEIGHT MANAGEMENT DEVICE HAVING FUNCTION OF PREDICTING BODY WEIGHT VARIATION | 1 |
Kazuhiro Kamaya | JP | Tokyo | 2015-10-15 / 20150292961 - HEAT FLUX SENSOR AND METHOD FOR MANUFACTURING SAME | 1 |
Naoki Kamaya | JP | Tokyo | 2015-01-29 / 20150030914 - BATTERY DEVICE, ELECTRONIC APPARATUS, AND BATTERY SYSTEM | 6 |
Alexander Kamb | US | Hillsborough | 2009-01-29 / 20090030005 - Combinations for the treatment of cancer | 1 |
William Kamb | US | Coconut Creek | 2009-07-02 / 20090165309 - Utility knife with blade release machanism | 1 |
Carl Alexander Kamb | US | Hillsborough | 2015-07-23 / 20150201588 - Autologous Mammalian Models Derived from Induced Pluripotent Stem Cells and Related Methods | 1 |
Seiji Kamba | JP | Nagaokakyo-Shi | 2016-05-05 / 20160124177 - FIXING INSTRUMENT AND METAL MESH DEVICE | 21 |
Seiji Kamba | JP | Kyoto-Fu | 2012-05-24 / 20120126123 - Method of Measuring Characteristics of Specimen, Measuring Device, and Filter Device | 1 |
Tomomi Kamba | JP | Kyoto | 2011-11-24 / 20110287112 - PROSTATE CANCER PROGRESSION INHIBITOR AND PROGRESSION INHIBITION METHOD | 1 |
Seiji Kamba | JP | Nagaokakyo-Shi | 2016-05-05 / 20160124177 - FIXING INSTRUMENT AND METAL MESH DEVICE | 21 |
Tomonari Kamba | JP | Tokyo | 2014-10-30 / 20140323078 - DETERMINATION OF ORDER OF TRANSMISSION DESTINATION | 2 |
Motoi Kamba | JP | Chiyoda-Ku | 2010-08-05 / 20100192634 - PROCESS FOR PRODUCING GLASS/RESIN COMPOSITE | 1 |
Diane M. Kambach | US | Burlington | 2011-12-08 / 20110300092 - MASCARAS OBTAINED VIA MULTI-PHASE PRODUCT | 1 |
Venkata Subba Rao Kambala | AU | South Australia | 2012-03-22 / 20120067828 - PHOTOCATALYST AND METHOD FOR PRODUCTION | 1 |
Venkata Subba Rao Kambala | AU | Seven Hills | 2013-01-24 / 20130023408 - AMINE MODIFIED CLAY SORBENTS | 1 |
Swetha Kambalapally | US | Ellicott City | 2016-02-11 / 20160038428 - ENCAPSULATION OF HYDROPHOBIC BIOLOGICALLY ACTIVE COMPOUNDS | 1 |
Shravan Kambam | US | New York | 2010-11-25 / 20100298622 - APPARATUSES AND TECHNIQUES FOR BIOACTIVE DRUG DELIVERY IN THE PROSTATE GLAND | 1 |
Sushil Kambampati | US | Wichita | 2013-08-22 / 20130219422 - SYSTEM AND METHOD FOR PROVIDING INTERNET PROTOCOL VIDEO INTEGRATION WITHIN A HOME VIEWING NETWORK | 1 |
Noriyuki Kambara | JP | Tokyo | 2010-06-10 / 20100145291 - DEODORIZING FILTER AND EXCRETA RECEPTACLE HAVING THE SAME | 1 |
Hironori Kambara | JP | Ikoma-Shi | 2009-10-08 / 20090253012 - FUEL CELL | 1 |
Kenji Kambara | JP | Otsu-Shi | 2009-01-15 / 20090014909 - PRINTING DEVICE, PRODUCTION UNIT, AND PRODUCTION METHOD OF ELECTRONIC PARTS | 2 |
Hideki Kambara | JP | Tokyo | 2015-10-22 / 20150302042 - DATA ANALYSIS APPARATUS AND DATA ANALYSIS METHOD | 2 |
Nobuo Kambara | JP | Wako-Shi | 2015-05-07 / 20150122561 - ELECTRIC VEHICLE | 1 |
Tadaaki Kambara | JP | Tokyo | 2012-12-06 / 20120310087 - ULTRASONIC DIAGNOSIS APPARATUS, OPERATION METHOD OF THE SAME, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Shinji Kambara | JP | Gifu | 2015-08-27 / 20150238922 - HYDROGEN GENERATING APPARATUS AND FUEL CELL SYSTEM PROVIDED WITH HYDROGEN GENERATING APPARATUS | 1 |
Eiji Kambara | JP | Minato-Ku | 2013-10-10 / 20130266807 - METHOD OF MANUFACTURING CARBON FIBER | 2 |
Eiji Kambara | JP | Tokyo | 2011-09-08 / 20110218288 - CARBON FIBER AGGREGATES AND PROCESS FOR PRODUCTION OF SAME | 3 |
Eiji Kambara | JP | Kawasaki-Shi | 2011-05-05 / 20110105685 - METHOD FOR PRODUCING CARBON FIBER | 3 |
Yasuyuki Kambara | JP | Yokohama | 2010-04-08 / 20100088543 - Restarting Mehtod Using a Snapshot | 2 |
Takashi Kambara | JP | Osaka | 2014-01-30 / 20140028187 - POWER SUPPLY DEVICE, LIGHTING DEVICE, LIGHTING FIXTURE USING THE SAME, AND VEHICLE | 4 |
Takayoshi Kambara | JP | Chiba | 2010-07-29 / 20100187514 - ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM LIGHT- EMITTING TRANSISTOR | 1 |
Katsuhiro Kambara | JP | Hitachinaka | 2014-05-29 / 20140147348 - CHEMICAL ANALYSIS APPARATUS AND CHEMICAL ANALYSIS METHOD | 5 |
Riina Kambara | JP | Yamagata | 2012-03-08 / 20120059137 - MONODISPERSE CHLOROMETHYLSTYRENE POLYMER AND PRODUCING METHOD THEREOF | 1 |
Eiji Kambara | JP | Kanagawa | 2010-10-14 / 20100261062 - CRIMPED CARBON FIBER AND PRODUCTION METHOD THEREOF | 2 |
Michinobu Kambara | JP | Kyoto | 2010-12-30 / 20100327853 - PHASE LOCKED LOOP FOR CONTROLLING MOTOR AND SPINDLE MOTOR USING THE SAME | 1 |
Hironori Kambara | JP | Osaka | 2012-02-09 / 20120034541 - FUEL CELL STACK AND ELECTRONIC DEVICE PROVIDED WITH THE SAME | 4 |
Yoshihiko Kambara | JP | Osaka | 2008-11-20 / 20080287712 - Production Method of Trihydrocarbylborane | 1 |
Tatsuji Kambara | JP | Higashiomi | 2012-08-02 / 20120192926 - SOLAR ARRAY | 1 |
Hisanori Kambara | FR | Villy-Le-Pelloux | 2008-11-06 / 20080273959 - Sealed Enclosure for Transporting and Storing Semiconductor Substrates | 1 |
Kumiko Kambara | JP | Tokyo | 2014-09-18 / 20140268002 - PATTERNED PHASE DIFFERENCE FILM AND METHOD FOR MANUFACTURING SAME | 1 |
Hideki Kambara | JP | Chiyoda-Ku | 2015-01-15 / 20150018243 - Plant Tissue Sampling Method and Plant Gene Analysis Method | 1 |
Nobuo Kambara | JP | Hagagun | 2014-11-13 / 20140333342 - ELECTRIC CURRENT APPLICATION METHOD AND ELECTRIC CURRENT APPLYING DEVICE | 4 |
Hironori Kambara | JP | Osaka-Shi | 2012-12-13 / 20120314465 - CONTACTLESS ELECTRICITY-SUPPLYING DEVICE | 2 |
Takashi Kambara | JP | Fukuyama-Shi | 2013-09-26 / 20130253693 - METHOD AND APPARATUS FOR CREATING NUMERICAL CONTROL MACHINING PROGRAM AND PROGRAM FOR CAUSING COMPUTER TO IMPLEMENT SAME METHOD | 1 |
Ryuji Kambara | JP | Aichi | 2015-05-28 / 20150145625 - MAGNETIC FIELD GENERATING DEVICE AND OFFSET CALCULATING METHOD | 1 |
Hideki Kambara | JP | Hachioji | 2015-06-11 / 20150159202 - METHODS FOR QUANTITATIVE cDNA ANALYSIS IN SINGLE-CELL | 14 |
Takayoshi Kambara | JP | Sodegaura-Shi | 2016-04-14 / 20160104916 - METHOD FOR PRODUCING SOLID ELECTROLYTE | 4 |
Shigeki Kambara | JP | Myoko-Shi | 2015-11-12 / 20150326147 - ELASTIC BODY FOR ACTUATOR, AND PIEZOELECTRIC ACTUATOR | 1 |
Shozo Kambara | JP | Sammu-Shi | 2012-05-31 / 20120132523 - Method of Manufacturing a Sputtering Target and Sputtering Target | 1 |
Keita Kambara | JP | Miyagi | 2016-02-11 / 20160042926 - PLASMA PROCESSING APPARATUS AND FOCUS RING | 1 |
Katsuhiro Kambara | JP | Tokyo | 2015-02-05 / 20150037214 - AUTOMATIC ANALYZER | 1 |
Takaaki Kambara | JP | Nagano | 2013-02-07 / 20130033553 - INK-JET PRINTER AND MEDIUM TRANSFER METHOD | 2 |
Fumi Kambara | JP | Kawasaki | 2010-02-04 / 20100030516 - Delay variation analysis apparatus and delay variation calculation method | 2 |
Mikie Kambara | JP | Azumino-Shi | 2010-05-27 / 20100130514 - FUSED HETEROCYCLIC DERIVATIVE, PHARMACEUTICAL COMPOSITION COMPRISING THE DERIVATIVE, AND USE OF THE COMPOSITION FOR MEDICAL PURPOSES | 2 |
Junichi Kambayashi | US | Potomac | 2010-11-25 / 20100297116 - USES OF A GLYCOPROTEIN VI (GPVI) INHIBITOR | 1 |
Makoto Kambayashi | JP | Mishima-Shi | 2011-02-24 / 20110045398 - BLACK TONER | 1 |
Hiroaki Kambayashi | JP | Tokyo | 2015-05-28 / 20150147418 - SLEEP QUALITY IMPROVING AGENT | 5 |
Mamoru Kambayashi | JP | Tokyo | 2016-04-28 / 20160115000 - SHEET PROCESSING APPARATUS, IMAGE FORMING SYSTEM, AND METHOD OF ADDITIONALLY FOLDING SHEET BUNDLE | 15 |
Taku Kambayashi | JP | Toyota-Shi | 2014-10-23 / 20140311842 - VEHICULAR TORQUE ROD AND SUPPORTING STRUCTURE FOR POWER UNIT | 2 |
Tsuguji Kambayashi | JP | Hikone-Shi | 2012-06-28 / 20120161584 - Piezoelectric Power Generation Device | 2 |
Toru Kambayashi | JP | Kanagawa | 2015-08-20 / 20150234752 - MEMORY CHIP | 23 |
Takuya Kambayashi | JP | Kanagawa | 2009-07-02 / 20090165824 - Cleaning apparatus for cleaning component part of magnetic disk drive and cleaning method of cleaning component part of magnetic disk drive | 1 |
Kosaku Kambayashi | JP | Odawara | 2012-01-26 / 20120023266 - STORAGE CONTROLLER AND CONTROLLING METHOD THEREFOR | 2 |
Makoto Kambayashi | JP | Suntou-Gun | 2010-10-28 / 20100273102 - COLOR TONER | 5 |
Mamoru Kambayashi | JP | Tokyo | 2016-04-28 / 20160115000 - SHEET PROCESSING APPARATUS, IMAGE FORMING SYSTEM, AND METHOD OF ADDITIONALLY FOLDING SHEET BUNDLE | 15 |
Hiroshi Kambayashi | JP | Yokohama-Shi | 2014-12-18 / 20140367699 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE AND THE SEMICONDUCTOR DEVICE | 6 |
Jun-Ichi Kambayashi | US | Potomac | 2010-07-22 / 20100184784 - PHARMACEUTICAL COMPOSITIONS COMPRISING A MULTIFUNCTIONAL PHOSPHODIESTERASE INHIBITOR AND AN ADENOSINE UPTAKE INHIBITOR | 2 |
Tsuguji Kambayashi | JP | Nagaokakyo-Shi | 2011-11-24 / 20110284656 - Amazing Member and Atomizer Including the Same | 2 |
Hirokazu Kambayashi | JP | Kyoto-Shi | 2015-12-31 / 20150380691 - ELECTRIC STORAGE ELEMENT AND METHOD FOR MANUFACTURING ELECTRIC STORAGE ELEMENT | 5 |
Mayuka Kambayashi | JP | Shiga | 2015-10-15 / 20150289623 - HEATING BLOWER | 1 |
Satoru Kambayashi | JP | Tokyo | 2015-09-10 / 20150251260 - DRESSING DEVICE AND GEAR GRINDING DEVICE | 1 |
Takuya Kambayashi | JP | Chiyoda-Ku | 2015-07-30 / 20150209696 - Suspension Processing Device Using Ultrasonic Waves | 1 |
Toro Kambayashi | JP | Kanagawa | 2014-10-02 / 20140298043 - MEMORY CHIP | 1 |
Hirokazu Kambayashi | JP | Kyoto | 2013-05-02 / 20130108918 - SEALING PLUG AND ENERGY STORAGE ELEMENT | 1 |
Hiroaki Kambayashi | JP | Hiratsuka-Shi | 2010-03-25 / 20100074856 - EXTERNAL PREPARATION COMPOSITION | 2 |
Hiroaki Kambayashi | JP | Sumida-Ku | 2012-05-10 / 20120116069 - GLUCOSE METABOLISM-IMPROVING AGENT AND GLUCOSE METABOLISM-IMPROVING COMPOSITION | 1 |
Toru Kambayashi | JP | Chigasaki-Shi | 2014-06-19 / 20140173283 - KEY MANAGEMENT DEVICE, COMMUNICATION DEVICE, COMMUNICATION SYSTEM, AND COMPUTER PROGRAM PRODUCT | 6 |
Junichi Kambayashi | US | Rockville | 2010-09-30 / 20100249104 - METHODS FOR USING VASOPRESSIN ANTAGONISTS WITH ANTHRACYCLINE CHEMOTHERAPY AGENTS TO REDUCE CARDIOTOXICITY AND/OR IMPROVE SURVIVAL | 2 |
Toru Kambayashi | JP | Chigasaki | 2015-07-23 / 20150208210 - COMMUNICATION CONTROL DEVICE, COMMUNICATION DEVICE, AND COMPUTER PROGRAM PRODUCT | 3 |
Junko Kambayashi | JP | Yokohama-Shi | 2012-05-17 / 20120118863 - STIFFENED PLATE AND METHOD OF MANUFACTURING THE SAME | 2 |
Akira Kambayashi | JP | Yokohama-Shi | 2013-06-20 / 20130157186 - MAGNETIC CARRIER, TWO-COMPONENT DEVELOPER, REPLENISHING DEVELOPER, AND METHOD OF FORMING IMAGE | 1 |
Toru Kambayashi | JP | Kanagawa | 2015-08-20 / 20150234752 - MEMORY CHIP | 23 |
Junko Kambayashi | JP | Kanagawa | 2010-07-08 / 20100170050 - Welded Joint, Steel Deck, and Process for Producing The Steel Deck | 1 |
Tsuguji Kambayashi | JP | Kyoto-Fu | 2011-12-29 / 20110315786 - Atomizing Unit and Atomizer Including the Same | 1 |
Hiroshi Kambayashi | JP | Tokyo | 2015-03-12 / 20150069410 - SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, SCHOTTKY BARRIER DIODE, AND FIELD EFFECT TRANSISTOR | 16 |
Tohru Kambe | JP | Osaka | 2015-04-23 / 20150112079 - BICYCLIC COMPOUND AND USE THEREOF FOR MEDICAL PURPOSES | 5 |
Hiroki Kambe | JP | Toyota-Shi | 2013-07-11 / 20130179037 - STEERING APPARATUS, AND KICKBACK DETERMINATION APPARATUS | 1 |
Koichi Kambe | JP | Osaka-Shi | 2013-07-18 / 20130183569 - ALKALINE BATTERY SEPARATOR AND ALKALINE BATTERY USING SEPARATOR | 1 |
Nobuhiro Kambe | JP | Kanagawa | 2012-09-20 / 20120235895 - CONTENT OUTPUT CONTROL DEVICE AND CONTENT OUTPUT CONTROL METHOD | 3 |
Satoko Kambe | JP | Osaka | 2013-01-31 / 20130025683 - PHOTOELECTRIC ELEMENT | 4 |
Shingo Kambe | JP | Osaka | 2013-01-31 / 20130025683 - PHOTOELECTRIC ELEMENT | 4 |
Takeshi Kambe | JP | Kanagawa | 2011-09-15 / 20110221628 - Radar apparatus and computer program | 1 |
Yoshiaki Kambe | JP | Nara-Shi | 2008-08-28 / 20080205826 - Photoelectric Transforming Connector for Optical Fibers | 1 |
Makoto Kambe | JP | Susono-Shi | 2012-06-14 / 20120145434 - FLAME-RETARDANT RESIN COMPOSITION | 2 |
Takashi Kambe | JP | Kato | 2008-11-13 / 20080278044 - DISPLAY SUPPORT APPARATUS | 1 |
Yukihiko Kambe | JP | Shizuoka | 2009-03-05 / 20090058619 - WIRELESS TAG READER/WRITER APPARATUS | 1 |
Takashi Kambe | JP | Tsutiura-Shi | 2009-10-29 / 20090266702 - METHOD AND APPARATUS FOR ENRICHMENT OF HEAVY OXYGEN ISOTOPES | 1 |
Nobuhiro Kambe | JP | Tokyo | 2009-04-02 / 20090085762 - INFORMATION TRANSMITTING DEVICE, INFORMATION ACQUIRING TERMINAL, AND INFORMATION DISTRIBUTING SYSTEM | 1 |
Akio Kambe | JP | Shiga | 2009-11-05 / 20090274400 - Rotating -Body Support Structure | 2 |
Hiroaki Kambe | JP | Chiba-Shi | 2015-03-05 / 20150062242 - INKJET PRINTER | 2 |
Nobuaki Kambe | JP | Hyogo | 2010-01-28 / 20100022737 - ORGANIC POLYMER CONTAINING TWO OR MORE ORGANIC RING STRUCTURES AND A CHAIN STRUCTURE THREADING THROUGH THE ORGANIC RING STRUCTURES, AND PRODUCTION METHOD THEREOF | 3 |
Emiko Kambe | JP | Tokyo | 2010-09-02 / 20100219404 - ORGANIC EL DEVICE | 1 |
Hiromi Kambe | JP | Seto-Shi | 2013-06-20 / 20130157327 - MUTANT POLYHYDROXYALKANOIC ACID SYNTHASE GENE AND METHOD FOR PRODUCING ALIPHATIC POLYESTER USING THE SAME | 5 |
Yukihiko Kambe | JP | Mishima Shizuoka | 2016-01-28 / 20160029168 - ITEM MANAGEMENT SYSTEM | 1 |
Shu Kambe | JP | Fujisawa-Shi | 2012-06-21 / 20120154665 - CAMERA BODY AND IMAGE PICKUP UNIT ATTACHABLE TO AND DETACHABLE FROM CAMERA BODY, AND IMAGING APPARATUS | 3 |
Shu Kambe | JP | Kanagawa | 2016-01-07 / 20160006911 - IMAGING UNIT | 3 |
Takashi Kambe | JP | Tsuchiura-Shi | 2015-08-06 / 20150217231 - METHOD FOR ENRICHING OXYGEN ISOTOPE | 4 |
Mika Kambe | JP | Tokyo | 2013-01-10 / 20130011597 - DISPLAY APPARATUS AND PROCESS FOR PRODUCING THE SAME | 3 |
Makoto Kambe | JP | Shizuoka | 2012-11-08 / 20120279753 - INSULATED ELECTRIC WIRE FOR AUTOMOBILE | 2 |
Makoto Kambe | JP | Susono | 2009-07-23 / 20090183893 - Halogen-free resin composition, covered wire covered with that and wiring harness with at least one above covered wire | 2 |
Tohru Kambe | JP | Mishima-Gun | 2013-11-21 / 20130310438 - BICYCLIC COMPOUND AND USE THEREOF FOR MEDICAL PURPOSES | 4 |
Nobuhiro Kambe | JP | Osaka | 2016-04-28 / 20160119134 - ENCRYPTION METHOD, ENCRYPTOR, AND ENCRYPTION SYSTEM FOR ENCRYPTING ELECTRONIC DATA BY SPLITTING ELECTRONIC DATA | 2 |
Norihiro Kambe | JP | Yokohama | 2010-12-23 / 20100325295 - COMMUNICATION APPARATUS | 2 |
Makoto Kambe | JP | Tokyo | 2014-04-17 / 20140105367 - X-RAY GENERATING APPARATUS | 2 |
Koichi Kambe | JP | Okayama-Shi | 2015-03-19 / 20150079479 - SEPARATOR FOR NONAQUEOUS CELL AND NONAQUEOUS CELL | 1 |
Takashi Kambe | JP | Tokyo | 2013-12-12 / 20130327632 - PHOTOCHEMICAL REACTION DEVICE AND ISOTOPE ENRICHMENT METHOD USING THE DEVICE | 1 |
Emiko Kambe | JP | Atsugi-Shi, Kanagawa | 2014-09-04 / 20140246663 - ORGANIC ELECTROLUMINESCENT ELEMENT | 1 |
Sakoto Kambe | JP | Hirakata-Shi | 2012-05-17 / 20120119193 - PHOTOELECTRIC ELEMENT | 1 |
Mitsuru Kambe | JP | Tokyo | 2011-11-03 / 20110265838 - PACKAGED THERMOELECTRIC CONVERSION MODULE | 1 |
Emiko Kambe | JP | Minato-Ku | 2015-03-12 / 20150069351 - ORGANIC ELECTROLUMINESCENCE DEVICE | 1 |
Terumi Kambe | JP | Kanagawa | 2015-04-23 / 20150108598 - SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS | 1 |
Kazuyoshi Kambe | JP | Ebina-Shi | 2013-01-10 / 20130008305 - CYLINDER DEVICE | 1 |
Takeshi Kambe | JP | Yokohama-Shi | 2014-11-27 / 20140350815 - VEHICLE CONTROLLER, METHOD FOR CONTROLLING VEHICLE, AND COMPUTER READABLE STORAGE MEDIUM | 7 |
Takeshi Kambe | JP | Yokohama-Skhi | 2013-10-17 / 20130271311 - RECEIVING AND PROCESSING DEVICE, RECEIVING AND PROCESSING METHOD, AND RECEIVING AND PROCESSING PROGRAM | 1 |
Hiroaki Kambe | JP | Chiba | 2014-05-01 / 20140118439 - INKJET PRINTER | 1 |
Emiko Kambe | JP | Minato-Ku, Tokyo | 2015-10-22 / 20150303380 - ORGANIC ELECTROLUMINESCENT DEVICE | 1 |
Seiji Kambe | JP | Gifu | 2015-01-29 / 20150033233 - JOB DELAY DETECTION METHOD AND INFORMATION PROCESSING APPARATUS | 1 |
Nobuyuki Kambe | US | Menlo Park | 2014-03-27 / 20140084222 - SILICON NANOPARTICLE DISPERSIONS | 17 |
Emiko Kambe | JP | Kanagawa | 2015-08-13 / 20150228921 - ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY APPARATUS, AND MANUFACTURING METHOD OF ORGANIC ELECTROLUMINESCENT ELEMENT | 11 |
Shingo Kambe | JP | Hirakata-Shi | 2012-05-17 / 20120119193 - PHOTOELECTRIC ELEMENT | 2 |
Satoko Kambe | JP | Hirakata-Shi | 2011-06-23 / 20110146796 - PHOTOELECTRIC DEVICE | 1 |
Akio Kambe | JP | Tokyo | 2013-08-29 / 20130223947 - BROACHING DEVICE | 1 |
Koki Kambe | JP | Tokyo | 2015-07-02 / 20150184672 - MANUFACTURING METHOD OF COMPRESSOR IMPELLER AND COMPRESSOR IMPELLER | 1 |
Tetsuya Kambe | JP | Yokohama-Shi | 2012-07-12 / 20120174940 - HAIR TREATMENT COMPOSITION | 1 |
Shingo Kambe | JP | Yananoue | 2012-07-19 / 20120181516 - LIGHT-ABSORBING MATERIAL AND PHOTOELECTRIC CONVERSION ELEMENT | 1 |
Satoko Kambe | JP | Yananoue | 2012-07-19 / 20120181516 - LIGHT-ABSORBING MATERIAL AND PHOTOELECTRIC CONVERSION ELEMENT | 1 |
Daniel Kamben | US | Duluth | 2014-05-22 / 20140141523 - OPTICAL CELL | 4 |
Daniel J. Kamben | US | Duluth | 2014-09-11 / 20140255624 - MULTI-LAYER PRINTABLE FILM | 1 |
Roger Kamben | NO | Trondheim | 2014-04-17 / 20140105054 - Method and System for Long-Range Adaptive Mobile Beam-Forming Ad-Hoc Communication System with Integrated Positioning | 1 |
Thomas Kamber | CH | Basel | 2011-12-29 / 20110315026 - PRESSING MODULE FOR IMPREGNATED CONDUCTOR BARS OF LARGE ELECTRICAL MACHINES AND PRESSING APPARATUS EQUIPPED THEREWITH | 1 |
Derrick S. Kamber | US | Goleta | 2015-05-14 / 20150132926 - PROCESS FOR LARGE-SCALE AMMONOTHERMAL MANUFACTURING OF GALLIUM NITRIDE BOULES | 15 |
Nahrian E. Kamber | US | Penllyn | 2015-01-29 / 20150031823 - Crosslinkable Composition and Method of Producing the Same | 2 |
Nahrain E. Kamber | US | Midland | 2015-12-03 / 20150344699 - AMBIENT CURE, FAST DRY AUTOMOTIVE REFINISH PRIMER SURFACER COMPOSITIONS AND METHODS OF USE | 8 |
Derrick Kamber | US | Goleta | 2012-04-19 / 20120091465 - Method of Making Bulk InGaN Substrates and Devices Thereon | 1 |
Walter Kamber | CH | Dietgen | 2012-02-02 / 20120027552 - RETRACTABLE ASSEMBLY | 1 |
Sascha Kamber | CH | Ephingen | 2016-05-05 / 20160123783 - VORTEX FLOW MEASURING SENSOR AND VORTEX FLOW MEASURING TRANSDUCER FOR MEASURING FLOW VELOCITY OF A FLUID | 1 |
Derrick S. Kamber | US | Santa Barbara | 2013-12-05 / 20130323490 - PROCESS FOR LARGE-SCALE AMMONOTHERMAL MANUFACTURING OF SEMIPOLAR GALLIUM NITRIDE BOULES | 1 |
Derrick Shane Kamber | US | Goleta | 2014-05-01 / 20140116326 - REACTOR DESIGNS FOR USE IN AMMONOTHERMAL GROWTH OF GROUP-III NITRIDE CRYSTALS | 1 |
Derrick S. Kamber | US | Fremont | 2013-05-16 / 20130119401 - LARGE AREA NITRIDE CRYSTAL AND METHOD FOR MAKING IT | 1 |
Simon Kamber | CH | Oberdorf | 2012-06-21 / 20120158061 - METHODS AND SYSTEMS FOR MINIMALLY INVASIVE POSTERIOR ARCH EXPANSION | 1 |
Patrik Kamber | CH | Matzendorf | 2009-08-20 / 20090205205 - CLAMPING APPARATUS FOR A CRIMPING MACHINE AND METHOD FOR PRODUCING A CRIMPED CONNECTION WITH A CRIMPING MACHINE AND THE CLAMPING APPARATUS ACCORDING TO THE INVENTION | 1 |
Nahrain E. Kamber | US | Midland | 2015-12-03 / 20150344699 - AMBIENT CURE, FAST DRY AUTOMOTIVE REFINISH PRIMER SURFACER COMPOSITIONS AND METHODS OF USE | 8 |
Derrick S. Kamber | US | Goleta | 2015-05-14 / 20150132926 - PROCESS FOR LARGE-SCALE AMMONOTHERMAL MANUFACTURING OF GALLIUM NITRIDE BOULES | 15 |
Nahrain E. Kamber | US | Penllyn | 2015-10-01 / 20150274915 - ORGANOPHOSPHORUS COMPOUNDS FOR FLAME RETARDANT POLYURETHANE FOAMS | 4 |
Sascha Kamber | CH | Aesch | 2015-01-29 / 20150028730 - HOUSING CAP FOR AN ELECTRONICS HOUSING, RESPECTIVELY ELECTRONICS HOUSING FROMED THEREWITH | 2 |
Marika Kamberi | US | San Jose | 2015-08-06 / 20150217029 - DRUG DELIVERY SCAFFOLD OR STENT WITH A NOVOLIMUS AND LACTIDE BASED COATING SUCH THAT NOVOLIMUS HAS A MINIMUM AMOUNT OF BONDING TO THE COATING | 3 |
Marika Kamberl | US | San Jose | 2010-06-17 / 20100152649 - Apparatus and method for transdermal delivery of parathyroid hormone agents | 1 |
Emmanuel Kamberov | US | Ann Arbor | 2015-10-08 / 20150284712 - BARCODING NUCLEIC ACIDS | 11 |
Chandra Kambhamettu | US | Newark | 2014-01-23 / 20140022358 - PRISM CAMERA METHODS, APPARATUS, AND SYSTEMS | 2 |
Pavan Kambhampati | IN | Bangalore | 2015-03-05 / 20150062331 - SITE SURVEYING | 1 |
Rama Sastri Kambhampati | IN | Hyderabad | 2013-01-03 / 20130005709 - SULFONE COMPOUNDS AS 5-HT6 RECEPTOR LIGANDS | 8 |
Rama Sastri Kambhampati | IN | Andra Pradesh | 2010-02-18 / 20100041673 - 5-(HETEROCYCLYL)ALKYL-N-(ARYLSULFONYL)INDOLE COMPOUNDS AND THEIR USE AS 5-HT6 LIGANDS | 2 |
Rama Kambhampati | US | Albany | 2015-07-30 / 20150214330 - REPLACEMENT LOW-K SPACER | 1 |
Vamsi Krishna Kambhampati | US | Fort Collins | 2010-07-29 / 20100189110 - Multipath data communication | 1 |
Rama Sastri Kambhampati | IN | Andhra Pradesh | 2010-07-29 / 20100189646 - Carbazole Derivatives as Functional 5-HT6 Ligands | 1 |
Patanjali Kambhampati | CA | Lachine | 2016-03-24 / 20160084810 - SYSTEMS FOR DETECTING TARGET CHEMICALS AND METHODS FOR THEIR PREPARATION AND USE | 2 |
Rama Sastri Kambhampati | IN | Hyderabad | 2013-01-03 / 20130005709 - SULFONE COMPOUNDS AS 5-HT6 RECEPTOR LIGANDS | 8 |
Patanjali Kambhampati | US | Lachine | 2011-06-09 / 20110133100 - METHOD OF PUMPING QUANTUM DOTS | 1 |
Kranti K. Kambhampati | US | Palatine | 2008-10-02 / 20080242365 - DEVICES AND METHODS FOR HANDS-FREE CONNECTIVITY TO PLURAL DEVICES | 1 |
Ramasastri Kambhampati | IN | Hyderabad | 2015-10-08 / 20150283125 - HETEROARYL COMPOUNDS AS 5-HT4 RECEPTOR LIGANDS | 3 |
Suman Kambhampati | US | Leawood | 2012-09-06 / 20120225849 - 2-Methoxyestradiol (2-ME2) Prodrug with Enhanced Bioavailability for Prophylaxis or Treatment of Cancerous or Non-Cancerous Condition | 1 |
Ohmkaar Kambhampati | IN | Bangalore | 2012-09-27 / 20120246619 - AUTOMATED TESTING OF BROWSER BASED RICH INTERNET APPLICATIONS (RIA) DELIVERED THROUGH PROPRIETARY FRAMEWORKS | 1 |
Nandakishore Kambhatla | IN | Karnataka | 2008-12-25 / 20080319735 - SYSTEMS AND METHODS FOR AUTOMATIC SEMANTIC ROLE LABELING OF HIGH MORPHOLOGICAL TEXT FOR NATURAL LANGUAGE PROCESSING APPLICATIONS | 1 |
Srikanth Kambhatla | US | Portland | 2015-06-04 / 20150154137 - Binding for Audio/Video Streaming in a Topology of Devices | 21 |
Nandakishore Kambhatla | IN | Bangalore | 2014-09-25 / 20140289229 - USING CONTENT FOUND IN ONLINE DISCUSSION SOURCES TO DETECT PROBLEMS AND CORRESPONDING SOLUTIONS | 11 |
Nandakishore Kambhatla | US | White Plains | 2008-10-02 / 20080243888 - Mention-Synchronous Entity Tracking: System and Method for Chaining Mentions | 2 |
Srikanth Kambhatla | US | Portland | 2015-06-04 / 20150154137 - Binding for Audio/Video Streaming in a Topology of Devices | 21 |
Nandakishore Kambhatla | US | 2009-03-19 / 20090077243 - CONVERSATION RECOVERY METHOD | 1 | |
Nandakishore Kambhatla | IN | Bangalore | 2014-09-25 / 20140289229 - USING CONTENT FOUND IN ONLINE DISCUSSION SOURCES TO DETECT PROBLEMS AND CORRESPONDING SOLUTIONS | 11 |
Parviz Kambin | US | Devon | 2012-01-19 / 20120016196 - ENDOSCOPIC BALLOON TISSUE DISSECTOR AND RETRACTOR | 1 |
Carl D. Kambites | GB | Chandler'S Ford | 2009-04-30 / 20090113150 - Storing Data Blocks | 1 |
Carl David Kambites | GB | Southhampton | 2008-08-28 / 20080209254 - METHOD AND SYSTEM FOR ERROR RECOVERY OF A HARDWARE DEVICE | 1 |
Keshav Govind Kamble | US | Fremont | 2015-06-25 / 20150180718 - PARTITIONING A NETWORK SWITCH INTO MULTIPLE SWITCHING DOMAINS | 27 |
Keshav G. Kamble | US | Feemont | 2014-09-11 / 20140254607 - CENTRALIZED CONTROL AND MANAGEMENT PLANES FOR DIFFERENT INDEPENDENT SWITCHING DOMAINS | 1 |
Hemant Harishchandra Kamble | IN | Mumbai | 2016-02-25 / 20160052919 - PROCESS FOR THE PREPARATION OF RIVAROXABAN | 1 |
Mangesh Gorakhanath Kamble | IN | Pune | 2011-08-11 / 20110196156 - PROCESS FOR SYNTHESIS OF DIARYLPYRIMIDINE NON-NUCLEOSIDE REVERSE TRANSCRIPTASE INHIBITOR | 1 |
Vihang G. Gangaram Kamble | IN | Bangalore | 2016-05-19 / 20160142943 - METHOD AND APPARATUS FOR COMMUNICATING DELIVER OF DATA PACKETS TO A USER EQUIPMENT IN A WIRELESS COMMUNICATION SYSTEM | 3 |
Keshav Kamble | US | Fremont | 2014-08-07 / 20140219287 - VIRTUAL SWITCHING BASED FLOW CONTROL | 24 |
Milind B. Kamble | US | Austin | 2011-06-30 / 20110161595 - CACHE MEMORY POWER REDUCTION TECHNIQUES | 1 |
Swapnil Kamble | IN | Pune | 2011-09-15 / 20110225426 - TRUSTED GROUP OF A PLURALITY OF DEVICES WITH SINGLE SIGN ON, SECURE AUTHENTICATION | 1 |
Rahul Sadashiv Kamble | IN | Aurangabad | 2014-03-20 / 20140076813 - TWIN TANK WATER TREATMENT METHOD | 2 |
Sanjay Kamble | US | Sunnyvale | 2008-11-06 / 20080273535 - METHOD AND APPARATUS FOR ASSIGNING A VIRTUAL ADDRESS TO AND TEXT-MESSAGING TO MULTIPLE TEXT-CAPABLE DESTINATION ENTITIES | 1 |
Dayanand Ambadas Kamble | IN | Pune | 2014-11-27 / 20140350276 - Phenolytic Kinetic Resolution of Azido and Alkoxy Epoxides | 1 |
Raviraj Kamble | IN | Dehradun | 2013-05-16 / 20130123555 - Modified Zeolite Catalyst Useful for the Conversion of Paraffins, Olefins and Aromatics in a Mixed Feedstock into Isoparaffins and a Process Thereof | 2 |
Keshav G. Kamble | US | Fremont | 2016-04-28 / 20160119236 - SOURCE ROUTING WITH FABRIC SWITCHES IN AN ETHERNET FABRIC NETWORK | 106 |
Keshav Govind Kamble | US | Fremont | 2015-06-25 / 20150180718 - PARTITIONING A NETWORK SWITCH INTO MULTIPLE SWITCHING DOMAINS | 27 |
Vihang G. Kamble | IN | Bangalore | 2013-09-19 / 20130242883 - Uplink Control Channel Resource Allocation For Semi-Persistent Scheduling Of User Equipment | 1 |
Keshav G. Kamble | US | Fremont | 2016-04-28 / 20160119236 - SOURCE ROUTING WITH FABRIC SWITCHES IN AN ETHERNET FABRIC NETWORK | 106 |
Pravin Kamble | IN | Bangalore | 2013-02-07 / 20130034605 - EXTENDED RELEASE PHARMACEUTICAL COMPOSITIONS CONTAINING PALIPERIDONE | 7 |
Vihang Gangaram Kamble | IN | Bangalore | 2012-06-28 / 20120163208 - SUBBAND SNR CORRECTION IN A FREQUENCY SELECTIVE SCHEDULER | 1 |
Gurunath Kamble | IN | Pune | 2011-12-22 / 20110311123 - Method and System for Automated Detection of Immunohistochemical (IHC) Patterns | 1 |
Sanjay Kamble | IN | Nehru Marg | 2009-12-10 / 20090305883 - DEFLUORIDATION OF WATER | 1 |
Abhay Maruti Kamble | US | Cupertino | 2015-08-06 / 20150220940 - TREND DATA COUNTER | 5 |
Keshav Kamble | US | Fremont | 2014-08-07 / 20140219287 - VIRTUAL SWITCHING BASED FLOW CONTROL | 24 |
Hemanth Kamble | IN | Mumbai | 2011-05-05 / 20110105619 - AMORPHOUS BUPROPION HYDROBROMIDE AND PREPARATION THEREOF | 1 |
Shrikant Kamble | IN | Mumbai | 2013-02-21 / 20130045993 - INHIBITORS OF CYCLIN-DEPENDENT KINASES AND THEIR USE | 2 |
Keshav G. Kamble | US | Santa Clara | 2014-07-17 / 20140201348 - VIRTUAL APPLIANCE CHAINING AND MANAGEMENT | 1 |
Shivaji Kamble | IN | Maharashtra | 2008-12-18 / 20080312345 - Aqueous Film Coating Composition Containing Sodium Alginate and Preparation Thereof | 1 |
Girish Kamble | IN | Mumbai | 2009-06-18 / 20090157522 - ESTIMATING VEHICLE PRICES USING MARKET DATA | 1 |
Sanjay P. Kamble | IN | Pune | 2011-11-10 / 20110275853 - Process for the continuous transvinylation of carboxylic acids with vinyl acetate | 2 |
Rajiv Kambli | US | Cupertino | 2010-05-13 / 20100119052 - METHOD OF REMOTELY OPERATING CONTACT CENTER SYSTEMS | 4 |
Sandeep Kambo | US | San Diego | 2012-10-18 / 20120265649 - Method, System and Program Product for Transactions | 1 |
Rajit Kambo | US | Saratoga | 2012-04-12 / 20120089724 - DIAGNOSIS OF APPLICATION SERVER PERFORMANCE PROBLEMS VIA THREAD LEVEL PATTERN ANALYSIS | 2 |
Ameel Kamboh | US | Billerica | 2013-09-26 / 20130254410 - SYSTEM FOR MANAGING SESSIONS AND CONNECTIONS IN A NETWORK | 2 |
Ameel Kamboh | US | Murrieta | 2016-04-07 / 20160100056 - EMERGENCY SERVICES ROUTING PROXY CLUSTER MANAGEMENT | 6 |
Rajender Kumar Kamboj | IN | Pune | 2016-05-19 / 20160137600 - Pyrrole Derivatives as Alpha 7 nAChR Modulators | 27 |
Amandip Singh Kamboj | CA | Brampton | 2015-07-09 / 20150192451 - FLUID LEVEL MEASUREMENT PROBE | 1 |
Rajender K. Kamboj | CA | Burnaby | 2012-11-29 / 20120301485 - Juvenile Hemochromatosis Gene (HFE2A) Cleavage Products and Uses Thereof | 3 |
Dev Vrat Kamboj | IN | Gwalior | 2013-08-15 / 20130206657 - SELF-SUSTAINED BIO-DIGESTER FOR ONBOARD DEGRADATION OF HUMAN WASTE | 2 |
Ranjender Kamboj | CA | Buraby | 2011-09-29 / 20110237567 - TRICYCLIC SPIRO-OXINDOLE DERIVATIVES AND THEIR USES AS THERAPEUTIC AGENTS | 2 |
Rajender Kamboj | CA | Bumaby | 2010-09-16 / 20100233116 - ORGANIC COMPOUNDS | 1 |
Rajender Kumar Kamboj | IN | Maharashtra | 2012-08-09 / 20120203004 - PROCESS FOR THE SYNTHESIS OF ALKYL/ARALKYL (2S)-2-(TERT-BUTOXYCARBONYL)-AMINO-2-[-8-AZABICYCLO[3.2.1]OCT-3-YL]-EXO-A- CETATE AND ANALOGS THEREOF: KEY INTERMEDIATES FOR THE PREPARATION OF DPPIV INHIBITORS | 2 |
Ejaz Kamboj | US | Las Vegas | 2016-05-19 / 20160135567 - MULTIPLE COSMETIC HOLDER AND APPLICATOR | 1 |
Rajender Kumar Kamboj | IN | Pune, Maharashtra | 2016-05-19 / 20160137659 - CHROMANE AND CHROMENE DERIVATIVES AND THEIR USE AS CRAC MODULATORS | 1 |
Rajender Kamboj | US | 2012-05-17 / 20120122909 - SPIRO-OXINDOLE COMPOUNDS AND THEIR USES AS THERAPEUTIC AGENTS | 3 | |
Rajender Kumar Kamboj | IN | Pune | 2016-05-19 / 20160137600 - Pyrrole Derivatives as Alpha 7 nAChR Modulators | 27 |
Rajender Kamboj | CA | Burnaby | 2014-01-23 / 20140024583 - ORGANIC COMPOUNDS | 28 |
Spiros Kambourakis | US | Pasadena | 2012-06-07 / 20120142063 - NITRILASE BIOCATALYSTS | 3 |
Spiros Kambourakis | US | San Diego | 2015-08-27 / 20150239917 - Process of Producing Phosphinothricin Employing Nitrilases | 5 |
Ambrosios Kambouris | AU | Victoria | 2011-05-26 / 20110121027 - Beverage Packaging | 1 |
Ambrosios Minas Kambouris | AU | Mildura | 2013-12-26 / 20130345782 - ELECTRODE FOR SUBCUTANEOUS ELECTOLIPOLYSIS | 1 |
Bruce Kambouris | AU | Mildura | 2014-12-25 / 20140377421 - Plant Based Beverages, and Methods for Preparation Thereof | 1 |
Gillian Kambouris | AU | Mildura, Victoria | 2014-12-25 / 20140377421 - Plant Based Beverages, and Methods for Preparation Thereof | 1 |
Ambrosios Kambouris | AU | Mildura | 2013-07-25 / 20130186779 - BLISTER PACK FOR A CONTAINER | 3 |
Aakash Kambuj | US | Seattle | 2013-01-10 / 20130013561 - EFFICIENT METADATA STORAGE | 1 |
Jovan Kamcev | US | Austin | 2015-06-25 / 20150175761 - Patterning by Area Selective Oxidation | 1 |
Farid Kamche | FR | Tourcoing | 2013-11-28 / 20130317287 - IMPLANTABLE ATTACHMENT DEVICE, IMPLANT FOR TREATING PROLAPSE OF THE PELVIC FLOOR COMPRISING SUCH A DEVICE AND KIT COMPRISING SAID DEVICE | 1 |
Sumalee Kamchonwongpaisan | TH | Pathumthani | 2014-10-02 / 20140295478 - BACTERIAL SURROGATE FOR TESTING OF ANTIMALARIALS: thyA KNOCKOUT AND folA KNOCKOUT BACTERIA FOR TESTING OF INHIBITION OF MALARIAL DIHYDROFOLATE REDUCTASE-THYMIDYLATE SYNTHASE | 2 |
Sumalee Kamchonwongpaisan | TH | Klong Luang | 2009-04-16 / 20090099220 - ANTIMALARIAL COMPOUNDS WITH FLEXIBLE SIDE-CHAINS | 1 |
Gaurav Kamdar | IN | Bangalore | 2014-12-04 / 20140359479 - SYSTEMS AND METHODS FOR AUTO-ADJUST POSITIONING OF PREFERRED CONTENT FOR INCREASED CLICK AND CONVERSION RATES | 8 |
Nirav A. Kamdar | US | Redmond | 2013-06-06 / 20130144999 - METADATA DRIVEN AUTOMATIC DEPLOYMENT OF DISTRIBUTED SERVER SYSTEMS | 6 |
Gaurav Kamdar | IN | Bangalore | 2014-12-04 / 20140359479 - SYSTEMS AND METHODS FOR AUTO-ADJUST POSITIONING OF PREFERRED CONTENT FOR INCREASED CLICK AND CONVERSION RATES | 8 |
Nirav Kamdar | US | Redmond | 2009-01-01 / 20090007095 - EXTENSIBLE DATA DRIVEN DEPLOYMENT SYSTEM | 1 |
Sagar Kamdar | US | Redwood City | 2014-05-01 / 20140122522 - METHOD FOR PROVIDING USERS WITH HELP FROM THEIR CONTACTS WHILE SEARCHING THE WEB | 4 |
Kashyap Kamdar | US | Palatine | 2014-01-30 / 20140031006 - Insight Based Orchestration of Network Optimization in Communication Networks | 7 |
Jay Kamdar | US | Cupertino | 2012-02-09 / 20120032965 - Intermediate language accelerator chip | 5 |
Tapan Kamdar | US | San Jose | 2016-02-11 / 20160043993 - OPTIMIZED DOMAIN NAMES AND WEBSITES BASED ON INCOMING TRAFFIC | 11 |
Gautam H. Kamdar | SG | Singapore | 2015-04-02 / 20150095091 - Customer-Prioritized Inventory Allocation for Supply Chain | 1 |
Kamlesh Kamdar | US | Dublin | 2012-02-09 / 20120036541 - VIDEO CONTENT DELIVERY OVER WIRELESS ACCESS NETWORKS WITH QUALITY OF SERVICE (QOS) GUARANTEES | 1 |
Poonit Kamdar | US | Boston | 2008-12-11 / 20080305500 - NOVEL CELL-BASED ASSAYS FOR IDENTIFYING ENHANCERS OR INHIBITORS OF T1R TASTE RECEPTORS (T1R2/T1R3 SWEET) AND UMAMI (T1R1/T1R3 UMAMI) TASTE RECEPTORS | 1 |
Bhavik Kamdar | US | Chicago | 2013-02-28 / 20130054441 - METHODS AND SYSTEMS FOR TRADING IN MONETARY EQUIVALENT INSTRUMENTS | 1 |
Kamlesh S. Kamdar | US | Dublin | 2014-08-07 / 20140219177 - COAXIAL CABLE INTERFACE TO OUTDOOR BROADBAND UNIT | 27 |
Chetan C. Kamdar | US | Austin | 2012-08-02 / 20120198204 - FAST MASKED SUMMING COMPARATOR | 4 |
Nirav Ashwin Kamdar | IN | Hyderabad | 2016-03-24 / 20160085840 - Universal Interaction for Capturing Content to Persistent Storage | 1 |
Devyani Kamdar | US | Larkspur | 2013-07-18 / 20130181403 - GAME | 1 |
Kirti P. Kamdar | US | Los Gatos | 2014-11-27 / 20140350542 - METHODS AND SYSTEMS FOR USE IN CONTROLLING TISSUE ABLATION VOLUME BY TEMPERATURE MONITORING | 4 |
Kamlesh S. Kamdar | US | Dublin | 2014-08-07 / 20140219177 - COAXIAL CABLE INTERFACE TO OUTDOOR BROADBAND UNIT | 27 |
Pravin P. Kamdar | US | Leander | 2011-03-31 / 20110077882 - SYSTEM AND METHOD FOR ESTIMATING LEAKAGE CURRENT OF AN ELECTRONIC CIRCUIT | 1 |
Ambrish Kamdar | US | Sugarland | 2015-04-16 / 20150105578 - Novel Acid Corrosion Inhibitor | 1 |
Pratik M. Kamdar | US | Gurnee | 2015-01-29 / 20150032451 - Method and Device for Voice Recognition Training | 3 |
Tapan Kamdar | US | San Jose | 2016-02-11 / 20160043993 - OPTIMIZED DOMAIN NAMES AND WEBSITES BASED ON INCOMING TRAFFIC | 11 |
Amar B. Kamdar | CA | Burnaby | 2015-02-05 / 20150035436 - APPARATUS AND METHODS FOR GENERATING ELECTROMAGNETIC RADIATION | 1 |
Gautam H. Kamdar | IN | Singapore | 2015-02-05 / 20150039373 - Method and Apparatus for Material Requirements Planning Adjustments | 1 |
Suketu Kamdar | US | San Diego | 2014-03-06 / 20140064526 - METHOD FOR CONTROLLING A SPEAKER ARRAY TO PROVIDE SPATIALIZED, LOCALIZED, AND BINAURAL VIRTUAL SURROUND SOUND | 1 |
Ulrike Kamecke | DE | Mannheim | 2014-03-06 / 20140066737 - SENSOR FOR MONITORING A CONDITION OF A PATIENT | 3 |
Hannes Kamecke | DE | Frechen | 2011-06-02 / 20110129374 - VACUUM PUMP IN PARTICULAR ROOTS TYPE PUMP | 1 |
Hiroyuki Kameda | JP | Osaka | 2009-05-21 / 20090129224 - OPTICAL DISK DEVICE AND RECORDING METHOD | 1 |
Hidenobu Kameda | JP | Saitama | 2010-11-25 / 20100294473 - Tube for heat exchanger and method for manufacturing the same | 1 |
Manabu Kameda | JP | Saitama | 2010-11-11 / 20100284106 - NAVIGATION APPARATUS, OPERATION CONTROL APPARATUS, OPERATION CONTROL METHOD, OPERATION CONTROL PROGRAM | 1 |
Mitsutoshi Kameda | JP | Shinshiro-Shi | 2013-01-31 / 20130027163 - REACTOR | 2 |
Mayu Kameda | JP | Tokyo | 2013-05-23 / 20130131233 - LAMINATE FOR LAMINATED GLASS AND INTERLAYER FILM FOR LAMINATED GLASS | 4 |
Keiji Kameda | JP | Atsugi-Shi | 2010-09-23 / 20100240360 - PSEUDO BASE STATION APPARATUS | 1 |
Shunsuke Kameda | JP | Kumamoto | 2010-08-12 / 20100201861 - Charge detection device and charge detection method, solid-state imaging device and driving method thereof, and imaging device | 1 |
Makio Kameda | JP | Fukaya-Shi | 2010-07-29 / 20100186223 - ELECTRONIC COMPONENT MOUNTING APPARATUS | 1 |
Yasutoshi Kameda | JP | Chiba | 2010-07-22 / 20100184319 - Electrical Connector | 3 |
Yasuhiro Kameda | JP | Hadano | 2011-10-13 / 20110252254 - COMPUTER SYSTEM | 2 |
Shinjiro Kameda | JP | Kanagawa | 2015-11-19 / 20150334270 - SOLID STATE IMAGING DEVICE AND CAMERA SYSTEM | 6 |
Minoru Kameda | JP | Tokyo | 2014-05-22 / 20140142084 - NITROGEN-CONTAINING AROMATIC HETEROCYCLIC COMPOUND | 2 |
Shinichi Kameda | JP | Kunitomi | 2009-07-02 / 20090167174 - PLASMA DISPLAY PANEL | 1 |
Takashi Kameda | JP | Ibaraki | 2013-08-29 / 20130224598 - CARBON MATERIAL FOR NONAQUEOUS-ELECTROLYTE SECONDARY-BATTERY NEGATIVE ELECTRODE AND PROCESS FOR PRODUCING THE SAME, NEGATIVE ELECTRODE INCLUDING THE SAME FOR NONAQUEOUS SECONDARY BATTERY, AND NONAQUEOUS-ELECTROLYTE SECONDARY BATTERY | 2 |
Makoto Kameda | JP | Oyama-Shi | 2008-10-09 / 20080245518 - Flat Tube Making Platelike Body, Flat Tube, Heat Exchanger and Process for Fabricating Heat Exchanger | 1 |
Shozaburo Kameda | JP | Kanagawa | 2008-10-02 / 20080238778 - Folded Antenna | 1 |
Masanobu Kameda | JP | Takaishi-Shi | 2015-06-11 / 20150157244 - Jaundice Meter | 1 |
Takuro Kameda | JP | Tokyo | 2013-05-09 / 20130115056 - LINK MECHANISM, AND VARIABLE TURBINE VANE DRIVING UNIT HAVING THE SAME | 9 |
Eri Kameda | JP | Shinagawa-Ku | 2013-10-03 / 20130255419 - SEAL MEMBER AND LINEAR MOTION GUIDE DEVICE USING SAME | 1 |
Yasutoshi Kameda | JP | Toyota-City | 2011-09-29 / 20110232598 - AIR INTAKE APPARATUS FOR VEHICLE | 3 |
Tomohiro Kameda | JP | Fuji-Shi | 2009-10-01 / 20090242056 - HYDRAULIC CONTROL VALVE APPARATUS FOR AUTOMATIC TRANSMISSION | 1 |
Makio Kameda | JP | Saitama | 2009-08-27 / 20090211086 - ELECTRONIC COMPONENT MOUNTING METHOD AND ELETRONIC COMPONENT AND MOUNTING APPARATUS | 2 |
Masato Kameda | JP | Kyoto | 2015-08-13 / 20150225338 - URETHANE ACRYLATE, AND REACTIVE COMPOSITION CONTAINING SAME | 2 |
Yasutoshi Kameda | JP | Kisarazu-Shi | 2012-12-06 / 20120309225 - ELECTRICAL CONNECTOR HAVING CRIMP-MOUNTED ELECTRICAL TERMINALS | 3 |
Hiroshi Kameda | JP | Osaka | 2015-05-21 / 20150140280 - METHOD OF TREATING SURFACE OF METAL BASE METALLIC MATERIAL TREATED BY THE SURFACE TREATMENT METHOD AND METHOD OF COATING THE METALLIC MATERIAL | 1 |
Shintaro Kameda | JP | Wako | 2014-10-09 / 20140303858 - VEHICLE AND CONTROL APPARATUS FOR AUTOMATIC TRANSMISSION | 2 |
Noritomo Kameda | US | 2015-01-15 / 20150013916 - MANUFACTURING METHOD AND MANUFACTURING APPARATUS FOR A COMPOSITE SHEET ASSOCIATED WITH AN ABSORBENT ARTICLE | 1 | |
Takashi Kameda | JP | Chiyoda-Ku | 2015-02-12 / 20150040714 - MASTER CONTROLLER | 1 |
Yohei Kameda | JP | Yokohama-Shi | 2015-02-12 / 20150042199 - STATOR CORE FOR MOTOR AND MANUFACTURING METHOD THEREFOR | 1 |
Akira Kameda | JP | Osaka | 2015-08-06 / 20150222071 - COMPONENT MOUNTING DEVICE AND COMPONENT MOUNTING METHOD | 4 |
Suguru Kameda | JP | Miyagi | 2010-03-04 / 20100054357 - RADIO COMMUNICATION SYSTEM | 1 |
Nobuya Kameda | JP | Kariya-Shi | 2011-08-04 / 20110191392 - Data management apparatus, data management program and data management system | 1 |
Suguru Kameda | JP | Sendai | 2010-02-25 / 20100045138 - PIEZOELECTRIC THIN-FILM ACOUSTIC WAVE DEVICE AND INFORMATION PROCESSING UNIT USING THE SAME | 1 |
Yoshihiro Kameda | JP | Hiratsuka | 2009-12-31 / 20090326109 - TIRE RUBBER COMPOSITION | 1 |
Yoshihiro Kameda | JP | Hiratsuka-Shi | 2014-01-09 / 20140011909 - RUBBER COMPOSITION FOR USE IN TIRE TREADS | 6 |
Hiroshi Kameda | JP | Chiyoda-Ku | 2014-07-03 / 20140184437 - RADAR DEVICE | 2 |
Morihiro Kameda | JP | Kanagawa | 2014-12-25 / 20140379438 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND COMPUTER-READABLE MEDIUM | 1 |
Yasushi Kameda | JP | Kanagawa-Ken | 2009-12-10 / 20090303797 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Kenji Kameda | JP | Toyama-Shi | 2015-12-24 / 20150368794 - CLEANING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, RECORDING MEDIUM, AND CLEANING COMPLETION DETERMINING METHOD | 12 |
Hiroyuki Kameda | JP | Aichi-Gun | 2012-01-19 / 20120013262 - IGNITION APPARATUS FOR PLASMA JET IGNITION PLUG AND IGNITION SYSTEM | 12 |
Seiichiro Kameda | JP | Abiko-Shi | 2014-01-30 / 20140029967 - IMAGE HEATING APPARATUS | 8 |
Minoru Kameda | JP | Kashiwa-Shi | 2010-12-23 / 20100324049 - DIARYL KETIMINE DERIVATIVE HAVING ANTAGONISM AGAINST MELANIN-CONCENTRATING HORMONE RECEPTOR | 1 |
Hidenobu Kameda | JP | Tochigi | 2015-12-03 / 20150346457 - MIRROR UNIT | 4 |
Akihiro Kameda | JP | Kawasaki | 2014-05-15 / 20140136853 - APPARATUS AND METHOD FOR PERFORMING DIFFERENT CRYPTOGRAPHIC ALGORITHMS IN A COMMUNICATION SYSTEM | 2 |
Naoto Kameda | JP | Tochigi | 2015-06-25 / 20150174678 - Device for Coating Thin Molten Solder Film, Thin Solder Film-covered Component and Manufacturing Method Therefor | 1 |
Takuro Kameda | JP | Tokyo | 2013-05-09 / 20130115056 - LINK MECHANISM, AND VARIABLE TURBINE VANE DRIVING UNIT HAVING THE SAME | 9 |
Kyoko Kameda | JP | Osaka | 2013-05-30 / 20130136846 - EQUOL-CONTAINING EXTRACT, METHOD FOR PRODUCTION THEREOF, METHOD FOR EXTRACTION OF EQUOL, AND EQUOL-CONTAINING FOOD | 3 |
Minoru Kameda | JP | Chuo-Ku | 2013-06-06 / 20130143874 - FUSED RING PYRIDINE COMPOUND | 1 |
Eitaro Kameda | JP | Nagaokakyo-Shi | 2014-09-11 / 20140252918 - Piezoelectric Power Generating Device | 2 |
Mitsuaki Kameda | JP | Saitama | 2013-11-14 / 20130301203 - HOLDING MECHANISM FOR DISPLAY DEVICE AND ASSEMBLING METHOD OF THE SAME | 1 |
Tatsuya Kameda | JP | Shinagawa-Ku | 2015-12-24 / 20150372993 - SYSTEM AND METHOD FOR PROCESSING TRANSACTIONS | 2 |
Yoshiaki Kameda | JP | Kiyosu-Shi | 2015-06-25 / 20150179995 - BATTERY PACK | 9 |
Tatsuya Kameda | JP | Shinagawa-Ward | 2013-08-29 / 20130226674 - Integrated Educational Stakeholder Evaluation and Educational Research System | 1 |
Norifumi Kameda | JP | Hiratsuka-Shi | 2013-09-19 / 20130240101 - Pneumatic Tire | 10 |
Yasutoshi Kameda | JP | Toyota-Shi | 2010-11-25 / 20100294228 - AIR INTAKE APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Kazumasa Kameda | JP | Kobe | 2010-12-02 / 20100303253 - VEHICLE CONTROL DEVICE AND VEHICLE STATE MONITORING METHOD | 2 |
Manabu Kameda | JP | Ishikawa | 2014-01-23 / 20140026226 - DEVICE, METHOD AND PROGRAM FOR PREVENTING INFORMATION LEAKAGE | 1 |
Ken Kameda | US | Menlo Park | 2013-05-16 / 20130120432 - COLOR MANAGEMENT DETECTION | 1 |
Motoko Kameda | JP | Tokyo | 2014-12-18 / 20140366241 - CLOTHING | 1 |
Norifumi Kameda | JP | Hiratsuka-Shi | 2013-09-19 / 20130240101 - Pneumatic Tire | 10 |
Tsuneji Kameda | JP | Tokyo | 2013-09-26 / 20130248360 - SOLID OXIDE ELECTROCHEMICAL CELL | 7 |
Futoshi Kameda | JP | Tokyo | 2013-04-25 / 20130098962 - ELECTRIC STAPLER | 10 |
Yoshiaki Kameda | JP | Aichi-Ken | 2011-03-31 / 20110076553 - BATTERY COVER MEMBER | 1 |
Takashi Kameda | JP | Tokyo | 2014-09-18 / 20140273612 - JUMPER CONNECTOR | 1 |
Yoshihiro Kameda | JP | Hiratsuka-Shi, Kanagawa | 2016-02-25 / 20160053076 - Rubber Composition for Tire | 2 |
Masahiro Kameda | JP | Gunma | 2009-12-03 / 20090295240 - Starter | 1 |
Yoshio Kameda | JP | Tokyo | 2012-12-06 / 20120307650 - MULTIPLEX SYSTEM | 7 |
Futoshi Kameda | JP | Tokyo | 2013-04-25 / 20130098962 - ELECTRIC STAPLER | 10 |
Tomoyuki Kameda | JP | Tokyo | 2010-04-29 / 20100102874 - SEMICONDUCTOR DEVICE | 1 |
Haruko Kameda | JP | Tokyo | 2012-05-24 / 20120130242 - SYSTEMS AND METHODS FOR CONCURRENTLY DISPLAYING A PLURALITY OF IMAGES USING AN INTRAVASCULAR ULTRASOUND IMAGING SYSTEM | 1 |
Seiichiro Kameda | JP | Abiko-Shi | 2014-01-30 / 20140029967 - IMAGE HEATING APPARATUS | 8 |
Hiroyuki Kameda | JP | Aichi | 2011-12-08 / 20110297131 - IGNITION APPARATUS OF PLASMA JET IGNITION PLUG | 9 |
Norio Kameda | JP | Annaka-Shi | 2014-04-03 / 20140094554 - ROOM TEMPERATURE AND HUMIDITY THICKENING THERMO-CONDUCTIVE SILICON GREASE COMPOSITION | 4 |
Michihiro Kameda | JP | Hadano-Shi | 2015-03-19 / 20150075470 - MECHANICAL LASH ADJUSTER | 9 |
Eitaro Kameda | JP | Moriyama-Shi | 2010-12-16 / 20100314971 - Piezoelectric Oscillator Part | 2 |
Tomonobu Kameda | JP | Gunma | 2009-04-16 / 20090095805 - ELECTRONIC MONEY PAYING-IN SYSTEM | 1 |
Masumi Kameda | JP | Tokyo | 2011-09-22 / 20110229708 - ELECTRONIC CIRCUIT MODULE COMPONENT AND METHOD OF MANUFACTURING ELECTRONIC CIRCUIT MODULE COMPONENT | 3 |
Hiroyuki Kameda | JP | Aichi-Ken | 2012-09-27 / 20120242214 - PLASMA JET IGNITION PLUG | 11 |
Kazuaki Kameda | JP | Aichi-Ken | 2009-01-08 / 20090007545 - Exhaust Purifier for Internal Combustion Engine | 1 |
Nobuhiro Kameda | JP | Yamanashi | 2008-12-04 / 20080298948 - Heavy Object Turning Apparatus | 1 |
Eitaro Kameda | JP | Kyoto-Fu | 2012-02-23 / 20120043856 - Piezoelectric Power Generator | 1 |
Hiroshi Kameda | JP | Niigata-Ken | 2012-01-05 / 20120002297 - COLLIMATOR LENS | 2 |
Hiroyuki Kameda | JP | Tokyo | 2010-06-24 / 20100159128 - Niobium 2-ethylhexanoate derivative, method of producing the derivative, organic acid metal salt composition containing the derivative, and method of producing thin film using the composition | 2 |
Osamu Kameda | JP | Tokyo | 2012-08-16 / 20120210127 - AUTHENTICATION DEVICE USING TRUE RANDOM NUMBER GENERATING ELEMENT OR PSEUDO-RANDOM NUMBER GENERATING ELEMENT, AUTHENTICATION APPARATUS, AND AUTHENTICATION METHOD | 2 |
Makoto Kameda | JP | Kawasaki-Shi | 2008-08-21 / 20080196678 - Radiator-Shroud Structure | 1 |
Tsuyoshi Kameda | JP | Hiroshima | 2013-03-14 / 20130065261 - MODIFIED GLUCOSE DEHYDROGENASE GENE | 1 |
Tomonobu Kameda | JP | Saitama | 2009-01-29 / 20090026256 - Electronic Money Reader/Writer | 1 |
Hidenobu Kameda | JP | Oyama-Shi | 2014-11-27 / 20140348188 - LASER APPARATUS AND EXTREME ULTRAVIOLET LIGHT GENERATION SYSTEM | 4 |
Norifumi Kameda | US | Roanoke | 2012-11-15 / 20120285592 - PNEUMATIC TIRE | 1 |
Shintaro Kameda | JP | Wako-Shi | 2012-09-13 / 20120232764 - CONTROL APPARATUS FOR AUTOMATIC TRANSMISSION | 1 |
Masami Kameda | JP | Yokohama | 2013-05-30 / 20130138903 - COMPUTER SYSTEM | 1 |
Michihiro Kameda | JP | Hadano-Shi | 2015-03-19 / 20150075470 - MECHANICAL LASH ADJUSTER | 9 |
Yoshiaki Kameda | JP | Kiyosu-Shi | 2015-06-25 / 20150179995 - BATTERY PACK | 9 |
Yoshihiro Kameda | JP | Hiratsuka City | 2013-10-10 / 20130267646 - RUBBER COMPOSITION FOR TIRE TREAD AND PNEUMATIC TIRE USING THE SAME | 1 |
Teruki Kameda | JP | Nisshin-Shi | 2015-12-10 / 20150352643 - MATERIAL TO BE WORKED IN FACE DRIVING AND METHOD FOR WORKING THE SAME | 1 |
Floyd Kameda | US | Mountain View | 2010-07-22 / 20100181099 - COVERED CABLE ASSEMBLIES AND METHODS AND SYSTEMS FOR FORMING THE SAME | 1 |
Hiroshi Kameda | JP | Tokyo | 2015-05-21 / 20150141041 - POSITIONING AND TRACKING DEVICE | 18 |
Hiroyuki Kameda | JP | Nagakute | 2016-01-28 / 20160028214 - PLASMA JET SPARK PLUG | 2 |
Yoshihiro Kameda | JP | Kanagawa | 2010-01-21 / 20100012245 - PNEUMATIC TIRE WITH HIGH SPEED DURABILITY | 2 |
Tomonobu Kameda | JP | Isesaki-Shi | / - | 1 |
Futoshi Kameda | JP | Chuo-Ku | 2010-12-02 / 20100301092 - STAPLE CARTRIDGE | 3 |
Minoru Kameda | JP | Chiba | 2012-02-02 / 20120028970 - HETEROARYLTHIOMETHYL PYRIDINE DERIVATIVE | 3 |
Yoshio Kameda | JP | Minato-Ku | 2011-01-20 / 20110012228 - SEMICONDUCTOR DEVICE | 2 |
Toshihiro Kameda | JP | Tsukuba-Shi | 2011-05-19 / 20110118585 - EYEBALL TISSUE CHARACTERISTIC FREQUENCY MEASUREMENT DEVICE AND NON-CONTACT TONOMETER UTILIZING THE SAME | 1 |
Takeshi Kameda | JP | Osaka | 2014-12-04 / 20140352744 - WASHING DEVICE | 1 |
Kenji Kameda | JP | Toyama-Shi | 2015-12-24 / 20150368794 - CLEANING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, RECORDING MEDIUM, AND CLEANING COMPLETION DETERMINING METHOD | 12 |
Tsuneji Kameda | JP | Ota | 2016-03-03 / 20160060776 - HYDROGEN PRODUCTION SYSTEM AND METHOD FOR PRODUCING HYDROGEN | 2 |
Kenji Kameda | JP | Toyama | 2011-10-27 / 20110259370 - SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND METHOD OF CLEANING PROCESSING VESSEL | 1 |
Shunsuke Kameda | JP | Otsu | 2014-07-10 / 20140190557 - METHOD FOR PRODUCING SOLAR CELL MODULE, SOLAR CELL BACKSIDE SEALING SHEET, AND SOLAR CELL MODULE | 3 |
Noritomo Kameda | JP | Kagawa | 2015-03-12 / 20150067996 - METHOD AND DEVICE FOR RECOVERING BULK OF NONWOVEN FABRIC | 9 |
Akihito Kameda | JP | Saitama | 2013-08-22 / 20130215481 - SHEET CONVEYING DEVICE, IMAGE READING DEVICE, AND IMAGE FORMING DEVICE | 1 |
Akihito Kameda | JP | Kanagawa | 2014-05-22 / 20140140744 - AUTOMATIC DOCUMENT FEEDER, IMAGE SCANNING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Takashi Kameda | JP | Kagawa | 2015-07-09 / 20150194668 - COMPOSITE GRAPHITE PARTICLE FOR NONAQUEOUS-SECONDARY-BATTERY NEGATIVE ELECTRODE, NEGATIVE ELECTRODE FOR NONAQUEOUS SECONDARY BATTERY, AND NONAQUEOUS SECONDARY BATTERY | 1 |
Kyoko Kameda | JP | Osaka-Shi | 2011-02-10 / 20110033564 - EQUOL-CONTAINING EXTRACT, METHOD FOR PRODUCTION THEREOF, METHOD FOR EXTRACTION OF EQUOL, AND EQUOL-CONTAINING FOOD | 1 |
Hiroyuki Kameda | JP | Nagakute-Shi | 2015-11-26 / 20150340845 - SPARK PLUG | 4 |
Yoshikazu Kameda | JP | Gotenba-Shi | 2015-08-27 / 20150239495 - STEERING CONTROL DEVICE | 1 |
Hiroyuki Kameda | JP | Aichi | 2011-12-08 / 20110297131 - IGNITION APPARATUS OF PLASMA JET IGNITION PLUG | 9 |
Tsuneji Kameda | JP | Ota-Ku | 2013-12-26 / 20130344411 - ELECTRICAL POWER STORAGE SYSTEM USING HYDROGEN AND METHOD FOR STORING ELECTRICAL POWER USING HYDROGEN | 1 |
Satoshi Kameda | JP | Kanagawa-Ken | 2013-06-27 / 20130162318 - DIFFERENTIAL OUTPUT CIRCUIT | 1 |
Hiroyuki Kameda | JP | Ishikawa | 2015-09-10 / 20150256697 - IMAGE-READING APPARATUS, IMAGE-PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 2 |
Akira Kameda | JP | Yamanashi | 2016-04-21 / 20160113164 - COMPONENT CRIMPING APPARATUS | 2 |
Toshitada Kameda | JP | Kamogawa City | 2013-01-10 / 20130009860 - INFORMATION DISPLAY APPARATUS | 1 |
Masahiro Kameda | JP | Matsudo | 2012-08-16 / 20120209512 - OPTIMAL PATH SEARCH SYSTEM AND OPTIMAL PATH SEARCH METHOD | 1 |
Yoichi Kameda | JP | Tokyo, | 2012-07-05 / 20120168525 - GUIDE RAIL | 1 |
Yoshinori Kameda | JP | Kusatsu-Shi | 2012-05-10 / 20120112456 - PHOTOCURABLE COMPOSITION SUITABLE FOR RUST PREVENTION OF A THREADED JOINT FOR STEEL PIPES | 1 |
Shigemitsu Kameda | JP | Kosai | 2011-03-24 / 20110067920 - Wiring harness having protection member | 1 |
Hiroyuki Kameda | JP | Aichi-Ken | 2012-09-27 / 20120242214 - PLASMA JET IGNITION PLUG | 11 |
Noritomo Kameda | JP | Kanonji-Shi | 2015-05-21 / 20150140278 - COMPOSITE STRETCHABLE MEMBER | 5 |
Michihiro Kameda | JP | Kanagawa | 2011-08-11 / 20110192365 - CAM SHAFT PHASE VARIABLE DEVICE IN ENGINE FOR AUTOMOBILE | 5 |
Yohei Kameda | JP | Yokohama-Shi, Kanagawa | 2016-03-03 / 20160065015 - MAGNETIC PLATE USED FOR ROTOR CORE OF MOTOR AND METHOD FOR MANUFACTURING MAGNETIC PLATE | 1 |
Noritomo Kameda | JP | Kagawa | 2015-03-12 / 20150067996 - METHOD AND DEVICE FOR RECOVERING BULK OF NONWOVEN FABRIC | 9 |
Eri Kameda | JP | Tokyo | 2015-11-26 / 20150337899 - MOTION-GUIDING DEVICE | 2 |
Hirokazu Kameda | JP | Kawasaki-Shi | 2011-03-24 / 20110069100 - INK JET PRINTING APPARATUS AND PRINTING METHOD | 1 |
Yoshiaki Kameda | JP | Aichi | 2012-01-26 / 20120021262 - BATTERY, VEHICLE, AND BATTERY-OPERATED EQUIPMENT | 1 |
Yoshihiro Kameda | JP | Kanagawa-Ken | 2010-07-15 / 20100179280 - SULFUR-CONTAINING CONJUGATED DIOLEFIN COPOLYMER RUBBER, AND RUBBER COMPOSITION COMPRISING THE SAME | 1 |
Takahiro Kameda | JP | Tokyo | 2016-05-05 / 20160124690 - IMAGE PROCESSING SYSTEM, MANAGEMENT SYSTEM, IMAGE PROCESSING APPARATUS AND METHOD OF PROOFREADING DOCUMENT | 1 |
Yoshihiro Kameda | JP | Osaka | 2010-11-18 / 20100288441 - METHOD AND SYSTEM FOR LAMINATING OPTICAL ELEMENTS | 2 |
Takamasa Kameda | JP | Kyoto | 2014-07-17 / 20140198519 - LIGHT PROJECTOR AND SENSOR | 3 |
Satoshi Kameda | JP | Tokyo | 2009-12-31 / 20090327822 - TEST APPARATUS AND TEST METHOD | 1 |
Masaharu Kameda | JP | Tokyo | 2016-05-12 / 20160130680 - COLD-ROLLED STEEL SHEET, GALVANIZED COLD-ROLLED STEEL SHEET AND METHOD OF MANUFACTURING THE SAME | 2 |
Hiroyuki Kamee | JP | Osaka-Shi | 2011-06-30 / 20110157526 - ELECTRODE CONTACT STRUCTURE, LIQUID CRYSTAL DISPLAY APPARATUS INCLUDING SAME, AND METHOD FOR MANUFACTURING ELECTRODE CONTACT STRUCTURE | 1 |
Hiroko Kamee | JP | Kanagawa | 2012-06-07 / 20120140161 - POLYMER FILM, RETARDATION FILM, POLARIZING PLATE, LIQUID CRYSTAL DISPLAY DEVICE AND ULTRAVIOLET ABSORBER | 2 |
Hiroyuki Kamee | JP | Kanagawa | 2009-04-30 / 20090109380 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Hiroko Kamee | JP | Minami-Ashigara-Shi | 2011-09-22 / 20110229662 - Polymer film, production method for the film, and retardation film, polarizing plate and liquid-crystal display device having the film | 3 |
Kenji Kamee | JP | Shiga | 2010-01-07 / 20100000894 - Pallet for Packing Glass Plate and Glass Plate Packing Unit | 1 |
Hiroyuki Kamee | JP | Ebina-Shi | 2011-07-21 / 20110176290 - WAVELENGTH CONVERSION UNIT AND ILLUMINATION APPARATUS INCLUDING THE UNIT | 2 |
Hiroyuki Kamee | JP | Tokyo | 2013-04-11 / 20130088852 - ILLUMINATION APPARATUS | 1 |
Hiroyuki Kamee | JP | Nara | 2009-10-15 / 20090257005 - Liquid Crystal Display Device | 1 |
Hiroyuki Kamee | JP | Koganei-Shi | 2016-04-21 / 20160106299 - OBSERVATION APPARATUS | 9 |
Hiroyuki Kamee | JP | Koganei-Shi | 2016-04-21 / 20160106299 - OBSERVATION APPARATUS | 9 |
Ken Kamegai | JP | Shizuoka | 2008-12-18 / 20080311275 - ALCOHOL-CONTAINING WASABI, WASABI EXTRACT SOLUTION AND WASABI EXTRACT | 1 |
Takeshi Kamegai | JP | Yokosuka-Shi | 2010-12-23 / 20100323084 - FAT AND OIL COMPOSITIONS FOR IMPROVING TEXTURE | 1 |
Takeshi Kamegai | JP | Kanagawa | 2010-09-30 / 20100247735 - EDIBLE FAT AND OIL COMPOSITIONS | 4 |
Hikaru Kamegai | JP | Anjo-Shi | 2012-09-20 / 20120234571 - IMPACT TOOL | 7 |
Norio Kamegai | JP | Niwa-Gun | 2010-04-29 / 20100101383 - COMBINATION MACHINING LATHE | 1 |
Taiichi Kamegai | JP | Shizuoka | 2008-12-18 / 20080311275 - ALCOHOL-CONTAINING WASABI, WASABI EXTRACT SOLUTION AND WASABI EXTRACT | 1 |
Toshihiro Kamegai | JP | Oita-Shi | 2011-09-29 / 20110232487 - APPARATUS FOR PROCESSING EXHAUST GAS IN NONFERROUS SMELTING FACILITIES, AND METHOD FOR PROCESSING EXHAUST GAS IN NONFERROUS SMELTING FACILITIES | 1 |
Kiyoshi Kamegai | JP | Shizuoka | 2008-12-18 / 20080311275 - ALCOHOL-CONTAINING WASABI, WASABI EXTRACT SOLUTION AND WASABI EXTRACT | 1 |
Masayuki Kamegawa | JP | Kyoto | 2009-03-19 / 20090074136 - X-RAY CT SYSTEM AND X-RAY CT METHOD | 1 |
Katsumi Kamegawa | JP | Saga | 2012-10-25 / 20120269715 - CARBON MICROPARTICLE HAVING LIGNIN AS RAW MATERIAL AND PREPARATION METHOD THEREFOR | 3 |
Daisuke Kamegawa | JP | Tokyo | 2015-11-26 / 20150340920 - Rotating Electrical Machine | 1 |
Norio Kamegawa | JP | Nishio-Shi | 2013-07-18 / 20130181555 - STATOR FOR ELECTRIC ROTATING MACHINE | 1 |
Hideki Kamegawa | JP | Tokyo | 2009-02-19 / 20090046946 - IMAGE PROCESSING APPARATUS | 1 |
Tatsuhiko Kamegawa | JP | Saitama | 2009-01-29 / 20090025849 - TIRE AND METHOD OF PRODUCING THE SAME | 1 |
Nobuyuki Kamegawa | JP | Sanda-Shi | 2012-07-26 / 20120189768 - WATER-DISPERSIBLE VARNISH, ELECTRIC COMPRESSOR USING THE WATER-DISPERSIBLE VARNISH AND METHOD OF MANUFACTURING THE SAME, AND REFRIGERATION AND AIR-CONDITIONING APPARATUS EQUIPPED WITH THE ELECTRIC COMPRESSOR | 1 |
Taeko Kamegawa | JP | Chiyoda-Ku | 2014-09-11 / 20140253138 - GROUND FAULT DETECTION DEVICE, GROUND FAULT DETECTION METHOD, SOLAR ENERGY SYSTEM, AND GROUND FAULT DETECTION PROGRAM | 1 |
Shigemi Kamegawa | JP | Kyoto | 2015-05-14 / 20150133744 - LUTEUM FUNCTION EVALUATION APPARATUS, LUTEUM FUNCTION EVALUATION SYSTEM, AND CONTROL METHOD THEREOF | 1 |
Masakatsu Kamegaya | JP | Kawasaki | 2009-08-13 / 20090204707 - BANDWIDTH CONTROL SERVER, COMPUTER READABLE RECORD MEDIUM ON WHICH BANDWIDTH CONTROL PROGRAM IS RECORDED, AND MONITORING SYSTEM | 1 |
Koyu Kamehama | JP | Nagano | 2013-04-04 / 20130084718 - WIRING SUBSTRATE WITH SPRING TERMINAL AND MOUNTING STRUCTURE FOR THE SAME, AND SOCKET | 1 |
Syunji Kamei | JP | Nagoya-City | 2016-01-07 / 20160003915 - BATTERY MONITOR SYSTEM | 1 |
Takashi Kamei | JP | Kyoto | 2015-04-30 / 20150117589 - Molten Salt Reactor | 1 |
Tooru Kamei | JP | Gunma | 2015-04-30 / 20150114054 - STEERING LOCK APPARATUS | 1 |
Toshiyuki Kamei | JP | Osaka-Shi | 2016-05-05 / 20160124632 - INFORMATION PROCESSING APPARATUS AND METHOD FOR CONTROLLING INFORMATION PROCESSING APPARATUS | 2 |
Takeshi Kamei | JP | Fukuoka | 2012-05-03 / 20120104892 - ROTARY ELECTRIC MACHINE | 1 |
Takashi Kamei | JP | Miyagi | 2012-05-03 / 20120107831 - METHOD FOR DETECTING AFFERENT LYMPH VESSEL INFLOW REGIONS AND METHOD FOR IDENTIFYING SPECIFIC CELLS | 1 |
Masashi Kamei | JP | Yokohama-Shi | 2009-04-02 / 20090085342 - FUEL TANK | 1 |
Ibuki Kamei | US | San Jose | 2016-03-31 / 20160093975 - MAGNETIC PINS | 10 |
Taku Kamei | JP | Kanagawa | 2015-05-21 / 20150141406 - HETEROCYCLIC COMPOUND | 4 |
Kenichiro Kamei | US | Los Angeles | 2011-06-02 / 20110129850 - MICROFLUIDIC PLATFORM FOR CELL CULTURE AND ASSAY | 2 |
Hiromi Kamei | US | Irvine | 2010-03-04 / 20100053474 - SYSTEMS AND METHODS FOR ELIMINATING LASER LIGHT SOURCE SCINTILLATION IN A PROJECTION TELEVISION | 1 |
Daniel T. Kamei | US | Monterey Park | 2015-09-10 / 20150253320 - METHODS AND DEVICES FOR INTEGRATING ANALYTE EXTRACTION, CONCENTRATION AND DETECTION | 4 |
Naoyuki Kamei | JP | Yokohama-Shi | 2013-12-05 / 20130320181 - TORQUE ROD | 1 |
Takeshi Kamei | US | Theodore | 2013-05-16 / 20130121908 - METHOD FOR PRODUCING TRICHLOROSILANE WITH REDUCED BORON COMPOUND IMPURITIES | 6 |
Kazuhito Kamei | JP | Chiyoda-Ku Tokyo | 2015-03-19 / 20150075419 - METHOD FOR PRODUCING SiC SINGLE CRYSTAL | 1 |
Rinako Kamei | JP | Osaka | 2015-11-19 / 20150332382 - INFORMATION PROCESSING METHOD, INFORMATION PROCESSING SYSTEM, AND ORDERING DEVICE | 9 |
Takayuki Kamei | JP | Yonezawa | 2015-03-12 / 20150072122 - ENTRY SHEET FOR DRILLING | 1 |
Ibuki Kamei | US | Cupertino | 2016-03-31 / 20160094078 - INDUCTIVE COUPLING ASSEMBLY FOR AN ELECTRONIC DEVICE | 4 |
Hidenori Kamei | JP | Kagoshima | 2012-05-24 / 20120126276 - LIGHT EMITTING ELEMENT AND LIGHT EMITTING DEVICE | 8 |
Katsuhide Kamei | JP | Osaka | 2016-01-28 / 20160024060 - BENZOTHIOPHENE DERIVATIVE | 2 |
Taku Kamei | JP | Osaka | 2011-07-21 / 20110178060 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUND AND USE OF SAME | 2 |
Nobuo Kamei | JP | Osaka | 2009-06-25 / 20090164999 - Job execution system, portable terminal apparatus, job execution apparatus, job data transmission and receiving methods, and recording medium | 1 |
Hiroki Kamei | JP | Osaka | 2010-02-04 / 20100026225 - Electric Device | 1 |
Tomotada Kamei | JP | Osaka | 2010-06-17 / 20100149949 - OPTICAL PICKUP AND OPTICAL DISC DEVICE | 4 |
Rinako Kamei | JP | Osaka | 2015-11-19 / 20150332382 - INFORMATION PROCESSING METHOD, INFORMATION PROCESSING SYSTEM, AND ORDERING DEVICE | 9 |
Yuji Kamei | JP | Tokyo | 2016-02-25 / 20160056573 - CONNECTOR DEVICE | 3 |
Hiroto Kamei | JP | Kariya-Shi | 2014-05-01 / 20140116930 - COOLANT SYSTEM | 1 |
Koichiro Kamei | JP | Chiyoda-Ku | 2015-09-17 / 20150260144 - ENGINE STARTER | 13 |
Masatsugu Kamei | JP | Shiga | 2013-10-24 / 20130282223 - Method For Controlling Movement Of Travelling Carriers | 2 |
Hisashi Kamei | JP | Osaka | 2015-06-25 / 20150175517 - (METH)ACRYLIC ACID PRODUCTION METHOD, AND, HYDROPHILIC RESIN PRODUCTION METHOD | 2 |
Shigenori Kamei | JP | Koshi City | 2012-05-31 / 20120135148 - SUBSTRATE TREATMENT SYSTEM, SUBSTRATE TREATMENT METHOD, AND NON-TRANSITORY COMPUTER STORAGE MEDIUM | 1 |
Daisuke Kamei | JP | Yokohama-Shi | 2015-02-19 / 20150048552 - POLYMER COMPOSITION AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kazuhito Kamei | JP | Tokyo | 2015-08-06 / 20150221511 - METHOD FOR PRODUCING SIC SINGLE CRYSTAL | 3 |
Kenji Kamei | JP | Tokyo | 2015-02-12 / 20150043120 - DIRECT-CURRENT CIRCUIT BREAKER | 8 |
Katsutoshi Kamei | JP | Ibaraki-Shi | 2012-03-22 / 20120070661 - PRESSURE-SENSITIVE ADHESIVE TAPE | 2 |
Teruhiko Kamei | JP | Yokohama | 2014-09-18 / 20140269083 - BIT LINE CURRENT TRIP POINT MODULATION FOR READING NONVOLATILE STORAGE ELEMENTS | 5 |
Kazuhito Kamei | JP | Kimitsu-Shi | 2015-06-04 / 20150152569 - APPARATUS FOR PRODUCING SiC SINGLE CRYSTAL AND METHOD FOR PRODUCING SiC SINGLE CRYSTAL | 1 |
Ichio Kamei | JP | Toyota-City | 2015-01-29 / 20150027163 - REFRIGERANT EVAPORATOR | 1 |
Shunsuke Kamei | JP | Tokyo | 2015-01-15 / 20150015206 - ELECTRICAL CHARGING/DISCHARGING CONTROLLER, CHARGING CONTROL METHOD, DISCHARGING CONTROL METHOD, AND PROGRAM | 1 |
Koichiro Kamei | JP | Tokyo | 2015-06-11 / 20150159617 - ENGINE STARTING DEVICE | 8 |
Toshihito Kamei | JP | Saitama | 2014-12-25 / 20140377941 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Toshiyuki Kamei | JP | Yamatokoriyama-Shi | 2012-03-08 / 20120059012 - 3-(4-AMINOPHENYL)-2-FURANCARBOXYLIC ACID DERIVATIVE AND PHARMACEUTICALLY ACCEPTABLE SALT THEREOF | 1 |
Tasuku Kamei | JP | Tokyo | 2015-09-24 / 20150269458 - IMAGE FORMING APPARATUS AND METHOD FOR MANAGING JOB DATA | 2 |
Hitoshi Kamei | JP | Kanagawa | 2013-09-05 / 20130232292 - IMPLEMENTING LARGE BLOCK RANDOM WRITE HOT SPARE SSD FOR SMR RAID | 1 |
Shin Kamei | JP | Tokyo | 2016-03-24 / 20160087727 - Optical Modulator Driver Circuit and Optical Transmitter | 1 |
Masanao Kamei | JP | Annaka | 2013-10-10 / 20130267478 - COSMETIC | 3 |
Yojiro Kamei | US | San Jose | 2014-12-11 / 20140365395 - ELECTRONIC BUSINESS CARD APPLICATION SOFTWARE AND ITS SYSTEM | 1 |
Kenji Kamei | JP | Tokyo | 2015-02-12 / 20150043120 - DIRECT-CURRENT CIRCUIT BREAKER | 8 |
Kenji Kamei | JP | Kyoto | 2009-04-30 / 20090110024 - TEMPERATURE COMPUTING INSTRUMENT AND METHOD FOR CALIBRATING TEMPERATURE OF SENSOR PART USED THEREFOR | 2 |
Kenji Kamei | JP | Chiyoda-Ku | 2013-08-01 / 20130193946 - INRUSH CURRENT SUPPRESSING DEVICE | 2 |
Tadasuke Kamei | JP | Hyogo | 2013-08-29 / 20130224421 - SINTERED MAGNESIUM OXIDE MATERIAL, AND PROCESS FOR PRODUCTION THEREOF | 1 |
Kazuhito Kamei | JP | Chiyoda-Ku | 2014-05-01 / 20140116325 - PRODUCTION APPARATUS OF SiC SINGLE CRYSTAL BY SOLUTION GROWTH METHOD, METHOD FOR PRODUCING SiC SINGLE CRYSTAL USING THE PRODUCTION APPARATUS, AND CRUCIBLE USED IN THE PRODUCTION APPARATUS | 6 |
Yuji Kamei | JP | Himeji-Shi | 2014-03-27 / 20140083321 - RAILWAY CAR COMPRISING HEAT-RESISTANT FLOOR | 3 |
Yasutaka Kamei | JP | Yokkaichi City | 2012-07-12 / 20120175550 - AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING AND CHEMICAL MECHANICAL POLISHING METHOD USING SAME | 1 |
Nobuo Kamei | JP | Osaka-Shi | 2012-07-19 / 20120182432 - IMAGE PROCESSING SYSTEM INCLUDING PORTABLE TERMINAL | 15 |
Takatoshi Kamei | JP | Oume-Shi | 2013-10-03 / 20130258183 - SUPPORT, IMAGING APPARATUS, AND CONNECTION METHOD FOR AN IMAGING APPARATUS | 2 |
Motoo Kamei | JP | Osaka | 2016-05-05 / 20160120189 - ANTIBACTERIAL COMPOSITION AND METHOD FOR PRODUCING SAME | 1 |
Masayuki Kamei | JP | Tsukuba-Shi | 2013-07-25 / 20130186744 - METHOD OF SWITCHING MAGNETIC FLUX DISTRIBUTION | 1 |
Akira Kamei | JP | Nissin-Shi | 2012-01-19 / 20120015508 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Toshiyuki Kamei | JP | Tochigi | 2012-01-19 / 20120011833 - Exhaust Gas Purifying Device for Internal Combustion Engine | 1 |
Mitoku Kamei | JP | Ohta City | 2012-08-02 / 20120195002 - Outdoor Display Apparatus | 1 |
Hitoshi Kamei | JP | Sagamihara-Shi | 2015-08-13 / 20150227540 - SYSTEM AND METHOD FOR CONTENT-AWARE DATA COMPRESSION | 3 |
Yoshiaki Kamei | JP | Kitakyushu-Shi | 2014-10-16 / 20140306569 - ROTATING ELECTRICAL MACHINE AND MANUFACTURING METHOD OF ROTOR | 2 |
Tadashi Kamei | JP | Tanba | 2014-04-10 / 20140100060 - GOLF BALL MARKER | 1 |
Masanao Kamei | JP | Gunma | 2014-04-03 / 20140093821 - LIQUID DEVELOPER AND METHOD OF PRODUCING LIQUID DEVELOPER | 1 |
Toshihito Kamei | JP | Kumagaya-City | 2014-03-13 / 20140070270 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Kenichi Kamei | JP | Yokohama | 2015-06-11 / 20150163799 - BASE STATION | 2 |
Sadaaki Kamei | JP | Chiyoda-Ku | 2014-02-27 / 20140054103 - MOTOR CONTROL DEVICE AND ELECTRIC POWER STEERING DEVICE USING SAME | 1 |
Seiji Kamei | KR | Gyeonggi-Do | 2013-09-19 / 20130240753 - Ion Source and Ion Implanter Including the Same | 1 |
Kentaro Kamei | JP | Sendai | 2015-05-28 / 20150148950 - ROBOT CONTROL DEVICE, ROBOT CONTROL METHOD, ROBOT CONTROL PROGRAM, AND ROBOT SYSTEM | 4 |
Yasuo Kamei | JP | Toride-Shi | 2013-06-20 / 20130155164 - IMAGE FORMING APPARATUS THAT FORMS IMAGE USING MULTIPLE LIGHT EMITTING ELEMENTS | 1 |
Masanori Kamei | JP | Yokohama-Shi | 2013-06-27 / 20130165529 - PICEATANNOL-CONTAINING COMPOSITION AND METHOD OF PRODUCING PICEATANNOL-CONTAINING COMPOSITION | 1 |
Yoichi Kamei | JP | Yokohama-Shi | 2014-11-27 / 20140347517 - IMAGE PROCESSING APPARATUS, CONTROL METHOD, AND RECORDING MEDIUM | 2 |
Shinri Kamei | JP | Tokyo | 2015-08-20 / 20150230643 - ERGONOMIC SERVING TRAY | 1 |
Katsutoshi Kamei | JP | Osaka | 2012-05-24 / 20120124829 - Producing method of wired circuit board | 16 |
Masanori Kamei | JP | Kanagawa | 2012-01-05 / 20120004322 - PICEATANNOL-CONTAINING COMPOSITION AND METHOD OF PRODUCING PICEATANNOL-CONTAINING COMPOSITION | 1 |
Takahiro Kamei | JP | Kanagawa | 2012-09-27 / 20120244279 - POLARIZER, METHOD OF MANUFACTURING POLARIZER AND LIQUID CRYSTAL PROJECTOR | 10 |
Nobuto Kamei | JP | Tokyo | 2015-08-27 / 20150240007 - POLYMERIZABLE COMPOSITION AND METHOD OF PRODUCTION OF RESIN SHAPED ARTICLE | 1 |
Tatsuya Kamei | JP | Kanagawa | 2011-12-29 / 20110320660 - INFORMATION PROCESSING DEVICE | 1 |
Sawako Kamei | US | Cupertino | 2016-03-03 / 20160062405 - SAPPHIRE COVER FOR ELECTRONIC DEVICES | 1 |
Tsutomu Kamei | JP | Izumo-Shi | 2011-03-31 / 20110077533 - APPARATUS FOR ASSESSING RISK OF CEREBROVASCULAR DISEASES | 1 |
Toshiyuki Kamei | JP | Oyama-Shi | 2013-06-20 / 20130156652 - Exhaust Gas Emission Control System | 1 |
Hiroshi Kamei | JP | Kitamoto-Shi | 2011-12-22 / 20110311847 - Secondary Battery | 1 |
Shintaro Kamei | JP | Kumamoto-Shi | 2013-08-29 / 20130224179 - PLASMA PROTEIN EFFECTIVE FOR SUPPRESSING COUGH | 2 |
Masanao Kamei | JP | Annaka-Shi | 2011-03-03 / 20110052523 - ORGANOPOLYSILOXANE COMPOUND AND AMIDOAMINE COMPOUND, AND COSMETIC PREPARATION | 4 |
Toshihito Kamei | JP | Tokyo | 2014-06-05 / 20140153056 - IMAGE PROCESSING METHOD, COMPUTER-READABLE STORAGE MEDIUM, AND INFORMATION PROCESSING APPARATUS | 9 |
Toshio Kamei | JP | Tokyo | 2015-12-17 / 20150363709 - CLASSIFIER LEARNING DEVICE AND CLASSIFIER LEARNING METHOD | 12 |
Takafumi Kamei | JP | Kagoshima | 2011-01-27 / 20110018671 - Glass-Ceramic Substrate | 1 |
Takuya Kamei | JP | Tokyo | 2013-10-03 / 20130257576 - MULTILAYER COIL COMPONENT | 1 |
Yasuo Kamei | JP | Tokyo | 2015-11-12 / 20150323881 - IMAGE FORMING APPARATUS | 3 |
Kazuo Kamei | JP | Kanagawa | 2011-05-12 / 20110109589 - RESISTIVE FILM TYPE TOUCH PANEL DEVICE, PROGRAM, AND METHOD FOR DETECTING CONTACT IN RESISTIVE FILM TYPE TOUCH PANEL DEVICE | 2 |
Shin Kamei | JP | Kanagawa | 2010-12-23 / 20100322556 - WAVEGUIDE TYPE OPTICAL DEVICE | 1 |
Teruhiko Kamei | JP | Kanagawa | 2011-06-16 / 20110141819 - SEGMENTED BITSCAN FOR VERIFICATION OF PROGRAMMING | 5 |
Mihoko Kamei | JP | Kanagawa | 2010-07-22 / 20100185742 - INFORMATION DISTRIBUTION SYSTEM AND METHOD | 1 |
Toshiyuki Kamei | JP | Kanagawa | 2009-02-05 / 20090031954 - SUSCEPTOR AND APPARATUS FOR MANUFACTURING EPITAXIAL WAFER | 1 |
Tasuku Kamei | JP | Kanagawa | 2010-03-18 / 20100067492 - Wireless Communication Terminal, Communication Control Method of Wireless Communication Terminal, and Wireless Communication System | 3 |
Mitsuhiro Kamei | JP | Takahagi | 2011-01-27 / 20110019200 - APPARATUS FOR VISUAL INSPECTION | 1 |
Hitoshi Kamei | JP | Sagamihara | 2016-03-03 / 20160062844 - COMPUTER SYSTEM FOR BACKING UP DATA | 37 |
Masatoshi Kamei | JP | Wakayama-Shi | 2015-12-31 / 20150373969 - METHOD FOR ENHANCING EFFICACY OF AGRICHEMICAL, AND AGRICHEMICAL-CONTAINING COMPOSITION | 3 |
Kiyoo Kamei | JP | Chiba | 2013-05-09 / 20130114369 - MICRO MIXER | 1 |
Ibuki Kamei | US | San Jose | 2016-03-31 / 20160093975 - MAGNETIC PINS | 10 |
Teruhiko Kamei | JP | Yokohama-Shi | 2010-12-30 / 20100329007 - Pointer Based Column Selection Techniques in Non-Volatile Memories | 1 |
Yasukazu Kamei | JP | Nara | 2010-12-23 / 20100323682 - COMMUNICATION METHOD AND, TERMINAL APPARATUS AND BASE STATION APPARATUS USING THE METHOD | 1 |
Kazuhiro Kamei | JP | Kanagawa-Ken | 2010-11-18 / 20100288848 - HEAD SPRAY SYSTEM OF REACTOR PRESSURE VESSEL | 1 |
Koichiro Kamei | JP | Chiyoda-Ku | 2015-09-17 / 20150260144 - ENGINE STARTER | 13 |
Hideyuki Kamei | JP | Yokohama-Shi | 2014-06-19 / 20140167869 - Apparatus to Provide A Time Reference | 5 |
Koji Kamei | JP | Kitakyushu-Shi | 2015-03-26 / 20150082531 - FLUSH TOILET | 2 |
Masahiro Kamei | JP | Nagoya-Shi | 2013-09-19 / 20130242190 - APPARATUS, COMPUTER-READABLE STORAGE MEDIA AND METHOD | 4 |
Tasuku Kamei | JP | Hachioji-Shi | 2012-09-27 / 20120243022 - IMAGE PROCESSING SYSTEM AND IMAGE PROCESSING CONTROL APPARATUS | 2 |
Hiroyuki Kamei | JP | Nagano-Ken | 2010-08-12 / 20100203236 - COMPOSITION FOR FERROELECTRIC THIN FILM FORMATION, FERROELECTRIC THIN FILM AND LIQUID-JET HEAD | 2 |
Masayuki Kamei | JP | Toyama | 2014-12-04 / 20140353770 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 2 |
Hitoshi Kamei | JP | Yokohama | 2010-05-13 / 20100122332 - FILE SERVER FOR TRANSLATING USER IDENTIFIER | 1 |
Koichi Kamei | JP | Himeji-Shi | 2010-04-29 / 20100103681 - ILLUMINATION DEVICE | 1 |
Hiroshi Kamei | JP | Hakusan-Shi | 2010-04-22 / 20100095995 - THERMOELECTRIC CONVERSION ELEMENTS, THERMOELECTRIC CONVERSION MODULES AND A PRODUCTION METHOD OF THE THERMOELECTRIC CONVERSION MODULES | 1 |
Yuji Kamei | JP | Tokyo-To | 2010-04-01 / 20100079874 - Anamorphic optical system, image pickup device, on-board type camera and monitoring camera | 1 |
Kenichi Kamei | JP | Kawasaki | 2011-09-29 / 20110237298 - WIRELESS BASE STATION, WIRELESS NETWORK CONTROLLER, AND AUTOMATIC NETWORKING METHOD | 2 |
Masayuki Kamei | JP | Kyoto | 2010-03-11 / 20100059801 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Kazuo Kamei | JP | Saitama | 2009-02-19 / 20090048694 - COMPUTER PROGRAM, INFORMATION REPRODUCTION DEVICE, AND METHOD | 2 |
Kentaro Kamei | JP | Tokyo | 2013-05-02 / 20130104995 - VEHICLE, AIR CONDITIONING APPARATUS, AND AIR CONDITIONING METHOD | 3 |
Masanao Kamei | JP | Usui-Gun | 2009-08-13 / 20090203802 - POWDER COMPOSITION, A DISPERSION OF POWDER IN OIL, AND A COSMETIC COMPRISING THE SAME | 1 |
Yuto Kamei | JP | Saga | 2009-05-21 / 20090131522 - Skin Cell Activator Extracted From Liver Of Fish Or Shellfish And Hair Growth Agent Using The Same | 1 |
Keisuke Kamei | JP | Gunma | 2009-05-14 / 20090124648 - Synthesis and Crystalline Forms of Npy5 Antagonist | 1 |
Noboru Kamei | JP | Fukuoka | 2009-05-14 / 20090125606 - COMMUNICATION APPARATUS AND REMOTE PROGRAM UPDATE METHOD | 2 |
Toshikazu Kamei | JP | Kai-Shi | 2011-07-21 / 20110174784 - METHOD FOR GAS-SHIELDED ARC BRAZING OF STEEL SHEET | 3 |
Shunsuke Kamei | JP | Nagoya-Shi | 2009-02-26 / 20090051322 - Battery Control Device And Hybrid Forklift Truck Equipped With The Device | 1 |
Shigeru Kamei | JP | Takarazuka | 2009-02-19 / 20090048180 - Sustained-release preparation | 1 |
Nobuo Kamei | JP | Amagasaki | 2009-01-08 / 20090009794 - Image processing apparatus capable of tracing printed image, image processing method executed in the image processing apparatus, and image processing program embodied on computer readable medium | 1 |
Nobuhito Kamei | JP | Tokyo | 2012-10-11 / 20120259053 - SURFACE-COATED REINFORCING MATERIAL, FLUID COMPOSITION FOR REACTION INJECTION MOLDING, AND ARTICLE FORMED BY REACTION INJECTION MOLDING | 1 |
Junichi Kamei | JP | Chiba | 2015-07-02 / 20150185611 - Photosensitive Resin Composition, Photosensitive Film, Rib Pattern Formation Method, Hollow Structure and Formation Method for Same, and Electronic Component | 4 |
Kentaro Kamei | JP | Kyoto | 2014-10-09 / 20140301700 - OPTICAL CONNECTOR, OPTICAL TRANSMISSION MODULE, AND METHOD FOR PRODUCING OPTICAL CONNECTOR | 1 |
Nobuo Kamei | JP | Amagasaki-Shi | 2008-12-18 / 20080309984 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD CARRIED OUT BY THE IMAGE FORMING APPARATUS, AND COMPUTER-READABLE RECORDING MEDIUM HAVING IMAGE FORMING PROGRAM RECORDED THEREON | 3 |
Takatoshi Kamei | JP | Oueme-Shi | 2014-09-25 / 20140284751 - Connection Structure of Wiring Cable and Connection Method of Wiring Cable | 1 |
Taku Kamei | JP | Kyoto | 2008-12-11 / 20080302674 - Probe Unit, Apparatus for Identifying Nucleotide Region and Method of Identifying Nucleotide Region | 1 |
Yuriko Kamei | JP | Nara-Shi | 2008-10-23 / 20080258380 - SHEET FEEDER | 1 |
Hiroyuki Kamei | JP | Suwa-Shi | 2008-10-16 / 20080252697 - ACTUATOR DEVICE AND LIQUID EJECTING HEAD | 1 |
Shigeru Kamei | JP | Hyogo | / - | 1 |
Takatoshi Kamei | JP | Ome-Shi | 2009-04-16 / 20090096911 - CAMERA WITH DISPLAY PANEL | 1 |
Noriaki Kamei | JP | Kamo-Gun | 2009-01-15 / 20090015477 - TRANSMITTING DEVICE | 1 |
Shin Kamei | JP | Atsugi-Shi | 2012-07-05 / 20120170891 - OPTICAL WAVELENGTH MULTI/DEMULTIPLEXER | 2 |
Shin Kamei | JP | Kanagawa-Ken | 2012-03-15 / 20120063716 - Wideband Interferometer Type Polarized Light Combiner and Splitter | 4 |
Shuuichi Kamei | JP | Yamaguchi | 2011-05-12 / 20110111531 - METHOD AND UNIT FOR DETECTION OF INTERACTIONS OF BIOLOGICALLY RELEVANT MOLECULES | 1 |
Masatoshi Kamei | JP | Wakayama | 2013-08-08 / 20130203599 - AGRICULTURAL CHEMICAL-SPREADING AGENT COMPOSITION | 4 |
Toshihiro Kamei | JP | Tsukuba-Shi | 2010-02-18 / 20100038522 - DEVICE FOR DETECTING EMISSION LIGHT OF MICRO-OBJECT | 1 |
Takaaki Kamei | JP | Kyoto-Shi | 2011-07-28 / 20110182595 - IMAGE FORMING DEVICE AND IMAGE FORMING METHOD | 2 |
Eiichi Kamei | JP | Nisshin-City | 2012-10-18 / 20120265405 - VEHICULAR ELECTRONIC CONTROL APPARATUS | 1 |
Hideaki Kamei | JP | Chiba | 2013-07-18 / 20130182013 - INFORMATION PROCESSING APPARATUS AND PROGRAM | 2 |
Shinji Kamei | JP | Mie | 2012-02-23 / 20120042707 - WIRE DRAWING DEVICE AND METHOD FOR MANUFACTURING WIRE | 2 |
Yo Kamei | JP | Tokyo | 2011-11-17 / 20110283298 - DISK DEVICE FOR LOADING OF DISK | 1 |
Chikashi Kamei | JP | Shibuya-Ku | 2009-05-21 / 20090129808 - IMAGE FORMING APPARATUS, AND CONTROL METHOD THEREOF | 1 |
Tomotada Kamei | JP | Neyagawa-Shi | 2008-10-23 / 20080259750 - Optical pick-up head, optical information apparatus, and optical information reproducing method | 1 |
Koji Kamei | JP | Ichihara-Shi | 2012-08-30 / 20120217534 - SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING ELEMENT | 7 |
Koji Kamei | JP | Chiba | 2009-03-26 / 20090078951 - GALLIUM NITRIDE-BASED COMPOUND SEMICONDUCTOR LIGHT-EMITTING DEVICE | 3 |
Koji Kamei | JP | Wakayama | 2011-10-20 / 20110257309 - WATER-BASED INK FOR INKJET PRINTING | 2 |
Toshiharu Kamei | JP | Tochigi | 2015-07-02 / 20150182218 - ANVIL FOR MEDICAL STAPLER | 2 |
Toshiyuki Kamei | JP | Omura-Shi | 2009-06-18 / 20090156101 - POLISHING APPARATUS, POLISHING HEAD AND POLISHING METHOD | 1 |
Motohiro Kamei | JP | Minoo-Shi | 2011-08-18 / 20110202109 - VISION REGENERATION ASSISTING APPARATUS | 1 |
Kazuhito Kamei | JP | Kawabe-Gun | 2012-08-23 / 20120211769 - Sic single crystal wafer and process for production thereof | 4 |
Takahiro Kamei | JP | Kanagawa | 2012-09-27 / 20120244279 - POLARIZER, METHOD OF MANUFACTURING POLARIZER AND LIQUID CRYSTAL PROJECTOR | 10 |
Takahiro Kamei | JP | Wako-Shi | 2009-02-12 / 20090038403 - SENSOR ASSEMBLY | 1 |
Masafumi Kamei | JP | Kashiwa-Shi | 2010-12-09 / 20100309530 - IMAGE READING APPARATUS | 1 |
Akihito Kamei | JP | Kyoto | 2013-06-27 / 20130164771 - MEASURING DEVICE, MEASURING APPARATUS AND METHOD OF MEASURING | 5 |
Toshio Kamei | JP | Tokyo | 2015-12-17 / 20150363709 - CLASSIFIER LEARNING DEVICE AND CLASSIFIER LEARNING METHOD | 12 |
Toshihito Kamei | JP | Tokyo | 2014-06-05 / 20140153056 - IMAGE PROCESSING METHOD, COMPUTER-READABLE STORAGE MEDIUM, AND INFORMATION PROCESSING APPARATUS | 9 |
Shinichiro Kamei | JP | Tokyo | 2014-12-04 / 20140358827 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD AND COMPUTER PROGRAM | 5 |
Tatsuya Kamei | JP | Tokyo | 2009-07-30 / 20090193228 - MULTIPROCESSOR SYSTEM AND METHOD OF SYNCHRONIZATION FOR MULTIPROCESSOR SYSTEM | 1 |
Masugu Kamei | JP | Tokyo | 2012-09-27 / 20120244563 - METHOD OF DETECTING PANCREATIC CANCER | 2 |
Koichiro Kamei | JP | Tokyo | 2015-06-11 / 20150159617 - ENGINE STARTING DEVICE | 8 |
Satoshi Kamei | JP | Tokyo | 2008-11-20 / 20080283889 - SEMICONDUCTOR DEVICE | 1 |
Shuichi Kamei | JP | Kudamatsu-Shi | 2013-06-06 / 20130143759 - FOOD-POISONING BACTERIA DETECTION CARRIER, AND METHOD FOR DETECTING FOOD-POISONING BACTERIA | 2 |
Mitsuhisa Kamei | JP | Kanagawa | 2013-09-26 / 20130254556 - NON-TRANSITORY COMPUTER READABLE MEDIUM, PROGRAM PROTECTION APPARATUS, AND PROGRAM PROTECTION METHOD | 1 |
Shoichiro Kamei | JP | Hyogo | 2013-12-12 / 20130330802 - METHOD FOR PRODUCTION OF RECOMBINANT HUMAN IDURONATE 2-SULFATASE | 2 |
Yoichi Kamei | JP | Yamato-Shi | 2012-04-19 / 20120096126 - SERVER APPARATUS AND METHOD OF TRANSMITTING VIDEO DATA | 2 |
Koichi Kamei | JP | Hyogo | 2013-02-28 / 20130051027 - LINEAR LIGHT SOURCE APPARATUS | 6 |
Toshimichi Kamei | JP | Kawasaki-Shi | 2009-11-26 / 20090291477 - METHODS FOR OBTAINING CRYSTALS OF A BASIC AMINO ACID HYDROCHLORIDE | 1 |
Masayuki Kamei | JP | Aichi-Ken | 2011-08-25 / 20110204682 - VEHICLE ROOF STRUCTURE | 1 |
Tatsuya Kamei | JP | Kokubunji | 2008-10-30 / 20080270707 - DATA PROCESSOR | 1 |
Yasuyuki Kamei | JP | Shizuoka | 2014-09-04 / 20140247687 - FLUID STIRRER, METHOD OF STIRRING FLUID AND METHOD OF PREPARING TONER | 1 |
Hiroshi Kamei | JP | Kanagawa-Ken | 2012-11-29 / 20120299078 - SEMICONDUCTOR STORAGE DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Syunji Kamei | JP | Tokyo | 2016-03-03 / 20160065789 - IMAGE PROCESSING METHOD, IMAGE FORMING METHOD, IMAGE PROCESSING APPARATUS, AND IMAGE FORMING APPARATUS | 2 |
Kiyoshi Kamei | JP | Fukui | 2008-09-04 / 20080210094 - Package of Volatile Substance and Air Conditioner For Vehicles Provided With the Package | 1 |
Kiyoo Kamei | JP | Sakura-Shi | 2015-11-26 / 20150340695 - ACTIVE MATERIAL FOR NEGATIVE ELECTRODES OF NONAQUEOUS SECONDARY BATTERIES, AND NONAQUEOUS SECONDARY BATTERY | 1 |
Kazuhiro Kamei | JP | Yokohama-Shi | 2012-11-22 / 20120294409 - TRANSIENT MITIGATION SYSTEM FOR REACTOR | 2 |
Shigenori Kamei | JP | Koshi-Shi | 2011-10-13 / 20110247659 - FILM REMOVING DEVICE AND FILM REMOVING METHOD | 1 |
Ichiro Kamei | JP | Miyazaki | 2014-06-05 / 20140154763 - METHOD FOR PRODUCING ETHANOL USING BASIDIOMYCETE | 1 |
Naoyuji Kamei | JP | Yokohama-Shi | 2014-06-05 / 20140151946 - TORQUE ROD | 1 |
Junichi Kamei | JP | Chiba-Shi | 2015-04-02 / 20150094478 - METHOD FOR PRODUCING ALKANEDIOL MONOGLYCIDYL ETHER (METH)ACRYLATE | 2 |
Hiroyuki Kamei | JP | Azumino-Shi | 2016-01-07 / 20160001568 - LIQUID EJECTING APPARATUS | 2 |
Toshihiro Kamei | JP | Ibaraki | 2016-05-19 / 20160139334 - INTERLAYER LIGHT WAVE COUPLING DEVICE | 2 |
Mina Kamei | JP | Kobe-Shi | 2014-06-05 / 20140155780 - BODY FLUID COLLECTION DEVICE | 1 |
Ryu Kamei | JP | Matsumoto-Shi | 2014-05-29 / 20140148926 - DEVICE CONTROL SYSTEM, HOST DEVICE, AND CONTROL METHOD OF A HOST DEVICE | 1 |
Toshihiro Kamei | JP | Tokyo | 2013-02-07 / 20130033520 - IMAGE GENERATING DEVICE, IMAGE GENERATING METHOD, AND NON-TRANSITORY INFORMATION STORAGE MEDIUM | 2 |
Asao Kamei | JP | Meguro-Ku | 2012-12-27 / 20120330983 - DATA PROCESSING SYSTEM | 1 |
Toshiharu Kamei | JP | Utsunomiya-Shi | 2013-01-03 / 20130001271 - MEDICAL STAPLER | 2 |
Hidenori Kamei | JP | Fukuoka | 2011-04-21 / 20110089466 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 6 |
Hidenori Kamei | JP | Kagoshima | 2012-05-24 / 20120126276 - LIGHT EMITTING ELEMENT AND LIGHT EMITTING DEVICE | 8 |
Yoshiyuki Kamei | JP | Nagoya-City | 2011-10-06 / 20110240205 - METHOD FOR MANUFACTURING HONEYCOMB STRUCTURE | 1 |
Hitoshi Kamei | JP | Tokyo | 2016-02-04 / 20160034476 - FILE MANAGEMENT METHOD | 11 |
Koji Kamei | JP | Kyoto | 2016-02-18 / 20160046025 - ROBOT SERVICE COOPERATION SYSTEM, PLATFORM AND METHOD | 1 |
Eiichi Kamei | JP | Nisshin-Shi | 2011-09-22 / 20110231032 - ELECTRONIC CONTROL DEVICE | 1 |
Hitoshi Kamei | JP | Tokyo | 2016-02-04 / 20160034476 - FILE MANAGEMENT METHOD | 11 |
Kaichiro Kamei, Ii | JP | Mei | 2014-06-26 / 20140178130 - WAVE DISSIPATING DEVICE | 1 |
Kouta Kameishi | JP | Yokkaichi-Shi | 2014-03-06 / 20140061456 - COORDINATE CORRECTING METHOD, DEFECT IMAGE ACQUIRING METHOD AND ELECTRON MICROSCOPE | 1 |
Wataru Kameishi | JP | Nasushiobara | 2016-05-05 / 20160120512 - ULTRASONIC DIAGNOSTIC APPARATUS, INTERPOLATION PROCESSING UNIT, AND INTERPOLATION PROCESSING METHOD | 2 |
Hirotaka Kameishi | JP | Tokyo | 2012-11-15 / 20120285707 - SPRINKLER HEAD | 2 |
Keiji Kameishi | JP | Tokyo | 2012-01-26 / 20120017460 - HAND DRYER | 5 |
Wataru Kameishi | JP | Nasushiobara-Shi | 2014-10-16 / 20140307521 - ULTRASOUND DIAGNOSIS APPARATUS AND POWER SUPPLY | 2 |
Mikihiko Kamekawa | JP | Tokyo | 2016-03-17 / 20160077691 - DATA PROCESSING APPARATUS, DATA PROCESSING METHOD, AND STORAGE MEDIUM | 6 |
Noriaki Kamekawa | JP | Okinawa | 2014-02-13 / 20140041803 - METHOD AND APPARATUS FOR LIQUID TREATMENT OF WAFER SHAPED ARTICLES | 1 |
Yusaku Kamekawa | JP | Tokyo | 2015-02-05 / 20150038235 - PROGRAM, METHOD, AND SYSTEM OF TRANSMITTING OR RECEIVING MESSAGE | 1 |
Hisato Kamekawa | JP | Fukuoka | 2009-12-31 / 20090326247 - METHOD FOR PRODUCING 2-ALKYL-3-AMINOTHIOPHENE DERIVATIVE | 3 |
Mikihiko Kamekawa | JP | Matsudo-Shi | 2009-01-01 / 20090006408 - DOCUMENT MANAGEMENT METHOD AND APPARATUS | 1 |
Takahiro Kamekura | JP | Kawasaki-Shi | 2009-09-24 / 20090238613 - BELT DRIVE CONTROL DEVICE, BELT DEVICE, IMAGE FORMING APPARATUS, AND BELT DRIVE CONTROL METHOD | 1 |
Takahiro Kamekura | JP | Kanagawa | 2011-09-22 / 20110229219 - IMAGE FORMING APPARATUS | 9 |
Takahiro Kamekura | JP | Tokyo | 2015-09-17 / 20150261699 - OUTPUT DEVICE AND OUTPUT SYSTEM | 1 |
Hirotaka Kamekura | JP | Komatsu-Shi | 2016-03-10 / 20160069043 - WHEEL LOADER | 1 |
Jason J. Kamel | US | Gardner | 2015-03-12 / 20150073420 - FEMORAL ELEVATOR | 1 |
Magid Kamel | CA | Toronto | 2013-05-09 / 20130115549 - Low dielectric additives for toner | 3 |
Majid Kamel | CA | Toronto | 2014-10-16 / 20140308608 - Sol-Gel Silica Additives | 2 |
Tarek Bahaa El-Din Mahmoud Kamel | US | Issaquah | 2011-09-01 / 20110214173 - PROTECTING ACCOUNT SECURITY SETTINGS USING STRONG PROOFS | 1 |
Ahmed Kamel | US | Orlando | 2016-04-28 / 20160114430 - REINFORCED CLADDING | 77 |
Magid Kamel | CA | North York | 2015-06-04 / 20150153663 - Hyperpigmented Glossy EA Toner | 1 |
Mohamed Salem Kamel | CA | Waterloo | 2012-09-27 / 20120246100 - METHODS AND SYSTEMS FOR EXTRACTING KEYPHRASES FROM NATURAL TEXT FOR SEARCH ENGINE INDEXING | 2 |
Ihab L. Kamel | US | Drexel Hill | 2011-04-07 / 20110082543 - Methods and Devices for Preventing or Delaying Posterior Capsule Opacification | 1 |
Ahmed Kamel | US | Orlando | 2016-04-28 / 20160114430 - REINFORCED CLADDING | 77 |
Tarek B. Kamel | US | Issaqua | 2009-08-27 / 20090217029 - KERBEROS TICKET VIRTUALIZATION FOR NETWORK LOAD BALANCERS | 1 |
Maged R. Kamel | US | Allison Park | 2016-05-12 / 20160131725 - MULTI-CHANNEL ENDORECTAL COILS AND INTERFACE DEVICES THEREFOR | 2 |
Raafat E. Kamel | US | Little Falls | 2012-09-27 / 20120242495 - LINK QUALITY INDICATOR FOR A FIXED INSTALLATION RADIO FREQUENCY TERRESTRIAL NETWORK | 1 |
Christopher Kamel | FR | Cessy | 2014-02-27 / 20140056983 - FOOD ADDITIVE FOR RUMINANTS BASED ON EUGENOL AND CINNAMALDEHYDE | 2 |
Alexandre Perry Kamel | US | Castle Rock | 2013-07-25 / 20130191364 - SYSTEM TO MODIFY A WEBSITE FOR SEARCH OPTIMIZATION | 2 |
Aladin Hassan Kamel | EG | Cairo | 2011-01-27 / 20110018650 - Localized Wave Generation Via Model Decomposition of a Pulse by a Wave Launcher | 1 |
Tarek Kamel | US | Issaquah | 2015-02-26 / 20150058621 - PROOF OF POSSESSION FOR WEB BROWSER COOKIE BASED SECURITY TOKENS | 2 |
Amine El Kamel | US | San Francisco | 2013-06-20 / 20130160145 - SYSTEM AND METHOD FOR ASSET LEASE MANAGEMENT | 3 |
Majid Kasmaei Kamel | CA | North York | 2013-10-03 / 20130255190 - CUSTOM COLOR TONER PRODUCTION SYSTEMS AND METHODS | 1 |
John-Pierre Kamel | CA | Toronto | 2013-11-14 / 20130300544 - METHOD AND APPARATUS FOR WIRELESS MANAGEMENT OF ARTICLES | 7 |
Ihab R. Kamel | US | Ellicott City | 2010-10-07 / 20100254584 - AUTOMATED METHOD FOR ASSESSMENT OF TUMOR RESPONSE TO THERAPY WITH MULTI-PARAMETRIC MRI | 1 |
Ahmed Kamel | US | Los Altos | 2012-09-20 / 20120239295 - Image Navigation and Registration Accuracy Improvement Using Parametric Systematic Error Correction | 2 |
George Kamel | GB | London | 2014-09-11 / 20140254560 - NETWORK MOBILITY | 2 |
Tammer Eric Kamel | CA | Toronto | 2013-09-05 / 20130232157 - SYSTEMS AND METHODS FOR PROCESSING UNSTRUCTURED NUMERICAL DATA | 1 |
Ahmed Sobhi Mohamed Kamel | EG | Cairo | 2015-08-20 / 20150234920 - QUERY SELECTION AND RESULTS MERGING | 1 |
Hiromi Kamel | US | Irvine | 2010-03-04 / 20100053479 - LASER TELEVISION CABINET | 1 |
Michel Roger Kamel | US | Buena Park | 2016-02-04 / 20160033986 - SYSTEMS AND METHODS TO MANAGE RENEWABLE ENERGY ON THE ELECTRIC GRID | 17 |
Sherif Kamel | US | Cary | 2016-02-25 / 20160055943 - HYBRID CONDUCTOR WITH CIRCUMFERENTIAL CONDUCTING LAYERS | 7 |
Sherif I. Kamel | US | Cary | 2010-09-02 / 20100218373 - ELECTRICAL CONNECTOR ASSEMBLIES AND JOINT ASSEMBLIES AND METHODS FOR USING THE SAME | 5 |
Shin Kamel | JP | Tokyo | 2009-09-03 / 20090220234 - Optical Waveband Demultiplexer, Optical Waveband Multiplexer, and Optical Waveband Selective Switch | 1 |
Tomotada Kamel | JP | Osaka | 2009-02-12 / 20090040902 - OPTICAL PICKUP DEVICE AND OPTICAL DISC DEVICE WITH SUCH OPTICAL PICKUP DEVICE MOUNTED THEREON | 1 |
Ahmed Kamel | US | Bothell | 2014-09-18 / 20140280295 - MULTI-LANGUAGE INFORMATION RETRIEVAL AND ADVERTISING | 1 |
Aladin H. Kamel | EG | Cairo | 2014-02-13 / 20140043107 - LOCALIZED WAVE GENERATION VIA MODEL DECOMPOSITION OF A PULSE BY A WAVE LAUNCHER | 1 |
Amine El Kamel | US | San Jose | 2014-08-28 / 20140240594 - Hint Based Media Content Streaming | 1 |
Michel Roger Kamel | US | Anaheim Hills | 2013-10-17 / 20130274936 - BROADCAST ENERGY DEMAND SYSTEMS AND METHODS | 1 |
Ibrahim Mostafa Kamel | AE | Sharjah | 2011-06-16 / 20110138917 - Portable ultrasonic instrument used to distinguish among pig meat and meats of other origin | 1 |
Ammar Anwar Kamel | AE | Dubai | 2011-09-15 / 20110219668 - METHOD OF USING CONTAMINATED WATER FROM AN OILWELL EFFLUENT STREAM | 1 |
Tarek B. Kamel | US | Issaquah | 2016-05-19 / 20160142409 - OPTIMIZED TOKEN-BASED PROXY AUTHENTICATION | 2 |
Nader N. Kamel | US | Doylestown | 2015-07-16 / 20150197659 - AQUEOUS COATING COMPOSITION AND ANTI-GLARE COATING FORMED THEREFORM | 1 |
Majid Kamel | CA | North York | 2015-04-09 / 20150098718 - PRINTER CONTROL USING OPTICAL AND ELECTROSTATIC SENSORS | 2 |
Raafat Edward Kamel | US | Little Falls | 2015-04-02 / 20150092590 - CATEGORY 1 M2M DEVICE DATA TRANSMISSION VIA A LONG TERM EVOLUTION NETWORK | 30 |
Raafat Kamel | US | Little Falls | 2012-02-09 / 20120036541 - VIDEO CONTENT DELIVERY OVER WIRELESS ACCESS NETWORKS WITH QUALITY OF SERVICE (QOS) GUARANTEES | 1 |
Michel Roger Kamel | US | Buena Park | 2016-02-04 / 20160033986 - SYSTEMS AND METHODS TO MANAGE RENEWABLE ENERGY ON THE ELECTRIC GRID | 17 |
Amro Kamel | US | Bloomington | 2015-10-29 / 20150305734 - ABDOMINAL RETRACTOR | 10 |
Amro Kamel | US | Bloomington | 2015-10-29 / 20150305734 - ABDOMINAL RETRACTOR | 10 |
Raafat Edward Kamel | US | Little Falls | 2015-04-02 / 20150092590 - CATEGORY 1 M2M DEVICE DATA TRANSMISSION VIA A LONG TERM EVOLUTION NETWORK | 30 |
Alexandre Perry Kamel | US | Castle Pines | 2016-03-03 / 20160063066 - MULTI-CHANNEL QUEUING | 2 |
Joseph I. Kamelgard | US | West Orange | 2012-08-09 / 20120201924 - QUINOA-BASED BEVERAGES AND METHOD OF CREATING QUINOA-BASED BEVERAGES | 1 |
Nader Kameli | US | Hugo | 2014-06-19 / 20140172048 - IMPLANTABLE CARDIAC DEVICES AND METHODS | 9 |
Nader Kameli | US | Hugo | 2014-06-19 / 20140172048 - IMPLANTABLE CARDIAC DEVICES AND METHODS | 9 |
Majid Kamel-Kasmaei | CA | North York | 2012-08-09 / 20120202148 - Emulsion Aggregation Toner Compositons | 1 |
Tsafrir Kamelo | IL | Herzlia Pituach | 2016-03-31 / 20160092372 - READ CACHE MANAGEMENT IN MULTI-LEVEL CELL (MLC) NON-VOLATILE MEMORY | 1 |
Suzanne Kamel-Reid | CA | Toronto | 2013-11-14 / 20130303826 - PROGNOSTIC SIGNATURE FOR ORAL SQUAMOUS CELL CARCINOMA | 1 |
Toshihisa Kamemaru | JP | Tokyo | 2010-12-30 / 20100329385 - POWER SUPPLY APPARATUS AND POWER SUPPLY METHOD | 5 |
Ali Kamen | US | Princeton | 2011-09-08 / 20110216954 - HIERARCHICAL ATLAS-BASED SEGMENTATION | 7 |
Barton A. Kamen | US | 2012-05-24 / 20120128703 - Aminopterin Dosage Forms and Methods for Inflammatory Disorders | 1 | |
Paddy Kamen | CA | Kelowna | 2009-11-26 / 20090292764 - SYSTEM AND METHOD FOR LINKING THE REAL WORLD WITH THE CYBERWORLD VIA A DISPLAYABLE OBJECT | 1 |
Tamar Lara Kamen | US | New York | 2010-03-18 / 20100068247 - Method And System For Providing Targeted And Individualized Delivery Of Cosmetic Actives | 2 |
Dean Kamen | US | Bedford | 2016-04-07 / 20160097382 - System, Method, and Apparatus for Infusing Fluid | 176 |
Paul Kamen | US | Berkeley | 2010-01-21 / 20100013228 - SURFACE PIERCING TIDAL GENERATOR | 1 |
Amine Kamen | CA | Montreal | 2014-01-02 / 20140004568 - Method of Viral Production in Cells | 1 |
Isaac Kamen | CA | Kelowna | 2009-11-26 / 20090292764 - SYSTEM AND METHOD FOR LINKING THE REAL WORLD WITH THE CYBERWORLD VIA A DISPLAYABLE OBJECT | 1 |
Jonathan Kamen | US | Wilmington | 2015-01-22 / 20150025904 - SYSTEM AND METHOD FOR PATIENT AND HEALTHCARE-RELATED MESSAGING | 1 |
Barton Aron Kamen | US | New Brunswick | 2014-06-19 / 20140171436 - TREATMENT OF ANTIFOLATE NEUROTOXICITY | 1 |
Barton Kamen | US | 2010-01-14 / 20100009998 - Compositions and Methods Employing Aminopterin | 1 | |
Yakov Kamen | US | Cupertino | 2013-11-14 / 20130305271 - Method And Apparatus For Generation Of A Preferred Broadcasted Programs List | 20 |
Yavok Kamen | US | Cupertino | / - | 1 |
Douglas Kamen | US | Poughquag | 2016-01-07 / 20160002341 - Stabilized Formulations Containing Anti-Interleukin-6 Receptor (IL-6R) Antibodies | 2 |
Robert Kamen | US | Sudbury | 2014-07-17 / 20140200332 - LOW DOSE METHODS FOR TREATING DISORDERS IN WHICH TNF-ALPHA ACTIVITY IS DETRIMENTAL | 2 |
Ali Kamen | US | Skillman | 2016-04-21 / 20160106321 - Method and System for Machine Learning Based Assessment of Fractional Flow Reserve | 40 |
Dean L. Kamen | US | Bedford | 2013-07-11 / 20130178831 - System and Method for Drug Preparation and Delivery | 6 |
Ali Kamen | US | Skillman | 2016-04-21 / 20160106321 - Method and System for Machine Learning Based Assessment of Fractional Flow Reserve | 40 |
Theodore M. Kamenecka | US | Palm Beach Gardens | 2014-12-11 / 20140364433 - SUBSTITUTED PROLINES / PIPERIDINES AS OREXIN RECEPTOR ANTAGONISTS | 2 |
Theodore Mark Kamenecka | US | Palm Beach Gardens | 2015-08-20 / 20150232429 - SUBSTITUTED PYRIMIDINYL-AMINES AS PROTEIN KINASE INHIBITORS | 8 |
Theodore M. Kamenecka | US | Palms Beach Gardens | 2010-11-25 / 20100298312 - SUBSTITUTED PYRIMIDINYL-AMINES AS PROTEIN KINASE INHIBITORS | 1 |
Theodore Mark Kamenecka | US | Palm Beach Gardens | 2015-08-20 / 20150232429 - SUBSTITUTED PYRIMIDINYL-AMINES AS PROTEIN KINASE INHIBITORS | 8 |
Ted Kamenecka | US | San Diego | 2011-10-27 / 20110263663 - SYNTHESIS OF EPOTHILONES, INTERMEDIATES THERETO, ANALOGUES AND USES THEREOF | 2 |
Theodore Kamenecka | US | Palm Beach Gardens | 2008-12-18 / 20080312286 - Indanone Potentiators of Metabotropic Glutamate Receptors | 2 |
Elina Kamenetskaya | US | Somerville | 2015-05-14 / 20150131919 - TEXTURE DECOMPRESSION FOR GRAPHICS PROCESSORS | 1 |
Mark L. Kamenetsky | US | Menlo Park | 2014-07-03 / 20140189084 - SYSTEMS AND METHODS FOR IMPROVED MULTISITE MANAGEMENT AND REPORTING OF CONVERGED COMMUNICATION SYSTEMS AND COMPUTER SYSTEMS | 2 |
Mark L. Kamenetsky | US | Truckee | 2013-05-30 / 20130138789 - Systems and methods for improved multisite management of converged communication systems and computer systems | 4 |
Rina Kamenetsky Goldstein | IL | Rehovot | 2015-04-09 / 20150101074 - MALE STERILE GARLIC PLANTS, HYBRID OFFSPRING OF SAME AND METHODS OF GENERATING AND USING SAME | 1 |
Zvi Kamenetzky | CA | Toronto | 2009-02-19 / 20090047639 - UNIVERSAL AIDS FOR TEXT BASED LEARNING | 1 |
Eduardo Alberto Kamenetzky | US | Stamford | 2015-05-21 / 20150135903 - PROCESSES FOR RECOVERING METALS FROM AQUEOUS SOLUTIONS | 3 |
Boris V. Kamenev | US | Beaverton | 2012-10-25 / 20120271591 - Thin Films And Surface Topography Measurement Using Reduced Library | 1 |
Petr Kamenicky | CZ | Brno | 2015-07-02 / 20150189711 - LED CONTROLLER AND METHOD THEREFOR | 5 |
Darko Kamenjasevic | NL | Middelburg | / - | 2 |
Toshiaki Kameno | JP | Osaka-Shi | 2015-12-17 / 20150365893 - WIRELESS COMMUNICATION APPARATUS AND COMMUNICATION SYSTEM | 13 |
Shuuji Kameno | JP | Hadano | 2013-09-12 / 20130235524 - ELECTRONIC DEVICE | 1 |
Yu Kameno | JP | Yokohama-Shi | 2015-08-13 / 20150225569 - METHOD FOR PRODUCING HOLLOW PARTICLES, HOLLOW PARTICLES, ANTIREFLECTION COATING, AND OPTICAL ELEMENT | 5 |
Toshiaki Kameno | JP | Osaka-Shi | 2015-12-17 / 20150365893 - WIRELESS COMMUNICATION APPARATUS AND COMMUNICATION SYSTEM | 13 |
Hironori Kameno | JP | Yamatokoriyama-Shi | 2009-02-12 / 20090042479 - Grinding apparatus and method of controlling grinding apparatus | 3 |
Toshiaki Kameno | JP | Osaka | 2012-03-29 / 20120077445 - WIRELESS COMMUNICATION SYSTEM, WIRELESS COMMUNICATION METHOD, BASE STATION APPARATUS, AND TERMINAL STATION APPARATUS | 6 |
Shuuji Kameno | JP | Hadano-Shi | 2013-12-12 / 20130329364 - NETWORK COMMUNICATION DEVICE | 1 |
Aleksandr Kamenomostskiy | US | New York | 2012-08-23 / 20120210559 - Method of manufacturing minimum weight thin wall profile members | 1 |
Stanimir Kamenopolski | BG | Sofia | 2015-10-29 / 20150311587 - Antenna System | 2 |
Kamen George Kamenov | US | San Francisco | 2011-06-09 / 20110133466 - Hybrid water pressure energy accumulating wind turbine and method | 2 |
Vladimir Kamenov | DE | Essingen | 2015-02-26 / 20150055212 - CATADIOPTRIC PROJECTION OBJECTIVE | 13 |
Vladimer Kamenov | DE | Essingen | 2010-04-01 / 20100079741 - PROJECTION OBJECTIVE FOR MICROLITHOGRAPHY | 1 |
Vladimir Kamenov | DE | Essingen | 2015-02-26 / 20150055212 - CATADIOPTRIC PROJECTION OBJECTIVE | 13 |
Dimitar Kamenov | BG | Sofia | 2013-09-05 / 20130232092 - SEARCHING TRAVEL ROUTES USING REFINED TRIP PRICES | 2 |
Chavdar Kamenov | BG | Sofia | 2010-02-11 / 20100034237 - LAMINATED TEMPERATURE SENSOR | 1 |
Joanne S. Kamens | US | Newton Center | 2008-09-18 / 20080227704 - CXCL13 binding proteins | 1 |
Samuel N. Kamens | US | West Orange | 2015-01-22 / 20150026294 - DELIVERY PACING SYSTEMS AND METHODS | 2 |
Samuel Nathan Kamens | US | Highland Park | 2010-12-16 / 20100315683 - Systems and Methods for Communicating Documents Via an Autonomous Multiple-Function Peripheral Device | 2 |
Samuel N. Kamens | US | Highland Park | 2014-06-05 / 20140156479 - On Net Faxing | 4 |
Samuel N. Kamens | US | Tinton Falls | 2015-02-26 / 20150055180 - Systems and Methods for Communicating Documents | 2 |
Edward A. Kamens | US | Acton | 2015-01-15 / 20150014964 - Ambulatory Assistance Device | 1 |
Joanne Kamens | US | Newton | 2016-05-12 / 20160130578 - REDUCED SIZE SELF-DELIVERING RNAI COMPOUNDS | 17 |
Joanne Kamens | US | Newton | 2016-05-12 / 20160130578 - REDUCED SIZE SELF-DELIVERING RNAI COMPOUNDS | 17 |
Jody M. Kamensky | US | Chesterland | 2012-01-19 / 20120011985 - SUPPORT BRIDGE | 3 |
Sergey Kamensky | US | Campbell | 2014-04-24 / 20140114597 - Systems, Methods and Metrics for Wafer High Order Shape Characterization and Wafer Classification Using Wafer Dimensional Geometry Tool | 4 |
David Kamensky | US | West Chester | 2015-06-25 / 20150175013 - SYSTEM AND METHOD FOR POWERING A HYDRAULIC PUMP | 3 |
Victor Kamensky | US | Fremont | 2009-10-08 / 20090254728 - Memory allocation to minimize translation lookaside buffer faults | 1 |
Sergey Kamensky | US | 2012-07-12 / 20120177282 - METHODS AND SYSTEMS FOR IMPROVED LOCALIZED FEATURE QUANTIFICATION IN SURFACE METROLOGY TOOLS | 1 | |
Nicholas Kamensky | US | Tampa | 2015-02-26 / 20150058996 - Gap Services Router (GSR) | 1 |
Dmytro Kamens'Kyh | UA | Kiev | 2012-04-05 / 20120083538 - PROCESS FOR GENERATION OF SYNTHETIC FUEL FROM CARBONACEUS SUBSTANCES | 1 |
Boris Kamentser | US | Fountain Valley | 2013-03-21 / 20130069874 - Game-style universal computer controller | 4 |
Eugenia Kamentser | US | Fountain Valley | 2013-03-21 / 20130069874 - Game-style universal computer controller | 3 |
Eugenia Kamentser | US | Garden Grove | 2008-11-20 / 20080288093 - Joystick controller | 1 |
Lee Kamentsky | US | Arlington | 2009-04-02 / 20090087840 - Combined extension and ligation for nucleic acid assembly | 1 |
Torsten Kamenz | DE | Weisloch | 2015-10-15 / 20150293833 - SYSTEM AND METHOD FOR REVERSIBILITY CATEGORIES AND CHARACTERISTICS OF COMPUTER APPLICATION FUNCTIONS | 4 |
Torsten Kamenz | DE | Wiesloch | 2015-04-30 / 20150120785 - ENHANCED REPORTING SYSTEM | 21 |
Torsten Kamenz | DE | Wiesloch | 2015-04-30 / 20150120785 - ENHANCED REPORTING SYSTEM | 21 |
Yoji Kameo | JP | Tokyo | 2010-06-17 / 20100151105 - GRAIN POWDER COMPOSITION | 6 |
Osamu Kameo | JP | Yamatokoriyama-Shi | 2016-03-10 / 20160067840 - AUTOMATIC TOOL CHANGER AND MACHINE TOOL | 1 |
Yoji Kameo | JP | Sumida-Ku | 2011-07-21 / 20110177226 - OIL OR FAT COMPOSITION | 1 |
Azusa Kameo | JP | Kanagawa | 2009-10-15 / 20090256105 - ELECTROLYTIC SOLUTION FOR ALUMINUM ELECTROLYTIC CAPACITORS, AND ALUMINUM ELECTROLYTIC CAPACITOR USING THE SAME | 1 |
Koji Kameo | JP | Ichihara-Shi | 2012-07-19 / 20120184679 - POLYOLEFIN-BASED RESIN COMPOSITION AND MOLDED ARTICLE | 3 |
Yoji Kameo | JP | Inzai-Shi | 2014-07-10 / 20140193557 - Method of Producing Processed Brown Rice | 1 |
Hiroshi Kameo | JP | Okayama | 2014-01-09 / 20140012057 - METHOD FOR PRODUCING CONJUGATED DIENE | 1 |
Shun Kameoka | JP | Odawara | 2014-08-28 / 20140244958 - STORAGE SYSTEM AND MANAGEMENT METHOD THEREFOR | 2 |
Ryo Kameoka | JP | Kanagawa-Ken | 2009-04-16 / 20090098761 - Flat multi-conductor cable connector | 1 |
Takashi Kameoka | JP | Fukuoka | 2016-02-25 / 20160051972 - HYDROCRACKING CATALYST FOR HYDROCARBON OIL, METHOD FOR PRODUCING HYDROCRACKING CATALYST, AND METHOD FOR HYDROCRACKING HYDROCARBON OIL WITH HYDROCRACKING CATALYST | 4 |
Shinpei Kameoka | JP | Tokyo | 2015-07-02 / 20150189215 - CONTROL DEVICE, CONTROL METHOD, AND PROGRAM | 4 |
Daisuke Kameoka | JP | Tokyo | 2016-03-31 / 20160090419 - HIGH CONCENTRATION ANTIBODY-CONTAINING LIQUID FORMULATION | 5 |
Shinichi Kameoka | JP | Osaka | 2015-06-25 / 20150181732 - DISPLAY APPARATUS | 4 |
Teruhiko Kameoka | JP | Okazaki-City | 2012-07-26 / 20120189906 - SEALED BATTERY CASING AND SEALED BATTERY | 3 |
Takashi Kameoka | JP | Tsukuba-Shi | 2011-04-07 / 20110078918 - APPARATUS AND METHOD FOR REMOVING WATER CONTENT FROM ORGANIC SOLVENTS | 1 |
Hirokazu Kameoka | JP | Tokyo | 2011-03-10 / 20110058685 - METHOD OF SEPARATING SOUND SIGNAL | 1 |
Teruhiko Kameoka | JP | Okazaki-Shi | 2014-04-03 / 20140091767 - POWER SOURCE APPARATUS FOR VEHICLE | 4 |
Satoshi Kameoka | JP | Sendai-Shi | 2012-01-26 / 20120018665 - MAGNETIC PARTICLE AND METHOD OF PREPARING THE SAME | 2 |
Sei Kameoka | US | Cambridge | 2015-06-11 / 20150158840 - COMPOUNDS FOR IMPROVED STEM CELL DIFFERENTIATION INTO HEPATOCYTES | 1 |
Ayumu Kameoka | JP | Utsonomiya-Shi | 2012-03-15 / 20120062854 - IMAGE PROJECTION APPARATUS | 1 |
Yuichiro Kameoka | JP | Minato-Ku | 2011-11-24 / 20110287742 - AUTHENTICATION SYSTEM, SMALL BASE STATION, AND AUTHENTICATION METHOD | 1 |
Satoshi Kameoka | JP | Miyagi | 2011-11-17 / 20110281723 - POROUS OBJECT OF RANEY METAL, PROCESS FOR PRODUCING THE SAME, AND CATALYST | 1 |
Yuichiro Kameoka | JP | Tokyo | 2011-11-03 / 20110268277 - COMMUNICATION SYSTEM, FEMTOCELL BASE STATION, AUTHENTICATION APPARATUS, COMMUNICATION METHOD, AND RECORDING MEDIUM | 1 |
Akihiko Kameoka | JP | Ogose | 2009-03-05 / 20090061563 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE INCLUDING PLURAL SEMICONDUCTOR CHIPS | 2 |
Hideaki Kameoka | JP | Tokyo | 2016-02-04 / 20160033206 - FLATTENED HEAT PIPE AND MANUFACTURING METHOD THEREOF | 2 |
Shinichi Kameoka | JP | Daito-Shi | 2009-05-07 / 20090116679 - Display | 1 |
Daisuke Kameoka | JP | Kita-Ku | 2014-01-02 / 20140005367 - HIGH CONCENTRATION ANTIBODY-CONTAINING LIQUID FORMULATION | 1 |
Sei Kameoka | US | Montclair | 2015-07-16 / 20150197726 - COMPOUNDS FOR IMPROVED STEM CELL DIFFERENTIATION INTO HEPATOCYTES | 2 |
Hisashi Kameoka | JP | Mie-Ken | 2013-06-20 / 20130153850 - NONVOLATILE MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Takashi Kameoka | JP | Kitakyushu-Shi | 2013-07-11 / 20130175202 - HYDROCRACKING CATALYST FOR HYDROCARBON OIL, METHOD FOR PRODUCING HYDROCRACKING CATALYST, AND METHOD FOR HYDROCRACKING HYDROCARBON OIL WITH HYDROCRACKING CATALYST | 1 |
Daisuke Kameoka | JP | Shizuoka | 2009-05-07 / 20090117097 - Stabilizer for Protein Preparation Comprising Meglumine and Use Thereof | 1 |
Fumio Kameoka | JP | Osaka | 2008-10-30 / 20080266757 - ELECTRIC CIRCUIT DEVICE | 1 |
Hidenori Kameoka | JP | Atsugi-Shi | 2009-01-01 / 20090001754 - Roof side weather strip for automobile | 1 |
Ayumu Kameoka | JP | Utsunomiya-Shi | 2009-02-12 / 20090040471 - IMAGE PROJECTION APPARATUS | 2 |
Hiroyuki Kameoka | JP | Hikone-Shi | 2009-12-24 / 20090314317 - CLEANING SYSTEM OF A HAIR REMOVING APPARATUS | 1 |
Hisashi Kameoka | JP | Yokkaichi | 2015-09-10 / 20150255486 - NONVOLATILE SEMICONDUCTOR STORAGE DEVICE | 2 |
Fumio Kameoka | JP | Osaka-Shi | 2009-11-19 / 20090284329 - Electric circuit device | 1 |
Taisuke Kameoka | JP | Hiroshima | 2015-02-05 / 20150034414 - SOUND INSULATION MATERIAL | 2 |
Takashi Kameoka | JP | Tokyo | 2015-03-05 / 20150064931 - FILM FORMATION METHOD AND FILM FORMATION APPARATUS | 1 |
Hironori Kameoka | JP | Chiyoda-Ku | 2013-11-14 / 20130304402 - ANALOG INPUT UNIT AND PROGRAMMABLE CONTROLLER | 1 |
Tomofumi Kameoki | JP | Tokyo | 2013-11-07 / 20130295254 - INSTRUMENT FOR PRODUCING KAKIAGE AND METHOD FOR PRODUCING KAKIAGE | 1 |
Srinivas Rao Kamepalli | US | Austin | 2009-05-28 / 20090138741 - Information Handling System That Supplies Power To A Memory Expansion Board | 1 |
Markus Kamer | DE | Reinbek | 2014-02-06 / 20140039051 - Cleaning and disinfection agent for medical instruments | 2 |
Lukas Kamer | CH | Arth | 2010-06-03 / 20100137881 - Arrangement for Planning and Carrying Out a Surgical Procedure | 2 |
Konrad Kamer | AT | Raaba | 2014-07-03 / 20140184631 - VIEW DIRECTION DETERMINATION | 1 |
Lukas Kamer | CH | Schindellegi | 2015-08-13 / 20150227679 - METHOD FOR GENERATING A GRAPHICAL 3D COMPUTER MODEL OF AT LEAST ONE ANATOMICAL STRUCTURE IN A SELECTABLE PRE-, INTRA-, OR POSTOPERATIVE STATUS | 1 |
Rogier Kamerbeek | NL | Emmen | 2009-08-06 / 20090197569 - SYSTEM AND METHOD FOR ENHANCING SECURITY FOR A MOBILE ELECTRONIC DEVICE OPERATED BY A CHILD | 1 |
Ralf Kamerbeek | NL | Utecht | 2009-11-05 / 20090272275 - System For Preparing A Beverage Suitable For Consumption, And Exchangeable Holder For Such System And Method For Manufacturing The Exchangeable Holder | 1 |
Ralf Kamerbeek | NL | Demeern | 2013-10-24 / 20130276635 - DEVICE, SYSTEM AND METHOD FOR PREPARING A BEVERAGE SUITABLE FOR CONSUMPTION FROM A CAPSULE | 1 |
Ralf Kamerbeek | NL | De Meern | 2015-02-19 / 20150050394 - SYSTEM, A METHOD AND A CAPSULE FOR PREPARING A PRE-DETERMINED QUANTITY OF BEVERAGE | 27 |
Ralf Kamerbeek | NL | Utrecht | 2016-03-10 / 20160068336 - CAPSULE, SYSTEM AND METHOD FOR PREPARING A BEVERAGE | 11 |
Ralf Kamerbeek | NL | Utrecht | 2016-03-10 / 20160068336 - CAPSULE, SYSTEM AND METHOD FOR PREPARING A BEVERAGE | 11 |
Ralf Kamerbeek | NL | De Meern | 2015-02-19 / 20150050394 - SYSTEM, A METHOD AND A CAPSULE FOR PREPARING A PRE-DETERMINED QUANTITY OF BEVERAGE | 27 |
Abhijit Kamerhar | US | Boston | 2014-08-07 / 20140220119 - Capsules Containing High Doses of Levodopa for Pulmonary Use | 1 |
Sushil S. Kamerkar | US | Woodland Hills | 2014-02-06 / 20140036681 - TRAFFIC GENERATOR WITH PRIORITY FLOW CONTROL | 3 |
Abhijit Kamerkar | US | Salem | 2016-05-12 / 20160128936 - RAPAMYCIN POWDERS FOR PULMONARY DELIVERY | 1 |
Swarupchandra Kamerkar | IN | Pune | 2014-03-06 / 20140068532 - INTEGRATED CIRCUIT CHARACTERIZATION BASED ON MEASURED AND STATIC APPARENT RESISTANCES | 1 |
Abhijit Kamerkar | US | Boston | 2015-11-12 / 20150320711 - Capsules Containing High Doses of Levodopa for Pulmonary Use | 1 |
Johannis Paulus Kamerling | NL | Linschoten | 2012-06-28 / 20120165290 - GLUCOOLIGOSACCHARIDES COMPRISING (ALPHA 1->4) AND (ALPHA 1->6) GLYCOSIDIC BONDS, USE THEREOF, AND METHODS FOR PROVIDING THEM | 1 |
Johannis Paulis Kamerling | NL | Groningen | 2014-11-13 / 20140336140 - METHODS FOR PROVIDING SIALYLATED OLIGOSACCHARIDES | 1 |
Steven Glenn Kamerling | US | Kalamazoo | 2015-12-31 / 20150374701 - PYRROLO[2,3-D]PYRIMIDINE COMPOUNDS | 5 |
Justin Kamerman | CA | Saint John | 2015-01-22 / 20150026192 - SYSTEMS AND METHODS FOR TOPIC FILTER RECOMMENDATION FOR ONLINE SOCIAL ENVIRONMENTS | 1 |
Omer Kamerman | IL | Kadima | 2015-11-26 / 20150338923 - METHOD AND SYSTEM FOR GENERATING USER FEEDBACK OF A GESTURE CAPTURING DEVICE | 1 |
Steve Kamerman | US | Ashburn | 2013-01-31 / 20130031120 - System and Method for using a Device Description Repository | 2 |
Adriaan Kamerman | NL | Nieuwegein | 2012-10-25 / 20120269083 - COMMUNICATION DEVICE | 3 |
Steve Kamerman | US | Holland | 2009-05-07 / 20090119189 - INFORMATION DISSEMINATION SYSTEM AND METHOD | 1 |
Matthew Kamerman | US | Katonah | 2009-07-02 / 20090171982 - Privacy and Security Method and System for a World-Wide-Web Site | 1 |
Christopher J. Kamery | US | Lancaster | 2014-10-30 / 20140318464 - TOP-FILL HUMMINGBIRD FEEDER WITH FLOAT VALVE BASE CLOSURE MECHANISM | 13 |
Christopher J. Kamery | US | Lancaster | 2014-10-30 / 20140318464 - TOP-FILL HUMMINGBIRD FEEDER WITH FLOAT VALVE BASE CLOSURE MECHANISM | 13 |
Christopher Kamery | US | Lancaster | 2014-11-06 / 20140327542 - CUSTOM-SHAPE WIRELESS DOG FENCE SYSTEM AND METHOD | 4 |
Christopher Kamery | US | Buffalo | 2011-12-08 / 20110298615 - Custom-shape wireless dog fence system and method | 1 |
Christopher J. Kamery | US | Buffalo | 2012-11-15 / 20120285075 - MOUSETRAP WITH DISPOSABLE, HERMETICALLY SEALING CARTRIDGE AND INTERNAL HIGH-VOLTAGE KILLING MECHANISM | 1 |
Tim Kamerzell | US | Overland Park | 2016-02-04 / 20160030389 - USE OF INDOLE COMPOUNDS FOR FAT REDUCTION AND SKIN AND SOFT TISSUE TIGHTENING | 1 |
Tim Kamerzell | US | South San Francisco | 2013-09-12 / 20130236448 - CONCENTRATED POLYPEPTIDE FORMULATIONS WITH REDUCED VISCOSITY | 1 |
Timothy J. Kamerzell | US | Overland Park | 2014-04-10 / 20140099301 - ANTIBODY FORMULATION | 2 |
Edward D. Kames | US | Tarpon Springs | 2016-05-05 / 20160122060 - SYSTEMS AND METHODS FOR AUTOMATICALLY VERIFYING PACKAGING OF SOLID PHARMACEUTICALS VIA ROBOTIC TECHNOLOGY ACCORDING TO PATIENT PRESCRIPTION DATA | 2 |
Jost Kames | CH | Duernten | 2010-01-14 / 20100005962 - Adsorptive Filter Material | 1 |
Lalitha Kamesam | US | Cortlandt Manor | 2009-12-31 / 20090327001 - DEFINING AND IMPLEMENTING CONFIGURATION STANDARDS FOR FACILITATING COMPLIANCE TESTING IN AN INFORMATION TECHNOLOGY ENVIRONMENT | 1 |
Toshio Kameshima | JP | Saitama-Shi | 2009-04-02 / 20090086915 - IMAGING SYSTEM AND DRIVING METHOD THEREOF | 1 |
Hisamitsu Kameshima | JP | Tokyo | 2009-10-01 / 20090244708 - Antiglare Film | 9 |
Toshio Kameshima | JP | Sagamihara-Shi | 2011-06-23 / 20110147598 - PHOTOELECTRIC CONVERTER | 3 |
Junji Kameshima | JP | Kanagawa-Ken | 2012-06-07 / 20120142521 - PHOTOCATALYST-COATED BODY AND PHOTOCATALYTIC COATING LIQUID THEREFOR | 4 |
Toshio Kameshima | JP | Kumagaya-Shi | 2016-05-12 / 20160134818 - RADIATION IMAGING SYSTEM | 84 |
Junji Kameshima | JP | Fujisawa-Shi | 2011-03-10 / 20110060269 - METHOD FOR KILLING CELLS USING PHOTOCATALYTIC TITANIUM DIOXIDE PARTICLES | 3 |
Toshio Kameshima | JP | Saitama-Ken | 2011-10-06 / 20110240870 - RADIATION IMAGING APPARATUS, ITS CONTROL METHOD, AND RECORDING MEDIUM STORING PROGRAM FOR EXECUTING THE CONTROL METHOD | 3 |
Masatoshi Kameshima | JP | Anan-Shi | 2010-12-02 / 20100301738 - PHOSPHOR, LIGHT EMITTING DEVICE USING THE SAME AND METHOD OF MANUFACTURING THE PHOSPHOR | 7 |
Takatoshi Kameshima | JP | Kumamoto | 2014-02-27 / 20140054739 - SEMICONDUCTOR DEVICE AND ELECTRONIC DEVICE | 1 |
Toshio Kameshima | JP | Kanagawa | 2011-09-15 / 20110221935 - IMAGE PICK-UP APPARATUS AND IMAGE PICK-UP SYSTEM | 1 |
Yoshikazu Kameshima | JP | Okayama | 2015-10-15 / 20150290635 - METHOD FOR PRODUCING METAL NANOPARTICLE COMPLEX, AND METAL NANOPARTICLE COMPLEX PRODUCED BY SAID METHOD | 1 |
Takashi Kameshima | JP | Tokushima | 2009-12-03 / 20090299008 - Organic antimony compound, process for producing the same, living radical polymerization initiator, process for producing polymer using the same, and polymer | 1 |
Takatoshi Kameshima | JP | Kanagawa | 2008-10-16 / 20080254631 - METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE | 1 |
Takashi Kameshima | JP | Tokushima-Shi | 2011-11-10 / 20110275774 - ORGANOANTIMONY COMPOUND, PROCESS FOR PREPARING SAME, LIVING RADICAL POLYMERIZATION INITIATOR, PROCESS FOR PRODUCING POLYMER WITH USE OF SAME, AND THE POLYMER | 2 |
Tamotsu Kameshima | JP | Kariya-Shi | 2016-02-11 / 20160042543 - IMAGE DISPLAY CONTROL APPARATUS AND IMAGE DISPLAY SYSTEM | 1 |
Masatoshi Kameshima | JP | Tokushima | 2009-12-17 / 20090309485 - Nitride phosphor and production process thereof, and light emitting device | 4 |
Tokuya Kameshima | JP | Kawasaki-Shi | 2010-03-18 / 20100067040 - DOCUMENT PROCESSING APPARATUS, PRINTING SYSTEM, DOCUMENT PROCESSING METHOD, COMPUTER-READABLE MEDIUM AND COMPUTER DATA SIGNAL | 2 |
Takatoshi Kameshima | JP | Tottori | 2009-03-05 / 20090061092 - FILM FORMING METHOD AND FILM FORMING APPARATUS | 1 |
Hisamitsu Kameshima | JP | Hasuda-Shi | 2012-07-19 / 20120184061 - SEALING MATERIAL SHEET FOR SOLAR CELL MODULE AND A METHOD OF MANUFACTURING SOLAR CELL MODULE | 1 |
Takatoshi Kameshima | JP | Yamanashi-Ken | 2008-10-16 / 20080254641 - Manufacturing Method Of Semiconductor Device And Film Deposition System | 1 |
Atsuko Kameshima | JP | Tokyo | 2012-06-14 / 20120148837 - ENERGY RAY-CURABLE PRESSURE-SENSITIVE ADHESIVE COMPOSITION AND PRESSURE-SENSITIVE ADHESIVE SHEET FOR INSPECTING STAMPERS | 3 |
Takashi Kameshima | JP | Hyogo | 2016-03-03 / 20160061963 - RADIATION DETECTING ELEMENT, RADIATION DETECTING APPARATUS AND MANUFACTURING METHOD OF RADIATION DETECTING ELEMENT | 1 |
Junji Kameshima | JP | Fukuoka | 2011-06-09 / 20110136660 - PHOTOCATALYST-COATED BODY | 1 |
Yasuro Kameshiro | JP | Hitachinaka-Shi | 2016-05-19 / 20160143158 - Electronic Control Device | 1 |
Yasuro Kameshiro | JP | Hitachinaka | 2015-10-22 / 20150305169 - Electronic Control Device | 3 |
Norifumi Kameshiro | JP | Kokubunji | 2008-11-20 / 20080285325 - Semiconductor Memory Device | 1 |
Norifumi Kameshiro | JP | Tokyo | 2016-01-07 / 20160005810 - SEMICONDUCTOR DEVICE | 5 |
Norifumi Kameshiro | JP | Kodaira | 2008-10-23 / 20080261357 - METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE | 1 |
Mohammad Reza Kameshki | CA | Toronto | 2015-01-15 / 20150013341 - Exhaust Mixer with Offset Lobes | 1 |
Shivakumar Kameswaran | US | Bridgewater | 2013-09-19 / 20130246032 - Optimal Design System for Development Planning of Hydrocarbon Resources | 1 |
Noahiro Kameta | JP | Ibaraki | 2014-05-29 / 20140147476 - ORGANIC NANOTUBE HAVING HYDROPHOBIZED INNER SURFACE, AND ENCAPSULATED MEDICINAL AGENT PREPARED USING THE NANOTUBE | 1 |
Yukihiro Kameta | JP | Kitakami | 2008-11-27 / 20080291403 - IMAGE PROJECTION APPARATUS AND OPERATION METHOD THEREOF | 1 |
Takahiro Kameta | JP | Okaya | 2016-03-17 / 20160079954 - RESONATOR DEVICE, ELECTRONIC APPARATUS, AND MOVING OBJECT | 2 |
Kouki Kameta | JP | Yamanashi | 2015-05-28 / 20150145465 - SERVO CONTROLLER HAVING FUNCTION FOR REDUCING DROPPING WHEN BRAKING | 2 |
Takahiro Kameta | JP | Okaya-Shi | 2013-07-04 / 20130167669 - PHYSICAL QUANTITY DETECTOR AND ELECTRONIC APPARATUS | 2 |
Takahiro Kameta | JP | Fujisawa | 2010-03-18 / 20100064813 - VIBRATORY SENSOR | 1 |
Koji Kametaka | JP | Minato-Ku | 2010-09-23 / 20100237564 - Mechanical Seal | 1 |
Kouji Kametaka | JP | Iwata-Shi | 2016-03-24 / 20160082771 - Bearing Apparatus For A Wheel Of Vehicle | 4 |
Koji Kametaka | JP | Tokyo | 2009-12-10 / 20090300900 - MECHANICAL SEAL DEVICE | 1 |
Koji Kametaka | JP | Iwata-Shi | 2011-09-01 / 20110209562 - Sensor-equipped bearing for wheel | 1 |
Takashi Kametani | JP | Tokyo | 2009-06-04 / 20090140161 - Electrostatic deflector | 1 |
Fuyuki Kametani | JP | Kanagawa | 2011-11-24 / 20110287453 - ANTIBODY BINDING SPECIFICALLY TO TDP-43 AGGREGATE | 1 |
Keiichirou Kametani | JP | Osaka | 2012-03-22 / 20120068119 - FLUID TREATMENT METHOD, FLUID TREATMENT APPARATUS, AND FLUID | 2 |
Soichiro Kametani | JP | Tokyo | 2015-10-01 / 20150280966 - Optical Channel Data Unit Switch with Distributed Control | 3 |
Masayuki Kametani | JP | Tottori | 2011-08-25 / 20110205477 - LIQUID CRYSTAL DISPLAY PANEL | 1 |
Shigeji Kametani | JP | Tokyo | 2011-02-24 / 20110042281 - GAS-DISSOLVED WATER SUPPLY SYSTEM | 1 |
Keiichirou Kametani | JP | Settsu-Shi | 2012-11-29 / 20120300359 - CURRENT PASSING DEVICE AND CURRENT PASSING METHOD | 1 |
Masayuki Kametani | JP | Tottori-Shi | 2013-04-11 / 20130088676 - TRANSFLECTIVE LIQUID CRYSTAL DISPLAY DEVICE AND COLOR LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Yoshisuke Kametani | JP | Nagoya-Shi | 2012-11-29 / 20120303199 - CONTROL DEVICE OF VEHICLE DRIVE APPARATUS | 1 |
Satoshi Kametani | JP | Tokyo | 2014-09-18 / 20140267924 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 2 |
Eiji Kametani | JP | Yamatotakada-Shi | 2009-10-29 / 20090268084 - IMAGE CAPTURING DEVICE HAVING PULSED LED FLASH | 1 |
Yohsuke Kametani | JP | Osaka | 2014-09-18 / 20140268197 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 1 |
Ryushin Kametani | JP | Kanagawa | 2014-09-25 / 20140283622 - POWER METER, POWER MEASUREMENT METHOD, PROGRAM AND STORAGE MEDIUM | 3 |
Hideyuki Kametani | JP | Kanazawa | 2011-06-23 / 20110154332 - OPERATION MANAGEMENT DEVICE AND OPERATION MANAGEMENT METHOD | 1 |
Hirohito Kametani | JP | Osaka | 2011-04-21 / 20110089272 - MANUFACTURING METHOD OF MAGNESIUM ALLOY MATERIAL | 2 |
Soichiro Kametani | JP | Chiyoda-Ku | 2016-03-24 / 20160087739 - OPTICAL COMMUNICATION CROSS-CONNECTION DEVICES AND SIGNAL PROCESSING METHOD THEREOF | 1 |
Hideaki Kametani | JP | Tokyo | 2009-03-26 / 20090081437 - Resin composition for optical disk and cured product thereof | 3 |
Andrew M. Kameya | US | Irvine | 2012-08-16 / 20120207205 - PHASE-SHIFTED PULSE WIDTH MODULATION SIGNAL GENERATION DEVICE AND METHOD THEREFOR | 9 |
Takashi Kameya | JP | Kanagawa | 2013-01-31 / 20130027579 - IMAGE PROCESSING APPARATUS, METHOD, AND COMPUTER PROGRAM STORAGE DEVICE | 7 |
Takehiro Kameya | JP | Tainai-Shi | 2015-11-12 / 20150320646 - DENTAL CURABLE COMPOSITION AND DENTAL FLOWABLE COMPOSITE RESIN | 2 |
Takayuki Kameya | JP | Tokyo | 2011-01-27 / 20110018989 - ENDOSCOPE SYSTEM | 1 |
Hirotaka Kameya | JP | Rifu | 2014-05-29 / 20140147325 - Water Injected Scroll Air Compressor | 4 |
Hirotaka Kameya | JP | Tsuchiura | 2013-11-07 / 20130294932 - OIL-FLOODED SCREW COMPRESSOR, MOTOR DRIVE SYSTEM, AND MOTOR CONTROL | 3 |
Jun Kameya | JP | Kawasaki | 2013-02-14 / 20130039439 - MULTICARRIER TRANSMITTING APPARATUS AND MULTICARRIER TRANSMITTING METHOD | 6 |
Masaaki Kameya | JP | Matsue-Shi | 2015-10-08 / 20150288341 - PASSIVE EQUALIZER | 1 |
Masaaki Kameya | JP | Shimane | 2012-03-29 / 20120075036 - COMMON MODE FILTER | 1 |
Hisashi Kameya | JP | Kariya-City | 2009-10-08 / 20090254295 - POSITION DETECTING DEVICE AND METHOD | 1 |
Masafumi Kameya | JP | Toyama | 2014-12-04 / 20140357524 - Method for Quantifying Subject Substance | 2 |
Masaaki Kameya | JP | Kanagawa | 2012-04-26 / 20120098627 - COMMON MODE FILTER | 3 |
Shogo Kameyama | JP | Chiryu-City | 2015-11-05 / 20150316390 - DRIVING SUPPORT SYSTEM, AND CENTER AND ONBOARD APPARATUS CONFIGURING THE SYSTEM | 10 |
Satoru Kameyama | US | 2016-01-07 / 20160005844 - SEMICONDUCTOR DEVICE | 3 | |
Tatsuya Kameyama | JP | Kitaibaraki-Shi | 2015-07-02 / 20150188134 - Cathode Active Material For Lithium-Ion Battery, Cathode For Lithium-Ion Battery and Lithium-Ion Battery | 2 |
Kenji Kameyama | JP | Kanagawa | 2014-09-18 / 20140266153 - ARBITRATION DEVICE, ARBITRATION METHOD, AND COMPUTER PROGRAM PRODUCT | 7 |
Junya Kameyama | JP | Kanagawa | 2014-09-25 / 20140285515 - IMAGE PROCESSING APPARATUS, SOURCE DEVICE, IMAGE PROCESSING SYSTEM, IMAGE PROCESSING METHOD, AND PROGRAM | 2 |
Kojiro Kameyama | JP | Otas-Shi | 2009-05-14 / 20090124078 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE WITH THROUGH HOLE | 1 |
Shingo Kameyama | JP | Ibaraki-Shi | 2013-03-21 / 20130070801 - SEMICONDUCTOR LASER DEVICE AND METHOD OF MANUFACTURING THE SAME | 8 |
Makoto Kameyama | JP | Yokohama-Shi | 2013-09-26 / 20130250156 - IMAGE CAPTURING APPARATUS AND CONTROL METHOD THEREOF | 2 |
Tadashi Kameyama | JP | Kanagawa | 2013-03-21 / 20130073240 - SEMICONDUCTOR DEVICE AND TEMPERATURE SENSOR SYSTEM | 1 |
Motohiro Kameyama | JP | Yachiyo-Shi | 2014-11-06 / 20140326424 - ARC MELTING FURNACE APPARATUS AND METHOD OF ARC MELTING MELT MATERIAL | 2 |
Shigetaka Kameyama | JP | Wako-Shi, Saitama | 2014-05-01 / 20140117720 - STRUCTURE FOR FRONT PORTION OF VEHICLE | 1 |
Masaki Kameyama | JP | Tokyo | 2015-02-26 / 20150054377 - STATOR, MOTOR, BLOWER, AND STATOR MANUFACTURING METHOD | 1 |
Satoru Kameyama | JP | Toyota-Shi | 2016-01-07 / 20160005844 - SEMICONDUCTOR DEVICE | 8 |
Yasuyuki Kameyama | JP | Chiyoda-Ku | 2015-03-05 / 20150064411 - FRONT GLASS PLATE FOR STACKED STRUCTURE AND STACKED STRUCTURE | 1 |
Tadayuki Kameyama | JP | Ibaraki-Shi | 2016-03-03 / 20160062510 - CONDUCTIVE FILM AND IMAGE DISPLAY DEVICE | 24 |
Tatsumi Kameyama | JP | Tokyo | 2012-04-05 / 20120081031 - FIELD EQUIPMENT PHOTOELECTRIC SENSING SENSITIVITY ADJUSTMENT | 2 |
Takaki Kameyama | JP | Kanagawa-Ken | 2008-11-27 / 20080295034 - IMAGE PICKUP APPARATUS, CONTROL METHOD THEREFOR, IMAGE PICKUP SYSTEM, INFORMATION PROCESSING APPARATUS, PROGRAM AND STORAGE MEDIUM | 1 |
Kojiro Kameyama | JP | Osaka | 2013-06-06 / 20130139874 - CONDUCTIVE ADHESIVE SHEET, METHOD FOR PRODUCING THE SAME, COLLECTOR ELECTRODE, AND SOLAR CELL MODULE | 1 |
Shuji Kameyama | JP | Iwaki-Shi | 2009-06-04 / 20090140195 - Gate valve | 1 |
Masashi Kameyama | JP | Osaka | 2009-06-04 / 20090139333 - Ultrasonic Probing Method and Apparatus Therefor Utilizing Resonance Phenomenon | 1 |
Takayuki Kameyama | JP | Koka-Shi | 2012-01-12 / 20120010199 - NOVEL PYRROLINONE DERIVATIVE AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
Hideo Kameyama | JP | Mitaka-Shi | 2012-08-30 / 20120220451 - OXIDATION CATALYST, ABSORBENT, AND MATERIAL FOR PURGING HARMFUL SUBSTANCES | 2 |
Yasuhiro Kameyama | JP | Yokohama | 2009-07-09 / 20090176337 - NEGATIVE PHOTORESIST COMPOSITION AND METHOD OF MANUFACTURING ARRAY SUBSTRATE USING THE SAME | 1 |
Hirokazu Kameyama | JP | Kanagawa-Ken | 2012-12-20 / 20120321220 - METHOD AND DEVICE FOR VIDEO IMAGE PROCESSING, CALCULATING THE SIMILARITY BETWEEN VIDEO FRAMES, AND ACQUIRING A SYNTHESIZED FRAME BY SYNTHESIZING A PLURALITY OF CONTIGUOUS SAMPLED FRAMES | 11 |
Syozo Kameyama | JP | Osaka | 2009-08-06 / 20090194180 - COVER MEMBER OF CLOSING VALVE OR BRANCH JOINT | 1 |
Koichi Kameyama | JP | Tokyo | 2015-02-12 / 20150044489 - VINYLIDENE CHLORIDE COPOLYMER LATEX AND FILM FOR BLISTER PACK | 1 |
Kouji Kameyama | JP | Anjo-City | 2014-08-07 / 20140218869 - HEAT RADIATION STRUCTURE OF ELECTRIC DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yasushi Kameyama | JP | Kagawa | 2012-06-07 / 20120138560 - CRANE APPARATUS | 1 |
Kojiro Kameyama | JP | Ota-Shi | 2008-10-16 / 20080254618 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 1 |
Hidehiko Kameyama | JP | Saitama | 2012-08-30 / 20120220345 - CELLULAR TELEPHONE SET AND CHARACTER DISPLAY PRESENTATION METHOD TO BE USED IN THE SAME | 2 |
Masataka Kameyama | JP | Nishio | 2009-10-01 / 20090241727 - Transmission case | 1 |
Ikuya Kameyama | JP | Plainview | 2013-02-14 / 20130037725 - GRID PROVIDING BEAMLET STEERING | 1 |
Kojiro Kameyama | JP | Ibaraki-Shi | 2013-07-11 / 20130175984 - MOBILE TERMINAL POWER RECEIVING MODULE UTILIZING WIRELESS POWER TRANSMISSION AND MOBILE TERMINAL RECHARGABLE BATTERY INCLUDING MOBILE TERMINAL POWER RECEIVING MODULE | 1 |
Kouji Kameyama | JP | Kariya-City | 2014-04-24 / 20140111944 - HEAT DISSIPATION STRUCTURE FOR MULTILAYER BOARD AND METHOD OF MANUFACTURING THE STRUCTURE | 1 |
Kazuhiko Kameyama | JP | Gunma | 2009-12-24 / 20090315417 - Sealed Terminal Device for Electric Compressor | 2 |
Naoki Kameyama | JP | Nagano | 2014-02-06 / 20140035845 - DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, AND COMPUTER PROGRAM | 1 |
Makoto Kameyama | JP | Tokyo | 2012-01-05 / 20120003764 - METHOD OF PRODUCING ORGANIC LIGHT-EMITTING DEVICE | 1 |
Shogo Kameyama | JP | Chiryu-City | 2015-11-05 / 20150316390 - DRIVING SUPPORT SYSTEM, AND CENTER AND ONBOARD APPARATUS CONFIGURING THE SYSTEM | 10 |
Tomohisa Kameyama | JP | Aichi | 2013-09-12 / 20130234643 - SPINDLE POSITIONING DEVICE | 2 |
Masashi Kameyama | JP | Fukui | 2010-02-04 / 20100024556 - ULTRASONIC INSPECTION METHOD UTILIZING RESONANT PHENOMENA | 1 |
Tomohisa Kameyama | JP | Niwa-Gun | 2015-06-25 / 20150177710 - Motor Control Device | 3 |
Kojiro Kameyama | JP | Gunma | 2010-02-25 / 20100044839 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Koujiro Kameyama | JP | Ota-Shi | 2010-03-04 / 20100052090 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Koujiro Kameyama | JP | Ota-City | 2008-10-02 / 20080237808 - Semiconductor Device and Method of Manufacturing the Same | 1 |
Atsushi Kameyama | JP | Chiyoda-Ku | 2014-05-08 / 20140128510 - RESIN COMPOSITION, HARDENED COATING FILMS THEREFROM, AND PHOTOSEMICONDUCTOR DEVICE USING SAME | 1 |
Shouzou Kameyama | JP | Sakai-Shi | 2010-03-25 / 20100072210 - CAP, MULTI-LAYERED PANEL, AND REFRIGERATED CONTAINER | 1 |
Yutaka Kameyama | JP | Osaka | 2010-05-06 / 20100113769 - METHOD FOR PRODUCING 6-HYDROXYETHYLPENAM COMPOUND | 1 |
Shingo Kameyama | JP | Osaka | 2011-08-18 / 20110200065 - NITRIDE BASED SEMICONDUCTOR LASER DEVICE | 4 |
Azusa Kameyama | JP | Ibaraki | 2012-10-04 / 20120251455 - Hair Growth-Inhibiting Agent | 3 |
Tadayuki Kameyama | JP | Osaka | 2014-02-13 / 20140046042 - LIQUID-CRYSTALLINE COATING FLUID AND POLARIZING FILM | 16 |
Takayuki Kameyama | JP | Shiga-Ken | 2010-08-19 / 20100210632 - NOVEL PYRROLINONE DERIVATIVE AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
Takayuki Kameyama | JP | Owariasahi | 2010-09-09 / 20100225442 - FINGER AUTHENTICATION DEVICE | 1 |
Ikuya Kameyama | US | Fort Collins | 2012-04-05 / 20120080609 - GRID PROVIDING BEAMLET STEERING | 4 |
Ikuko Kameyama | JP | Yokohama-Shi | 2011-12-01 / 20110292205 - METHOD AND APPARATUS FOR MEASURING AN OPERATING POSITION IN A REMOTE INSPECTION | 2 |
Hirofumi Kameyama | JP | Hyogo | 2011-07-14 / 20110167727 - GATE APPARATUS | 3 |
Yoshichika Kameyama | JP | Habikino-Shi | 2010-11-18 / 20100291052 - Novel Microorganism Having Gastric-Juice Promoting Activity, and Its Secretory Product | 1 |
Shingo Kameyama | JP | Ibaraki-Shi | 2013-03-21 / 20130070801 - SEMICONDUCTOR LASER DEVICE AND METHOD OF MANUFACTURING THE SAME | 8 |
Akiyo Kameyama | JP | Haga-Gun | 2010-12-30 / 20100331272 - Agent for Preventing or Ameliorating Skin Aging | 2 |
Takashi Kameyama | JP | Fukushima | 2010-12-30 / 20100330858 - MOLDED ARTICLE CONTAINING STACKED CARBON FIBER AND METHOD FOR PRODUCING SAME | 1 |
Kazuya Kameyama | JP | Osaka | 2016-03-10 / 20160072114 - METAL-AIR BATTERY | 4 |
Takayuki Kameyama | JP | Shiga | 2011-12-29 / 20110319414 - NOVEL TRIAZINE DERIVATIVE AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
Makoto Kameyama | JP | Chiba | 2010-11-11 / 20100285997 - PROBE MEDIUM | 2 |
Yoshikatsu Kameyama | JP | Gifu-Ken | 2008-10-02 / 20080240774 - Image Forming Device and Cartridge | 1 |
Hiroyuki Kameyama | JP | Miyagi | 2011-01-27 / 20110017143 - LITTER FOR ANIMALS | 2 |
Tatsuya Kameyama | JP | Hachioji | 2009-10-08 / 20090254740 - INFORMATION PROCESSING DEVICE, ENCRYPTION METHOD OF INSTRUCTION CODE, AND DECRYPTION METHOD OF ENCRYPTED INSTRUCTION CODE | 1 |
Koji Kameyama | JP | Wakayama-Shi | 2011-02-24 / 20110045402 - METHOD FOR PRODUCING TONER | 1 |
Akihiko Kameyama | JP | Ibaraki | 2011-03-10 / 20110059466 - SUPPORT FOR ELECTROPHORESIS INCLUDING HYDROPHOBIC POLYMER MEMBRANE, AND ELECTROPHORETIC SEPARATION METHOD USING THE SAME | 3 |
Kiyoshi Kameyama | JP | Toyota-Shi | 2013-11-07 / 20130295316 - EVAPORATIVE PATTERN, METHOD OF FORMING AN EVAPORATIVE PATTERN, AND METHOD OF FORMING A METAL MOLD BY USING AN EVAPORATIVE PATTERN | 1 |
Tadayuki Kameyama | JP | Osaka | 2014-02-13 / 20140046042 - LIQUID-CRYSTALLINE COATING FLUID AND POLARIZING FILM | 16 |
Hiroshi Kameyama | JP | Hitachinaka | 2011-03-31 / 20110075334 - Transmission Control Apparatus and Mechanically and Electrically Integrated Type Electronic Control Apparatus | 1 |
Takayuki Kameyama | JP | Toyonaka-Shi | 2013-07-04 / 20130172317 - TRIAZINE DERIVATIVE AND PHARMACEUTICAL COMPOSITION HAVING AN ANALGESIC ACTIVITY COMPRISING THE SAME | 1 |
Shouzou Kameyama | JP | Osaka | 2010-08-19 / 20100205999 - CONTAINER REFRIGERATION UNIT AND METHOD FOR FABRICATING THE SAME | 1 |
Hideo Kameyama | JP | Fuchu-Shi | 2012-10-11 / 20120258033 - METHOD FOR SYNTHESIZING AMMONIA | 1 |
Hiroyuki Kameyama | JP | Chiyoda-Ku | 2012-09-20 / 20120234674 - CATION EXCHANGE MEMBRANE, ELECTROLYSIS VESSEL USING THE SAME AND METHOD FOR PRODUCING CATION EXCHANGE MEMBRANE | 1 |
Kazunori Kameyama | US | Middletown | 2012-05-24 / 20120128856 - MAITAKE MUSHROOM COFFEE | 1 |
Koji Kameyama | JP | Wakayama | 2013-11-07 / 20130295499 - PROCESS FOR PRODUCING TONER FOR ELECTROPHOTOGRAPHY | 1 |
Hiroyuki Kameyama | JP | Osaka-Shi | 2013-02-14 / 20130038258 - MOTOR CONTROL DEVICE | 2 |
Takuo Kameyama | JP | Hamamatsu-Shi | 2016-04-14 / 20160103311 - LIGHT OBSERVATION DEVICE, IMAGING DEVICE USED FOR SAME, AND LIGHT OBSERVATION METHOD | 2 |
Shumpei Kameyama | JP | Tokyo | 2016-04-14 / 20160103210 - LASER RADAR DEVICE AND RADAR IMAGE GENERATING METHOD | 10 |
Masaomi Kameyama | JP | Tokyo | 2014-01-30 / 20140028987 - EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD | 2 |
Kenichi Kameyama | JP | Kanagawa | 2008-10-02 / 20080242956 - METHOD AND APPARATUS FOR MEASURING AUTONOMIC-NERVOUS INDEX AND APPARATUS FOR DETECTING BIOLOGICAL INFORMATION | 1 |
Shoichi Kameyama | JP | Nagasaki-Ken | 2011-09-15 / 20110220002 - DEVICE FOR REDUCING FRICTIONAL RESISTANCE OF SHIP BODY | 1 |
Naoya Kameyama | JP | Tokyo | 2016-03-03 / 20160060340 - ANTI-FOLR1 ANTIBODY | 2 |
Hisashi Kameyama | JP | Osaka | 2012-05-03 / 20120105014 - FULL CHARGE CAPACITY VALUE CORRECTION CIRCUIT, BATTERY PACK, AND CHARGING SYSTEM | 1 |
Hiroyuki Kameyama | JP | Sendai-Shi | 2012-05-03 / 20120103269 - ANIMAL LITTER | 1 |
Naoki Kameyama | JP | Kyoto | 2012-05-10 / 20120112187 - METHOD FOR FORMING METAL OXIDE FILM, METAL OXIDE FILM AND APPARATUS FOR FORMING METAL OXIDE FILM | 2 |
Shigeru Kameyama | JP | Mishima-Shi | 2015-05-28 / 20150145454 - MOTOR CONTROL APPARATUS FOR SENSORLESS MOTOR, AND IMAGE FORMING APPARATUS | 1 |
Takashi Kameyama | JP | Kanagawa | 2008-10-16 / 20080252755 - IMAGING APPARATUS AND IMAGING METHOD | 2 |
Yoshihiro Kameyama | JP | Aizuwakamatsu-Shi | 2013-07-04 / 20130167988 - Cu-Ni-Si-BASED COPPER ALLOY PLATE HAVING EXCELLENT DEEP DRAWING WORKABILITY AND METHOD OF MANUFACTURING THE SAME | 2 |
Takuma Kameyama | JP | Shizuoka | 2011-07-28 / 20110181001 - SEALING STRUCTURE USING METAL GASKET | 1 |
Ichiro Kameyama | JP | Osaka | 2015-09-24 / 20150270473 - ELECTRONIC COMPONENT | 2 |
Shigetaka Kameyama | JP | Wako-Shi | 2013-06-06 / 20130140852 - ENGINE MOUNT SUPPORT STRUCTURE | 1 |
Fumio Kameyama | JP | Kobe-Shi | 2014-03-13 / 20140070123 - OPERATING DEVICE | 1 |
Hiroaki Kameyama | JP | Kawasaki | 2015-12-03 / 20150349930 - COMMUNICATION METHOD AND COMMUNICATION APPARATUS | 10 |
Tatsurou Kameyama | JP | Kota-Cho | 2009-03-05 / 20090062997 - CONTROL DEVICE OF AUTOMATIC TRANSMISSION, AND CONTROL METHOD OF AUTOMATIC TRANSMISSION | 1 |
Hiroaki Kameyama | JP | Kawasaki-Shi | 2016-04-28 / 20160116333 - ANALOG-TO-DIGITAL CONVERSION CIRCUIT, IMAGING APPARATUS AND IMAGING SYSTEM | 9 |
Takuma Kameyama | JP | Shizuoka-Ken | 2009-01-22 / 20090020961 - METAL GASKET FOR MARINE ENGINE | 1 |
Hiroaki Kameyama | JP | Kawasaki-Shi | 2016-04-28 / 20160116333 - ANALOG-TO-DIGITAL CONVERSION CIRCUIT, IMAGING APPARATUS AND IMAGING SYSTEM | 9 |
Tadayuki Kameyama | JP | Ibaraki-Shi | 2016-03-03 / 20160062510 - CONDUCTIVE FILM AND IMAGE DISPLAY DEVICE | 24 |
Shumpei Kameyama | JP | Tokyo | 2016-04-14 / 20160103210 - LASER RADAR DEVICE AND RADAR IMAGE GENERATING METHOD | 10 |
Fumie Kameyama | JP | Inagi-Shi | 2015-02-12 / 20150042718 - INK JET PRINTING APPARATUS | 4 |
Fumie Kameyama | JP | Kawasaki-Shi | 2012-07-12 / 20120176453 - RECORDING APPARATUS | 2 |
Kenichi Kameyama | JP | Kawasaki-Shi | 2015-03-05 / 20150065892 - PULSE WAVE MEASURING DEVICE | 6 |
Shuji Kameyama | JP | Hyogo | 2012-11-01 / 20120272928 - EXHAUST ADAPTER AND COMBUSTION APPARATUS HAVING THE SAME | 2 |
Shinichi Kameyama | JP | Iwakura | 2014-10-02 / 20140297981 - INFORMATION PROCESSING SYSTEM, STORAGE DEVICE, AND BACKUP METHOD | 1 |
Isao Kameyama | JP | Makinohara-Shi | 2009-01-22 / 20090023339 - SHIELDED CONNECTOR STRUCTURE | 1 |
Isao Kameyama | JP | Susono | 2009-08-20 / 20090209130 - Shield connector | 1 |
Isao Kameyama | JP | Susono-Shi | 2015-11-26 / 20150340816 - ELECTRONIC DEVICE CONNECTOR | 6 |
Isao Kameyama | JP | Shizuoka | 2015-10-08 / 20150287521 - COIL FIXATION STRUCTURE | 3 |
Takaki Kameyama | JP | Yamato-Shi | 2013-07-25 / 20130188831 - POSITIONING INFORMATION PROCESSING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 2 |
Yuuto Kameyama | JP | Chiryu-City | 2015-03-12 / 20150070136 - KEYLESS ENTRY SYSTEM | 1 |
Shoichi Kameyama | JP | Nagasaki | 2011-07-14 / 20110168078 - DEVICE FOR REDUCING FRICTIONAL RESISTANCE OF SHIP BODY | 1 |
Miho Kameyama | JP | Tokyo | 2009-12-24 / 20090315869 - DIGITAL PHOTO FRAME, INFORMATION PROCESSING SYSTEM, AND CONTROL METHOD | 1 |
Tadashi Kameyama | JP | Inagi | 2009-01-22 / 20090022244 - Information processing apparatus and process execution method | 1 |
Shuji Kameyama | JP | Tokyo | 2009-12-10 / 20090304563 - Mercury removal system and method | 1 |
Atsushi Kameyama | JP | Tokyo | 2015-05-21 / 20150141677 - METHOD FOR PRODUCING EPOXY COMPOUND | 5 |
Keiichi Kameyama | JP | Tokyo | 2012-08-30 / 20120220737 - POLYCARBONATE RESIN COMPOSITION | 6 |
Yuji Kameyama | JP | Tokyo | 2016-02-04 / 20160032226 - MAINTENANCE LIQUID FOR ACTIVE ENERGY RAY-CURABLE INKJET INK | 7 |
Tadashi Kameyama | JP | Tokyo | 2016-01-07 / 20160006424 - Monitor Circuit, Semiconductor Integrated Circuit, Semiconductor Device, and Method of Controlling Power Supply Voltage of Semiconductor Device | 5 |
Junichi Kameyama | JP | Tokyo | 2011-12-29 / 20110314848 - COMBINED AIR-CONDITIONING AND HOT-WATER SUPPLY SYSTEM | 5 |
Hiroyuki Kameyama | JP | Tokyo | 2014-12-18 / 20140367355 - CATION EXCHANGE MEMBRANE, ELECTROLYSIS VESSEL USING THE SAME AND METHOD FOR PRODUCING CATION EXCHANGE MEMBRANE | 1 |
Kenichi Kameyama | JP | Setagaya-Ku | 2014-11-20 / 20140340326 - DRAWING APPARATUS AND DRAWING SYSTEM | 1 |
Naoto Kameyama | JP | Okayama | 2012-02-02 / 20120027668 - LOW VALENCE TITANIUM OXIDES AND METHOD OF PRODUCING THE SAME | 1 |
Hirokazu Kameyama | JP | Ashigarami-Gun | 2009-05-28 / 20090136152 - IMAGE CORRECTING APPARATUS AND METHOD, AND IMAGE CORRECTING PROGRAM, AND LOOK-UP TABLE CREATING APPARATUS AND METHOD, AND LOOK-UP TABLE CREATING PROGRAM | 1 |
Hiroyo Kameyama | JP | Kawasaki-City | 2015-11-12 / 20150320647 - SOLID POWDERY COSMETIC COMPOSITION | 1 |
Tsuyoshi Kameyama | BE | Auderghem | 2015-11-12 / 20150321403 - SYSTEMS AND METHODS FOR MOLDING | 1 |
Kazuhiro Kameyama | JP | Kawasaki-Shi | 2012-06-28 / 20120160164 - VACUUM PROCESSING APPARATUS AND PROCESSING METHOD USING THE SAME | 1 |
Hirokazu Kameyama | JP | Kanagawa-Ken | 2012-12-20 / 20120321220 - METHOD AND DEVICE FOR VIDEO IMAGE PROCESSING, CALCULATING THE SIMILARITY BETWEEN VIDEO FRAMES, AND ACQUIRING A SYNTHESIZED FRAME BY SYNTHESIZING A PLURALITY OF CONTIGUOUS SAMPLED FRAMES | 11 |
Shuji Kameyama | JP | Himeji-Shi | 2016-03-10 / 20160069587 - FAN ASSEMBLY AND WATER HEATER | 6 |
Hirokazu Kameyama | JP | Ashigarakama-Gun | 2011-03-03 / 20110052045 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 1 |
Hisashi Kameyama | JP | Hyogo | 2016-03-17 / 20160079788 - BATTERY PACK AND METHOD FOR CONTROLLING DISCHARGE OF SECONDARY CELL | 1 |
Shigeki Kameyama | JP | Kawasaki | 2010-06-10 / 20100141691 - Display apparatus | 2 |
Shuichi Kameyama | JP | Kawasaki | 2010-02-25 / 20100044097 - Connector, printed circuit board, connecting device connecting them, and method of testing electronic part, using them | 2 |
Hiroaki Kameyama | JP | Kawasaki | 2015-12-03 / 20150349930 - COMMUNICATION METHOD AND COMMUNICATION APPARATUS | 10 |
Masaki Kameyama | JP | Kawasaki | 2009-09-17 / 20090231759 - HEAD SLIDER, HEAD ASSEMBLY AND INFORMATION STORAGE DEVICE | 1 |
Hayato Kameyama | JP | Osaka | 2012-05-17 / 20120120670 - LIGHT SOURCE APPARATUS | 1 |
Satoru Kameyama | JP | Toyota-Shi | 2016-01-07 / 20160005844 - SEMICONDUCTOR DEVICE | 8 |
Keishi Kameyama | JP | Kawasaki-Shi | 2015-03-19 / 20150079209 - DIABETES-INDUCIBLE BACTERIUM | 1 |
Daisuke Kameyama | JP | Sakura-Shi | 2012-04-05 / 20120080297 - KEYBOARD APPARATUS | 1 |
Naoto Kameyama | JP | Kawasaki-Shi | 2015-11-12 / 20150323888 - ELECTROPHOTOGRAPHIC INTERMEDIATE TRANSFER MEMBER AND ELECTROPHOTOGRAPHIC APPARATUS | 4 |
Hirokazu Kameyama | JP | Ashigarakami-Gun | 2013-01-24 / 20130022249 - RAPID DISCRIMINATION APPARATUS, METHOD OF SPEEDING UP THE SAME, AND PROGRAM OF THE SAME | 13 |
Kenichi Kameyama | JP | Tokyo | 2014-11-20 / 20140340328 - DRAWING APPARATUS AND DRAWING SYSTEM | 2 |
Mitsuo Kameyama | JP | Tokyo | 2015-05-21 / 20150135594 - BIOMASS GASIFIER DEVICE | 1 |
Michio Kameyama | JP | Toyota-City | 2011-08-18 / 20110198733 - SEMICONDUCTOR DEVICE AND METHOD OF PATTERNNING RESIN INSULATION LAYER ON SUBSTRATE OF THE SAME | 2 |
Hiromichi Kameyama | JP | Tokyo | 2015-05-07 / 20150122476 - DEVICE AND METHOD FOR SEQUESTERING A SUBSTANCE | 6 |
Hirokazu Kameyama | JP | Kanganawa | 2010-06-24 / 20100158398 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 1 |
Akiyo Kameyama | JP | Kawachi-Gun | 2013-04-25 / 20130102549 - VEGF Production Promoter | 1 |
Kazuhiro Kameyama | JP | Tokyo | 2013-06-06 / 20130142526 - IMAGE FORMING APPARATUS | 1 |
Hirokazu Kameyama | JP | Kanagawa | 2012-05-10 / 20120114226 - IMAGE PROCESSING DEVICE AND METHOD, DATA PROCESSING DEVICE AND METHOD, PROGRAM, AND RECORDING MEDIUM | 6 |
Hikaru Kameyoshi | JP | Tokyo | 2014-12-04 / 20140353950 - PASSENGER PROTECTION DEVICE | 3 |
Hisamitsu Kamezaki | JP | Yokosuka-Shi | 2010-03-04 / 20100056775 - TWO-PHOTON ABSORPTION MATERIAL AND APPLICATION THEREOF | 1 |
Hisamitsu Kamezaki | JP | Kanagawa | 2015-10-22 / 20150303513 - NONAQUEOUS ELECTROLYTIC STORAGE ELEMENT | 5 |
Shunichi Kamezaki | JP | Chiyoda-Ku, Tokyo | 2015-10-29 / 20150308910 - COKE EXTRUSION FORCE ESTIMATION METHOD AND COKE OVEN REPAIRING METHOD | 1 |
Masashi Kamezaki | JP | Takarazuka-Shi, Hyogo | 2015-12-17 / 20150359219 - PEST CONTROL COMPOSITION AND METHOD FOR CONTROLLING PEST | 1 |
Yoshiko Kamezaki | JP | Saitama | 2010-08-12 / 20100204445 - DOCKERIN POLYPEPTIDE AND METHOD OF PURIFYING RECOMBINANT FUSED PROTEIN USING THE SAME | 1 |
Masashi Kamezaki | JP | Takarazuka-Shi | 2016-01-07 / 20160000081 - PEST CONTROLLING COMPOSITION AND USE THEREOF | 5 |
Hisamitsu Kamezaki | US | 2015-10-22 / 20150303513 - NONAQUEOUS ELECTROLYTIC STORAGE ELEMENT | 1 | |
Hiroyuki Kamezawa | JP | Osaka | 2015-08-20 / 20150237261 - IMAGING DEVICE | 4 |
Kenji Kamezawa | JP | Saitama | 2013-02-28 / 20130049541 - SURFACE MOUNT TYPE PIEZOELECTRIC DEVICE | 2 |
Hitoshi Kamezawa | JP | Kyoto-Shi | 2015-07-30 / 20150208967 - Biological Information Measurement Device And Pulse Oximeter | 2 |
Hiroyuki Kamezawa | JP | Numazu | 2016-03-03 / 20160062902 - MEMORY ACCESS PROCESSING METHOD AND INFORMATION PROCESSING DEVICE | 3 |
Hitoshi Kamezawa | JP | Kyoto-Shi, Kyoto | 2015-10-22 / 20150297079 - PULSE OXIMETRY SYSTEM, AND SUBSYSTEM AND COMMUNICATION CONVERSION DEVICE FOR CONSTRUCTING SAID OXIMETRY SYSTEM | 1 |
Kenji Kamezawa | JP | Sayama-Shi | 2012-03-22 / 20120068579 - Method for Manufacturing a Piezoelectric Device and the Same | 2 |
Tomoya Kamezawa | JP | Narashino | 2009-02-05 / 20090034309 - Electric power conversion system | 2 |
Masayuki Kamezawa | JP | Tokyo | 2009-04-09 / 20090090397 - Substrate processing apparatus and substrate processing method | 1 |
Hideaki Kamezawa | JP | Kawasaki | 2009-09-10 / 20090225471 - DISK DEVICE | 2 |
Thor Kamfjord | NO | Brevik | 2011-09-08 / 20110218293 - NOVEL PROPYLENE POLYMER COMPOSITIONS | 2 |
Telesphor Kamgaing | US | Chandler | 2016-02-11 / 20160043471 - PACKAGE STRUCTURES INCLUDING DISCRETE ANTENNAS ASSEMBLED ON A DEVICE | 29 |
Shaden Kamhawi | US | Rockville | 2013-04-04 / 20130084308 - ANTI-ARTHROPOD VECTOR VACCINES, METHODS OF SELECTING AND USES THEREOF | 5 |
Gila Kamhi | IL | Zichron Yaakov | 2016-03-03 / 20160065860 - AUGMENTATION OF TEXTUAL CONTENT WITH A DIGITAL SCENE | 18 |
Gila Kamhi | IL | Zichron Yaakov | 2016-03-03 / 20160065860 - AUGMENTATION OF TEXTUAL CONTENT WITH A DIGITAL SCENE | 18 |
Andrew E. Kamholz | US | Seattle | 2012-06-07 / 20120138469 - MICROFLUIDIC DEVICES FOR TRANSVERSE ELECTROPHORESIS AND ISOELECTRIC FOCUSING | 1 |
John Kamholz | US | Grosse Pointe Park | 2014-12-18 / 20140371826 - LIGHT THERAPY TREATMENT | 1 |
John Kamholz | US | Grosse Pointe Woods | 2011-03-17 / 20110066213 - LIGHT THERAPY TREATMENT | 1 |
David Kamholz | US | Lyons | 2015-12-31 / 20150378580 - INTERACTION IN CHAIN VISUALIZATION | 7 |
John Kamholz | US | Coralville | 2015-09-03 / 20150246240 - LIGHT THERAPY TREATMENT | 1 |
Chikara Kami | JP | Tokyo | 2016-03-17 / 20160076124 - HIGH STRENGTH HOT ROLLED STEEL SHEET AND METHOD FOR MANUFACTURING THE SAME (AS AMENDED) | 9 |
Masayuki Kami | JP | Kanagawa | 2013-08-15 / 20130209148 - FIXING-UNIT ROLLER, FIXING UNIT, AND IMAGE FORMING APPARATUS | 1 |
Yoshiki Kami | JP | Hadano-Shi | 2016-03-10 / 20160069044 - WORK VEHICLE AND METHOD OF CONTROLLING WORK VEHICLE | 11 |
Hirofumi Kami | JP | Tokyo | 2011-05-05 / 20110102469 - INFORMATION STORAGE MEDIUM, IMAGE CONTROL DEVICE, AND IMAGE CONTROL METHOD | 1 |
Chikara Kami | JP | Kurashiki-Shi | 2014-05-29 / 20140144552 - THICK HIGH-TENSILE-STRENGTH HOT-ROLLED STEEL SHEET HAVING EXCELLENT LOW-TEMPERATURE TOUGHNESS AND MANUFACTURING METHOD THEREOF | 1 |
Chikara Kami | JP | Chiyoda-Ku | 2014-10-02 / 20140290807 - LOW-YIELD-RATIO HIGH-STRENGTH HOT-ROLLED STEEL PLATE WITH EXCELLENT LOW-TEMPERATURE TOUGHNESS AND PROCESS FOR PRODUCING SAME | 1 |
Toshihiro Kami | JP | Miyaki-Gun | 2014-10-09 / 20140298770 - METAL FILAMENT BODY CONNECTING METHOD AND CONNECTING DEVICE | 1 |
Kiyoshi Kami | JP | Kobe-Shi | 2012-01-05 / 20120000586 - PNEUMATIC TIRE | 1 |
Masahiko Kami | JP | Minato-Ku | 2013-11-14 / 20130303272 - VALUE COMPUTING SYSTEM AND A STORAGE MEDIUM STORING A COMPUTER PROGRAM FOR VALUE COMPUTING | 4 |
Yuuichi Kami | JP | Chiryu-City | 2014-10-30 / 20140318170 - HEAT PUMP CYCLE | 1 |
Hirokazu Kami | JP | Tokyo | 2009-10-01 / 20090249262 - BEHAVIORAL SYNTHESIS DEVICE, BEHAVIORAL SYNTHESIS METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Kenichiro Kami | JP | Kariya-Shi | 2013-07-18 / 20130181172 - CARBON NANAOSTRUCTURE, METAL-SUPPORTED CARBON NANOSTRUCTURE, LITHIUM-ION SECONDARY BATTERY, METHOD FOR PRODUCING CARBON NANOSTRUCTURE, AND METHOD FOR PRODUCING METAL-SUPPORTED CARBON NANOSTRUCTURE | 1 |
Chikara Kami | JP | Tokyo | 2016-03-17 / 20160076124 - HIGH STRENGTH HOT ROLLED STEEL SHEET AND METHOD FOR MANUFACTURING THE SAME (AS AMENDED) | 9 |
Chikara Kami | JP | Okayama | 2012-01-26 / 20120018056 - THICK-WALLED HIGH-STRENGTH HOT ROLLED STEEL SHEET HAVING EXCELLENT HYDROGEN INDUCED CRACKING RESISTANCE AND MANUFACTURING METHOD THEREOF | 2 |
Yosuke Kami | JP | Chiba-Shi | 2015-12-10 / 20150352842 - LIQUID JET HEAD AND LIQUID JET APPARATUS | 1 |
Masahiko Kami | JP | Tokyo | 2012-02-23 / 20120047068 - CHARGE PAYMENT SYSTEM USING VIRTUAL MONEY | 2 |
Nobuharu Kami | JP | Tokyo | 2016-02-25 / 20160057561 - DATA COLLECTION AND MANAGEMENT SYSTEM, DATA COLLECTION AND MANAGEMENT METHOD, TERMINAL, AND MANAGEMENT APPARATUS | 14 |
Yuuki Kami | JP | Hagagun | 2014-04-24 / 20140110054 - MANUFACTURING METHOD FOR COMPOSITE STRUCTURE | 1 |
Yoshinori Kami | JP | Matsuura | 2013-01-24 / 20130020791 - CURTAIN BAG | 3 |
Yoshiki Kami | JP | Hadano-Shi, Kanagawa | 2016-04-07 / 20160097184 - CONTROL SYSTEM FOR CONSTRUCTION MACHINE AND CONTROL METHOD | 1 |
Hidetoshi Kami | JP | Numazu-Shi | 2011-02-10 / 20110033203 - TONER, METHOD FOR MANUFACTURING THE TONER, DEVELOPER INCLUDING THE TONER, CONTAINER CONTAINING THE TONER, AND IMAGE FORMING METHOD AND APPARATUS AND PROCESS CARTRIDGE USING THE TONER | 11 |
Yoshiki Kami | JP | Hadano-Shi | 2016-03-10 / 20160069044 - WORK VEHICLE AND METHOD OF CONTROLLING WORK VEHICLE | 11 |
Nobuharu Kami | JP | Minato-Ku | 2013-02-28 / 20130054602 - CHARACTERISTIC POINT DETECTION SYSTEM, CHARACTERISTIC POINT DETECTION METHOD, AND PROGRAM | 1 |
Naoya Kami | JP | Fujisawa | 2016-03-17 / 20160078940 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 2 |
Kuniaki Kami | JP | Hachioji-Shi | 2013-12-26 / 20130342668 - MEDICAL INFORMATION RECORDING APPARATUS | 2 |
Kenichirou Kami | JP | Takahama-City | 2015-09-10 / 20150255817 - GAS SEPARATOR AND BATTERY SYSTEM HAVING THE SAME | 6 |
Nobuharu Kami | JP | Tokyo | 2016-02-25 / 20160057561 - DATA COLLECTION AND MANAGEMENT SYSTEM, DATA COLLECTION AND MANAGEMENT METHOD, TERMINAL, AND MANAGEMENT APPARATUS | 14 |
Hidetoshi Kami | JP | Shizuoka-Ken | 2011-08-18 / 20110200924 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR, AND IMAGE FORMING APPARATUS AND PROCESS CARTRIDGE USING THE PHOTORECEPTOR | 2 |
Hidetoshi Kami | JP | Shizuoka | 2015-12-03 / 20150346613 - PHOTOCONDUCTOR, IMAGE FORMING METHOD USING THE SAME, METHOD OF MANUFACTURING THE PHOTOCONDUCTOR, AND IMAGE FORMING APPARATUS | 7 |
Keiichi Kami | JP | Toyama-Ken | 2009-01-15 / 20090015108 - PIEZOELECTRIC ELECTROACOUSTIC TRANSDUCER | 1 |
Chikara Kami | JP | Chiba | 2016-03-03 / 20160060723 - HIGH STRENGTH HOT-ROLLED STEEL SHEET AND METHOD OF PRODUCING THE SAME | 4 |
George D. Kamian | US | Scotts Valley | 2015-11-05 / 20150315719 - HIGH-PRODUCTIVITY POROUS SEMICONDUCTOR MANUFACTURING EQUIPMENT | 17 |
George D. Kamian | US | Scotts Valley | 2015-11-05 / 20150315719 - HIGH-PRODUCTIVITY POROUS SEMICONDUCTOR MANUFACTURING EQUIPMENT | 17 |
Ryu Kamibaba | JP | Tokyo | 2015-04-16 / 20150102452 - SEMICONDUCTOR DEVICE | 1 |
Kazutoshi Kamibayashi | JP | Tokyo | 2014-07-24 / 20140204548 - SUBSTRATE WITH BUILT-IN PASSIVE ELEMENT | 2 |
Masashi Kamibayashi | JP | Matsumoto-Shi | 2015-11-12 / 20150321478 - LIQUID EJECTING APPARATUS AND MAINTENANCE METHOD | 15 |
Tooru Kamibayashi | JP | Chigasaki-Shi | 2008-12-04 / 20080298219 - INFORMATION STORAGE MEDIUM, INFORMATION REPRODUCING APPARATUS, INFORMATION REPRODUCING METHOD, AND NETWORK COMMUNICATION SYSTEM | 1 |
Hiroyuki Kamibayashi | JP | Arida-Shi | 2012-04-19 / 20120091999 - INSULATED PROBE PIN AND METHOD FOR FABRICATING THE SAME | 5 |
Tooru Kamibayashi | JP | Kanagawa | 2009-05-28 / 20090138404 - APPARATUS AND METHOD FOR RENDERING DIGITAL CONTENT | 2 |
Masakazu Kamibayashi | JP | Tokyo | 2013-10-03 / 20130259677 - STRUCTURE FOR NACELLE COVER CONNECTION PORTION OF WIND TURBINE GENERATOR | 3 |
Masashi Kamibayashi | JP | Shiojiri-Shi | 2009-12-10 / 20090304927 - Clear ink composition | 1 |
Yutaka Kamibayashi | JP | Kawasaki | 2010-03-11 / 20100061021 - STORAGE DEVICE, HEAD SUPPORT MECHANISM AND METHOD OF MANUFACTURING THEREOF | 1 |
Teruhiko Kamibayashi | JP | Kobe | 2010-05-06 / 20100110094 - DISPLAY CONTROL DEVICE, DISPLAY DEVICE, AND DISPLAY CONTROL METHOD | 1 |
Toshiya Kamibayashi | JP | Yokohama | 2010-09-30 / 20100244952 - GAIN CONTROL CIRCUIT AND ELECTRONIC VOLUME CIRCUIT | 1 |
Masashi Kamibayashi | JP | Matsumoto-Shi | 2015-11-12 / 20150321478 - LIQUID EJECTING APPARATUS AND MAINTENANCE METHOD | 15 |
Katsuyuki Kamibayashi | JP | Osaka-Shi | 2014-12-18 / 20140368095 - ENERGY CONVERSION DEVICE | 1 |
Katsuyuki Kamibayashi | JP | Osaka | 2014-12-18 / 20140368095 - ENERGY CONVERSION DEVICE | 1 |
Masami Kamibayashi | JP | Kokubunji | 2013-04-11 / 20130087285 - PLASMA ETCHING APPARATUS | 3 |
Takashi Kamibayashi | JP | Tokyo | 2015-10-01 / 20150274110 - AIRBAG DEVICE | 1 |
Tooru Kamibayashi | JP | Kanagawa-Ken | 2011-10-20 / 20110258295 - INFORMATION PROCESSING TERMINAL AND METHOD THEREOF | 1 |
Hiroyuki Kamibayashi | JP | Wakayama | 2014-03-06 / 20140066314 - COATED HIGH-TEMPERATURE SUPERCONDUCTING WIRE AND HIGH-TEMPERATURE SUPERCONDUCTING COIL INCLUDING THE SAME | 1 |
Teruhiko Kamibayashi | JP | Kobe-Shi | 2016-03-24 / 20160086308 - IMAGE PROCESSING APPARATUS | 3 |
Masashi Kamibayashi | JP | Matsumoto | 2015-09-10 / 20150252200 - INK COMPOSITION AND RECORDING APPARATUS | 1 |
Julian Kamibayashiyama | US | San Diego | 2011-09-29 / 20110232843 - SUBSTRATE PROCESSING APPARATUS WITH COMPOSITE SEAL | 2 |
Julian F. Kamibayashiyama | US | San Diego | 2011-11-10 / 20110272896 - PRECISION FORMED ARTICLE AND METHOD | 2 |
Julian Kamibayashiyama | US | Sandiego | 2014-06-26 / 20140175310 - SLIT VALVE ASSEMBLY HAVING A SPACER FOR MAINTAINING A GAP | 1 |
Shinichi Kamibeppu | JP | Kanagawa | 2012-12-06 / 20120306764 - ELECTRONIC APPARATUS | 2 |
Osamu Kamibeppu | JP | Kanagawa | 2015-03-19 / 20150078109 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Shinichi Kamibeppu | JP | Yokohama-Shi | 2011-03-31 / 20110078202 - COMMUNICATION TERMINAL, SEARCH SERVER AND COMMUNICATION SYSTEM | 1 |
Waka Kamichatani | JP | Tokyo | 2015-03-05 / 20150065617 - ADSORBENT CONTAINING CHELATING POLYMER | 2 |
Jumpei Kamichi | JP | Tokyo | 2012-07-26 / 20120187622 - SHEET POSITIONING DEVICE, SHEET STACKER, IMAGE FORMING APPARATUS, AND IMAGE SCANNER | 1 |
Kensuke Kamichi | JP | Toyota-Shi | 2012-03-22 / 20120072066 - HYBRID VEHICLE AND CONTROL METHOD THEREOF | 1 |
Kensuke Kamichi | JP | Nagakute-Shi | 2014-12-04 / 20140358347 - HYBRID VEHICLE | 2 |
Kensuke Kamichi | JP | Nakagute-Shi | 2014-11-27 / 20140350761 - HYBRID VEHICLE | 1 |
Kensuke Kamichi | JP | Aichi-Ken | 2010-03-25 / 20100076647 - INPUT/OUTPUT CONTROLLER FOR SECONDARY BATTERY AND VEHICLE | 4 |
Kensuke Kamichi | JP | Aichi-Gun | 2012-07-26 / 20120187759 - POWER SOURCE SYSTEM FOR ELECTRIC POWERED VEHICLE | 3 |
Junpei Kamichi | JP | Tokyo | 2015-09-10 / 20150253715 - IMAGE FORMING APPARATUS | 4 |
Sari Kamichi | JP | Yokohama-Shi | 2013-08-29 / 20130224829 - NOVEL LIPOXYGENASE DERIVED FROM LEMNA PAUCICOSTATA | 2 |
Yuji Kamichika | JP | Chino-Shi | 2011-09-15 / 20110221118 - SHEET MEMBER TRANSPORTING APPARATUS AND RECORDING APPARATUS HAVING SHEET MEMBER TRANSPORTING APPARATUS | 1 |
Akira Kamichika | JP | Aichi | 2008-08-28 / 20080203673 - Sealing Device With Sound Insulating Seal | 1 |
Takurou Kamichika | JP | Shizuoka | 2012-08-16 / 20120204824 - VALVE GEAR OF ENGINE | 1 |
Masahiro Kamida | JP | Tokyo | 2013-01-24 / 20130021536 - VIDEO DISPLAY APPARATUS, INFORMATION REPRODUCTION METHOD, AND INFORMATION REPRODUCTION APPARATUS | 1 |
Seiji Kamida | JP | Kyoto | 2014-07-24 / 20140203318 - LIGHT EMITTING ELEMENT AND LIGHT EMITTING ELEMENT PACKAGE | 1 |
Masahiro Kamida | JP | Saitama-Shi | 2015-02-05 / 20150040158 - RECEIVING DEVICE, TRANSMITTER AND TRANSMITTING/RECEIVING SYSTEM | 7 |
Osamu Kamida | JP | Tokyo | 2010-05-20 / 20100125131 - Gene Encoding A Guanine Nucleotide Exchange Factor And The Gene Product Thereof | 2 |
Yoshihide Kamida | JP | Shiga | 2010-11-04 / 20100277023 - ONE DIRECTIONAL ELECTRIFICATION-TYPE BRUSHLESS DC MOTOR PROVIDED WITH AC VOLTAGE OUTPUT WINDING AND MOTOR SYSTEM | 1 |
Sho Kamide | JP | Yokohama-Shi | 2016-05-05 / 20160127672 - ELECTRONIC APPARATUS | 4 |
Satoshi Kamide | JP | Inuyama-Shi | 2009-03-05 / 20090057066 - STACKER CRANE AND WEIGHT REDUCTION METHOD FOR MAST OF THE STACKER CRANE | 1 |
Kaeko Kamide | JP | Osaka | 2010-02-25 / 20100048487 - NOVEL CELL PENETRATING PEPTIDE | 1 |
Kaeko Kamide | JP | Osaka-Shi | 2012-03-15 / 20120064570 - NOVEL CELL PENETRATING PEPTIDE | 1 |
Neel Kumar Kamidi | US | San Jose | 2016-02-25 / 20160051876 - METHODS FOR INTELLIGENTLY THORWING A BALL TO A DYNAMICALLY DETECTED USER AND DEVICES THEREOF | 1 |
Ramidin Izair Kamidi | NL | Eindhoven | 2015-11-05 / 20150316860 - LITHOGRAPHIC APPARATUS, SUBSTRATE SUPPORT SYSTEM, DEVICE MANUFACTURING METHOD AND CONTROL PROGRAM | 7 |
Randall Kamien | US | Philadelphia | 2011-02-10 / 20110033672 - PATTERNING STRUCTURES USING DEFORMABLE SUBSTRATES | 1 |
David G. Kamien | US | Livingston | 2011-05-26 / 20110125839 - METHOD AND SYSTEM FOR ANALYZING INFORMATION TRANSFER AMONG A PLURALITY OF PARTIES | 2 |
Emil Kamieniecki | US | Bedford | 2013-10-03 / 20130257472 - ELECTRICAL CHARACTERIZATION OF SEMICONDUCTOR MATERIALS | 5 |
Carlos Alberto Kamienski | BR | Santo Andre | 2012-06-21 / 20120158931 - Method and Apparatus for the Execution of Adaptable Composed Computer-Implemented Services with Integrated Policies | 1 |
Kathleen M. Kamienski | US | Marlborough | 2016-02-04 / 20160034662 - SYSTEMS, METHODS, AND APPARATUS FOR IDENTIFYING AND MITIGATING POTENTIAL CHRONIC PAIN IN PATIENTS | 1 |
Paul W. Kamienski | US | Oakton | 2011-06-09 / 20110132803 - TWO STAGE HYDROPROCESSING WITH DIVIDED WALL COLUMN FRACTIONATOR | 1 |
Eisuke Kamiesu | JP | Osaka | 2010-09-09 / 20100225847 - IMAGE DISPLAY DEVICE | 3 |
Taiki Kamifuji | JP | Itano-Gun | 2011-08-11 / 20110195287 - PRISMATIC SEALED SECONDARY CELL AND METHOD OF MANUFACTURING THE SAME | 2 |
Fumitaka Kamifukumoto | JP | Kobe | 2010-08-19 / 20100205832 - SHOE HAVING LACE FITTING STRUCTURE | 1 |
Takeshi Kamigaichi | JP | Yokkaichi-Shi | 2015-09-17 / 20150263118 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Takeshi Kamigaichi | JP | Yokohama-Shi | 2016-03-03 / 20160064393 - NONVOLATILE SEMICONDUCTOR MEMORY HAVING A WORD LINE BENT TOWARDS A SELECT GATE LINE SIDE | 41 |
Shungo Kamigaichi | JP | Hiroshima-Shi | 2015-05-21 / 20150136480 - Protector | 5 |
Takeshi Kamigaichi | JP | Kanagawa-Ken | 2012-09-27 / 20120241910 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 5 |
Takeshi Kamigaichi | JP | Yokkaichi | 2016-03-10 / 20160071871 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Masami Kamigaito | JP | Nagoya-Shi | 2014-05-08 / 20140128597 - POLYSACCHARIDE DERIVATIVE AND SEPARATING AGENT FOR OPTICAL ISOMER CONTAINING THE SAME | 6 |
Satoru Kamigaito | JP | Omihachiman-Shi | 2012-12-13 / 20120311975 - FORM-FILL-SEAL MACHINE | 5 |
Naoya Kamigaito | JP | Kanagawa | 2015-01-15 / 20150016008 - GROUNDING STRUCTURE | 2 |
Yoshiki Kamigaito | JP | Otsu-Shi | 2013-10-24 / 20130281635 - POLYESTER COMPOSITION FOR SOLAR BATTERY AND METHOD FOR PRODUCING SAME | 1 |
Masami Kamigaito | JP | Aichi | 2010-04-22 / 20100099861 - SEPARATING AGENT FOR OPTICAL ISOMER | 3 |
Toshio Kamigaki | JP | Toyohashi-Shi | 2012-11-08 / 20120281875 - WAFER DETECTING APPARATUS | 1 |
Yoshiaki Kamigaki | JP | Takamatsu | 2014-07-17 / 20140198577 - SEMICONDUCTOR DEVICE | 5 |
Kousei Kamigaki | JP | Kagoshima | 2009-08-20 / 20090207556 - DIELECTRIC CERAMIC AND CAPACITOR | 1 |
Tetsuya Kamigaki | JP | Yokohama-Shi | 2012-11-22 / 20120292764 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Toshio Kamigaki | JP | Minato-Ku | 2012-10-04 / 20120253511 - ROBOT ARM APPARATUS | 1 |
Mamoru Kamigaki | JP | Otake-Shi | 2008-08-28 / 20080206566 - Black magnetic iron oxide particles | 1 |
Mamoru Kamigaki | JP | Hiroshima-Ken | 2012-03-15 / 20120064449 - BLACK MAGNETIC IRON OXIDE PARTICLES | 1 |
Yoshiaki Kamigaki | JP | Tokorozawa-Shi | 2008-10-16 / 20080254582 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE HAVING SINGLE-ELEMENT TYPE NON-VOLATILE MEMORY ELEMENTS | 1 |
Toshio Kamigaki | JP | Toyohashi | 2010-09-30 / 20100243867 - MAPPING MECHANISM, FOUP AND LOAD PORT | 1 |
Mamoru Kamigaki | JP | Hatsukaichi-Shi | 2009-01-08 / 20090007821 - Functional material, dispersion containing the functional material, and process for producing the functional material | 1 |
Takehiro Kamigama | JP | Tokyo | 2010-07-29 / 20100191896 - Solid state drive controller with fast NVRAM buffer and non-volatile tables | 1 |
Takehiro Kamigama | CN | Hong Kong | 2010-05-13 / 20100118438 - THIN-FILM MAGNETIC HEAD, METHOD OF MANUFACTURING THE SAME, HEAD GIMBAL ASSEMBLY AND HARD DISK DRIVE | 2 |
Takehiro Kamigama | CN | Shatin | 2009-02-12 / 20090042143 - Thin-film magnetic head structure, method of manufacturing the same, and thin-film magnetic head | 2 |
Hayato Kamigashima | JP | Kariya-City | 2009-02-12 / 20090038774 - Air conditioner for vehicle | 1 |
Hayato Kamigashima | JP | Aichi | 2010-03-11 / 20100062703 - DUCT INSTALLATION STRUCTURE | 1 |
Hayato Kamigashima | JP | Kariya-Shi | 2012-11-29 / 20120297573 - GROMMET | 3 |
Yasuo Kamigata | JP | Ibaraki | 2013-07-18 / 20130180769 - LAMINATE BODY, LAMINATE PLATE, MULTILAYER LAMINATE PLATE, PRINTED WIRING BOARD, AND METHOD FOR MANUFACTURE OF LAMINATE PLATE | 6 |
Yasuo Kamigata | JP | Tsukuba | 2011-02-03 / 20110027994 - POLISHING SLURRY FOR CMP | 1 |
Yasuo Kamigata | JP | Tsukuba-Shi | 2010-12-02 / 20100301265 - POLISHING SLURRY AND METHOD OF POLISHING | 1 |
Teruhiko Kamigata | JP | Kawasaki | 2010-01-07 / 20100005275 - MULTIPROCESSING SYSTEM | 2 |
Hidenori Kamigawa | JP | Osaka | 2008-09-18 / 20080222863 - SOLID ELECTROLYTIC CAPACITOR AND MANUFACTURING METHOD THEREFOR | 1 |
Akiko Kamigori | JP | Hyogo | 2009-02-12 / 20090039908 - MICROSTRUCTURE INSPECTING APPARATUS AND MICROSTRUCTURE INSPECTING METHOD | 1 |
Yuuzo Kamiguchi | JP | Yokohama | 2016-04-07 / 20160099015 - MAGNETIC HEAD, MAGNETIC RECORDING AND REPRODUCING APPARATUS, AND METHOD OF MANUFACTURING MAGNETIC HEAD | 5 |
Hiroki Kamiguchi | JP | Osaka | 2014-05-15 / 20140133068 - CAPACITOR | 8 |
Kinya Kamiguchi | JP | Kamakura-Shi | 2011-12-22 / 20110310555 - IMAGE DISPLAYING APPARATUS, HOLDING MEMBER THEREOF, AND IMAGE DISPLAYING SYSTEM | 16 |
Yuuzo Kamiguchi | JP | Kanagawa | 2013-10-03 / 20130259417 - LIGHT DEFLECTING ELEMENT | 2 |
Yuzo Kamiguchi | JP | Kanagawa-Ken | 2009-02-05 / 20090034134 - MAGNETORESISTANCE EFFECT ELEMENT, MAGNETIC HEAD AND MAGNETIC REPRODUCING APPARATUS | 1 |
Haruhiko Kamiguchi | JP | Maebashi-Shi | 2016-01-07 / 20160001813 - ELECTRIC POWER STEERING APPARATUS | 2 |
Yuuzo Kamiguchi | JP | Yokohama-Shi | 2015-01-29 / 20150030886 - MAGNETORESISTIVE ELEMENT, MAGNETIC HEAD, AND MAGNETIC RECORDING AND REPRODUCING APPARATUS | 6 |
Kinya Kamiguchi | JP | Tokyo | 2010-01-14 / 20100007265 - ANODE CAP, AND VOLTAGE SUPPLY UNIT AND IMAGE DISPLAY APPARATUS UTILIZING THE SAME | 3 |
Kenjiro Kamiguchi | JP | Hokkaido | 2011-10-27 / 20110262358 - MOLECULAR MARKER FOR CANCER STEM CELL | 1 |
Yuuzo Kamiguchi | JP | Kanagawa-Ken | 2015-04-09 / 20150096605 - THERMOELECTRIC CONVERTING THIN LINE, AND THERMOELECTRIC CONVERTING CLOTH FORMED USING THE SAME | 2 |
Kenjiro Kamiguchi | JP | Sapporo-Shi | 2014-02-13 / 20140044686 - MOLECULAR MARKER FOR CANCER STEM CELL | 1 |
Hiroki Kamiguchi | JP | Osaka | 2014-05-15 / 20140133068 - CAPACITOR | 8 |
Hiroyuki Kamiguchi | JP | Wako-Shi | 2013-06-06 / 20130142813 - ANTIBODY BINDING TO LYSOPHOSPHATIDYLGLUCOSIDE, AND COMPOSITION COMPRISING THE SAME | 1 |
Kyoko Kamihagi | JP | Shiga | 2010-09-30 / 20100248262 - Anti-Fibronectin Fragment Monoclonal Antibody | 1 |
Kazuaki Kamihara | JP | Tokyo | 2016-01-28 / 20160026109 - APPARATUS AND METHOD OF FORMING IMAGE | 10 |
Yasuhiro Kamihara | JP | Tokyo | 2011-04-07 / 20110080582 - VARIABLE SPECTROSCOPY DEVICE, SPECTROSCOPY APPARATUS, AND ENDOSCOPE SYSTEM | 5 |
Tetsuya Kamihara | JP | Yokohama-Shi | 2014-06-05 / 20140154600 - FUEL CELL SYSTEM | 1 |
Masatoshi Kamihara | JP | Tokyo | 2010-02-04 / 20100025392 - BAG FOR USE IN MICROWAVE OVEN AND HEAT/PRESSURE COOKING METHOD WITH THE BAG | 1 |
Testsuya Kamihara | JP | Yokohamna-Shi | 2013-09-26 / 20130249562 - INTERNAL RESISTANCE MEASUREMENT DEVICE AND METHOD FOR STACKED BATTERY | 1 |
Kumiko Kamihara | JP | Mito | 2013-10-10 / 20130266484 - AUTOMATIC ANALYZER | 8 |
Yoichi Kamihara | JP | Tokyo | 2011-05-12 / 20110111965 - LAYERED COMPOUND, SUPERCONDUCTOR AND METHOD FOR PRODUCING SAME | 3 |
Nobuyuki Kamihara | JP | Tokyo | 2016-02-25 / 20160052638 - FUEL TANK, MAIN WINGS, AIRCRAFT FUSELAGE, AIRCRAFT, AND MOVING BODY | 8 |
Nobuyuki Kamihara | JP | Tokyo | 2016-02-25 / 20160052638 - FUEL TANK, MAIN WINGS, AIRCRAFT FUSELAGE, AIRCRAFT, AND MOVING BODY | 8 |
Masanori Kamihara | JP | Hamamatsu-Shi | 2013-05-09 / 20130113837 - Parameter Controlling Apparatus | 5 |
Kumiko Kamihara | JP | Tokyo | 2015-12-17 / 20150362514 - AUTOMATIC ANALYZER | 7 |
Kazuaki Kamihara | JP | Ibaraki | 2015-06-11 / 20150160599 - IMAGE FORMING APPARATUS AND METHOD | 1 |
Kazuaki Kamihara | JP | Tokyo | 2016-01-28 / 20160026109 - APPARATUS AND METHOD OF FORMING IMAGE | 10 |
Masanori Kamihara | JP | Musashino-Shi | 2008-09-25 / 20080232614 - SOUND AMPLIFIER WITH SPEAKER | 1 |
Kumiko Kamihara | JP | Mito | 2013-10-10 / 20130266484 - AUTOMATIC ANALYZER | 8 |
Tetsuya Kamihara | JP | Kanagawa | 2009-08-06 / 20090197129 - FUEL CELL SYSTEM | 2 |
Yuko Kamihashi | JP | Yokohama-Shi | 2013-04-04 / 20130081393 - CONDENSER FOR AXIAL FLOW EXHAUST TYPE STEAM TURBINE AND GEOTHERMAL POWER PLANT HAVING THE SAME | 1 |
Susumu Kamihashi | JP | Yokohama-Shi | 2011-03-17 / 20110063184 - BASE SHEET | 7 |
Yasushi Kamihashi | JP | Tottori-Ken | 2008-12-25 / 20080314721 - Trigger switch | 1 |
Yasushi Kamihashi | JP | Tohaku-Gun | 2011-07-14 / 20110168420 - TRIGGER SWITCH AND ELECTRIC TOOL PROVIDED THEREWITH | 1 |
Masamichi Kamihira | JP | Fukuoka | 2009-03-05 / 20090064351 - TRANSGENIC BIRD PRODUCING ERYTHROPOIETIN AND METHOD OF CONSTRUCTING THE SAME | 1 |
Akito Kamihoriuchi | JP | Yamatokooriyama-Shi | 2011-04-07 / 20110081473 - FOOD INGREDIENT HAVING MILK TASTE-ENHANCING ACTION, PRODUCTION METHOD THEREOF, METHOD OF ENHANCING MILK TASTE OF FOOD OR SEASONING AND MILK TASTE-ENHANCED MILK-BASED HARD CANDY | 1 |
Toshihiro Kamii | JP | Osaka | 2015-09-10 / 20150253953 - MOBILE TERMINAL DEVICE, STORAGE MEDIUM AND LOCK CANCELLATION METHOD | 6 |
Toshihiro Kamii | JP | Kyoto-Shi | 2015-07-16 / 20150201056 - MOBILE TERMINAL DEVICE, NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM, AND CONTROL METHOD FOR MOBILE TERMINAL DEVICE | 1 |
Hisao Kamii | JP | Tokyo | 2008-09-04 / 20080213610 - Method of manufacturing iron member | 1 |
Yasuhiko Kamii | JP | Osaka-Shi | 2014-10-16 / 20140305320 - HEATING COOKER | 3 |
Toshihiro Kamii | JP | Daito-Shi | 2013-02-14 / 20130042202 - MOBILE TERMINAL DEVICE, STORAGE MEDIUM AND LOCK CACELLATION METHOD | 2 |
Miwa Kamii | JP | Osaka | 2011-06-02 / 20110128738 - ION GENERATING UNIT AND LIGHTING APPARATUS | 1 |
Yasuhiko Kamii | JP | Osaka | 2012-03-29 / 20120074124 - HEATING COOKING APPLIANCE | 1 |
Hideyuki Kamii | JP | Toyama | 2014-11-13 / 20140337595 - INFORMATION PROCESSING APPARATUS, AND INFORMATION PROCESSING METHOD | 2 |
Toshihiro Kamii | JP | Osaka-Shi | 2014-08-21 / 20140235228 - MOBILE TERMINAL DEVICE, AND CONTROL METHOD FOR MOBILE TERMINAL DEVICE | 6 |
Miwa Kamii | JP | Osaka-Shi | 2013-10-17 / 20130271039 - LIGHTING DEVICE | 3 |
Yasuhiro Kamii | JP | Saitama | 2008-12-18 / 20080308823 - OVERVOLTAGE-PROTECTED LIGHT-EMITTING SEMICONDUCTOR DEVICE, AND METHOD OF FABRICATION | 1 |
Kuniyoshi Kamiido | JP | Kariya-Shi | 2015-06-11 / 20150160050 - POSITION SENSOR MANUFACTURING METHOD AND POSITION SENSOR | 1 |
Masao Kamiji | JP | Tokyo | 2008-11-06 / 20080271910 - POLYGONAL OVERHEAD CABLE | 1 |
Koichi Kamiji | JP | Saitama | 2010-10-07 / 20100252351 - PEDESTRIAN PROTECTION AIRBAG DEVICE | 1 |
Keita Kamiji | JP | Tokyo | 2015-06-11 / 20150163836 - COMMUNICATION APPARATUS, COMMUNICATION SYSTEM, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Michiyuki Kamiji | JP | Osaka-Shi | 2015-01-22 / 20150023625 - ROLLER BEARING CAGE AND MANUFACTURING METHOD THEREFOR AS WELL AS ROLLER BEARING MANUFACTURING METHOD | 2 |
Michiyuki Kamiji | JP | Osaka | 2009-06-11 / 20090144977 - Method for manufacturing rocker arm | 1 |
Kouichi Kamijima | JP | Hitachi-Shi | 2014-05-29 / 20140147672 - ELECTRICALLY CONDUCTIVE PARTICLES AND PROCESS FOR PRODUCTION THEREOF | 1 |
Satoshi Kamijima | JP | Nagano | 2012-11-15 / 20120289012 - FABRICATION METHOD OF SEMICONDUCTOR DEVICE | 2 |
Kyoichi Kamijima | JP | Nagano-Ken | 2013-09-05 / 20130232257 - Network System, Network Apparatus, And Computer-Readable Storage Medium | 2 |
Kyoichi Kamijima | JP | Shiojiri-Shi | 2011-11-10 / 20110276814 - COMMUNICATION DEVICE | 5 |
Shunji Kamijima | JP | Hana-Mura | 2012-01-19 / 20120014106 - Light Source Having Wavelength Converter and Wavelength Separating Member for Reflecting Converted Light | 1 |
Shunji Kamijima | JP | Hara-Mura | 2014-06-05 / 20140152964 - ILLUMINATION DEVICE AND PROJECTOR | 17 |
Masayuki Kamijima | JP | Ina-Shi | 2012-05-17 / 20120120425 - PRINTING SYSTEM AND CORRESPONDENCE RELATIONSHIP INFORMATION CREATION METHOD | 1 |
Akifumi Kamijima | JP | Tokyo | 2010-03-25 / 20100074062 - HEAT-ASSISTED MAGNETIC RECORDING MEDIUM AND MAGNETIC RECORDING APPARATUS WITH THE MEDIUM | 11 |
Atsuhiko Kamijima | JP | Kanagawa | 2015-09-17 / 20150261253 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD AND RECORDING MEFIUM | 2 |
Yuki Kamijima | JP | Tokyo | 2014-09-18 / 20140280662 - CONTROL PROGRAM, STORAGE MEDIUM AND CONTROL METHOD | 5 |
Shunji Kamijima | JP | Nagano-Ken | 2010-12-16 / 20100315606 - Image display apparatus | 1 |
Motohiro Kamijima | JP | Chitose | 2010-01-14 / 20100006429 - SPUTTERING APPARATUS AND MANUFACTURING APPARATUS FOR LIQUID CRYSTAL DEVICE | 1 |
Junya Kamijima | JP | Osaka | 2012-08-16 / 20120210153 - ELECTRIC POWER CONTROL DEVICE AND ELECTRIC POWER CONTROL METHOD | 3 |
Atsuhiko Kamijima | JP | Kawasaki | 2011-09-29 / 20110234515 - TERMINAL DEVICE AND CONTROL PROGRAM THEREOF | 1 |
Koichi Kamijo | JP | Matsumoto | 2016-02-18 / 20160049574 - PIEZOELECTRIC DRIVING DEVICE, ROBOT, AND DRIVING METHOD OF THE SAME | 13 |
Shunsuke Kamijo | JP | Tokyo | 2012-02-23 / 20120045096 - MONITORING CAMERA TERMINAL | 2 |
Shunsuke Kamijo | JP | Bunkyo-Ku | 2013-06-27 / 20130164722 - BEHAVIOR ANALYSIS DEVICE | 2 |
Yusuke Kamijo | JP | Toyota-Shi | 2015-04-30 / 20150114322 - VEHICLE CONTROL APPARATUS | 7 |
Koichi Kamijo | JP | Nagano-Ken | 2009-05-28 / 20090136265 - Wire Bar, Method of Manufacturing Wire Bar, and Image Forming Apparatus | 1 |
Takeho Kamijo | JP | Matsumoto-Shi | 2016-02-11 / 20160039222 - Printing Apparatus | 1 |
Takashi Kamijo | JP | Ibaraki-Shi | 2016-02-18 / 20160047960 - THIN POLARIZING FILM, OPTICAL LAMINATE WITH THIN POLARIZING FILM, AND PRODUCTION METHOD FOR THIN POLARIZING FILM | 19 |
Ken'Ichi Kamijo | JP | Tokyo | 2015-10-29 / 20150310376 - DIAGNOSTIC ASSISTANCE SYSTEM, DIAGNOSTIC ASSISTANCE METHOD, AND RECORDING MEDIUM | 12 |
Koichi Kamijo | JP | Matsumoto-Shi | 2010-10-28 / 20100272480 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 16 |
Hiromichi Kamijo | JP | Ibi-Gun | 2015-12-03 / 20150351159 - CARBON HEATER, HEATER UNIT, FIRING FURNACE, AND METHOD FOR MANUFACTURING SILICON-CONTAINING POROUS CERAMIC FIRED BODY | 1 |
Takashi Kamijo | JP | Osaka | 2016-04-14 / 20160103258 - METHOD OF PRODUCING ROLL OF LAMINATE STRIP WITH POLARIZING FILM | 25 |
Motohisa Kamijo | JP | Kanagawa | 2012-02-16 / 20120040265 - FUEL CELL SYSTEM AND METHOD FOR CONTROLLING SAME | 1 |
Takahiro Kamijo | JP | Fujimi-Cho | 2016-02-18 / 20160049801 - POWER RECEPTION CONTROL DEVICE AND POWER RECEPTION CONTROL METHOD FOR NON-CONTACT POWER TRANSMISSION | 24 |
Katsuro Kamijo | JP | Minato-Ku | 2013-06-06 / 20130141440 - OPERATION SEQUENCE DISPLAY METHOD AND OPERATION SEQUENCE DISPLAY SYSTEM | 1 |
Takashi Kamijo | JP | Tokyo | 2015-08-06 / 20150217227 - CO2 RECOVERY DEVICE AND CO2 RECOVERY METHOD | 26 |
Yoshio Kamijo | JP | Matsumoto City | 2012-09-27 / 20120243546 - MULTIMEDIA DATA RECORDING/REPRODUCING DEVICE | 1 |
Kimitaka Kamijo | JP | Nagano-Ken | 2012-09-27 / 20120242745 - Fluid Ejection Device and Method of Manufacturing a Fluid Ejection Device | 1 |
Naohiro Kamijo | JP | Kanagawa | 2016-02-11 / 20160040985 - SPECIMEN MEASURING DEVICE AND COMPUTER PROGRAM PRODUCT | 15 |
Takashi Kamijo | JP | Osaka | 2016-04-14 / 20160103258 - METHOD OF PRODUCING ROLL OF LAMINATE STRIP WITH POLARIZING FILM | 25 |
Haruhiko Kamijo | JP | Hadano-City | 2014-12-18 / 20140371598 - CATHETER | 1 |
Noboru Kamijo | JP | Kanagawa-Ken | 2016-02-04 / 20160034799 - MANUFACTURING A PHYSICAL MEDIUM CONFIGURED TO STORE DATA | 11 |
Hiroaki Kamijo | JP | Aikoh-Gun | 2014-12-25 / 20140377973 - TERMINAL CAPABLE OF STOPPING FLOW OF OOZED CONDUCTIVE ADHESIVE, FLEXURE HAVING THE TERMINAL, AND HEAD SUSPENSION HAVING THE FLEXURE | 1 |
Takashi Kamijo | JP | Hiroshima | 2014-03-27 / 20140086799 - SYSTEM FOR COLLECTING CARBON DIOXIDE IN FLUE GAS | 8 |
Kenichiro Kamijo | JP | Nagano | 2010-01-14 / 20100007310 - BATTERY PACK, INFORMATION PROCESSING APPARATUS, CHARGE CONTROL SYSTEM, CHARGE CONTROL METHOD BY BATTERY PACK, AND CHARGE CONTROL METHOD BY CHARGE CONTROL SYSTEM | 2 |
Takashi Kamijo | JP | Hiroshima | 2014-03-27 / 20140086799 - SYSTEM FOR COLLECTING CARBON DIOXIDE IN FLUE GAS | 8 |
Naohiro Kamijo | JP | Tokyo | 2015-06-25 / 20150178950 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING SYSTEM, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Yuichi Kamijo | JP | Tokyo | 2015-12-17 / 20150364751 - GRAPHITE POWER FOR NEGATIVE ELECTRODE ACTIVE MATERIAL OF LITHIUM-ION SECONDARY BATTERY | 3 |
Atsushi Kamijo | JP | Saitama | 2015-01-15 / 20150015118 - PIEZOELECTRIC DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Koichi Kamijo | JP | Kanagawa | 2010-04-01 / 20100080385 - ENCRYPTED COMMUNICATION FOR SELECTIVELY DELIVERING A MESSAGE TO MULTIPLE DECRYPTING DEVICES | 2 |
Tomotsuna Kamijo | JP | Takasago-Shi | 2015-08-13 / 20150228387 - POWDER FOR POWDER MAGNETIC CORE, AND POWDER MAGNETIC CORE | 6 |
Haruo Kamijo | JP | Shiojiri-Shi | 2009-06-25 / 20090160882 - INTEGRATED CIRCUIT DEVICE, ELECTRO-OPTICAL DEVICE, AND ELECTRONIC INSTRUMENT | 7 |
Masayoshi Kamijo | JP | Nagano | 2010-06-10 / 20100139395 - METHOD FOR EVALUATING AN INSTRUMENT OPERATING FORCE | 3 |
Masayoshi Kamijo | JP | Ueda | 2010-07-15 / 20100179764 - METHOD, APPARATUS, AND PROGRAM FOR EVALUATING DRIVABILITY OF A VEHICLE | 1 |
Haruo Kamijo | JP | Kanagawa | 2010-01-28 / 20100021097 - Rolling Device | 1 |
Noboru Kamijo | JP | Kanagawa-Ken | 2016-02-04 / 20160034799 - MANUFACTURING A PHYSICAL MEDIUM CONFIGURED TO STORE DATA | 11 |
Takahiro Kamijo | JP | Matsumoto | 2016-03-17 / 20160075137 - LIQUID EJECTION HEAD AND LIQUID EJECTION APPARATUS | 9 |
Satoshi Kamijo | JP | Nishikamo-Gun | 2010-08-19 / 20100210412 - CONTROL APPARATUS AND CONTROL METHOD FOR CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Noboru Kamijo | JP | Kanagawa | 2010-11-11 / 20100287057 - SELF SHOPPING SUPPORT BY GETTING CONTENTS FROM ELECTRONIC SHELF LABELS | 1 |
Junichi Kamijo | JP | Shizuoka-Ken | 2008-10-23 / 20080260312 - Motor having improved mechanism | 1 |
Kaori Kamijo | JP | Ibaraki | 2011-01-20 / 20110015198 - DIARYLMETHYLAMIDE DERIVATIVE HAVING MELANIN-CONCENTRATING HORMONE RECEPTOR ANTAGONISM | 1 |
Takeho Kamijo | JP | Matsumoto | 2011-03-03 / 20110050772 - PRINTING APPARATUS USING PLURAL COLOR INKS INCLUDING WHITE COLOR INK AND PRINTING METHOD THEREOF | 1 |
Naohiro Kamijo | JP | Atsugi-Shi | 2011-03-17 / 20110063615 - SPECTRAL DISTRIBUTION MEASURING DEVICE | 1 |
Naohiro Kamijo | JP | Kanagawa | 2016-02-11 / 20160040985 - SPECIMEN MEASURING DEVICE AND COMPUTER PROGRAM PRODUCT | 15 |
Takashi Kamijo | JP | Hiroshima-Ken | 2011-05-12 / 20110107916 - SYSTEM FOR RECOVERING CARBON DIOXIDE FROM FLUE GAS | 1 |
Koichi Kamijo | JP | Matsumoto | 2016-02-18 / 20160049574 - PIEZOELECTRIC DRIVING DEVICE, ROBOT, AND DRIVING METHOD OF THE SAME | 13 |
Atsushi Kamijo | JP | Tokyo | 2010-06-17 / 20100151608 - METHOD OF MANUFACTURING A SUBSTRATE FOR ORGANIC ELECTROLUMINESCENT DEVICE | 3 |
Kazunori Kamijo | JP | Tokyo | 2013-11-07 / 20130296329 - QUINOXALINE COMPOUND | 6 |
Mitsuru Kamijo | JP | Tokyo | 2010-05-06 / 20100110279 - DIGITAL CAMERA | 1 |
Yasuyuki Kamijo | JP | Tokyo | 2010-08-19 / 20100206498 - METHOD OF DEINKING RECOVERED PAPER | 1 |
Kumiko Kamijo | JP | Tokyo | 2009-07-09 / 20090174894 - PRINTING CONTROL SYSTEM, PRINTING CONTROL SERVER, IMAGE FORMING APPARATUS, PROGRAM, AND PRINTING CONTROL METHOD | 1 |
Yukiko Kamijo | JP | Kanagawa | 2016-03-24 / 20160085184 - TUBULAR MEMBER, TUBULAR MEMBER UNIT, INTERMEDIATE TRANSFER MEMBER, AND IMAGE FORMING APPARATUS | 2 |
Shin Kamijo | JP | Tokyo | 2009-01-22 / 20090023579 - Ligand, Method for Producing the Same, and Catalyst Using the Ligand | 1 |
Hiyroyuki Kamijo | JP | Tokyo | 2008-09-18 / 20080226263 - Digest Watching System, and Reproduction Controlling Apparatus for Digest Watching | 1 |
Mitsuhiro Kamijo | JP | Minamitsuru-Gun | 2016-03-24 / 20160085235 - NUMERICAL CONTROL DEVICE | 2 |
Takashi Kamijo | JP | Tokyo | 2015-08-06 / 20150217227 - CO2 RECOVERY DEVICE AND CO2 RECOVERY METHOD | 26 |
Takuma Kamijo | JP | Toyota-Shi | 2015-05-14 / 20150132895 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Takashi Kamijo | JP | Ibaraki-Shi | 2016-02-18 / 20160047960 - THIN POLARIZING FILM, OPTICAL LAMINATE WITH THIN POLARIZING FILM, AND PRODUCTION METHOD FOR THIN POLARIZING FILM | 19 |
Yasuhiro Kamijo | JP | Kanagawa | 2015-04-23 / 20150108705 - TRANSPORT MECHANISM AND IMAGE FORMING APPARATUS | 1 |
Takeshi Kamijo | JP | Tokyo | 2015-10-29 / 20150311002 - Nonaqueous Lithium Storage Element | 1 |
Takashi Kamijo | JP | Onomichi-Shi, Hiroshima | 2015-11-05 / 20150316696 - OPTICAL LAMINATE WITH POLARIZING FILM | 1 |
Motohisa Kamijo | JP | Kamakura-Shi | 2013-11-14 / 20130302708 - FUEL CELL POWER GENERATION SYSTEM AND METHOD OF CONTROLLING FUEL CELL POWER GENERATION SYSTEM | 1 |
Koichi Kamijo | JP | Kanagawa-Ken | 2012-05-17 / 20120123887 - SYSTEMS AND METHODS FOR FACE-TO-FACE MOBILE PHONE MERCANTILE TRANSACTIONS | 1 |
Yu Kamijo | JP | Saitama | 2015-11-12 / 20150323729 - LIGHT EMITTING DEVICE, SURFACE LIGHT SOURCE DEVICE AND DISPLAY APPARATUS | 1 |
Noboru Kamijo | JP | Fujisawa-Shi | 2013-02-28 / 20130048708 - MOBILE PRODUCT ADVISOR | 1 |
Eiji Kamijo | JP | Otsu-Shi | 2012-11-22 / 20120292769 - SEMICONDUCTOR ELEMENT MOUNTING MEMBER, METHOD OF PRODUCING THE SAME, AND SEMICONDUCTOR DEVICE | 1 |
Noboru Kamijo | JP | Tokyo | 2013-05-23 / 20130132218 - POS INTERFACE (IF) EMULATOR | 6 |
Akira Kamijo | JP | Nagano-Shi | 2014-08-28 / 20140242729 - SUBSTRATE WARP CORRECTING DEVICE AND SUBSTRATE WARP CORRECTING METHOD | 1 |
Hirotaka Kamijo | JP | Toyota-Shi | 2014-05-22 / 20140137702 - VEHICLE CONTROL SYSTEM | 2 |
Makoto Kamijo | JP | Tokyo | 2012-05-10 / 20120114331 - PASSIVE OPTICAL NETWORK SYSTEM AND SLEEP TIME DETERMINATION METHOD | 1 |
Yasuhito Kamijo | JP | Yokohama-Shi | 2011-10-13 / 20110250472 - SHIELDING GAS FOR MAG WELDING, MAG WELDING METHOD, AND WELDED STRUCTURE | 1 |
Takahiro Kamijo | JP | Matsumoto-Shi | 2015-10-08 / 20150283812 - Liquid Ejecting Head and Liquid Ejecting Apparatus | 4 |
Takahiro Kamijo | JP | Matsumoto | 2016-03-17 / 20160075137 - LIQUID EJECTION HEAD AND LIQUID EJECTION APPARATUS | 9 |
Takashi Kamijo | JP | Fukaya-Shi, Saitama | 2014-07-17 / 20140198599 - MIXER TRUCK | 1 |
Yoshitake Kamijo | JP | Yokohama | 2016-04-21 / 20160111951 - POWER CONVERSION DEVICE, CONTROL METHOD THEREOF, ROTATION SENSORLESS CONTROL DEVICE, AND CONTROL METHOD THEREOF | 1 |
Noboru Kamijo | JP | Fujisawa | 2015-12-17 / 20150363798 - METHOD, COMPUTER SYSTEM AND COMPUTER PROGRAM FOR ESTIMATING PURCHASE BEHAVIOR OF CUSTOMER IN STORE OR ACROSS STORES | 1 |
Kimitaka Kamijo | JP | Nagano | 2012-07-26 / 20120188496 - LIQUID CRYSTAL DISPLAY PANEL | 1 |
Takahiro Kamijo | JP | Fujimi-Machi | 2015-04-16 / 20150105678 - BIOLOGICAL INFORMATION MEASUREMENT DEVICE | 1 |
Yu Kamijo | JP | Fujiyoshida-Shi | 2013-03-21 / 20130069518 - LIGHT-EMITTING DEVICE | 1 |
Takashi Kamijo | JP | Ibasaki-Shi | 2013-08-22 / 20130216805 - ADHESIVE OPTICAL FILM, MANUFACTURING METHOD FOR THE SAME AND IMAGE DISPLAY DEVICE USING THE SAME | 1 |
Takahiro Kamijo | JP | Nagano-Ken | 2014-02-13 / 20140043401 - Liquid Ejecting Head and Liquid Ejecting Apparatus | 1 |
Shinya Kamijo | JP | Ibaraki | 2013-01-03 / 20130002781 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Kenji Kamijo | JP | Hiroshima | 2013-11-21 / 20130307328 - HARNESS ARRANGEMENT STRUCTURE OF VEHICLE | 1 |
Kaori Kamijo | JP | Tsukuba-Shi | 2014-01-02 / 20140005185 - NOVEL IMIDAZO-OXAZINE COMPOUND OR SALT THEREOF | 1 |
Masayuki Kamijo | JP | Kanagawa | 2012-01-19 / 20120015073 - METHOD FOR CULTURING LACTIC ACID BACTERIUM AND METHOD FOR PRODUCING FERMENTED MILK | 1 |
Hideaki Kamijo | JP | Saitama | 2008-10-23 / 20080259314 - Inspection Device | 1 |
Kimitaka Kamijo | JP | Shiojiri-Shi | 2015-08-20 / 20150231886 - PRINTER AND PRINTING METHOD | 3 |
Kimitaka Kamijo | JP | Tottori | 2008-11-20 / 20080284954 - Display device | 1 |
Takahiro Kamijo | JP | Nagano | 2008-12-04 / 20080297107 - Contactless power transferring coil unit, mobile terminal, power transmitting apparatus, and contactless power transferring system | 1 |
Kimitaka Kamijo | JP | Tottori-Shi | 2009-01-08 / 20090009448 - Display device | 1 |
Koichi Kamijoh | JP | Kanagawa-Ken | 2010-01-14 / 20100008600 - DISPLAY METHOD AND DISPLAY APPARATUS | 2 |
Noboru Kamijoh | JP | Kanagawa-Ken | 2009-02-26 / 20090050700 - Adding and detecting bar code printed with ink invisible to human eye onto printed medium | 2 |
Kohichi Kamijoh | JP | Tokyo | 2015-10-08 / 20150288723 - PROCESSING INFORMATION BASED ON POLICY INFORMATION OF A TARGET USER | 6 |
Kohichi Kamijoh | JP | Kanagawa-Ken | 2016-02-04 / 20160034799 - MANUFACTURING A PHYSICAL MEDIUM CONFIGURED TO STORE DATA | 12 |
Kohichi Kamijoh | JP | Kanagawa-Ken | 2016-02-04 / 20160034799 - MANUFACTURING A PHYSICAL MEDIUM CONFIGURED TO STORE DATA | 12 |
Yuuichi Kamijou | JP | Tokyo | 2014-11-13 / 20140335428 - GRAPHITE MATERIAL, CARBON MATERIAL FOR BATTERY ELECTRODE, AND BATTERY | 3 |
Takashi Kamijou | JP | Osaka | 2010-06-03 / 20100134737 - LIQUID CRYSTAL PANEL, LIQUID CRYSTAL DISPLAY APPARATUS USING THE PANEL, AND METHOD OF PRODUCING A LIQUID CRYSTAL PANEL | 3 |
Yuuichi Kamijou | JP | Minato-Ku | 2014-08-21 / 20140231707 - GRAPHITE MATERIAL, METHOD FOR PRODUCING SAME, CARBON MATERIAL FOR BATTERY ELECTRODES, AND BATTERY | 1 |
Takashi Kamijou | JP | Ibaraki-Shi | 2010-09-09 / 20100225854 - LIQUID CRYSTAL PANEL AND LIQUID CRYSTAL DISPLAY APPARATUS USING THE PANEL | 2 |
Kaori Kamijyo | JP | Tsukuba | 2009-05-28 / 20090137597 - Novel quinoxalinone derivatives | 1 |
Katsushi Kamijyo | JP | Shizuoka-Ken | 2014-05-22 / 20140139912 - OPTICAL MEMBER | 1 |
Masanori Kamijyo | JP | Nagano | 2014-02-27 / 20140055538 - INK CARTRIDGE, AND INK-JET RECORDING APPARATUS USING THE SAME | 2 |
Masashi Kamijyo | JP | Naka | 2015-03-05 / 20150067434 - RELAY DEVICE AND RELAY METHOD | 3 |
Katsushi Kamijyo | JP | Shizuoka | 2014-04-03 / 20140091419 - OPTICAL FILTER, SOLID-STATE IMAGING ELEMENT, IMAGING DEVICE LENS AND IMAGING DEVICE | 1 |
Kazunori Kamijyo | JP | Tokyo | 2010-11-11 / 20100286033 - NEW CYCLIC PEPTIDE COMPOUNDS | 2 |
Katsushi Kamijyo | JP | Haibara-Gun | 2014-09-04 / 20140247480 - GLASS MEMBER WITH OPTICAL MULTILAYERED NEAR INFRARED CUT FILTER GLASS | 2 |
Nao Kamikage | JP | Osaka | 2010-11-04 / 20100279133 - SHRINK FILM AND CYLINDRICAL SHRINK LABEL | 1 |
Tadafumi Kamikake | JP | Kyoto | 2011-04-28 / 20110096967 - Biological Imaging Device | 1 |
Mitsuru Kamikatano | JP | Tokyo | 2011-03-03 / 20110051393 - DISPLAY DEVICE | 2 |
Mitsuru Kamikatano | JP | Sakura-Shi | 2013-10-10 / 20130265802 - LIGHT-EMITTING DEVICE | 6 |
Mitsuru Kamikatano | JP | Chiba | 2011-01-20 / 20110013411 - Door Mirror Device | 1 |
Takeshi Kamikawa | JP | Osaka | 2016-02-04 / 20160036197 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE | 8 |
Noriyuki Kamikawa | JP | Kobe-Shi | 2011-07-28 / 20110181540 - NAVIGATION SYSTEM | 7 |
Susumu Kamikawa | JP | Hiroshima-Shi | 2014-03-27 / 20140084524 - PARTIALLY-REDUCED IRON PRODUCING APPARATUS | 4 |
Kazuhisa Kamikawa | JP | Osaka | 2011-07-14 / 20110172249 - METHOD FOR IMPROVING ABSORBABILITY OF PREPARATION, AND PREPARATION HAVING IMPROVED ABSORBABILITY | 2 |
Koji Kamikawa | JP | Aichi | 2011-07-28 / 20110182682 - CUTTING INSERT AND CUTTING TOOL | 1 |
Masayuki Kamikawa | JP | Ibaraki | 2014-04-24 / 20140114095 - GLYCERIN PURIFICATION METHOD | 1 |
Masayuki Kamikawa | JP | Hitachinaka-Shi | 2014-01-23 / 20140024793 - POLYESTER PRODUCTION PROCESS AND APPARATUS | 1 |
Yuji Kamikawa | JP | Kanagawa | 2014-02-27 / 20140056609 - CLEANING DEVICE AND IMAGE FORMING APPARATUS | 2 |
Yuji Kamikawa | JP | Koshi-Shi | 2012-12-13 / 20120312332 - LIQUID PROCESSING APPARATUS, LIQUID PROCESSING METHOD, AND STORAGE MEDIUM | 4 |
Yuji Kamikawa | JP | Tosu-Shi | 2014-12-04 / 20140356106 - SUBSTRATE PROCESSING APPARATUS | 4 |
Yumi Kamikawa | JP | Kitaadachi-Gun | 2016-04-21 / 20160108292 - DOUBLE-SIDED ADHESIVE TAPE | 1 |
Takeshi Kamikawa | JP | Osaka-Shi | 2015-12-24 / 20150372165 - PHOTOELECTRIC CONVERTING ELEMENT | 7 |
Makoto Kamikawa | JP | Tokyo | 2011-09-15 / 20110222053 - FRONT PLATE FOR DISPLAY, DISPLAY DEVICE, AND METHOD AND APPARATUS FOR MANUFACTURING FRONT PLATE FOR DISPLAY | 1 |
Masayuki Kamikawa | JP | Tokyo | 2015-10-22 / 20150299385 - DEVICE AND METHOD FOR PRODUCING POLYESTER | 2 |
Yuji Kamikawa | JP | Saga-Ken | 2010-06-17 / 20100147335 - LIQUID PROCESSING APPARATUS, LIQUID PROCESSING METHOD, AND STORAGE MEDIUM | 3 |
Yuji Kamikawa | JP | Tosu City | 2010-04-22 / 20100095981 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 2 |
Yuji Kamikawa | JP | Tosu City Saga | 2012-12-20 / 20120323052 - EVAPORATOR, EVAPORATION METHOD AND SUBSTRATE PROCESSING APPARATUS | 2 |
Yuji Kamikawa | JP | Saga | 2014-01-23 / 20140020849 - EVAPORATOR, EVAPORATION METHOD AND SUBSTRATE PROCESSING APPARATUS | 2 |
Yuji Kamikawa | JP | Tokyo | 2013-06-20 / 20130152964 - SUBSTRATE CLEANING METHOD, SUBSTRATE CLEANING SYSTEM AND PROGRAM STORAGE MEDIUM | 1 |
Takahiro Kamikawa | JP | Tokyo | 2015-04-02 / 20150092268 - IMAGE DISPLAY APPARATUS | 4 |
Yuko Kamikawa | JP | Ibaraki-Shi | 2015-05-21 / 20150139925 - COSMETIC COMPOSITION FOR KERATIN FIBERS | 1 |
Takahiro Kamikawa | JP | Kanagawa | 2010-03-25 / 20100074074 - METHOD AND APPARATUS FOR RECORDING OPTICAL INFORMATION, AND METHOD AND APPARATUS FOR REPRODUCING OPTICAL INFORMATION | 1 |
Hiroo Kamikawa | JP | Kyoto | 2015-12-31 / 20150376377 - SEMIAROMATIC POLYAMIDE RESIN COMPOSITION AND MOLDED BODY FORMED BY MOLDING SAME | 9 |
Toshihiko Kamikawa | JP | Aichi | 2012-04-12 / 20120086263 - AUTOMOTIVE WHEEL | 1 |
Takeshi Kamikawa | JP | Tenri-Shi | 2009-09-24 / 20090238229 - NITRIDE SEMICONDUCTOR LASER ELEMENT AND EXTERNAL-CAVITY SEMICONDUCTOR LASER DEVICE | 5 |
Akio Kamikawa | JP | Chuo-Ku | 2014-12-18 / 20140371196 - DIAMINO HETEROCYCLIC CARBOXAMIDE COMPOUND | 1 |
Akio Kamikawa | JP | Tokyo | 2013-04-18 / 20130096100 - DI(ARYLAMINO)ARYL COMPOUND | 7 |
Yoji Kamikawa | JP | Kyoto | 2014-05-22 / 20140143832 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, STORAGE MEDIUM AND INFORMATION PROCESSING METHOD | 2 |
Tomohiro Kamikawa | JP | Okazaki-Shi | 2008-12-04 / 20080297724 - Ophthalmic apparatus and a method to determine power of an intraocular lens | 1 |
Takeshi Kamikawa | JP | Nara-Shi | 2012-11-01 / 20120274673 - LIGHT EMITTING APPARATUS, METHOD FOR DRIVING THE LIGHT EMITTING APPARATUS, AND DISPLAY APPARATUS INCLUDING THE LIGHT EMITTING APPARATUS | 2 |
Tracy L. Kamikawa | US | Centreville | 2012-01-19 / 20120015344 - Methods, compositions, and apparatus for the detection of viral strains | 1 |
Susumu Kamikawa | JP | Tokyo | 2009-07-09 / 20090173279 - VACUUM VAPOR DEPOSITION APPARATUS | 2 |
Toshimi Kamikawa | JP | Tokyo | 2009-02-12 / 20090039369 - SEMICONDUCTOR LIGHT EMITTING APPARATUS | 1 |
Shuji Kamikawa | JP | Tokyo | 2010-07-15 / 20100178363 - AGENT FOR PREVENTING BLEEDING FROM CEREBRAL CORTICAL VEIN | 1 |
Nobuhisa Kamikawa | JP | Amagasaki-Shi | 2012-11-29 / 20120297757 - Hydrostatic Stepless Transmission | 1 |
Masayuki Kamikawa | JP | Hitachinaka | 2013-12-19 / 20130338382 - REACTION APPARATUS AND METHOD USING SUPERCRITICAL WATER OR SUBCRITICAL WATER | 13 |
Yuki Kamikawa | JP | Hitachinaka | 2013-10-03 / 20130255547 - PULVERIZED COAL-FIRED BOILER AND PULVERIZED COAL BURNING METHOD | 4 |
Yoshiaki Kamikawa | JP | Kasugai-Shi | 2011-12-01 / 20110290583 - SOUND-INSULATING LAMINATED STRUCTURE AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Hiroshi Kamikawa | JP | Shizuoka | 2010-05-06 / 20100112474 - PHOTOSENSITIVE COMPOSITION, PHOTOSENSITIVE FILM, METHOD FOR FORMING A PERMANENT PATTERN, AND PRINTED BOARD | 1 |
Yoshiaki Kamikawa | JP | Aichi | 2010-04-29 / 20100101891 - SOUND-INSULATING LAMINATED STRUCTURE AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Naohide Kamikawa | JP | Toyokawa-Shi | 2014-03-06 / 20140060379 - VEHICLE-BODY INCLINATION DEVICE AND DOUBLE-LAYER THREE-WAY VALVE USED IN VEHICLE-BODY INCLINATION DEVICE | 3 |
Susumu Kamikawa | JP | Hiroshima | 2009-05-28 / 20090133629 - IN-LINE FILM-FORMATION APPARATUS | 1 |
Masayuki Kamikawa | JP | Hitachi | 2009-04-30 / 20090111954 - POLYMER PRODUCING METHOD AND APPARATUS AND POLYMER DEGASSING METHOD AND APPARATUS | 1 |
Yoshikazu Kamikawa | JP | Okazaki-Shi, Aichi-Ken | 2016-04-28 / 20160118185 - REACTOR AND MANUFACTURING METHOD OF REACTOR | 2 |
Mitsuru Kamikawa | JP | Tochigi-Ken | 2008-09-04 / 20080210352 - Method for Heat-Treating Steel Material | 1 |
Yoshikazu Kamikawa | JP | Obu-City, Aichi-Pref. | 2016-04-28 / 20160118185 - REACTOR AND MANUFACTURING METHOD OF REACTOR | 1 |
Tsuyoshi Kamikawa | JP | Nara | 2008-10-23 / 20080258541 - Bearing Device for Wheel | 1 |
Tsuyoshi Kamikawa | JP | Nara-Shi | 2013-01-03 / 20130000124 - BEARING DEVICE FOR VEHICLE AND METHOD OF MANUFACTURING THE SAME | 10 |
Masayuki Kamikawa | JP | Hitachinaka | 2013-12-19 / 20130338382 - REACTION APPARATUS AND METHOD USING SUPERCRITICAL WATER OR SUBCRITICAL WATER | 13 |
Yoshikazu Kamikawa | JP | Okazaki-Shi | 2014-08-07 / 20140218156 - REACTOR | 1 |
Takeshi Kamikawa | JP | Hiroshima | 2011-01-13 / 20110007770 - Nitride semiconductor light-emitting device and method for fabrication thereof | 10 |
Tsuyoshi Kamikawa | JP | Nara-Shi | 2013-01-03 / 20130000124 - BEARING DEVICE FOR VEHICLE AND METHOD OF MANUFACTURING THE SAME | 10 |
Takahiro Kamikawa | JP | Kanagawa-Ken | 2008-10-16 / 20080254262 - OPTICAL RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME | 1 |
Takeshi Kamikawa | JP | Mihara-Shi | 2012-01-19 / 20120015465 - Nitride semiconductor light emitting device, method of manufacturing nitride semiconductor light emitting device, and nitride semiconductor transistor device | 8 |
Takashi Kamikawa | JP | Nara | 2011-11-10 / 20110275859 - METHOD FOR MANUFACTURING CONJUGATED AROMATIC COMPOUND | 7 |
Takashi Kamikawa | JP | Nara-Shi | 2011-02-24 / 20110046336 - TRANSITION METAL COMPLEX AND PROCESS FOR PRODUCING CONJUGATED AROMATIC COMPOUND USING THE TRANSITION METAL COMPLEX | 4 |
Naoki Kamikawa | JP | Nishio-Shi | 2014-02-27 / 20140056388 - RECEIVER CIRCUIT | 1 |
Yuji Kamikawa | JP | Koshi-City | 2013-12-05 / 20130319470 - NOZZLE CLEANING DEVICE, NOZZLE CLEANING METHOD, AND SUBSTRATE PROCESSING APPARATUS | 3 |
Takahiro Kamikawa | JP | Kawasaki-Shi | 2009-09-17 / 20090231979 - OPTICAL RECORDING MEDIUM | 5 |
Taketomi Kamikawa | JP | Shiojiri | 2012-01-26 / 20120018635 - THERMAL DETECTOR, THERMAL DETECTION DEVICE, AND ELECTRONIC INSTRUMENT | 2 |
Takeshi Kamikawa | JP | Mihara-Shi | 2012-01-19 / 20120015465 - Nitride semiconductor light emitting device, method of manufacturing nitride semiconductor light emitting device, and nitride semiconductor transistor device | 8 |
Koji Kamikawa | JP | Nagoya | 2014-07-10 / 20140194026 - MANUFACTURING METHOD OF MAIN METAL FITTING FOR SPARK PLUG AND MANUFACTURING METHOD OF SPARK PLUG | 1 |
Hiroo Kamikawa | JP | Kyoto | 2015-12-31 / 20150376377 - SEMIAROMATIC POLYAMIDE RESIN COMPOSITION AND MOLDED BODY FORMED BY MOLDING SAME | 9 |
Yuji Kamikawa | JP | Kumamoto | 2011-10-13 / 20110247662 - SUBSTRATE PROCESSING APPARATUS | 2 |
Takashi Kamikawa | JP | Osaka-Shi | 2015-11-12 / 20150322199 - METHOD FOR PRODUCING AROMATIC COMPOUND | 2 |
Taketo Kamikawa | JP | Funabashi | 2013-07-18 / 20130185771 - NETWORK SYSTEM | 1 |
Takeshi Kamikawa | JP | Osaka | 2016-02-04 / 20160036197 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE | 8 |
Yoshikazu Kamikawa | JP | Aichi | 2012-08-16 / 20120207970 - COMPOSITE MOLDED ARTICLE | 1 |
Taro Kamiki | JP | Kanagawa-Ken | 2009-02-05 / 20090037480 - OPTIMIZATION OF TRACE OUTPUT TIMING BASED ON DISK OPERATING CONDITIONS AND TRANSACTION CHARACTERISTIC | 1 |
Yasuaki Kamiki | JP | Kitakyushu-Shi | 2013-09-12 / 20130234538 - ARMATURE OF LINEAR MOTOR, LINEAR MOTOR AND METHOD OF MANUFACTURING ARMATURE | 1 |
Yasuaki Kamiki | JP | Fukuoka | 2013-02-07 / 20130033125 - LINEAR MOTOR ARMATURE AND LINEAR MOTOR | 4 |
Hiroshi Kamiki | JP | Kanagawa | 2011-03-31 / 20110077938 - DATA REPRODUCTION METHOD AND DATA REPRODUCTION APPARATUS | 1 |
Hiroshi Kamiki | JP | Toyonaka-Shi | 2009-11-12 / 20090278698 - Key for vehicle and drunken driving preventing device | 2 |
Kazutaka Kamikihara | JP | Miyagi | 2011-12-08 / 20110301691 - METHOD OF MANUFACTURING TUBULAR STRUCTURE, AND STENT | 1 |
Hirotaka Kamikihara | JP | Tokyo | 2011-06-23 / 20110146846 - METHOD FOR CUTTING WITH GAS AND APPARATUS FOR CUTTING WITH GAS | 1 |
Daisuke Kamikihara | JP | Toyota-Shi | 2015-03-12 / 20150069964 - VEHICLE | 1 |
Hirotaka Kamikihara | JP | Saitama | 2014-09-04 / 20140246405 - LASER CUTTING METHOD AND LASER CUTTING DEVICE | 2 |
Nobuyuki Kamikihara | JP | Nara | 2014-11-27 / 20140347734 - LIGHT EXTRACTION SUBSTRATE OF ORGANIC EL LIGHTING | 4 |
Taro Kamiko | SG | Singapore | 2016-02-25 / 20160054918 - Memory Updating | 2 |
Fumihiro Kamikonya | JP | Nanto | 2014-04-10 / 20140100094 - TOOL MAGAZINE AND MACHINING CENTER | 2 |
Fumihiro Kamikonya | JP | Toyama | 2010-08-12 / 20100202848 - MACHINE TOOL | 1 |
Takashi Kamikubo | JP | Tokyo | 2015-02-12 / 20150041672 - MULTI CHARGED PARTICLE BEAM WRITING METHOD, AND MULTI CHARGED PARTICLE BEAM WRITING APPARATUS | 14 |
Takashi Kamikubo | JP | Kanagawa | 2010-07-15 / 20100178611 - Lithography method of electron beam | 1 |
Maki Kamikubo | JP | Yokosuka-Shi | 2008-11-20 / 20080282683 - DEVICE FOR THE PURIFICATION OF EXHAUST GAS | 1 |
Hiroshi Kamikubo | JP | Kanagawa | 2012-12-13 / 20120315957 - ELECTRONIC DEVICE, AND CONTROL METHOD AND STORAGE MEDIUM STORING CONTROL PROGRAM | 1 |
Takashi Kamikubo | JP | Numazu-Shi | 2009-03-19 / 20090075185 - MASK BLANK AND METHOD OF MANUFACTURING MASK | 1 |
Noritaka Kamikubo | JP | Osaka | 2011-05-19 / 20110114951 - SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SAME | 2 |
Toshitaka Kamikubo | JP | Okazaki-Shi | 2011-02-17 / 20110038680 - TOOL HOLDER FIXING STRUCTURE | 1 |
Noritaka Kamikubo | JP | Hiroshima | 2009-02-19 / 20090045519 - Semiconductor Device and Method of Producing the Same | 1 |
Takashi Kamikubo | JP | Yokohama-Shi | 2016-02-25 / 20160056046 - METHOD OF PRODUCING APERTURE MEMBER | 1 |
Kazuto Kamikura | JP | Tokyo | 2013-05-23 / 20130128980 - MOTION VECTOR PREDICTIVE ENCODING METHOD, MOTION VECTOR DECODING METHOD, PREDICTIVE ENCODING APPARATUS AND DECODING APPARATUS, AND STORAGE MEDIA STORING MOTION VECTOR PREDICTIVE ENCODING AND DECODING PROGRAMS | 1 |
Akira Kamikura | JP | Saitama | 2010-01-21 / 20100017056 - VEHICLE CONTROL DEVICE | 1 |
Atsushi Kamikura | JP | Kanagawa | 2010-01-21 / 20100014521 - ADDRESS CONVERSION DEVICE AND ADDRESS CONVERSION METHOD | 1 |
Atsushi Kamikura | JP | Tokyo | 2010-01-28 / 20100023620 - ACCESS CONTROLLER | 3 |
Kazuto Kamikura | JP | Yokosuba-Shi | 2010-03-18 / 20100067584 - VIDEO PROCESSING METHOD AND APPARATUS, VIDEO PROCESSING PROGRAM, AND STORAGE MEDIUM WHICH STORES THE PROGRAM | 1 |
Hiroyuki Kamikura | JP | Shiojiri-Shi | 2010-04-01 / 20100077613 - LIQUID EJECTING HEAD, METHOD FOR MANUFACTURING LIQUID EJECTING HEAD, AND LIQUID EJECTING APPARATUS | 6 |
Atsushi Kamikura | JP | Yokohama-Shi | 2010-05-13 / 20100119069 - NETWORK RELAY DEVICE, COMMUNICATION TERMINAL, AND ENCRYPTED COMMUNICATION METHOD | 1 |
Takayuki Kamikura | JP | Machida-Shi | 2012-07-19 / 20120182624 - LENS AND PROCESSING METHOD OF THE SAME | 1 |
Takahisa Kamikura | JP | Machida-Shi, Tokyo | 2016-04-21 / 20160109331 - TIRE CONTACT STATE ESTIMATION METHOD | 1 |
Masaaki Kamikura | JP | Tokyo | 2013-10-31 / 20130286264 - IMAGING DEVICE AND PROJECTOR UNIT | 1 |
Kenta Kamikura | JP | Mishima-Shi | 2014-05-22 / 20140141366 - TONER AND METHOD FOR MANUFACTURING THE SAME | 3 |
Mamoru Kamikura | JP | Chiyoda-Ku | 2016-02-25 / 20160056581 - ELECTRONIC DEVICE | 1 |
Sadayuki Kamikura | JP | Hanishina-Gun | 2014-09-11 / 20140251126 - FLUID PRESSURE CYLINDER AND MANUFACTURING METHOD THEREFOR | 1 |
Kazuto Kamikura | JP | Yokosuka-Shi | 2013-04-25 / 20130101038 - Predicted Reference Information Generating Method, Video Encoding and Decoding Methods, Apparatuses Therefor, Programs Therefor, and Storage Media Which Store the Programs | 22 |
Julian I. Kamil | US | Gaithersburg | 2010-11-04 / 20100281137 - DATA RETRIEVAL METHOD AND SYSTEM | 2 |
Mohammad Kamil | IN | Mango Jamshedpur | 2016-04-07 / 20160099656 - NON-ISOLATED AC-DC CONVERSION POWER SUPPLY | 1 |
Yousif Izzeldin Kamil | GB | Gatwick | 2016-05-19 / 20160139283 - SEISMIC WAVEFIELD DEGHOSTING AND NOISE ATTENUATION | 1 |
Tal Kamil | IL | Miramar Michmoret | 2013-03-07 / 20130055959 - PET LITTER BOX | 1 |
Mete Kamil | US | Meridianville | 2014-09-18 / 20140279237 - System and Method for Facilitating Consumer Association with Transaction Agent | 2 |
Assaf Kamil | IL | Hod-Hasharon | 2013-05-23 / 20130132856 - Systems and Methods for Gesture Interaction with Cloud-Based Applications | 1 |
Yousif Kamil | GB | Hertfordshire | 2014-01-23 / 20140025319 - STRUCTURE MONITORING | 2 |
Melih Kamil | TR | Istanbul | 2013-10-10 / 20130263526 - METHOD OF MAKING A ROOF-COVERING ELEMENT OUT OF COMPRESSION MOLDED PLANT FIBERS IMPREGNATED WITH BITUMEN, AND ROOF-COVERING ELEMENT, IN PARTICULAR A RIDGE ELEMENT, A FILLET, A CURB, OR A HIP | 2 |
Ami Kamil | IL | Be'Erotayim | 2015-12-17 / 20150360562 - DIFFERENTIAL ASSEMBLY AND METHOD | 1 |
Mohammad Kamil | IN | Bangalore | 2011-09-15 / 20110222322 - DIGITAL DEVICE WITH BOOT STRAP CIRCUIT STIMULATOR | 1 |
Ugurbil Kamil | DE | Tubingen | 2011-02-03 / 20110027300 - Identification of a novel cysteine-rich cell penetrating peptide | 1 |
Assaf Moshe Kamil | IL | Hod-Hasharon | 2012-04-19 / 20120095817 - DEVICE, SYSTEM, AND METHOD OF GENERATING A MULTIMEDIA PRESENTATION | 1 |
Gerhard Kamil | DE | Bergkirschen | 2012-03-22 / 20120070535 - APPLICATION OF FLUID BED TECHNOLOGY IN BREWING | 1 |
Shailaja Kamila | US | Sunnyvale | 2010-04-29 / 20100106933 - METHOD AND SYSTEM FOR MANAGING STORAGE CAPACITY IN A STORAGE NETWORK | 1 |
Shailaja Kamila | IN | Bangalore | 2015-05-21 / 20150142942 - NETWORK STORAGE MANAGEMENT AT SCALE USING SERVICE LEVEL OBJECTIVES | 1 |
Saleem Kamili | US | Lilburn | 2016-02-04 / 20160032411 - SELECTIVE DETECTION OF HEPATITIS A, B, C, D, OR E VIRUSES OR COMBINATION THEREOF | 1 |
Ulugbek Kamilov | US | Cambridge | 2015-12-10 / 20150355314 - System and Method for Through-the-Wall-Radar-Imaging using Total-Variation Denoising | 1 |
Ibragimkhan Kamilovich Kamilov | RU | Makhachkala | 2008-11-20 / 20080283802 - Ceramic Target, Film Consisting of Zinc Oxide, Gallium and Boron, and Method for Preparing the Film | 1 |
Ibragimkhan Kamilovich Kamilov | RU | Moscow | 2009-09-03 / 20090218735 - METHOD OF SYNTHESIS OF CERAMICS | 1 |
Takeshi Kamimae | JP | Ishikawa | 2010-12-23 / 20100320807 - WHEEL LOADER | 2 |
Seiko Kamimae | JP | Sapporo | 2012-10-18 / 20120264120 - SPECIMEN FOR DETECTING INFILTRATIVE LARGE INTESTINE TUMORS | 1 |
Takeshi Kamimae | JP | Kanazawa-Shi | 2016-03-10 / 20160069043 - WHEEL LOADER | 5 |
Kentaro Kamimae | JP | Tokyo | 2014-07-31 / 20140212974 - CELL CULTURE MEMBRANE, CELL CULTURE SUBSTRATE, AND METHOD FOR MANUFACTURING CELL CULTURE SUBSTRATE | 1 |
Takeshi Kamimae | JP | Osaka | 2008-10-02 / 20080238144 - Cab reinforcement structure and work machine cab | 1 |
Takeshi Kamimae | JP | Hirakata-Shi | 2010-07-15 / 20100176624 - OPERATOR'S CAB SUPPORTING APPARATUS OF WORK MACHINE | 2 |
Takeshi Kamimae | JP | Kanazawa-Shi, Ishikawa | 2016-03-10 / 20160068058 - VEHICLE BODY COVER AND WORK VEHICLE | 2 |
Naoki Kamimaeda | JP | Kanagawa | 2016-02-18 / 20160045170 - INFORMATION PROCESSING DEVICE, IMAGE OUTPUT METHOD, AND PROGRAM | 20 |
Hideki Kamimaki | JP | Fujisawa | 2014-12-11 / 20140366048 - CONTENTS RECEIVING SYSTEM AND CLIENT | 8 |
Dai Kamimaru | JP | Kanagawa | 2013-04-25 / 20130099847 - INPUT CIRCUIT | 1 |
Hirofumi Kamimaru | JP | Fukuoka | 2013-10-03 / 20130259388 - FORM IMAGE MANAGEMENT SYSTEM AND FORM IMAGE MANAGEMENT METHOD | 1 |
Shinichiro Kamimaru | JP | Kahoku-Shi | 2011-10-06 / 20110246682 - MANAGEMENT SYSTEM OF REMOVABLE DEVICE, MANAGEMENT METHOD OF REMOVABLE DEVICE, AND NON-TRANSITORY READABLE-BY-COMPUTER RECORDING MEDIUM | 1 |
Hiroshi Kamimoto | JP | Kanagagwa | 2013-08-01 / 20130194628 - IMAGE PROCESSING SYSTEM, INFORMATION TERMINAL APPARATUS, IMAGE DISPLAYING METHOD, AND PROGRAM | 1 |
Hiroshi Kamimoto | JP | Tokyo | 2016-03-03 / 20160065784 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND INFORMATION PROCESSING METHOD | 1 |
Yuko Kamimoto | JP | Kanagawa | 2013-05-09 / 20130114191 - BOOKLET STORAGE AND ELECTRONIC APPARATUS | 1 |
Tetsuo Kamimoto | JP | Saitama-Shi | 2015-11-26 / 20150337204 - FLAME RETARDANT COMPOSITION AND FLAME-RETARDANT SYNTHETIC RESIN COMPOSITION | 2 |
Tetsuo Kamimoto | JP | Saitama | 2013-11-07 / 20130294994 - METHOD FOR PRODUCING PYROPHOSPHATE | 6 |
Yoshimasa Kamimoto | JP | Tokyo-To | 2009-01-08 / 20090007469 - Changeable-image display card | 1 |
Tetsuo Kamimoto | JP | Saitama-Shi, Saitama | 2016-03-10 / 20160068663 - FLAME-RETARDANT SYNTHETIC RESIN COMPOSITION | 1 |
Hiroshi Kamimoto | JP | Kanagawa | 2015-03-12 / 20150070724 - INFORMATION PROCESSING SYSTEM AND INFORMATION PROCESSING METHOD | 2 |
Junpei Kamimoto | JP | Anjo-Shi | 2012-07-12 / 20120175139 - POWER TOOL | 1 |
Yoshihito Kamimoto | JP | Kanagawa | 2009-12-24 / 20090317624 - UNIFORMLY DISPERSED PHOTOCATALYST COATING LIQUID, METHOD FOR PRODUCING SAME, AND PHOTOCATALYTICALLY ACTIVE COMPOSITE MATERIAL OBTAINED BY USING SAME | 1 |
Kenichi Kamimura | JP | Hitachi-Shi | 2008-09-25 / 20080230690 - Method for analyzing minute amounts of Pd, Rh and Ru, and high-frequency plasma mass spectroscope used for same | 1 |
Sou Kamimura | JP | Shizuoka | 2015-10-15 / 20150293454 - METHOD OF FORMING PATTERN AND DEVELOPER FOR USE IN THE METHOD | 20 |
Takashi Kamimura | JP | Ebina-Shi | 2008-09-25 / 20080231376 - Oscillation circuit controlling phase difference of output signals | 1 |
Roy Kamimura | US | Daly City | 2014-09-18 / 20140273128 - Biological Conversion of Multi-Carbon Compounds from Methane | 1 |
Tomohiko Kamimura | JP | Habikino-Shi | 2011-04-07 / 20110079701 - Connection Device | 1 |
Satoshi Kamimura | JP | Saitama-Shi | 2015-05-21 / 20150135990 - CELLULOSE RESIN COMPOSITION | 1 |
Shinsaku Kamimura | JP | Aichi | 2011-04-14 / 20110085407 - KNEADING DEVICE | 1 |
Fumihiro Kamimura | JP | Koshi City | 2011-04-21 / 20110089137 - SUBSTRATE LIQUID PROCESSING APPARATUS, SUBSTRATE LIQUID PROCESSING METHOD, AND STORAGE MEDIUM HAVING SUBSTRATE LIQUID PROCESSING PROGRAM STORED THEREIN | 1 |
Kazuhiro Kamimura | JP | Shizuoka-Ken | 2011-07-07 / 20110164271 - IMAGE PROCESSING APPARATUS AND METHOD FOR MONITORING JOBS | 2 |
Kenta Kamimura | JP | Mishima-Shi | 2011-01-13 / 20110008726 - PROCESS FOR PRODUCING TONER | 2 |
Junichi Kamimura | JP | Hitachinaka-Shi | 2010-12-23 / 20100319671 - ENGINE-POWERED TOOL | 1 |
Takayuki Kamimura | JP | Takarazuka-Shi | 2012-05-10 / 20120112456 - PHOTOCURABLE COMPOSITION SUITABLE FOR RUST PREVENTION OF A THREADED JOINT FOR STEEL PIPES | 2 |
Hiromi Kamimura | JP | Sennan-Gun | 2012-09-06 / 20120222796 - METHOD FOR PRODUCING FLUORORESIN-COATED ROLLER OR BELT | 2 |
Ayako Kamimura | JP | Ibaraki | 2010-10-14 / 20100261749 - METHOD OF PREVENTING OR AMELIORATING PSORIASIS USING PYRROLOQUINOLINE QUINONE COMPOUNDS | 2 |
Junpei Kamimura | JP | Minato-Ku | 2010-09-30 / 20100250551 - XML DATA PROCESSING SYSTEM, DATA PROCESSING METHOD AND XML DATA PROCESSING CONTROL PROGRAM USED FOR THE SYSTEM | 1 |
Fumihiro Kamimura | JP | Tosu-Shi | 2015-10-15 / 20150290599 - CHEMICAL-LIQUID MIXING METHOD AND CHEMICAL-LIQUID MIXING APPARATUS | 2 |
Sou Kamimura | JP | Shizuoka | 2015-10-15 / 20150293454 - METHOD OF FORMING PATTERN AND DEVELOPER FOR USE IN THE METHOD | 20 |
Seiya Kamimura | JP | Kamagaya-Shi | 2010-09-16 / 20100233988 - RECEIVING APPARATUS | 1 |
Shinsaku Kamimura | JP | Handa-Shi | 2015-05-21 / 20150138908 - KNEADING DEVICE | 1 |
Wataru Kamimura | JP | Ibaraki | 2009-04-30 / 20090107495 - DEVICE FOR INHALATION OF MEDICINE | 1 |
Junpei Kamimura | JP | Tokyo | 2015-06-25 / 20150180936 - DATA TRANSFER DEVICE, DATA TRANSFER METHOD, AND PROGRAM STORAGE MEDIUM | 11 |
Masahiro Kamimura | JP | Hiroshima-Shi | 2015-03-05 / 20150066276 - INVERTED TWO-WHEEL APPARATUS | 1 |
Hirotatsu Kamimura | JP | Yokohama-Shi | 2014-06-05 / 20140154567 - ELECTROE CONSTITUENT MEMBER FOR BATTERY MODULE | 3 |
Motoaki Kamimura | JP | Toyota-Shi | 2013-03-14 / 20130061716 - VEHICULAR STARTER RING GEAR | 1 |
Takafumi Kamimura | JP | Ibaraki | 2010-08-19 / 20100208522 - MEMORY DEVICE AND READING METHOD THEREOF | 1 |
Kosei Kamimura | JP | Tokyo | 2015-09-10 / 20150251879 - ESCALATOR STEP AND ESCALATOR HAVING THEREOF | 2 |
Takuroh Kamimura | JP | Kanagawa-Ken | 2014-07-03 / 20140185231 - COOLING FOR ELECTRONIC EQUIPMENT | 2 |
Masato Kamimura | JP | Kosai-City | 2012-04-26 / 20120098381 - STATOR, BRUSHLESS MOTOR, AND MANUFACTURING METHOD OF THE SAME | 1 |
Naoya Kamimura | JP | Nagoya-Shi | 2015-07-02 / 20150185691 - Developing Cartridge Provided With Detection Body | 32 |
Junji Kamimura | JP | Yokohama | 2010-06-03 / 20100134649 - SIGNAL PROCESSOR | 2 |
Tatsuo Kamimura | JP | Maebashi | 2011-11-10 / 20110274318 - AUTHENTICATION APPARATUS, IMAGE CAPTURE APPARATUS, AUTHENTICATION METHOD, AND AUTHENTICATION PROGRAM | 1 |
Takeshi Kamimura | JP | Kanagawa | 2012-06-07 / 20120140855 - RECEIVING APPARATUS AND DATA TRANSMISSION APPARATUS | 6 |
Masayuki Kamimura | JP | Tokyo | 2015-06-11 / 20150162973 - RELAY APPARATUS AND COMPUTER-READABLE RECORDING MEDIUM | 6 |
Satoshi Kamimura | JP | Saitama | 2014-01-23 / 20140020865 - HEAT EXCHANGER UNIT | 4 |
Masaki Kamimura | JP | Oita-Shi | 2015-02-05 / 20150036031 - SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING SOLID-STATE IMAGING DEVICE, AND CAMERA MODULE | 1 |
Shinji Kamimura | JP | Kanagawa-Ken | 2010-03-18 / 20100067733 - Speaker | 1 |
Ippei Kamimura | JP | Tokyo | 2015-10-22 / 20150297144 - BLOOD SUGAR LEVEL PREDICTION DEVICE, MEASUREMENT DEVICE, BLOOD SUGAR LEVEL PREDICTION METHOD AND COMPUTER-READABLE STORAGE MEDIUM | 2 |
Ryuichiro Kamimura | JP | Shizuoka | 2016-02-11 / 20160042102 - SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR PRODUCING THE SAME | 3 |
Kenichiro Kamimura | JP | Tokyo | 2015-01-22 / 20150021126 - CALIPER BRAKE DEVICE FOR RAILWAY VEHICLES | 1 |
Michio Kamimura | JP | Niigata-Ken | 2012-10-04 / 20120247938 - INPUT DEVICE | 2 |
Mitsuhiro Kamimura | JP | Kasugai-Shi | 2015-03-12 / 20150072145 - POROUS SILICA-CARBON COMPOSITES AND A METHOD OF PRODUCING THE SAME | 1 |
Shigehisa Kamimura | JP | Wakayama-Shi | 2015-01-15 / 20150018468 - MOLDED PRODUCT COMPOSED OF POLYESTER RESIN COMPOSITION | 1 |
Yuichi Kamimura | JP | Osaka | 2016-02-25 / 20160056453 - POSITIVE ELECTRODE FOR LITHIUM ION SECONDARY BATTERIES AND LITHIUM ION SECONDARY BATTERY INCLUDING THE SAME | 2 |
Masaki Kamimura | JP | Oita | 2013-10-10 / 20130264670 - SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD OF SOLID-STATE IMAGING DEVICE | 2 |
Hidekazu Kamimura | JP | Kanagawa | 2012-06-21 / 20120155518 - WIRELESS COMMUNICATION APPARATUS AND WIRELESS COMMUNICATION METHOD | 1 |
Takaai Kamimura | JP | Fukaya-Shi | 2012-03-15 / 20120061678 - METHOD OF LASER ANNEALING SEMICONDUCTOR LAYER AND SEMICONDUCTOR DEVICES PRODUCED THEREBY | 1 |
Hideto Kamimura | JP | Ichihara-Shi | 2013-01-10 / 20130012417 - LUBRICATING OIL COMPOSITION FOR HIGH-TEMPERATURE APPLICATIONS | 1 |
Naoya Kamimura | JP | Aichi-Ken | 2012-05-17 / 20120121294 - Coupling, Image Forming Apparatus and Cartridge | 2 |
Takeshi Kamimura | JP | Tokyo | 2015-11-12 / 20150321896 - BEER SERVER | 1 |
Akio Kamimura | JP | Yamaguchi | 2012-06-21 / 20120157707 - METHOD FOR PRODUCING ALCOHOL COMPOUND | 3 |
Ryo Kamimura | JP | Yokohama | 2014-12-25 / 20140379327 - APPARATUS AND METHOD FOR HELPING IN THE READING OF AN ELECTRONIC MESSAGE | 1 |
Naoya Kamimura | JP | Ichinomiya | 2013-02-28 / 20130051814 - Cartridge Mountable on Image-Forming Apparatus | 1 |
Yutaka Kamimura | JP | Osaka | 2016-02-04 / 20160034736 - Optical Information Reading Device | 1 |
Junichi Kamimura | JP | Hitachinaka | 2015-02-19 / 20150050169 - HIGH-PRESSURE WASHING DEVICE | 3 |
Shigeki Kamimura | JP | Tsurugashima-Shi | 2009-10-01 / 20090244366 - Pixel Conversion Device and Pixel Conversion Method | 2 |
Ryo Kamimura | JP | Yokosuka City | 2009-07-02 / 20090172533 - Method to Enable Semi-Automatic Regeneration of Manuals by Saving Manual Creation Operations as Scripts | 1 |
Ryo Kamimura | JP | Yokosuka-Shi | 2009-05-28 / 20090138791 - APPARATUS AND METHOD FOR HELPING IN THE READING OF AN ELECTRONIC MESSAGE | 1 |
Kenji Kamimura | JP | Saitama | 2011-05-05 / 20110101928 - OUTPUT CONTROL APPARATUS OF GENERATOR | 3 |
Shinya Kamimura | JP | Toyota-Shi | 2016-04-28 / 20160114667 - VEHICLE BATTERY MOUNTING STRUCTURE | 4 |
Hideki Kamimura | JP | Tokyo | 2015-03-19 / 20150075266 - PRESSURE DETECTION DEVICE AND INTAKE PRESSURE MEASUREMENT APPARATUS USING THE SAME | 1 |
Mitsuo Kamimura | JP | Kawasaki | 2009-08-06 / 20090195910 - STORAGE DEVICE AND METHOD OF CONTROLLING SPINDLE MOTOR OF STORAGE DEVICE | 3 |
Yoshihiro Kamimura | JP | Niigata | 2015-11-19 / 20150330858 - PRESSURE DETECTION DEVICE AND METHOD FOR PRODUCING SAME | 2 |
Naoya Kamimura | JP | Lchinomiya-Shi | 2015-05-14 / 20150132023 - CARTRIDGE AND IMAGE FORMING APPARATUS | 1 |
Sou Kamimura | JP | Haibara-Gun | 2016-01-07 / 20160004156 - PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION FOR ORGANIC SOLVENT DEVELOPMENT USED THEREFOR AND METHOD OF MANUFACTURING THE SAME, METHOD OF MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE | 7 |
Naoki Kamimura | JP | Saitama | 2012-04-05 / 20120083957 - CONTROL APPARATUS FOR MOTOR-ASSISTED BICYCLE | 1 |
Masaki Kamimura | JP | Niigata | 2011-04-14 / 20110084587 - LIGHT SOURCE DEVICE | 1 |
Shinichi Kamimura | JP | Saitama-Shi | 2011-03-24 / 20110070008 - CONVEYING DEVICE AND IMAGE-FORMING APPARATUS | 1 |
Yasuaki Kamimura | JP | Chiyoda-Ku | 2015-04-16 / 20150103649 - COMMUNICATION SYSTEM, CONGESTION AVOIDANCE METHOD THEREOF, AND METER READING SYSTEM USING COMMUNICATION SYSTEM | 1 |
Shinji Kamimura | JP | Yokohama-Shi | 2009-03-05 / 20090060253 - Voice coil and speaker | 2 |
Tetsuya Kamimura | JP | Haibara-Gun | 2016-04-28 / 20160118264 - ETCHING METHOD, ETCHING SOLUTION USED IN SAME, ETCHING SOLUTION KIT, AND METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE PRODUCT | 21 |
Naoya Kamimura | JP | Ichinomiya-Shi | 2016-04-14 / 20160103417 - Image Forming Apparatus and Developing Cartridge | 67 |
Ippei Kamimura | JP | Osaka | 2013-01-03 / 20130003054 - ANALYSIS DEVICE | 1 |
Masaki Kamimura | JP | Kanagawa | 2010-08-26 / 20100213186 - SEMICONDUCTOR PROCESSING APPARATUS | 1 |
Hidetaka Kamimura | JP | Chuo-Ku | 2014-08-28 / 20140241991 - CHIMERIC NON-HUMAN ANIMAL CARRYING HUMAN HEPATOCYTE | 1 |
Yasuaki Kamimura | JP | Tokyo | 2014-12-25 / 20140380298 - WIRELESS COMMUNICATION TERMINAL, SOFTWARE UPDATE SYSTEM, AND SOFTWARE UPDATE METHOD | 3 |
Daigi Kamimura | JP | Toyama-Shi | 2011-09-01 / 20110212625 - SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Osamu Kamimura | JP | Hino | 2011-03-03 / 20110049344 - DIFFRACTION PATTERN CAPTURING METHOD AND CHARGED PARTICLE BEAM DEVICE | 4 |
Fumihiro Kamimura | JP | Kumamoto | 2016-01-28 / 20160027635 - SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, AND COMPUTER-READABLE STORAGE MEDIUM STORED WITH SUBSTRATE PROCESSING PROGRAM | 1 |
Takuro Kamimura | JP | Kobe | 2015-07-02 / 20150181975 - Foamed Sole and Shoe | 1 |
Daigo Kamimura | JP | Kyoto | 2016-05-12 / 20160130248 - (+)-5-(3,4-DIFLUOROPHENYL)-5-[(3-METHYL-2-OXOPYRIDIN-1(2H)-YL)METHYL]IMIDA- ZOLIDINE-2,4-DIONE AND DRUG CONTAINING SAME | 1 |
Ryuichiro Kamimura | JP | Susono-Shi, Shizuoka | 2016-05-12 / 20160133785 - DEEP ULTRAVIOLET LED AND METHOD FOR MANUFACTURING THE SAME | 1 |
Takashi Kamimura | JP | Kawasaki-Shi | 2012-02-23 / 20120044252 - IMAGE DISPLAY APPARATUS AND METHOD OF CONTROLLING THE SAME | 1 |
Kenji Kamimura | JP | Hachioji-Shi | 2014-12-18 / 20140371602 - OPTICAL MEASURING DEVICE AND FIBER BUNDLE ASSOCIATION METHOD | 8 |
Yoshito Kamimura | JP | Tokyo | 2015-12-31 / 20150377398 - FEMALE COUPLING MEMBER SUITABLE FOR HANDLING HIGH-PRESSURE FLUID AND PIPE COUPLING HAVING FEMALE COUPLING MEMBER | 1 |
Toshihiro Kamimura | JP | Higashihiroshima-Shi | 2015-08-13 / 20150226147 - CONTROLLER AND CONTROL METHOD FOR ENGINES | 1 |
Takuroh Kamimura | JP | Fujisawa-Shi | 2012-05-10 / 20120113588 - FLOW RECTIFYING COOLING APPARATUS AND A METHOD FOR RECTIFYING FLOW IN A COOLING APPARATUS | 1 |
Kazuhide Kamimura | JP | Kobe-Shi | 2014-10-23 / 20140311959 - WASTEWATER TREATMENT DEVICE | 1 |
Kunio Kamimura | JP | Koganei-Shi | 2013-08-29 / 20130226886 - CONFLICT RESOLUTION SYSTEM FOR DATABASE PARALLEL EDITING | 1 |
Tadashi Kamimura | JP | Tokyo | 2011-06-23 / 20110146029 - FPC FIXING STRUCTURE FOR TWO-AXIS HINGE MECHANISM | 1 |
Motoaki Kamimura | JP | Toyota | 2015-12-31 / 20150377336 - DRIVE PLATE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Junichi Kamimura | JP | Ibaraki | 2013-06-27 / 20130160740 - ENGINE AND ENGINE OPERATING MACHINE INCLUDING THE SAME | 2 |
Kazuhide Kamimura | JP | Hyogo | 2016-04-28 / 20160115053 - METHOD FOR REMOVING SELENIUM AND APPARATUS FOR REMOVING SELENIUM | 5 |
Akihiro Kamimura | JP | Kawasaki-Shi | 2014-05-29 / 20140148562 - METHOD FOR POLYMERIZING ALPHA-OLEFIN | 1 |
Kazutaka Kamimura | JP | Tokyo | 2014-01-23 / 20140022114 - PULSE RADAR APPARATUS AND CONTROL METHOD FOR THE SAME | 5 |
Ken Kamimura | JP | Tokyo | 2009-01-08 / 20090009977 - Three-Dimensional Wiring Body for Mounting Electronic Component and Electronic Component Mounting Structure | 2 |
Kenji Kamimura | JP | Tokyo | 2015-07-09 / 20150190056 - OPTICAL MEASUREMENT APPARATUS | 3 |
Takamitsu Kamimura | JP | Tokyo | 2009-09-17 / 20090231743 - Testing method for thin-film magnetic head and jig used therefor | 1 |
Fumio Kamimura | JP | Tokyo | 2010-07-29 / 20100189273 - METHOD AND APPARATUS FOR REMOTE CONTROL OF AN AUDIO SOURCE SUCH AS A WIRELESS MICROPHONE SYSTEM | 1 |
Atsushi Kamimura | JP | Tokyo | 2008-09-18 / 20080228949 - Mobile terminal, printing system and printing method | 1 |
Ryoji Kamimura | JP | Tokyo | 2009-06-11 / 20090149547 - VIRUCIDAL DISINFECTANT FOR AVIAN INFLUENZA VIRUS | 1 |
Naoka Kamimura | JP | Tokyo | / - | 1 |
Ayako Kamimura | JP | Tokyo | 2015-12-10 / 20150352067 - AGENT FOR ELEVATING NITRIC OXIDE CONCENTRATION | 5 |
Takehito Kamimura | JP | Tokyo | 2014-05-08 / 20140125398 - Driver Integrated Circuit | 3 |
Tetsuya Kamimura | JP | Fujieda | 2012-09-13 / 20120231632 - Novel Etching Composition | 1 |
Yumi Kamimura | JP | Tokyo | 2011-08-04 / 20110189396 - INK, INK CARTRIDGE, INK-JET RECORDING METHOD, AND INK SET | 2 |
Naoko Kamimura | JP | Tokyo | 2011-04-07 / 20110082284 - Gene overexpressed in cancer | 2 |
Junpei Kamimura | JP | Tokyo | 2015-06-25 / 20150180936 - DATA TRANSFER DEVICE, DATA TRANSFER METHOD, AND PROGRAM STORAGE MEDIUM | 11 |
Kunio Kamimura | JP | Tokyo | 2013-08-08 / 20130204842 - METHOD AND SYSTEM FOR SYNCHRONIZATION OF DATA EDITED IN PARALLEL | 10 |
Shunsuke Kamimura | JP | Nagano | 2012-05-03 / 20120104961 - LIGHTING DEVICE OF DISCHARGE LAMP AND METHOD OF CONTROLLING LIGHTING OF DISCHARGE LAMP | 1 |
Gouji Kamimura | JP | Hamamatsu-Shi | 2015-08-27 / 20150241571 - RADIATION IMAGE CONVERSION PANEL | 8 |
Yusuke Kamimura | JP | Hiroshima | 2014-12-04 / 20140354191 - INVERTER DEVICE FOR DRIVING ELECTRIC MOTOR | 7 |
Shinji Kamimura | JP | Gunma-Ken | 2009-08-13 / 20090202100 - Voice coil and speaker | 1 |
Takuya Kamimura | JP | Kawasaki | 2009-08-20 / 20090207523 - MAGNETIC RECORDING MEDIUM AND MAGNETIC RECORDING APPARATUS | 2 |
Takaaki Kamimura | JP | Fukuya-Shi | 2009-10-15 / 20090256172 - METHOD OF LASER ANNEALING SEMICONDUCTOR LAYER AND SEMICONDUCTOR DEVICES PRODUCED THEREBY | 1 |
Norizo Kamimura | JP | Tokorozawa-Shi | 2011-01-13 / 20110005369 - KEYBOARD DEVICE | 1 |
Akira Kamimura | JP | Tokyo | 2015-04-30 / 20150116439 - IMAGE PROCESSING APPARATUS AND METHOD FOR PROCESSING IMAGE | 1 |
Yuichi Kamimura | JP | Osaka-Shi | 2015-10-22 / 20150303472 - POSITIVE ELECTRODE ACTIVE MATERIAL, AND POSITIVE ELECTRODE AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 4 |
Naoki Kamimura | JP | Hamamatsu-Shi | 2014-07-17 / 20140196758 - THERMOELECTRIC POWER GENERATION UNIT | 1 |
Hiromi Kamimura | JP | Shennan-Gun | 2012-07-05 / 20120170958 - FIXING BELT | 1 |
Kenji Kamimura | JP | Yokohama-Shi | 2012-04-19 / 20120094144 - BUILD-UP WELDING METHOD AND STRUCTURAL MATERIAL | 2 |
Tomohiro Kamimura | JP | Kanagawa | 2009-09-17 / 20090230539 - SEMICONDUCTOR DEVICE | 2 |
Shinobu Kamimura | JP | Miyazaki | 2009-11-19 / 20090283155 - Fluid control system | 1 |
Kenji Kamimura | JP | Nagaoka-Shi | 2015-07-23 / 20150201842 - MEASUREMENT PROBE AND OPTICAL MEASUREMENT SYSTEM | 1 |
Takanori Kamimura | JP | Nara | 2013-04-04 / 20130081707 - GAS SHUTOFF DEVICE | 2 |
Hirohiko Kamimura | CN | Hong Kong | 2011-10-06 / 20110241480 - Surface acoustic wave device | 1 |
Ichisei Kamimura | JP | Okazaki-Shi | 2013-06-20 / 20130158799 - SUSPENSION APPARATUS | 1 |
Yusuke Kamimura | JP | Hiroshima-Shi | 2014-12-04 / 20140354205 - MOTOR CONTROLLER AND CONSTRUCTION MACHINE PROVIDED THEREWITH | 2 |
Yoshihiro Kamimura | JP | Tokyo | 2013-12-26 / 20130343986 - METHOD FOR PRODUCING MTW-TYPE ZEOLITE | 3 |
Kunio Kamimura | JP | Tokyo | 2013-08-08 / 20130204842 - METHOD AND SYSTEM FOR SYNCHRONIZATION OF DATA EDITED IN PARALLEL | 10 |
Hideto Kamimura | JP | Chiba | 2011-10-06 / 20110239972 - LUBRICATING OIL COMPOSITIONS | 7 |
Takayuki Kamimura | JP | Kawasaki-Shi | 2015-12-03 / 20150348791 - METHOD OF MAKING SEMICONDUCTOR SUBSTRATE AND METHOD OF MAKING LIQUID EJECTION HEAD SUBSTRATE | 1 |
Tetsuya Kamimura | JP | Haibara-Gun | 2016-04-28 / 20160118264 - ETCHING METHOD, ETCHING SOLUTION USED IN SAME, ETCHING SOLUTION KIT, AND METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE PRODUCT | 21 |
Shinobu Kamimura | JP | Nobeoka-Shi | 2009-10-08 / 20090249889 - ULTRASONIC FLOWMETER | 1 |
Yusuke Kamimura | JP | Shizuoka | 2015-04-16 / 20150104666 - METAL-BASED COMPOSITE MATERIAL AND METHOD FOR PRODUCING SAME | 1 |
Gouji Kamimura | JP | Hamamatsu-Shi | 2015-08-27 / 20150241571 - RADIATION IMAGE CONVERSION PANEL | 8 |
Tetsuya Kamimura | JP | Shizuoka-Ken | 2015-03-12 / 20150072525 - POLISHING LIQUID AND POLISHING METHOD | 7 |
Tetsuya Kamimura | JP | Shizuoka | 2014-05-01 / 20140120734 - Novel Etching Composition | 3 |
Tomonori Kamimura | JP | Miyazaki-Shi | 2015-08-27 / 20150240534 - VEHICLE DOOR LATCH CONTROLLER | 2 |
Tomohiko Kamimura | JP | Yao-Shi | 2009-12-10 / 20090304225 - DOME-SHAPED DIAPHRAGM AND LOUDSPEAKER USING THE SAME | 1 |
Ayako Kamimura | JP | Tsukuba-Shi | 2013-08-29 / 20130225650 - METHOD FOR PROMOTING SYNTHESIS OF TISSUE COLLAGEN | 1 |
Naoki Kamimura | JP | Wako-Shi | 2013-10-31 / 20130288841 - VEHICLE DRIVE UNIT | 1 |
Motokazu Kamimura | JP | Toyohashi-Shi | 2010-02-25 / 20100047323 - CHITOSAN SOLUTION AND MEDICAL PREPARATION WITH CHITOSAN COATING FORMED FROM THE SOLUTION | 1 |
Yumi Kamimura | JP | Inagi-Shi | 2014-10-09 / 20140302290 - RECORDED MATTER, RECORDING METHOD, AND IMAGE PROCESSING METHOD | 3 |
Iho Kamimura | JP | Yokkaichi-Shi | 2014-01-30 / 20140030848 - INTERLAYER FILLER COMPOSITION FOR THREE-DIMENSIONAL INTEGRATED CIRCUIT, COATING FLUID AND PROCESS FOR PRODUCING THREE-DIMENSIONAL INTEGRATED CIRCUIT | 1 |
Hideki Kamimura | JP | Niigata-Ken | 2012-01-05 / 20120000285 - CAPACITIVE TYPE HUMIDITY SENSOR AND MANUFACTURING METHOD THEREOF | 2 |
Takuya Kamimura | JP | Kanagawa | 2011-12-22 / 20110311839 - MAGNETIC RECORDING MEDIUM AND METHOD FOR MANUFACTURING SAME | 1 |
Ryuichiro Kamimura | JP | Susono-Shi | 2014-02-27 / 20140057377 - METHOD FOR MANUFACTURING DEVICE | 1 |
Fumiya Kamimura | JP | Osaka | 2009-01-08 / 20090011727 - WIRELESS TRANSMITTER | 2 |
Ryosuke Kamimura | JP | Osaka | 2016-05-05 / 20160121031 - Antiadhesive Kit and Method of Adhesion Prevention | 2 |
Hiromi Kamimura | JP | Osaka | 2010-04-29 / 20100104335 - FIXING ROLLER/FIXING BELT, AND PROCESS FOR MANUFACTURING THE SAME | 1 |
Tomohiko Kamimura | JP | Osaka | 2011-05-26 / 20110123061 - ELECTROACOUSTIC TRANSDUCING DEVICE | 5 |
Takuya Kamimura | JP | Kobe | 2014-09-25 / 20140285420 - IMAGING DEVICE, DISPLAYING DEVICE, MOBILE TERMINAL DEVICE, AND CAMERA MODULE | 7 |
Kenji Kamimura | JP | Hachioji-Shi | 2014-12-18 / 20140371602 - OPTICAL MEASURING DEVICE AND FIBER BUNDLE ASSOCIATION METHOD | 8 |
Kenji Kamimura | JP | Yokohama | 2009-12-17 / 20090308847 - EROSION PREVENTION METHOD AND MEMBER WITH EROSION PREVENTIVE SECTION | 1 |
Masaki Kamimura | JP | Oita-Ken | 2011-05-19 / 20110117512 - Manufacturing method for semiconductor device and manufacturing apparatus for semiconductor device | 2 |
Takaaki Kamimura | JP | Tokyo | 2015-11-19 / 20150333112 - ORGANIC EL DEVICE AND MANUFACTURING METHOD OF THE SAME | 3 |
Sinsaku Kamimura | JP | Aichi | 2009-01-22 / 20090020460 - PARTICULATE SIFTER | 1 |
Yukihiro Kamimura | JP | Kawasaki-Shi | 2008-12-04 / 20080297399 - DME GROUND APPARATUS | 3 |
Rikiya Kamimura | JP | Toyoake-City | 2011-02-24 / 20110042812 - Electronic device and method of manufacturing the same | 1 |
Yukihiro Kamimura | JP | Kanagawa-Ken | 2009-02-05 / 20090033541 - DME GROUND APPARATUS | 1 |
Hiroshi Kamimura | JP | Hitachi | 2008-10-30 / 20080267345 - METHOD FOR INSPECTING PIPES, AND RADIOGRAPHIC NON-DESTRUCTIVE INSPECTION APPARATUS | 1 |
Naoya Kamimura | JP | Aichi | 2008-10-16 / 20080253778 - IMAGE FORMING APPARATUS INTO WHICH REPLACEABLE UNITS ARE DETACHABLY ATTACHED | 1 |
Yuuichi Kamimuta | JP | Yokkaichi | 2016-03-10 / 20160071921 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Yuuichi Kamimuta | JP | Yokohama-Shi | 2010-08-26 / 20100213532 - SEMICONDUCTOR DEVICES | 6 |
Yuuichi Kamimuta | JP | Tsukuba-Shi | 2012-09-27 / 20120243292 - MEMORY DEVICE | 4 |
Yuuichi Kamimuta | JP | Yokohama | 2009-06-11 / 20090146216 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 2 |
George Kamin | US | Irwindale | 2014-07-03 / 20140187916 - CATHETER WITH COMBINED POSITION AND PRESSURE SENSING STRUCTURES | 1 |
Surya Kamin | US | Skillman | 2013-11-14 / 20130303431 - VISUALLY PERCEIVABLE IMAGE-FORMING DYE SCAVENGING ARTICLE | 7 |
Stephen Kamin | US | Midland | 2013-08-29 / 20130225848 - Process for Removing an Impurity From a Siloxane | 1 |
Stephen Kamin | US | Deerfield Beach | 2008-10-16 / 20080251321 - Safety catch for movable construction platform | 1 |
John Y. Kamin | US | Boynton Beach | 2011-05-12 / 20110108208 - Window Assembly with a Motorized Window Shade Mechanism | 1 |
George Kamin | US | Arcadia | 2016-03-24 / 20160082224 - CATHETER WITH SERIALLY CONNECTED SENSING STRUCTURES AND METHODS OF CALIBRATION AND DETECTION | 5 |
Nackieb M. Kamin | US | Kapolei | 2016-03-17 / 20160078153 - SUBTHRESHOLD STANDARD CELL LOGIC LIBRARY | 1 |
John Y. Kamin | US | Taylors | 2016-01-28 / 20160023746 - MODULAR INDEXING FOR AIRCRAFT INTERIOR | 5 |
Tadahiro Kaminade | JP | Yokohama-Shi | 2011-12-22 / 20110313121 - REVERSE DISPERSION RETARDATION FILM AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 2 |
Tadahiro Kaminade | JP | Kanagawa | 2010-10-21 / 20100267553 - Tungsten-containing Mesoporous Silica Thin Film, Highly Hydrophilic Material Containing the Same, and Method for Producing Tungsten-Containing Mesoporous Silica Thin Film | 3 |
Takuya Kaminade | JP | Susono-Shi | 2015-12-10 / 20150353081 - DRIVING ASSISTANCE APPARATUS | 8 |
Michimoto Kaminaga | JP | Hitachinaka | 2015-10-01 / 20150279788 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND PROCESS FOR MANUFACTURING THE SAME | 6 |
Junichi Kaminaga | JP | Taito-Ku | 2016-02-11 / 20160040035 - AQUEOUS COATING AGENT AND GAS BARRIER FILM | 3 |
Junichi Kaminaga | JP | Tokyo | 2010-08-05 / 20100195029 - Pattern-Formed Substrate, Color Filter And Liquid Crystal Display Employing The Color Filter | 3 |
Michimoto Kaminaga | JP | Tokyo | 2010-08-05 / 20100193958 - Semiconductor Device and a Method of Manufacturing the Same | 1 |
Kengo Kaminaga | JP | Ibaraki | 2015-10-22 / 20150303040 - Tungsten Sintered Compact Sputtering Target and Tungsten Film Formed Using Said Target | 13 |
Takehiro Kaminaga | JP | Tokyo | 2015-11-12 / 20150324122 - SEMICONDUCTOR MEMORY DEVICE, SEMICONDUCTOR SYSTEM AND READING METHOD | 2 |
Takashi Kaminaga | JP | Hatsukaichi-Shi | 2013-01-24 / 20130019828 - ENGINE CONTROL SYSTEM | 2 |
Seiji Kaminaga | JP | Nagoya-Shi | 2014-09-18 / 20140274550 - CARRIER STRUCTURE FOR PLANETARY GEAR SET | 1 |
Masanori Kaminaga | JP | Tokyo | 2014-09-11 / 20140252855 - MICROGRID CONTROL SYSTEM | 1 |
Shigeo Kaminaga | JP | Otawara-Shi | 2014-10-30 / 20140323858 - MEDICAL IMAGE DIAGNOSTIC APPARATUS | 3 |
Yukihiro Kaminaga | JP | Saitama | 2011-03-03 / 20110051462 - POWER FACTOR IMPROVEMENT CIRCUIT | 3 |
Kuniyuki Kaminaga | JP | Kanagawa | 2014-10-02 / 20140291660 - Organic Electroluminescent Element, Material for Organic Electroluminescent Element and Light Emitting Device, Display Device, and Illumination Device, Each Employing Organic Electroluminescent Element | 5 |
Kazuhiro Kaminaga | JP | Tokyo | 2016-03-31 / 20160090068 - VEHICLE WIPER DEVICE | 3 |
Kengo Kaminaga | JP | Ibaraki | 2015-10-22 / 20150303040 - Tungsten Sintered Compact Sputtering Target and Tungsten Film Formed Using Said Target | 13 |
Shigeo Kaminaga | JP | Otawara | 2015-12-10 / 20150356734 - BLOOD VESSEL ANALYSIS APPARATUS, MEDICAL IMAGE DIAGNOSIS APPARATUS, AND BLOOD VESSEL ANALYSIS METHOD | 5 |
Hiroki Kaminaga | JP | Kanagawa | 2012-11-22 / 20120297151 - MEMORY MANAGEMENT APPARATUS, MEMORY MANAGEMENT METHOD AND CONTROL PROGRAM | 3 |
Kouichi Kaminaga | JP | Amagasaki | 2008-09-18 / 20080224081 - Valve Assembly | 1 |
Takumi Kaminaga | JP | Toyota-Shi | 2016-03-10 / 20160072035 - THERMOELECTRIC GENERATOR | 1 |
Toshiaki Kaminaga | JP | Hitachinaka | 2009-09-03 / 20090218643 - Semiconductor Pressure Sensor | 1 |
Seiji Kaminaga | JP | Nagoya | 2014-05-29 / 20140144267 - TRANSMISSION AND ELECTRIC VEHICLE COMPRISING SAME | 2 |
Kuniyuki Kaminaga | JP | Ashigarakami-Gun | 2015-12-10 / 20150353721 - POLYMER FUNCTIONAL FILM AND METHOD FOR PRODUCING SAME | 1 |
Tomomi Kaminaga | JP | Tokyo | 2015-11-26 / 20150339801 - DISPLAY DEVICE AND DISPLAY METHOD | 3 |
Takehiro Kaminaga | JP | Fuchu-City | 2015-01-22 / 20150026393 - Semiconductor Memory Device | 1 |
Michimoto Kaminaga | JP | Kanagawa | 2016-02-04 / 20160035844 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Shigeto Kaminaga | JP | Tokyo | 2015-05-21 / 20150138335 - OBSERVATION APPARATUS | 3 |
Yasuo Kaminaga | JP | Hitachi | 2011-01-20 / 20110013437 - DC-DC Converter And Its Controlling Method | 1 |
Tetsuya Kaminaka | JP | Yokohama | 2012-03-22 / 20120072687 - COMPUTER SYSTEM, STORAGE VOLUME MANAGEMENT METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 2 |
Kazuyoshi Kaminaka | JP | Kumamoto-Ken | 2011-11-03 / 20110268756 - MODIFIED AMYLOID BETA PEPTIDE | 5 |
Junpei Kaminaka | JP | Tokyo | 2013-04-18 / 20130094058 - IMAGE FORMING APPARATUS | 1 |
Kazuyoshi Kaminaka | JP | Kumamoto-Shi | 2014-02-13 / 20140044743 - METHOD FOR ENHANCING IMMUNE RESPONSE WITH PEPTIDE | 1 |
Tetsuya Kaminaka | JP | Miyazaki | 2009-06-18 / 20090153535 - PLASMA DISPLAY DEVICE AND PLASMA DISPLAY PANEL DRIVE METHOD | 1 |
Hideya Kaminaka | JP | Tokyo | 2015-08-27 / 20150240332 - TITANIUM ALLOY HAVING HIGH CORROSION RESISTANCE IN BROMINE-ION-CONTAINING ENVIRONMENT | 3 |
Kazuyoshi Kaminaka | JP | Kikuchi-Shi, Kumamoto | 2016-01-07 / 20160000898 - MICRONEEDLE COATING COMPOSITION AND MICRONEEDLE DEVICE | 1 |
Kazuyoshi Kaminaka | JP | Kumamoto | 2014-03-06 / 20140065179 - ADJUVANT COMPOSITION CONTAINING CITRULLINE | 2 |
Hideya Kaminaka | JP | Osaka | 2013-08-15 / 20130209874 - CLAD MATERIAL | 1 |
Kazuyoshi Kaminaka | JP | Kikuchi-Shi | 2014-11-20 / 20140343248 - METHOD FOR ENHANCING IMMUNE RESPONSE WITH PEPTIDE | 4 |
Motoyuki Kaminaka | JP | Aichi | 2009-11-05 / 20090272838 - Roll-sheet feeding device and image forming apparatus | 1 |
Hideya Kaminaka | JP | Nishinomiya-Shi | 2012-09-27 / 20120244031 - DUPLEX STAINLESS STEEL HAVING EXCELLENT ALKALI RESISTANCE | 3 |
Nina Kaminen | FI | Helsinki | 2008-10-02 / 20080241178 - NOVEL HUMAN GENE FUNCTIONALLY RELATED TO DYSLEXIA | 1 |
Himani S. Kamineni | US | Albany | 2015-03-19 / 20150076706 - THROUGH-SILICON VIA UNIT CELL AND METHODS OF USE | 1 |
Vimal Kamineni | US | Albany | 2015-08-20 / 20150235957 - INTEGRATED CIRCUITS WITH IMPROVED CONTACT STRUCTURES | 6 |
Satya Kamineni | US | Lockport | 2014-02-20 / 20140048508 - CONTAINER BASE HAVING VOLUME ABSORPTION PANEL | 5 |
Vimal K. Kamineni | US | Albany | 2016-01-07 / 20160005867 - SILICIDE PROTECTION DURING CONTACT METALLIZATION AND RESULTING SEMICONDUCTOR STRUCTURES | 8 |
Vimal K. Kamineni | US | Albany | 2016-01-07 / 20160005867 - SILICIDE PROTECTION DURING CONTACT METALLIZATION AND RESULTING SEMICONDUCTOR STRUCTURES | 8 |
Vimal Kamineni | US | Mechanicville | 2016-02-18 / 20160049370 - METHODS OF FORMING MIS CONTACT STRUCTURES FOR SEMICONDUCTOR DEVICES BY SELECTIVE DEPOSITION OF INSULATING MATERIAL AND THE RESULTING DEVICES | 2 |
Rajesh Kamineni | IN | Warangal | 2014-09-18 / 20140278326 - SERVICE PLACEMENT TECHNIQUES FOR A CLOUD DATACENTER | 1 |
Brian F. Kaminer | US | Harrison | 2014-09-04 / 20140245556 - CLEANING PAD ARRANGEMENT | 5 |
Brian F. Kaminer | US | Harriston | 2015-03-12 / 20150067977 - QUILTED FABRIC TOWEL STEAM POCKET FOR A STEAM APPLIANCE | 1 |
Iris Kaminer | IL | Even Yehuda | 2010-10-28 / 20100275118 - METHOD AND SYSTEM FOR USER-INTERACTIVE ITERATIVE SPELL CHECKING | 1 |
Bran F. Kaminer | US | Harrison | 2011-09-15 / 20110223375 - PAD FOR A STEAM APPLIANCE | 1 |
Brian Kaminer | US | Harrison | 2010-10-28 / 20100272948 - Quilted Fabric Towel Steam Pocket For A Steam Appliance | 2 |
Morimasa Kaminish | JP | Miyagi | 2009-12-03 / 20090296768 - VERTICAL CAVITY SURFACE EMITTING LASER (VCSEL), VCSEL ARRAY DEVICE, OPTICAL SCANNING APPARATUS, AND IMAGE FORMING APPARATUS | 1 |
Kensuke Kaminishi | JP | Osaka | 2015-11-26 / 20150339875 - MEDICINE DISPENSING SYSTEM AND MEDICINE DISPENSING DEVICE | 6 |
Kentaro Kaminishi | JP | Shizuoka | 2011-11-17 / 20110278083 - SNOW VEHICLE | 1 |
Hidenori Kaminishi | JP | Fukuoka-Shi | 2013-04-25 / 20130102771 - NEURITE OUTGROWTH AGENT | 1 |
Masahiko Kaminishi | JP | Iwate | 2016-05-19 / 20160138158 - NOZZLE AND SUBSTRATE PROCESSING APPARATUS USING SAME | 7 |
Toshimichi Kaminishi | JP | Shiga | 2016-03-10 / 20160073534 - ELECTRICAL CONNECTION BOX | 1 |
Morimasa Kaminishi | JP | Miyagi | 2012-02-16 / 20120040515 - Semiconductor Light-Emitting Device, Surface-Emission Laser Diode, and Production Apparatus Thereof, Production Method, Optical Module and Optical Telecommunication System | 5 |
Daisuke Kaminishi | JP | Kyoto | 2012-10-04 / 20120247207 - PIEZOELECTRIC THIN FILM STRUCTURE AND ANGULAR VELOCITY DETECTION APPARATUS | 1 |
Daisuke Kaminishi | JP | Kyoto-Fu | 2010-08-19 / 20100206073 - ANGULAR VELOCITY DETECTING DEVICE AND MANUFACTURING METHOD OF THE SAME | 2 |
Masahiko Kaminishi | JP | Oshu-Shi | 2009-09-17 / 20090232967 - THERMAL PROCESSING APPARATUS, METHOD FOR REGULATING TEMPERATURE OF THERMAL PROCESSING APPARATUS, AND PROGRAM | 1 |
Yuji Kaminishi | JP | Ishikawa-Gun | 2013-06-06 / 20130141302 - ANTENNA DEVICE AND WIRELESS COMMUNICATION APPARATUS INCLUDING THE SAME | 2 |
Yuji Kaminishi | JP | Ishikawa-Ken | 2009-05-07 / 20090115671 - ANTENNA DEVICE AND WIRELESS COMMUNICATION APPARATUS INCLUDING THE SAME | 1 |
Yuji Kaminishi | JP | Kyoto-Fu | 2012-12-06 / 20120306703 - ANTENNA AND WIRELESS COMMUNICATION DEVICE | 1 |
Takeyoshi Kaminishizono | JP | Aichi | 2009-12-24 / 20090318776 - PHYSICAL CONDITION MANAGEMENT SYSTEM | 1 |
Shahar Kaminitz | IL | Savyon | 2008-09-04 / 20080215675 - Method and system for secured syndication of applications and applications' data | 1 |
Gal Kaminka | IL | Kfar Saba | 2014-05-29 / 20140149806 - ANOMALY DETECTION METHODS, DEVICES AND SYSTEMS | 2 |
Yuval Kaminka | IL | Givat-Shmuel | 2012-11-22 / 20120295679 - SYSTEM AND METHOD FOR IMPROVING MUSICAL EDUCATION | 1 |
Gal A. Kaminka | IL | Kfar-Saba | 2009-04-02 / 20090086745 - Method and a system for matching between network nodes | 3 |
Yigal Kaminka | IL | Kfar-Saba | 2012-11-22 / 20120295679 - SYSTEM AND METHOD FOR IMPROVING MUSICAL EDUCATION | 1 |
Joseph E. Kaminkow | IL | Reno | 2014-03-13 / 20140073406 - GAMING DEVICE WITH WILD ACTIVATION SYMBOLS AND WILD TERMINATION SYMBOLS | 1 |
Joseph E. Kaminkow | US | Reno | 2013-10-03 / 20130260870 - GAMING DEVICE HAVING A MULTI-TRIGGER BONUS | 11 |
Joseph E. Kaminkow | US | Reno | 2013-10-03 / 20130260870 - GAMING DEVICE HAVING A MULTI-TRIGGER BONUS | 11 |
Joseph E. Kaminkow | US | San Francisco | 2016-02-11 / 20160042590 - SLOTS GAME WITH IMPROVING BONUS-WINNING ODDS | 3 |
Joseph E. Kaminkow | US | Las Vegas | 2015-05-07 / 20150126265 - GAMING DEVICE WITH WILD ACTIVATION SYMBOLS AND WILD TERMINATION SYMBOLS | 1 |
Andrew Charles Kamin-Lyndgaard | US | Minneapolis | 2015-04-16 / 20150103018 - ENHANCED DETACHABLE SENSORY-INTERFACE DEVICE FOR A WIRELESS PERSONAL COMMUNICATION DEVICE AND METHOD | 2 |
Andrew C. Kamin-Lyndgaard | US | Minneapolis | 2015-07-09 / 20150195484 - METHOD FOR REMOTE CAPTURE OF AUDIO AND DEVICE | 2 |
Maruo Kamino | JP | Kobe-City | 2012-05-24 / 20120129048 - BINDER FOR LITHIUM SECONDARY BATTERY, NEGATIVE ELECTRODE FOR LITHIUM SECONDARY BATTERY, LITHIUM SECONDARY BATTERY, BINDER PRECURSOR SOLUTION FOR LITHIUM SECONDARY BATTERY, AND METHOD FOR MANUFACTURING NEGATIVE ELECTRODE FOR LITHIUM SECONDARY BATTERY | 7 |
Akira Kamino | JP | Osaka | 2010-07-08 / 20100170281 - HUMIDITY CONTROL SYSTEM | 2 |
Takeshi Kamino | JP | Kanagawa | 2016-03-17 / 20160079294 - IMAGE PICKUP DEVICE AND METHOD OF MANUFACTURING THE SAME | 4 |
Yuichiro Kamino | JP | Aichi | 2015-12-03 / 20150344151 - LIGHTNING-RESISTANT FASTENER, CAP, AND METHOD OF MOUNTING LIGHTNING-RESISTANT FASTENER | 9 |
Takeshi Kamino | JP | Kawasaki-Shi, Kanagawa | 2015-10-22 / 20150303230 - METHOD FOR MANUFACTURING IMAGE CAPTURING DEVICE AND IMAGE CAPTURING DEVICE | 1 |
Takeo Kamino | JP | Hitachinaka | 2011-12-15 / 20110303845 - ELECTRON BEAM DEVICE AND SAMPLE HOLDING DEVICE FOR ELECTRON BEAM DEVICE | 1 |
Yuichiro Kamino | JP | Tokyo | 2016-02-25 / 20160052638 - FUEL TANK, MAIN WINGS, AIRCRAFT FUSELAGE, AIRCRAFT, AND MOVING BODY | 15 |
Eiji Kamino | JP | Tokyo | 2013-12-12 / 20130332469 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, PROGRAM, INFORMATION PROCESSING SYSTEM, AND CONTENT REQUESTING TERMINAL | 1 |
Itsuki Kamino | JP | Kanagawa | 2015-05-21 / 20150143460 - IC CHIP, INFORMATION PROCESSING APPARATUS, SYSTEM, METHOD, AND PROGRAM | 6 |
Kazuya Kamino | JP | Kobe-Shi | 2015-12-31 / 20150375058 - GOLF BALL | 22 |
Maruo Kamino | JP | Moriguchi-Shi | 2008-10-02 / 20080241647 - CYLINDRICAL LITHIUM SECONDARY BATTERY | 2 |
Rick Kamino | US | Palm Desert | 2009-09-24 / 20090235958 - DEGREASING SYSTEM | 1 |
Maruo Kamino | JP | Kobe-Shi | 2012-04-05 / 20120082892 - LITHIUM SECONDARY BATTERY | 6 |
Atsushi Kamino | JP | Naka | 2013-09-19 / 20130240353 - ION MILLING DEVICE AND ION MILLING PROCESSING METHOD | 2 |
Tomoyuki Kamino | JP | Shinjuku-Ku | 2011-05-12 / 20110112071 - NOVEL HETEROCYCLIDENE ACETAMIDE DERIVATIVE | 1 |
Maruo Kamino | JP | Moriguchi-City | 2008-10-02 / 20080241703 - Nonaqueous electrolyte secondary battery | 1 |
Yuichiro Kamino | JP | Aichi | 2015-12-03 / 20150344151 - LIGHTNING-RESISTANT FASTENER, CAP, AND METHOD OF MOUNTING LIGHTNING-RESISTANT FASTENER | 9 |
Maruo Kamino | JP | Katano-City | 2013-04-25 / 20130101897 - LITHIUM SECONDARY BATTERY AND METHOD FOR MANUFACTURING THE SAME | 2 |
Kenji Kamino | JP | Kizugawa-Shi, Kyoto | 2015-12-24 / 20150373835 - METHOD FOR MANUFACTURING SHIELD PRINTED WIRING BOARD, AND SHIELD FILM AND SHIELD PRINTED WIRING BOARD | 1 |
Kenji Kamino | JP | Higashiosaka-Shi | 2015-09-03 / 20150250080 - SHIELD FILM AND SHIELD PRINTED WIRING BOARD | 2 |
Takashi Kamino | JP | Kumamoto | 2012-10-04 / 20120249911 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF MANUFACTURING LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Takeshi Kamino | JP | Tokyo | 2008-11-06 / 20080272461 - Capture of residual refractory metal within semiconductor device | 1 |
Yuichiro Kamino | JP | Tokyo | 2016-02-25 / 20160052638 - FUEL TANK, MAIN WINGS, AIRCRAFT FUSELAGE, AIRCRAFT, AND MOVING BODY | 15 |
Takeo Kamino | JP | Kofu-Shi | 2012-11-22 / 20120295184 - HIGH-POTENTIAL STABLE OXIDE SUPPORT FOR POLYMER ELECTROLYTE FUEL CELL | 1 |
Mitsuo Kamino | JP | Nagoya-Shi | 2014-01-30 / 20140027651 - Continuous Sterilization System | 1 |
Atsushi Kamino | JP | Hitachinaka | 2012-05-24 / 20120126146 - ION MILLING DEVICE | 1 |
Yuichiro Kamino | JP | Nagoya | 2010-02-11 / 20100034352 - RADIOTHERAPY APPARATUS AND RADIATION IRRADIATING METHOD | 1 |
Tetsuya Kamino | JP | Kawasaki | 2015-11-19 / 20150331822 - INFORMATION PROCESSING DEVICE AND PATH DETERMINATION METHOD | 3 |
Maruo Kamino | JP | Osaka | 2015-02-12 / 20150044535 - LITHIUM SECONDARY BATTERY | 4 |
Kenji Kamino | JP | Osaka | 2009-02-12 / 20090038839 - SHIELDING FILM, SHIELDED PRINTED CIRCUIT BOARD, SHIELDED FLEXIBLE PRINTED CIRCUIT BOARD, METHOD OF MANUFACTURING SHIELDING FILM, AND METHOD OF MANUFACTURING SHIELDED PRINTED CIRCUIT BOARD | 1 |
Maruo Kamino | JP | Hyogo | 2011-06-23 / 20110151338 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Tomoyuki Kamino | JP | Tokyo | 2013-01-31 / 20130029978 - NOVEL ARYL UREA DERIVATIVE | 3 |
Tsukasa Kaminokado | JP | Nara | 2009-10-22 / 20090262661 - DATA TRANSMISSION DEVICE AND METHOD OF CONTROLLING SAME, DATA RECEIVING DEVICE AND METHOD OF CONTROLLING SAME, DATA TRANSFER SYSTEM, DATA TRANSMISSION DEVICE CONTROL PROGRAM, DATA RECEIVING DEVICE CONTROL PROGRAM, AND STORAGE MEDIUM CONTAINING THE PROGRAMS | 2 |
Tsukasa Kaminokado | JP | Tenri-Shi Nara | 2009-07-30 / 20090190502 - COMMUNICATION APPARATUS, COMMUNICATION METHOD, COMMUNICATION CIRCUIT, MOBILE PHONE, PROGRAM, AND COMPUTER READABLE RECORDING MEDIUM WITH PROGRAM RECORDED THEREIN | 1 |
Yoshiro Kaminokado | JP | Matsuyama-Shi | 2012-01-05 / 20120000434 - METHOD OF OPERATING STEAM BOILER | 1 |
Josh Kamins | IL | Elazar | 2011-05-05 / 20110103582 - System for securing access to data streams | 1 |
Theodore Kamins | US | Palo Alto | 2015-03-19 / 20150076711 - CONDUCTIVE INK FOR FILLING VIAS | 2 |
Richard Kamins | US | Northridge | 2011-09-22 / 20110227737 - Physiological Sign Assessment Security Scanner System | 1 |
Theodore I. Kamins | US | Pato Alto | 2011-09-22 / 20110228592 - Programmable Bipolar Electronic Device | 1 |
Michael B. Kamins | US | Wauconda | 2015-10-15 / 20150293452 - Melted Crayon Painting System | 1 |
Theodore I. Kamins | US | Palo Alto | 2016-03-24 / 20160086741 - Multilayer Carbon Nanotube Capacitor | 63 |
Paul Kamins | US | Lancaster | 2009-02-19 / 20090048081 - ORTHOPEDIC THERAPY SYSTEM AND DEVICE AND A METHOD OF USE | 2 |
Duane Kamins | US | Bellaire | 2013-11-14 / 20130298315 - EAR SOCK SYSTEMS | 1 |
Bozena Kaminska | CA | Burnaby | 2011-06-02 / 20110130671 - Monitoring Physiological Condition and Detecting Abnormalities | 2 |
Bozena Kaminska | CA | Vancouver | 2016-02-25 / 20160056380 - ORGANIC ELECTRONIC DEVICE AND METHOD OF MANUFACTURE | 12 |
Monika Dorota Kaminska | FR | Le Plessis Robinson | 2011-06-30 / 20110159598 - CRYSTAL STRUCTURE OF THE CCZ-LZ DOMAIN OF NEMO | 1 |
Agnieszka Kaminska | PL | Sulejowek | 2011-09-29 / 20110235031 - SUBSTRATE FOR SURFACE ENHANCED RAMAN SCATTERING STUDIES | 1 |
Anna Kaminska | PL | Starogard Gdanski | 2011-02-24 / 20110045085 - PROCESS FOR OBTAINING POWDER COMPOSITIONS OF ORLISTAT | 1 |
Bozena Kaminska | CA | Vancouver | 2016-02-25 / 20160056380 - ORGANIC ELECTRONIC DEVICE AND METHOD OF MANUFACTURE | 12 |
Bozena Kaminska-Kaczmarek | PL | Komorow | 2014-09-11 / 20140256628 - Compositions And Methods For Treating Glioma | 1 |
James Eric Kaminske | US | Brownstown | 2011-11-10 / 20110276219 - EMBEDDED VEHICLE DATA RECORDING TOOLS FOR VEHICLE SERVICING | 1 |
Mitchell V. Kaminski | US | Niles | 2011-07-14 / 20110168191 - SLIPPER-LIKE DEVICE TO PREVENT OR HELP HEAL PRESSURE ULCERS OF THE FOOT | 1 |
Andrzej S. Kaminski | US | Allen | 2010-04-29 / 20100103957 - FRACTIONAL RAMAN ORDER PUMPING IN OPTICAL COMMUNICATION SYSTEMS | 1 |
John Gerald Kaminski | US | Dearborn | 2014-01-30 / 20140032043 - METHOD AND APPARATUS FOR CONTROLLING MASSAGE FUNCTIONS OF A MOTOR VEHICLE SEAT | 1 |
Mark Kaminski | US | Tucson | 2011-04-28 / 20110096533 - REFRACTIVE OPTICS TO PROVIDE UNIFORM ILLUMINATION IN A DISPLAY CASE | 1 |
Joseph Kaminski | US | Campbell | 2014-02-20 / 20140051908 - Hemodynamic Assist Device | 1 |
Michael G. Kaminski | US | San Jose | 2014-02-06 / 20140033645 - Apparatus For Inflating And Sealing Packing Cushions Employing Film Recognition Controller | 1 |
Jennifer M. Kaminski | US | Roswell | 2014-12-04 / 20140358575 - VITALITY PROGRAM FOR PARTICIPANT WELLNESS | 2 |
Michael J. Kaminski | US | Milwaukee | 2014-08-21 / 20140236818 - INTEGRATED BILL PRESENTMENT AND PAYMENT SYSTEM AND METHOD OF OPERATING THE SAME | 1 |
Naftali Kaminski | US | Pittsburgh | 2014-11-13 / 20140335547 - Marker Panels For Idiopathic Pulmonary Fibrosis Diagnosis And Evaluation | 4 |
Marcin M. Kaminski | US | Memphis | 2016-04-28 / 20160113958 - MODULATORS OF ADP-DEPENDENT GLUCOKINASE (ADPGK) AND GLYCEROL-3-PHOSPHATE DEHYDROGENASE (GPD2) FOR THERAPY | 1 |
Perry W. Kaminski | US | Stehekin | 2013-08-15 / 20130211436 - TREATMENT OF CARDIAC ARRHYTHMIA UTILIZING ULTRASOUND | 1 |
Mia Kaminski | US | San Ramon | 2013-09-12 / 20130233334 - Hair Volumizing Accessory | 1 |
Scott T. Kaminski | US | San Ramon | 2013-09-12 / 20130233334 - Hair Volumizing Accessory | 1 |
Jerry Kaminski | US | Fort Collins | 2009-02-19 / 20090045365 - Fail Safe Mechanism For Valve Using A Cock And Lock Return Spring | 1 |
Michael Kaminski | US | Lockport | 2008-11-06 / 20080274202 - Compositions and Method for Brain Specific Targeted Delivery of Therapeutic Agents | 1 |
Tomasz Kaminski | US | Issaquah | 2015-12-03 / 20150347533 - SEMANTIC CONTENT ACCESSING IN A DEVELOPMENT SYSTEM | 1 |
Perry Kaminski | US | Stehekin | 2008-10-23 / 20080262358 - THERMALLY ENHANCED PIEZOELECTRIC ELEMENT | 1 |
Jennifer A. Kaminski | US | Roswell | 2010-06-10 / 20100144228 - Nanofibers Having Embedded Particles | 1 |
Raymond F. Kaminski | US | Bingham Farms | 2010-05-13 / 20100121658 - DENTAL CHARTING SYSTEM | 1 |
Edward Stephen Kaminski | US | North East | 2013-01-17 / 20130017621 - METHODS AND SYSTEMS PROVIDING REAGENT MIXING | 1 |
Robert W. Kaminski | US | Germantown | 2010-05-13 / 20100119543 - USE OF SHIGELLA INVAPLEX TO TRANSPORT FUNCTIONAL PROTEINS AND TRANSCRIPTIONALLY ACTIVE NUCLEIC ACIDS ACROSS MAMMALIAN CELL MEMBRANES IN VITRO AND IN VIVO | 2 |
Kamil Kaminski | PL | Zawoja | 2013-02-07 / 20130034516 - USE OF THE MODIFIED POLYSACCHARIDES FOR HEPARIN NEUTRALIZATION | 2 |
Christopher E. Kaminski | US | Northfield Center | 2013-09-05 / 20130228546 - PLASTIC CONTAINER WITH ELONGATED VERTICAL FORMATION | 2 |
Teresa C. Kaminski | US | Magnolia | 2008-09-04 / 20080209710 - Well Screen Fabrication | 1 |
Michael D. Kaminski | US | Lockport | 2015-02-05 / 20150038387 - SUPERABSORBING GEL FOR ACTINIDE, LANTHANIDE, AND FISSION PRODUCT DECONTAMINATION | 4 |
Ruwen Kaminski | DE | Stuttgart | 2012-08-23 / 20120210818 - Fluid-Operated Manipulator | 2 |
Brian D. Kaminski | US | Lake Orion | 2014-05-08 / 20140125177 - GENERATOR ATTACHMENT ASSEMBLY AND METHOD | 2 |
Patryk Kaminski | US | Austin | 2014-12-18 / 20140372782 - COMBINED DYNAMIC AND STATIC POWER AND PERFORMANCE OPTIMIZATION ON DATA CENTERS | 17 |
Nicholas J. Kaminski | US | Blacksburg | 2015-10-01 / 20150282189 - Cognitive Radio Method And Apparatus For Achieving Ad Hoc Interference Multiple Access Wireless Communication | 1 |
Michael Victor Kaminski | US | Elyria | 2011-03-24 / 20110067394 - THERMO-MAGNETIC ACTUATOR | 1 |
Joseph K. Kaminski | US | Lebanon | 2012-02-23 / 20120046968 - SYSTEMS AND METHODS FOR PROVIDING A STEM CELL BANK | 1 |
Claudia Kaminski | US | Milford | 2016-05-05 / 20160120778 - CLEANSING COMPOSITIONS | 9 |
Douglas Kaminski | US | West Wyoming | 2014-08-07 / 20140216098 - MOBILE REFRIGERATION CABINET | 1 |
Joseph W. Kaminski | US | Campell | 2014-11-20 / 20140339071 - Method and Apparatus for Heating During a Liquid Purification Process Using an Electromagnetic Heater | 1 |
Joseph L. Kaminski | US | Hickory | 2010-08-26 / 20100215319 - Multi-Fiber Ferrule with Integrated, Molded Guide Pin | 1 |
Claudia Kaminski | US | Milford | 2016-05-05 / 20160120778 - CLEANSING COMPOSITIONS | 9 |
Mark Edward Kaminski | US | East Cleveland | 2016-02-04 / 20160033110 - LIGHT EMITTING DIODE (LED) LIGHTING SYSTEM WITH ANTIMICROBIAL/AIR CLEANING FUNCTIONS FROM HIGHLY SPECULAR MULTILAYER THIN FILM REFLECTOR | 1 |
Joseph Kaminski | US | Evans | 2011-06-09 / 20110135672 - HLA-G COMPOSITIONS AND METHODS OF USE THEREOF | 1 |
Robert Kaminski | PL | Szczecin | 2015-08-20 / 20150237453 - Method Of Manufacturing Assemblies For Hearing Aids | 1 |
Charles Kaminski | US | Alpharetta | 2015-12-31 / 20150379789 - SYSTEMS AND METHODS FOR TELEMATICS MONTORING AND COMMUNICATIONS | 6 |
Joseph W. Kaminski | US | Campbell | 2016-03-10 / 20160068406 - METHODS AND APPARATUS FOR PURIFYING LIQUID USING REGENERATING HEAT EXCHANGE | 8 |
Dariusz S. Kaminski | US | Duluth | 2012-09-06 / 20120227072 - PVR Channel and PVR IPG Information | 4 |
Richard A. Kaminski | US | Pittsburgh | 2011-01-27 / 20110017016 - SYSTEM AND METHOD FOR COOLING AND REMOVING IRON FROM A HEARTH | 1 |
Stephen Henry Kaminski | US | Roseville | 2015-08-06 / 20150219521 - DIE CONDITION DETECTION | 3 |
David L. Kaminski | US | Durham | 2012-01-05 / 20120005522 - FAULT TOLERANCE FOR MAP/REDUCE COMPUTING | 1 |
Patryk Kaminski | US | Austin | 2014-12-18 / 20140372782 - COMBINED DYNAMIC AND STATIC POWER AND PERFORMANCE OPTIMIZATION ON DATA CENTERS | 17 |
Mark Edward Kaminski | US | Tucson | 2011-09-22 / 20110228519 - LIGHTED DISPLAY CASE HAVING REDUCED GLARE | 1 |
Stephen H. Kaminski | US | Newbury Park | 2008-12-25 / 20080314417 - MOVABLE SINK PARTS WASHER | 2 |
Lukasz Kaminski | PL | Koszalin | 2015-07-30 / 20150213308 - METHOD AND SYSTEM FOR ANALYZING HUMAN BEHAVIOR IN AN INTELLIGENT SURVEILLANCE SYSTEM | 1 |
Joseph M. Kaminski | US | Evans | 2011-02-24 / 20110047635 - METHODS AND COMPOSITIONS FOR TRANSPOSON-MEDIATED TRANSGENESIS | 1 |
Keith L. Kaminski | US | Mount Prospect | 2016-03-10 / 20160070727 - METHODS AND APPARATUS FOR BUILDING A SEARCH INDEX FOR A DATABASE | 1 |
Michael Anthony Kaminski | CA | Ste. Anne | 2016-03-10 / 20160066518 - GARDEN PLOT WATERING ENCLOSURE | 1 |
Tomasz Kaminski | PL | Wilkolaz | 2015-05-07 / 20150125947 - MICROFLUIDIC DEVICE | 3 |
Miroslaw Kaminski | US | Colonial Heights | 2014-09-18 / 20140261470 - METHOD AND APPARATUS FOR ASSEMBLY OF MULTI-SEGMENTED CYLINDRICAL PRODUCTS, SUCH AS TOBACCO PRODUCTS | 1 |
Mark E. Kaminski | US | Cleveland | 2014-07-17 / 20140198507 - COMPACT LIGHT-MIXING LED LIGHT ENGINE AND WHITE LED LAMP WITH NARROW BEAM AND HIGH CRI USING SAME | 1 |
Thomas Kaminski | US | Lawrenceville | 2015-11-19 / 20150333974 - MOBILE APPLICATION FOR ACCESSING A SHAREPOINT.RTM. SERVER | 4 |
George Kaminski | US | Livonia | 2015-02-05 / 20150036396 - Converter with Transformer Flux Walk Protection Controller | 3 |
Edmund Kaminski | US | Holly Springs | 2014-09-18 / 20140273199 - System And Method For Producing A Consistent Quality Syngas From Diverse Waste Materials With Heat Recovery Based Power Generation, And Renewable Hydrogen Co-Production | 1 |
Henry Kaminski | US | Washington | 2012-12-20 / 20120321624 - TARGETING THE NEUROMUSCULAR JUNCTION FOR TREATMENT | 1 |
Gil Kaminski | IL | Givat Shmuel | 2012-08-16 / 20120209126 - METHOD AND SYSTEM FOR DETECTING CARDIAC ARRHYTHMIA | 2 |
Marcin M. Kaminski | DE | Heidelberg | 2014-06-19 / 20140171624 - MODULATORS OF ADP-DEPENDENT GLUCOKINASE (ADPGK) AND GLYCEROL-3-PHOSPHATE DEHYDROGENASE (GPD2) FOR THERAPY | 2 |
David A. Kaminski | US | Dunbarton | 2015-10-22 / 20150300705 - Integrated thermoelectric-powered fluid heat exchanger | 3 |
Naftali Kaminski | US | Pittsburg | 2014-10-30 / 20140323343 - BIOMARKERS FOR ASSESSING IDIOPATHIC PULMONARY FIBROSIS | 1 |
John Kaminski | US | North Aurora | 2014-07-31 / 20140211443 - ADAPTER FOR ARC RESISTANT MOTOR CONTROL CENTER | 1 |
Peter Kaminski | US | San Francisco | 2015-10-22 / 20150302053 - SYSTEM AND METHOD FOR ONLNE BRAND PROTECTION AND REGISTRY | 1 |
Edward Kaminski | US | Escondido | 2015-02-26 / 20150054833 - METHODS AND SYSTEMS FOR EFFICIENT GRAPHICS RENDERING | 1 |
Stanislaw Kaminski | PL | Warszawa | 2011-08-25 / 20110203350 - METHOD FOR MEASURING DUST CONCENTRATION IN FLOWING GAS AND DEVICE FOR MEASURING DUST CONCENTRATION IN FLOWING GAS | 1 |
Christopher Anthony Kaminski | US | Niskayuna | 2015-06-11 / 20150162804 - ROTOR WITH COOLING MANIFOLDS | 8 |
Stephen Kaminski | DE | Eislingen | 2015-10-01 / 20150280955 - METHOD AND PROCESSING ARRANGEMENT FOR JOINT PROCESSING OF UPLINK DATA | 19 |
Ronald R. Kaminski | US | Southaven | 2015-12-17 / 20150365309 - METHODS AND SYSTEMS PROVIDING A SCALABLE PROCESS FOR ANOMALY IDENTIFICATION AND INFORMATION TECHNOLOGY INFRASTRUCTURE RESOURCE OPTIMIZATION | 1 |
Ulrich Kaminski | DE | Bartholomä | 2015-04-30 / 20150120041 - Tooling System with Electronic Signal Maintenance | 1 |
Ronald Kaminski | US | South Setauket | 2009-10-01 / 20090248579 - Method and System for Accepting and Processing Financial Transactions over a Mobile Computing Device | 1 |
Christopher Anthony Kaminski | US | Schenectady | 2011-07-07 / 20110162199 - PERMANENT MAGNET ROTOR INSTALLATION SYSTEMS | 4 |
Noam Kaminski | IL | Kiryat Tivon | 2015-12-31 / 20150380813 - WIRELESS COMMUNICATION DEVICE WITH JOINED SEMICONDUCTORS | 7 |
Denise Ann Kaminski | US | Rochester | 2010-03-11 / 20100061995 - Immunotherapy To Treat Or Prevent Viral Infection | 1 |
Mark Edward Kaminski | US | Beachwood | 2013-08-08 / 20130201722 - OPTICAL SYSTEM AND LIGHTING DEVICE COMPRISED THEREOF | 1 |
Christopher A. Kaminski | US | Schenectady | 2010-04-22 / 20100096937 - HEAT TRANSFER ENHANCEMENT OF DYNAMOELECTRIC MACHINE ROTORS | 3 |
Michael V Kaminski | US | Elyria | 2015-07-09 / 20150190631 - SYSTEMS AND METHODS FOR THE TREATMENT OF ORAL AND SYSTEMIC MALADIES IN ANIMALS USING ELECTRICAL CURRENT | 1 |
Michael Kaminski | DE | Bochum | 2014-01-02 / 20140001137 - CRANE HAVING A CRANE JIB, IN PARTICULAR A BRACKET CRANE | 1 |
Karine Kaminski | FR | Juvignac | 2009-05-14 / 20090123935 - Measurement of a population of nucleic acids, in particular by real time PCR | 1 |
Mark Kaminski | DE | Leverkusen | 2009-12-03 / 20090297852 - Method for Coating the Surface of Inorganic Solid Particles, Especially Titanium Dioxide Pigment Particles | 1 |
Pierre-Alexandre Kaminski | FR | Paris | 2015-09-24 / 20150267181 - Phosphodeoxyribosyl Transferase Mutant Enzymes and Uses Thereof | 5 |
Zbigniew Kaminski | IT | Wyszynskiego | 2010-11-18 / 20100292469 - PROCESS FOR THE PREPARATION OF N-TRIAZINYLAMMONIUM SALTS | 1 |
David Kaminski | US | Cambridge | 2012-12-06 / 20120310108 - COMPUTER-IMPLEMENTED INTEGRATED HEALTH SYSTEMS AND METHODS | 2 |
Jeffrey A. Kaminski | US | Shippensburg | 2014-07-10 / 20140190118 - STAIRCASE AND METHOD FOR CONSTRUCTION | 2 |
John Kaminski | CA | Leduc | 2015-05-14 / 20150129318 - DRILL BIT WITH A FLOW INTERRUPTER | 2 |
Andrzej Kaminski | PL | Warsaw | 2009-08-27 / 20090212069 - BEVERAGE DISPENSER WITH LEVEL MEASURING APPARATUS AND DISPLAY | 1 |
Jacek Kaminski | DE | Stuttgart-Weilimdorf | 2009-08-27 / 20090213694 - Method and device for detecting the surface character of objects in road traffic or of persons | 1 |
Rafal Kaminski | BE | Waterloo | 2014-04-03 / 20140094619 - ANDROSTANE AND PREGNANE STEROIDS WITH POTENT ALLOSTERIC GABA RECEPTOR CHLORIDE IONOPHORE MODULATING PROPERTIES | 2 |
Marcin Kaminski | DE | Dossenheim | 2010-12-02 / 20100305187 - METHODS AND COMPOUNDS FOR TREATING DISEASES CAUSED BY REACTIVE OXYGEN SPECIES | 1 |
Keith Loren Kaminski | US | Mount Prospect | 2015-05-28 / 20150149474 - METHOD AND APPARATUS FOR INDEXING AND SEARCHING DOCUMENTS | 1 |
Perry W. Kaminski | US | Mukilteo | 2011-09-22 / 20110230793 - Treatment of Alopecia with ultrasound | 1 |
Christopher Anthony Kaminski | US | Niskayuna | 2015-06-11 / 20150162804 - ROTOR WITH COOLING MANIFOLDS | 8 |
Allan Kaminski | GB | Basildon | 2015-09-10 / 20150251536 - FRONT END ACCESSORY DRIVE BRACKET AND MOUNTING METHOD FOR AN ENGINE | 1 |
Jacek Kaminski | SE | Molnlycke | 2014-09-11 / 20140256228 - IN-PROCESS COMPENSATION OF MACHINING OPERATION AND MACHINE ARRANGEMENT | 1 |
Lawrence A. Kaminski | US | White Lake | 2013-08-22 / 20130217536 - METHOD AND APPARATUS FOR EXECUTING AN ASYNCHRONOUS CLUTCH-TO-CLUTCH SHIFT IN A HYBRID TRANSMISSION | 1 |
Lauren J. Kaminski | GB | Aberdeen | 2015-05-28 / 20150144565 - Methods to Separate Brine From Invert Emulsions Used in Drilling and Completion Fluids | 1 |
Joseph M. Kaminski | US | Bethesda | 2011-06-02 / 20110130444 - METHODS AND COMPOSITIONS FOR TARGETED DELIVERY OF GENE THERAPEUTIC VECTORS | 1 |
Charles F. Kaminski | US | Manhattan Beach | 2010-11-04 / 20100277372 - SYSTEM AND METHOD FOR OPERATING A RADAR SYSTEM IN A CONTINUOUS WAVE MODE FOR DATA COMMUNICATION | 1 |
Gary Kaminski | US | Emporia | 2013-09-12 / 20130233109 - Towed Vehicle Braking Apparatus | 3 |
David Paul Kaminski | US | Shelby Twp. | 2015-07-09 / 20150193729 - INVENTORY TRACKING SYSTEM CLASSIFICATION STRATEGY | 2 |
Mark E. Kaminski | US | Beachwood | 2012-02-23 / 20120044682 - COMPACT LED LIGHT ENGINE WITH REFLECTOR CUPS AND HIGHLY DIRECTIONAL LAMPS USING SAME | 2 |
Joseph K. Kaminski | US | Hampton | 2015-07-09 / 20150193581 - SYSTEMS AND METHODS FOR PROVIDING A STEM CELL BANK | 4 |
Timothy J. Kaminski | US | Atwater | 2010-09-16 / 20100232093 - Mobile Power Supply | 1 |
Michael Kaminski | US | Thompson | 2009-04-30 / 20090108845 - SYSTEM FOR SEISMIC DETECTION AND ANALYSIS | 1 |
Joseph Kaminski | US | Denville | 2015-10-08 / 20150287074 - METHODS AND APPARATUS TO MONITOR, VERIFY, AND RATE THE PERFORMANCE OF AIRINGS OF COMMERCIALS | 4 |
Darek Kaminski | US | Pembroke Pines | 2009-03-12 / 20090070231 - Systems and methods for multi-provider content-on-demand retrieval | 1 |
John A. Kaminski | US | Southborough | 2009-07-23 / 20090183689 - Portable, rechargeable insect control apparatus and method of operation | 1 |
Michael J. Kaminski | US | Manchester | 2009-07-30 / 20090188924 - Overflow collection container for containing vehicle fluids | 1 |
Ashley Kaminski | US | Avon | 2013-01-03 / 20130001021 - ELEVATOR DISPATCH CONTROL TO AVOID PASSENGER CONFUSION | 1 |
David Kaminski | US | Minnetonka | 2013-12-12 / 20130332616 - INTERACTIVE SESSIONS WITH PARTICIPANTS AND PROVIDERS | 1 |
Edward J. Kaminski | US | Wynnewood | 2014-04-03 / 20140095836 - CROSS-PIPE SERIALIZATION FOR MULTI-PIPELINE PROCESSOR | 1 |
Harper Kaminski | US | Somerville | 2014-02-20 / 20140051830 - In-Process Control for the Manufacture of Glatiramer Acetate | 1 |
Todo Kaminski | US | Plymouth | 2009-11-05 / 20090272309 - WATERCRAFT ATTACHMENT DEVICE | 1 |
Mark Kaminski | US | Tuscon | 2011-04-28 / 20110096551 - SONIC WELDED OPTIC ASSEMBLY | 1 |
Paul R. Kaminski | US | St. Clair Shores | 2009-12-03 / 20090295681 - Virtual Image System for Windshields | 1 |
Phillip Henry Kaminski | US | Manchester | 2010-01-28 / 20100020515 - METHOD AND SYSTEM FOR MANUFACTURING MICRO SOLID STATE DRIVE DEVICES | 1 |
Joseph W. Kaminski | US | Campbell | 2016-03-10 / 20160068406 - METHODS AND APPARATUS FOR PURIFYING LIQUID USING REGENERATING HEAT EXCHANGE | 8 |
Tomasz Kaminski | PL | Warszawa | 2012-02-16 / 20120040472 - SYSTEM AND METHOD FOR AUTOMATED GENERATION AND HANDLING OF LIQUID MIXTURES | 1 |
Charles F. Kaminski, Jr. | US | Omaha | 2012-08-09 / 20120203748 - SURROGATE HASHING | 1 |
Michael Richard Kaminski,, Jr. | US | Ford City | 2013-06-06 / 20130140131 - Rebuildable Roller and Roller Bearing Assembly for a Belt Line Conveyor System and a Method of Use Thereof | 1 |
David L. Kaminsky | US | Durham | 2012-08-23 / 20120216203 - HOLISTIC TASK SCHEDULING FOR DISTRIBUTED COMPUTING | 8 |
Christopher Kaminsky | US | Philadelphia | 2016-05-19 / 20160139895 - SYSTEM AND METHOD FOR PROVIDING AND EXECUTING A DOMAIN-SPECIFIC LANGUAGE FOR CLOUD SERVICES INFRASTRUCTURE | 1 |
Michael A. Kaminsky | US | Baldwin | 2014-03-27 / 20140089121 - ARRANGEMENT FOR AND METHOD OF ALERTING A USER TO CONTEXT-BASED TRANSACTIONAL INFORMATION UNDER WHICH PRODUCTS ARE TO BE TRANSACTED AT A POINT-OF-TRANSACTION SITE | 1 |
Maya Kaminsky | US | Chicago | 2014-01-02 / 20140005010 - EXERCISE GARMENT | 1 |
Ilya Kaminsky | US | El Cajon | 2013-10-10 / 20130264875 - ULTRACAPACITOR AND BATTERY COMBINATION WITH ELECTRONIC MANAGEMENT SYSTEM | 1 |
David L. Kaminsky | US | Durham | 2012-08-23 / 20120216203 - HOLISTIC TASK SCHEDULING FOR DISTRIBUTED COMPUTING | 8 |
David L. Kaminsky | US | Chapel Hill | 2016-03-31 / 20160092178 - METHOD AND SYSTEM FOR MODEL DRIVEN DEVELOPMENT | 47 |
David Louis Kaminsky | US | Chapel Hill | 2013-08-15 / 20130212587 - SHARED RESOURCES IN A DOCKED MOBILE ENVIRONMENT | 12 |
Stephen Kaminsky | US | Bronx | 2014-07-24 / 20140205612 - HUMAN IMMUNODEFICIENCY VIRUS (HIV)-NEUTRALIZING ANTIBODIES | 1 |
Stephen M. Kaminsky | US | Bronx | 2015-10-22 / 20150297739 - DEVELOPMENT OF A HIGHLY EFFICIENT SECOND GENERATION NICOTINE-CONJUGATE VACCINE TO TREAT NICOTINE ADDICTION | 6 |
Torben Kaminsky | DE | Lemforde | 2015-06-25 / 20150174808 - METHOD FOR PRODUCING EXPANDED GRANULES | 2 |
Lawrence A. Kaminsky | US | White Lake | 2015-11-26 / 20150337950 - HYDRAULIC SYSTEM CHARGE DETECTION | 21 |
Stan Kaminsky | US | Newton | 2011-11-03 / 20110266093 - MOWER LIFTING DEVICE | 1 |
Robert Kaminsky | US | Houston | 2011-11-10 / 20110272152 - Operating Wells In Groups In Solvent-Dominated Recovery Processes | 1 |
Zachary Kaminsky | US | Baltimore | 2015-10-22 / 20150299791 - DNA METHYLATION BIOMARKERS OF POST-PARTUM DEPRESSION RISK | 1 |
Lawrence A. Kaminsky | US | Sterling Heights | 2011-04-28 / 20110098152 - METHOD FOR CONTROLLING NEUTRAL MODES IN A MULTI-MODE HYBRID TRANSMISSION | 18 |
Daniel Kaminsky | US | San Francisco | 2016-05-12 / 20160134639 - METHOD FOR USER AUTHENTICATION USING DNSSEC | 6 |
Margarita B. Kaminsky | US | San Diego | 2014-08-21 / 20140231710 - METHOD OF MAKING A FORMULATION FOR DEACTIVATING NUCLEIC ACIDS | 2 |
Ronald Kaminsky | CH | Lugnorre | 2009-07-09 / 20090176868 - Amidoacetonitrile Derivatives | 1 |
Walter Kaminsky | DE | Pinneberg | 2011-08-18 / 20110201767 - PROCESS FOR PREPARING POLYMERS FROM 3-METHYLBUT-1-ENE | 2 |
Amir Kaminsky | IL | Tel Aviv | 2010-06-24 / 20100161422 - PRECISE MEASUREMENT OF POINT-OF-SALE PROMOTION IMPACT | 1 |
Daniel Kaminsky | IL | Zichron Ya'Acov | 2010-07-01 / 20100169392 - VIRTUAL FILE-SHARING NETWORK | 1 |
Alexander Kaminsky | IL | Rehovot | 2015-04-16 / 20150105638 - Photoplethysmography Device and Method | 3 |
Victor Anatolevich Kaminsky | RU | Ufa | 2011-01-27 / 20110017058 - AUTOMATIC PISTOL | 1 |
Ben Kaminsky | CA | Montreal | 2011-02-03 / 20110027207 - EYELASH AND EYEBROW FORTIFIER | 1 |
Robert D. Kaminsky | US | Houston | 2014-12-18 / 20140367109 - On-Site Generation of a Fracturing Fluid Stream and Systems and Methods Utilizing the Same | 56 |
Stephen M. Kaminsky | US | 2015-07-30 / 20150210771 - TREATMENT OF BRAIN CANCERS USING CENTRAL NERVOUS SYSTEM MEDIATED GENE TRANSFER OF MONOCLONAL ANTIBODIES | 1 | |
David L. Kaminsky | US | 2012-07-05 / 20120173686 - MOBILITY AWARE CLOUD PROVISIONING | 1 | |
Torben Kaminsky | DE | Lemfoerde | 2012-06-28 / 20120163927 - SUCTION APPARATUS AND METHOD FOR EXTRACTING BULK MATERIAL FROM A CONTAINER | 2 |
Mark Kaminsky | US | 2012-06-21 / 20120158106 - SELF FORMING TEMPERATURE TREATMENT PACK | 1 | |
Lawrence A. Kaminsky | US | White Lake | 2015-11-26 / 20150337950 - HYDRAULIC SYSTEM CHARGE DETECTION | 21 |
David Louis Kaminsky | US | Durham | 2012-09-27 / 20120246234 - METHODS FOR MANAGING CONFERENCES | 2 |
Ross G. Kaminsky | US | Chicago | 2016-02-18 / 20160048915 - AUTOMATED TRADING EXCHANGE SYSTEM HAVING INTEGRATED QUOTE RISK MONITORING AND INTEGRATED QUOTE MODIFICATION SERVICES | 4 |
Robert Kaminsky | US | Yorktown | 2008-12-25 / 20080315139 - Variable Torque Valve Actuator | 1 |
Mark P. Kaminsky | US | Media | 2009-02-12 / 20090042718 - Direct epoxidation catalyst and process | 1 |
Mark Kaminsky | US | Bloomfield Hills | 2009-05-21 / 20090132014 - SELF FORMING TEMPERATURE TREATMENT PACK | 1 |
Dan Kaminsky | US | Seattle | 2012-06-14 / 20120147163 - METHODS AND SYSTEMS FOR CREATING AUGMENTED REALITY FOR COLOR BLINDNESS | 2 |
David Louis Kaminsky | US | Chapel Hill | 2013-08-15 / 20130212587 - SHARED RESOURCES IN A DOCKED MOBILE ENVIRONMENT | 12 |
Jason Kaminsky | US | Thousand Oaks | 2010-02-04 / 20100030608 - SYSTEM AND METHOD FOR A CARBON CALCULATOR INCLUDING CARBON OFFSETS | 1 |
Daniel Kaminsky | US | Sunnyvale | 2010-03-11 / 20100061253 - Tracing connection paths through transparent proxies | 3 |
Daniel Kaminsky | US | Seattle | 2011-05-12 / 20110113231 - SYSTEM AND METHOD FOR PROVIDING SECURE RECEPTION AND VIEWING OF TRANSMITTED DATA OVER A NETWORK | 4 |
Mark P. Kaminsky | US | Friendsville | 2010-06-24 / 20100155302 - Purification of ultralow sulfur diesel fuel | 1 |
William Michael Kaminsky | US | Alexandria | 2011-07-14 / 20110172826 - Device including altered microorganisms, and methods and systems of use | 3 |
Mark P. Kaminsky | US | Friendswood | 2016-01-28 / 20160023963 - Multi-Stage Oxidative Dehydrogenation Process with Inter-Stage Cooling | 4 |
Vladimir Kaminsky | US | Washington | 2015-05-21 / 20150141033 - MULTI-TIER QUALITY OF SERVICE WIRELESS COMMUNICATIONS NETWORKS | 3 |
Robert Joseph Kaminsky, Jr. | US | Homer Glen | 2013-09-26 / 20130247468 - LOCKING SYSTEM FOR VEHICLE DOOR | 5 |
Sigrid Kamins-Naske | DE | Kakenstorf | 2014-06-05 / 20140152782 - METHOD AND DEVICE FOR THE CREATION OF PSEUDO-HOLOGRAPHIC IMAGES | 4 |
Kobi Kamintz | IL | Tel Aviv | 2015-07-02 / 20150182748 - WEARABLE APPARATUS FOR DELIVERY OF POWER TO A RETINAL PROSTHESIS | 1 |
Mikiko Kaminuma | JP | Kanagawa | 2012-06-21 / 20120157388 - EXTERNAL COMPOSITION FOR SKIN | 4 |
Mikiko Kaminuma | JP | Yokohama-Shi | 2012-10-04 / 20120253064 - PARAKERATOSIS INHIBITOR AND SKIN PREPARATION FOR EXTERNAL USE | 6 |
Mikiko Kaminuma | JP | Yokohama-Shi ,kanagawa | 2010-07-01 / 20100168468 - WRINKLE-PREVENTING AND IMPROVING COMPOSITION | 2 |
Junpei Kaminura | JP | Tokyo | 2015-09-24 / 20150269253 - INFORMATION PROCESSING DEVICE | 1 |
Kunihiko Kamio | JP | Kobe-Shi | 2014-06-26 / 20140177865 - AUDIO UNIT MOUNTABLE IN PERSONAL WATERCRAFT | 7 |
Hiroyuki Kamio | JP | Nagoya-Shi | 2015-10-01 / 20150276986 - PLASTIC POLARIZED LENS AND METHOD OF PRODUCING THE SAME | 2 |
Kenichi Kamio | JP | Fuji-Shi | 2009-03-26 / 20090079233 - Assist Grip | 2 |
Kazuya Kamio | JP | Tokyo | 2015-09-24 / 20150269208 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND COMPUTER PROGRAM | 8 |
Shigeru Kamio | JP | Nagoya-Shi | 2009-04-09 / 20090091866 - SHIFT SWITCHING DEVICE AND SHIFT SWITCHING METHOD | 5 |
Shusaku Kamio | JP | Nishio-Shi | 2009-01-29 / 20090026870 - FUSING STRUCTURE OF MOTOR | 2 |
Kazunori Kamio | JP | Ichihara-Shi | 2010-03-18 / 20100069588 - PROCESS FOR PRODUCTION OF SYNDIOTACTIC PROPYLENE POLYMER | 1 |
Junichi Kamio | JP | Wako-Shi | 2012-08-23 / 20120215419 - CONTROLLER FOR INTERNAL COMBUSTION ENGINE | 6 |
Kazunori Kamio | JP | Kanagawa | 2015-10-01 / 20150279119 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND PROGRAM | 7 |
Eiji Kamio | JP | Kyoto | 2014-12-04 / 20140352540 - CO2-FACILITATED TRANSPORT MEMBRANE AND PRODUCTION METHOD OF SAME | 2 |
Shigeru Kamio | JP | Nagoya-City | 2013-10-17 / 20130270471 - HYDRAULIC PRESSURE CONTROLLER | 8 |
Syouichi Kamio | JP | Kawasaki | 2009-01-08 / 20090013328 - CONTENT SWITCHING PROGRAM, CONTENT SWITCHING METHOD, AND CONTENT MANAGEMENT APPARATUS | 1 |
Michiya Kamio | JP | Tokyo | 2011-07-07 / 20110165261 - ANTIMICROBIAL COMPOSITIONS AND METHODS OF USE | 1 |
Hiroshi Kamio | JP | Tokyo | 2015-08-27 / 20150240332 - TITANIUM ALLOY HAVING HIGH CORROSION RESISTANCE IN BROMINE-ION-CONTAINING ENVIRONMENT | 1 |
Syouichi Kamio | JP | Yokohama | 2015-07-09 / 20150195292 - DATA PROCESSING DEVICE THAT EXECUTES VIRUS COUNTERMEASURE PROCESSING, DATA PROCESSING METHOD, AND RECORDING MEDIUM STORING A DATA PROCESSING PROGRAM | 1 |
Junichi Kamio | JP | Saitama | 2013-07-18 / 20130180485 - CONTROLLER FOR INTERNAL-COMBUSTION ENGINE | 5 |
Shotaro Kamio | JP | Shinagawa-Ku | 2014-11-13 / 20140337166 - INFORMATION PROVISION DEVICE, INFORMATION PROVISION METHOD, INFORMATION PROVISION PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM FOR STORING THE PROGRAM | 1 |
Eiji Kamio | JP | Kyoto-Shi | 2013-08-08 / 20130199370 - Steam Permselective Membrane, and Method Using Same for Separating Steam from Mixed Gas | 1 |
Hiroyuki Kamio | JP | Tokyo | 2009-05-28 / 20090136206 - VIDEO RECORDER AND METHOD OF PROCESSING RECORDING SCHEDULE | 1 |
Kazuya Kamio | JP | Tokyo | 2015-09-24 / 20150269208 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND COMPUTER PROGRAM | 8 |
Kunihiko Kamio | JP | Akashi-Shi | 2008-12-25 / 20080318481 - Jet pump of personal watercraft | 1 |
Shigeru Kamio | JP | Nagoya-City | 2013-10-17 / 20130270471 - HYDRAULIC PRESSURE CONTROLLER | 8 |
Tomomi Kamio | JP | Hachioji-Shi | 2011-12-15 / 20110304655 - DISPLAY DEVICE | 2 |
Eiji Kamio | JP | Kobe-Shi | 2014-12-25 / 20140377156 - Selectively CO2-Permeable Membrane, Method for Separating CO2 from Mixed Gas, and Membrane Separation Equipment | 1 |
Kenichi Kamio | JP | Shizuoka | 2009-04-30 / 20090108666 - Vehicle wheel | 1 |
Eiji Kamio | JP | Hyogo | 2014-10-02 / 20140290479 - GAS SEPARATION APPARATUS, MEMBRANE REACTOR, AND HYDROGEN PRODUCTION APPARATUS | 1 |
Hiroyuki Kamio | JP | Ichinomiya-Shi | 2013-06-20 / 20130155507 - PLASTIC POLARIZED LENS, METHOD FOR PRODUCING THE SAME, AND POLARIZED FILM | 1 |
Kenichi Kamio | JP | Fujinomiya-Shi | 2011-03-03 / 20110049157 - STORAGE BOX ASSEMBLY FOR VEHICLE | 1 |
Masato Kamio | JP | Abiko | 2013-10-24 / 20130278745 - CHARGED PARTICLE BEAM DEVICE AND METHOD FOR CORRECTING DETECTED SIGNAL THEREOF | 1 |
Mitsugu Kamio | JP | Ebina-Shi | 2011-01-20 / 20110013238 - IMAGE READING APPARATUS, IMAGE FORMING APPARATUS, LIGHT QUANTITY ACQUISITION METHOD AND COMPUTER READABLE MEDIUM | 3 |
Hiroyuki Kamio | JP | Tachikawa-Shi | 2013-02-07 / 20130036205 - Metadata Processing Apparatus, Server, and Metadata Processing Method | 6 |
Mitsugu Kamio | JP | Kanagawa | 2010-09-02 / 20100221024 - IMAGE FORMING APPARATUS, METHOD, AND PROGRAM STORAGE MEDIUM | 2 |
Tomomi Kamio | JP | Tokyo | 2010-07-08 / 20100171769 - DISPLAY APPARATUS AND METHOD FOR DRIVING THE SAME | 1 |
Eiji Kamio | JP | Nakago-Cho | 2010-07-01 / 20100163114 - MICRO MIXER | 1 |
Toshio Kamio | JP | Gamagori-City | 2008-10-30 / 20080268995 - Power transmission apparatus fabrication method and power transmission apparatus fabricated by the method | 1 |
Mitsuhiro Kamioka | JP | Kobe-Shi | 2016-03-17 / 20160074957 - FRICTION STIR WELDING APPARATUS AND FRICTION STIR WELDING METHOD | 2 |
Isao Kamioka | JP | Machida-Shi | 2010-08-05 / 20100197130 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Isao Kamioka | US | Hopewell Junction | 2012-11-08 / 20120282773 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 4 |
Hiroshi Kamioka | JP | Kurashiki | 2012-06-14 / 20120148971 - ORTHODONTIC APPLIANCE | 7 |
Natsumi Kamioka | JP | Hyogo | 2012-05-24 / 20120130135 - NONAQUEOUS SOLVENT FOR ELECTRICAL STORAGE DEVICE | 1 |
Takahiro Kamioka | JP | Kariya-Shi | 2010-04-29 / 20100102990 - Light source discriminating apparatus, a light source discriminating program, a vehicles detection apparatus, and a light control apparatus | 5 |
Toshikazu Kamioka | JP | Saitama | 2012-08-09 / 20120200108 - VEHICLE INSTRUMENT PANEL STRUCTURE | 1 |
Yosuke Kamioka | JP | Aichi | 2016-03-17 / 20160078396 - MONITOR SYSTEM | 3 |
Takamasa Kamioka | JP | Shizuoka | 2014-09-11 / 20140252706 - VEHICLE SHOCK ABSORBER | 4 |
Masami Kamioka | JP | Tochigi | 2013-06-20 / 20130156441 - OPTICAL TRANSCEIVER AND PRODUCTION METHOD THEREOF | 1 |
Taisuke Kamioka | JP | Tokyo | 2013-11-14 / 20130299456 - METHOD OF REMOVING WORK-AFFECTED LAYER | 1 |
Hirokazu Kamioka | JP | Fussa-Shi | 2012-03-29 / 20120077920 - MOLDED ELASTOMER FOR ENDOSCOPE | 1 |
Yuji Kamioka | JP | Kyoto | 2013-08-29 / 20130227718 - LINKER FOR UNIMOLECULAR FRET BIOSENSOR BASED ON PRINCIPLE OF FLUORESCENCE RESONANCE ENERGY TRANSFER | 1 |
Nozomu Kamioka | JP | Chiyoda-Ku | 2013-06-06 / 20130140816 - POWER SUPPLY SYSTEM FOR MOTOR VEHICLE | 16 |
Toshihito Kamioka | JP | Tokyo | 2008-12-11 / 20080303179 - METHOD FOR MOLDING AN OPTICAL ELEMENT AND MOLDING APPARATUS THEREFOR | 1 |
Hirokazu Kamioka | JP | Tokyo | 2014-09-25 / 20140287179 - ELASTOMER MOLDED BODY FOR MEDICAL INSTRUMENT | 3 |
Yuichi Kamioka | JP | Osaka | 2009-01-08 / 20090013341 - Lens holder for optical pickup and optical pickup having same | 3 |
Terumasa Kamioka | JP | Ehime | 2014-12-25 / 20140378925 - Underpants-Type Disposable Diaper | 1 |
Nozomu Kamioka | JP | Tokyo | 2016-03-24 / 20160082977 - VEHICLE TRAVEL DEVICE AND VEHICLE TRAVEL CONTROL METHOD | 5 |
Toshikazu Kamioka | JP | Wako-Shi | 2009-04-09 / 20090091152 - STORAGE DEVICE FOR VEHICLE | 1 |
Makoto Kamioka | JP | Fukuoka | 2016-03-03 / 20160065820 - STRUCTURE FOR ADJUSTING EXPOSURE OF IMAGING DEVICE | 1 |
Isao Kamioka | JP | Tokyo | 2010-06-10 / 20100140682 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 4 |
Takumi Kamioka | JP | Wako-Shi | 2012-10-04 / 20120254081 - OPTIMIZATION CONTROL SYSTEM | 2 |
Shintaro Kamioka | JP | Tokyo | 2009-08-06 / 20090197510 - Polishing method and apparatus | 1 |
Takumi Kamioka | JP | Saitama | 2016-03-31 / 20160089786 - CONTROL DEVICE FOR MOBILE ROBOT | 4 |
Srikanth Kamireddi | US | Itasca | 2015-04-02 / 20150092920 - INTELLIGENT MACHINES AND PROCESS FOR PRODUCTION OF MONOCRYSTALLINE PRODUCTS WITH GONIOMETER CONTINUAL FEEDBACK | 2 |
Sreeharsha Kamireddy | US | Redmond | 2013-01-24 / 20130024439 - MODELING SEARCH IN A SOCIAL GRAPH | 3 |
Nagaraja R. Kamireddy | US | Mount Prospect | 2014-02-20 / 20140052690 - METHODS AND SYSTEMS FOR STAGING AND PROPAGATING DATA | 1 |
Sreeharsha Kamireddy | US | Seattle | 2013-01-17 / 20130019181 - ENSURING VARIETY IN A FEEDAANM Kitamorn; SageAACI SeattleAAST WAAACO USAAGP Kitamorn; Sage Seattle WA USAANM Kamireddy; SreeharshaAACI SeattleAAST WAAACO USAAGP Kamireddy; Sreeharsha Seattle WA USAANM Mok; Yi LangAACI BellevueAAST WAAACO USAAGP Mok; Yi Lang Bellevue WA US | 1 |
Balreddy Kamireddy | US | Newark | 2010-11-18 / 20100292275 - FUNGICIDAL HETEROCYCLIC COMPOUNDS | 1 |
Sujit Kumar Reddy Kamireddy | IN | Hyderabad | 2012-10-04 / 20120253882 - Identification of Instable Service Plan | 1 |
Toshimasa Kamisada | JP | Yokohama | 2011-12-08 / 20110299378 - Optical Pickup Having Radially Arranged Lenses in a Low Profile Construction | 4 |
Wataru Kamisaka | JP | Shiga | 2010-09-16 / 20100230730 - SOLID-STATE IMAGING DEVICE AND IMAGING APPARATUS | 2 |
Akira Kamisaka | JP | Hadano | 2009-01-15 / 20090014504 - Method of Supplying Paste Solder Material and Metal Mask Therefor | 1 |
Daisuke Kamisaka | JP | Saitama | 2012-04-12 / 20120088525 - ESTIMATION OF SIGNIFICANT PLACES VISITED BY MOBILE-TERMINAL USER BASED ON COMMUNICATIONS LOG TO BASE STATIONS | 1 |
Sachiko Kamisaki | JP | Tokyo | 2012-02-09 / 20120033521 - Semiconductor apparatus and its control method | 2 |
Haruhi Kamisaki | JP | Kanagawa | 2014-03-27 / 20140088146 - HETEROCYCLIC COMPOUNDS | 8 |
Sachiko Kamisaki | JP | Chuo-Ku | 2009-12-24 / 20090316510 - SEMICONDUCTOR DEVICE AND DATA PROCESSING SYSTEM | 1 |
Toyoshi Kamisako | JP | Shiga | 2012-06-07 / 20120137720 - REFRIGERATOR | 6 |
Koichi Kamisako | JP | Tokyo | 2011-09-29 / 20110233478 - SILICON FOR N-TYPE SOLAR CELLS AND A METHOD OF PRODUCING PHOSPHORUS-DOPED SILICON | 1 |
Toyoshi Kamisako | JP | Osaka | 2010-04-01 / 20100077791 - REFRIGERATOR, AND ELECTRIC DEVICE | 1 |
Toyoshi Kamisako | JP | Kadoma-Shi | 2010-06-17 / 20100147003 - REFRIGERATOR | 1 |
Teruhiko Kamisawa | JP | Yokohama-Shi | 2015-12-03 / 20150348179 - VEHICLE RENTAL ADMINISTRATION SYSTEM, VEHICLE RENTAL ADMINISTRATION PROGRAM, VEHICLE RENTAL CUSTOMER TERMINAL, AND VEHICLE RENTAL CUSTOMER TERMINAL PROGRAM | 1 |
Akira Kamisawa | JP | Kyoto | 2010-01-28 / 20100022673 - PROTON-CONDUCTIVE FILM, FUEL CELL COMPRISING THE SAME AND METHOD FOR PRODUCING THE SAME | 2 |
Shiho Kamisawa | JP | Odawara-Shi | 2010-09-30 / 20100246063 - METHOD OF MANUFACTURING HEXAGONAL FERRITE MAGNETIC POWDER, MAGNETIC RECORDING MEDIUM AND METHOD OF MANUFACTURING THE SAME | 1 |
Kazunobu Kamisawa | JP | Aichi | 2014-07-24 / 20140207313 - COMMUNICATION SYSTEM AND COMMUNICATION DEVICE | 1 |
Kazunobu Kamisawa | JP | Iida-Shi | 2014-06-12 / 20140159865 - REMOTE CONTROL DEVICE FOR VEHICLE | 1 |
Takaaki Kamisawa | JP | Kanagawa | 2015-07-30 / 20150215938 - MOBILE TERMINAL TEST DEVICE AND MOBILE TERMINAL TEST METHOD | 2 |
Shiho Kamisawa | JP | Kanagawa | 2010-03-25 / 20100073816 - MAGNETIC HEAD AND METHOD OF MANUFACTURING THE SAME, LINEAR TAPE DRIVE APPARATUS, AND MAGNETIC RECORDING AND REPRODUCTION METHOD | 1 |
Kazunobu Kamisawa | JP | Tochigi | 2015-09-17 / 20150261304 - PORTABLE MACHINE AND CONTROL SYSTEM | 2 |
Waldemar Kamischke | DE | Neustadt | 2013-10-03 / 20130261875 - Control Module for a Vehicle System, the Vehicle System and a Vehicle Having this Vehicle System | 1 |
Yojiro Kamise | JP | Hyogo | 2011-07-14 / 20110167727 - GATE APPARATUS | 3 |
Siddhartha Kamisetti | US | Cleveland | 2013-12-12 / 20130330279 - NANOPARTICLE MEDIATED GENE THERAPY, DIAGNOSTIC PRODUCTS AND THERAPEUTIC PRODUCTS FOR BREAST CANCER | 4 |
Sri Vidya Kamisetty | US | Aurora | 2014-04-03 / 20140095036 - Automatic Shift Control System for a Powertrain and Method | 1 |
Sarat Kamisetty | US | Fremont | 2015-12-03 / 20150350336 - SECURE NETWORK ADDRESS TRANSLATION (NAT) PORT BLOCK ALLOCATION | 2 |
Jeevan Kamisetty | US | San Jose | 2013-06-27 / 20130163607 - METHODS AND APPARATUS FOR A DISTRIBUTED FIBRE CHANNEL CONTROL PLANE | 3 |
Srividya Lavanya Kamisetty | US | Aurora | 2013-10-03 / 20130261909 - ROLLBACK PREVENTION SYSTEM FOR MOBILE MACHINE | 3 |
Hetunandan Kamisetty | US | Seattle | 2014-04-24 / 20140114581 - USING GAME THEORY IN IDENTIFYING COMPOUNDS THAT BIND TO TARGETS | 1 |
Nobuo Kamishima | JP | Kanagawa | 2010-08-19 / 20100209333 - METHOD OF MANUFACTURING ALKALI METAL TITANATE | 1 |
Nobuo Kamishima | JP | Chigasaki | 2010-05-06 / 20100112350 - METHOD OF MANUFACTURING ALKALI METAL TITANATE AND HOLLOW BODY PARTICLE THEREOF, PRODUCT THEREOF, AND FRICTION MATERIAL CONTAINING THE PRODUCT | 1 |
Kiyoshi Kamishima | JP | Kanagawa | 2016-02-25 / 20160055151 - CONTROL DEVICE, NON-TRANSITORY COMPUTER READABLE MEDIUM, AND CONTROL METHOD | 1 |
Utaka Kamishima | JP | Kanagawa | 2010-11-18 / 20100289454 - SECONDARY BATTERY REMAINING CAPACITY ESTIMATING APPARATUS | 1 |
Hiromitsu Kamishima | JP | Gunma | 2012-04-26 / 20120096878 - Refrigeration Circuit and Method for Improving Same | 3 |
Akimizu Kamishima | JP | Susono-Shi | 2011-08-25 / 20110203833 - GROMMET | 1 |
Naoyuki Kamishima | JP | Tokyo | 2013-03-21 / 20130068102 - RADIOACTIVE IODINE ADSORBENT AND RADIOACTIVE IODINE REMOVAL APPARATUS | 2 |
Utaka Kamishima | JP | Machida-Shi | 2013-04-11 / 20130088200 - CHARGING CONTROL APPARATUS AND CHARGING CONTROL METHOD | 2 |
Taizou Kamishita | JP | Osaka | 2012-04-05 / 20120082697 - METHOD FOR PROPHYLAXIS OF INFLUENZA USING VACCINE FOR INTRANASAL ADMINISTRATION | 1 |
Naotaka Kamishita | JP | Kawasaki-Shi | 2013-03-14 / 20130064012 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Taizou Kamishita | JP | Osaka-Fu | 2009-11-26 / 20090289083 - Fluid Container and Airless Fluid Dispensing System | 2 |
Naotaka Kamishita | JP | Kanagawa | 2011-02-24 / 20110042749 - Semiconductor device and method of manufacturing semiconductor device | 1 |
Fatih Kamisli | US | Cambridge | 2011-11-24 / 20110286525 - Selecting Transforms for Compressing Visual Data | 2 |
Daichi Kamisono | JP | Ritto | 2013-09-19 / 20130241570 - Monitoring device and monitoring method for rotary encoder | 1 |
Daichi Kamisono | JP | Ritto-Shi | 2012-02-09 / 20120032690 - PROXIMITY SWITCH | 1 |
Hideaki Kamisugi | JP | Kanagawa | 2009-02-26 / 20090052898 - Optical transceiver with a plurality of optical subassemblies electrically connected by integrated FPC board with a substrate | 1 |
Hideaki Kamisugi | JP | Yokohama-Shi | 2015-10-15 / 20150293315 - OPTICAL TRANSCEIVER INSTALLING MT FERRULE TO MATE WITH MPO CONNECTOR | 1 |
Todd Kamisugi | US | Honolulu | 2012-01-26 / 20120023593 - SYSTEM AND METHOD FOR FILTERING INTERNET CONTENT & BLOCKING UNDESIRED WEBSITES BY SECURE NETWORK APPLIANCE | 1 |
Tatsuo Kamisuki | JP | Kanagawa | 2014-01-16 / 20140017162 - SULFUR RECOVERY UNIT AND SULFUR RECOVERY METHOD | 1 |
Shinji Kamisuki | JP | Kyoto | 2009-05-21 / 20090131475 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF METABOLIC DISORDERS | 1 |
Shinji Kamisuki | JP | Chiba | 2013-01-10 / 20130012538 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF METABOLIC DISORDERS | 2 |
Shinichi Kamisuki | JP | Shiojiri | 2016-05-12 / 20160130135 - PHYSICAL QUANTITY SENSOR, METHOD FOR MANUFACTURING PHYSICAL QUANTITY SENSOR, ELECTRONIC DEVICE, AND MOVING BODY | 3 |
Yoshiharu Kamisuki | JP | Yokohama-Shi | 2013-09-12 / 20130238211 - VEHICLE DRIVING ASSIST SYSTEM | 1 |
Yoichi Kamisuki | JP | Tokyo | 2012-08-02 / 20120196038 - JIG FOR SEMICONDUCTOR PRODUCTION AND METHOD FOR PRODUCING SAME | 2 |
Yoshikatsu Kamisuwa | JP | Tokyo-To | 2015-05-21 / 20150138579 - MAINTENANCE METHOD AND MAINTENANCE APPARATUS FOR INFORMATION PROCESSING APPARATUS | 15 |
Yoshikatsu Kamisuwa | JP | Tokyo | 2014-10-16 / 20140307283 - IMAGE PROCESSING SYSTEM, INFORMATION PROVIDING APPARATUS, AND INFORMATION PROVIDING METHOD | 16 |
Yoshikatsu Kamisuwa | JP | Tokyo-To | 2015-05-21 / 20150138579 - MAINTENANCE METHOD AND MAINTENANCE APPARATUS FOR INFORMATION PROCESSING APPARATUS | 15 |
Masanobu Kamitakahara | JP | Nara | 2009-01-01 / 20090005881 - Composite Material Useful as Biomaterial and Its Preparation | 1 |
Takahiro Kamitake | JP | Sennan-Shi | 2013-07-04 / 20130170619 - MOBILE TYPE RADIOGRAPHIC APPARATUS | 1 |
Jun Kamitake | JP | Toyota-Shi | 2014-06-26 / 20140179482 - WELDING STRUCTURE AND METHOD FOR MANUFACTURING WELDING STRUCTURE | 4 |
Jun Kamitake | JP | Aichi | 2012-04-19 / 20120094798 - WELDED STRUCTURE AND WELDING METHOD | 1 |
You Kamitamari | JP | Aichi | 2012-07-12 / 20120175913 - COWL LOUVER SUPPORT STRUCTURE FOR AUTOMOBILE | 1 |
Shingo Kamitani | JP | Osaka-Shi | 2015-02-19 / 20150049485 - RELAY CONNECTOR AND LIGHT SOURCE MODULE PROVIDED WITH SAME | 1 |
Masatoshi Kamitani | JP | Osaka | 2016-03-17 / 20160079927 - POWER AMPLIFIER | 4 |
Keisuke Kamitani | JP | Tokyo | 2014-04-03 / 20140090434 - PIPE DIAMETER EXPANSION APPARATUS AND PIPE DIAMETER EXPANSION METHOD | 1 |
Hiroyuki Kamitani | JP | Kiyosu | 2010-01-28 / 20100018243 - INTEGRATED ELECTRONIC COMPRESSOR | 1 |
Kazutaka Kamitani | JP | Tokyo | 2012-06-14 / 20120148832 - GLASS ARTICLE PROVIDED WITH PHOTOCATALYST FILM | 4 |
Toshihiro Kamitani | JP | Nishinomiya-City | 2011-10-06 / 20110246116 - SIGNAL PROCESSING APPARATUS USED FOR OPERATIONS FOR RANGE FINDING AND SCANNING RANGEFINDER | 1 |
Akira Kamitani | JP | Hirakata-City | 2010-08-12 / 20100203259 - METHOD OF FORMING A COATING FILM | 1 |
Mitsuru Kamitani | JP | Osaka | 2012-03-08 / 20120058335 - PRESSURE-SENSITIVE ADHESIVE TAPE FOR NON-AQUEOUS BATTERY | 2 |
Hiroyuki Kamitani | JP | Aichi | 2010-09-30 / 20100247349 - INTEGRATED-INVERTER ELECTRIC COMPRESSOR | 3 |
Yukiyasu Kamitani | JP | Soraku-Gun | 2015-11-19 / 20150332016 - BRAIN INFORMATION PROCESSING APPARATUS AND BRAIN INFORMATION PROCESSING METHOD | 2 |
Toshimi Kamitani | JP | Yokohama-Shi, Kanagawa | 2015-10-22 / 20150298489 - WRITING INSTRUMENT | 1 |
Kazutaka Kamitani | JP | Hyogo | 2015-03-12 / 20150072157 - GLASS SHEET ON WHICH IS FORMED COATING SUITABLE FOR PREVENTING WEATHERING AND METHOD FOR PRODUCING SAME | 1 |
Kazutaka Kamitani | JP | Sakurai-Shi | 2013-11-14 / 20130302599 - ANTI-FOG COATED ARTICLE | 1 |
Masatosi Kamitani | JP | Osaka | 2011-01-06 / 20110001566 - RADIO FREQUENCY POWER AMPLIFIER | 1 |
Tomoyuki Kamitani | JP | Nagoya | 2015-06-04 / 20150151670 - APPARATUS FOR CONTROLLING ILLUMINATION RANGE OF VEHICLE LIGHTS | 3 |
Shigeki Kamitani | JP | Osaka | 2014-05-08 / 20140124175 - OUTDOOR UNIT OF REFRIGERATING APPARATUS | 2 |
Satoru Kamitani | JP | Kirishima-Shi | 2015-10-29 / 20150312661 - ACOUSTIC GENERATOR, ACOUSTIC GENERATION DEVICE, AND ELECTRONIC DEVICE | 2 |
Motoki Kamitani | JP | Tokyo | 2015-02-19 / 20150049900 - INFORMATION PROVISION SYSTEM | 3 |
Hiroyuki Kamitani | JP | Kiyosu-Shi, Aichi | 2015-12-17 / 20150365039 - VEHICLE COOLING-FAN MOTOR/INVERTER SYSTEM, CONTROL METHOD THEREFOR, AND PROGRAM THEREFOR | 1 |
Yoshimi Kamitani | JP | Kyoto | 2015-06-25 / 20150177723 - CONTROL UNIT, OUTPUT CONTROL METHOD AND PROGRAM | 1 |
Hiroshi Kamitani | JP | Utsunomiya-Shi | 2013-10-31 / 20130283627 - PROFILE MEASURING METHOD AND PROFILE MEASURING INSTRUMENT | 2 |
Hiroyuki Kamitani | JP | Aichi-Ken | 2010-09-16 / 20100232982 - CONTROL DEVICE FOR ELECTRIC COMPRESSOR AND START CONTROL METHOD OF ELECTRIC COMPRESSOR | 2 |
Toshimi Kamitani | JP | Gunma | 2012-01-19 / 20120014740 - THERMOSENSITIVE DECOLORABLE INK COMPOSITION | 8 |
Satoshi Kamitani | JP | Susono-Shi | 2015-05-14 / 20150128572 - EXHAUST GAS CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Naoyuki Kamitani | JP | Higashi-Osaka-Shi | 2015-11-12 / 20150320260 - FOOD STIR-FRYING MACHINE | 1 |
Gaku Kamitani | JP | Kyoto-Shi | 2012-11-22 / 20120293041 - PIEZOELECTRIC ACTUATOR DRIVER CIRCUIT | 17 |
Ryosuke Kamitani | JP | Yokohama | 2016-05-19 / 20160136612 - GAS-ADSORBING MATERIAL AND VACUUM INSULATION MATERIAL INCLUDING THE SAME | 2 |
Kiyoshi Kamitani | JP | Shizuoka | 2009-03-05 / 20090057182 - PACKAGING ARRANGEMENT AND PACKAGING METHOD | 1 |
Satoru Kamitani | JP | Kyoto-Shi | 2014-02-13 / 20140042149 - CERAMIC HEATER | 1 |
Hiroyuki Kamitani | JP | Kiyosu-Shi | 2015-12-17 / 20150360540 - VEHICLE-COOLING-FAN CONTROL SYSTEM AND CONTROL METHOD THEREFOR | 3 |
Yukiyasu Kamitani | JP | Kyoto | 2012-11-15 / 20120289854 - BRAIN ACTIVITY MEASURING APPARATUS, BRAIN ACTIVITY MEASURING METHOD, BRAIN ACTIVITY DEDUCING APPARATUS, BRAIN ACTIVITY DEDUCING METHOD, AND BRAIN-MACHINE INTERFACE APPARATUS | 1 |
Gaku Kamitani | JP | Nagaokakyo-Shi | 2015-08-20 / 20150233484 - VALVE, FLUIDIC APPARATUS, AND FLUID-SUPPLYING APPARATUS | 7 |
Sumihiro Kamitani | JP | Shiga | 2012-11-29 / 20120301515 - ANTI-ADHESION MATERIAL | 1 |
Tomohiro Kamitani | JP | Nara | 2011-05-05 / 20110100701 - FARADAY CAGE AND DEVICE HAVING SAME | 1 |
Nobuyuki Kamitani | JP | Tokyo | 2015-10-22 / 20150298472 - SIMPLEX AND DUPLEX PRINTER | 3 |
Toshimi Kamitani | JP | Yokohama-Shi | 2014-06-05 / 20140150203 - ERASING MEMBER AND ERASING TOOL USING THE SAME | 1 |
Kiyoshi Kamitani | JP | Shizuoka-Ken | 2008-11-20 / 20080286476 - METHOD OF MANUFACTURING LITHOGRAPHIC PRINTING PLATE | 1 |
Kenji Kamitani | JP | Gunma | 2009-01-15 / 20090015473 - ADAPTIVE ARRAY ANTENNA SYSTEM AND METHOD OF CONTROLLING DIRECTIVITY THEREOF | 2 |
Kazuyuki Kamitani | JP | Kanagawa | 2009-06-25 / 20090162130 - Viscous Cosmetic Item | 1 |
Masashi Kamitani | JP | Osaka | 2013-03-07 / 20130058740 - Workpiece Transfer Apparatus | 1 |
Kiyoshi Kamitani | JP | Haibara-Gun | / - | 1 |
Shigeki Kamitani | JP | Sakai-Shi | 2014-11-20 / 20140339968 - CASING OF OUTDOOR UNIT IN AIR CONDITIONING DEVICE | 5 |
Hiroshi Kamitani | JP | Tokyo | 2013-01-17 / 20130018717 - INFORMATION PROCESSING APPARATUS, REBATE PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, REBATE PROCESSING METHOD, AND REBATE PROCESSING SYSTEMAANM Sabe; KohtaroAACI TokyoAACO JPAAGP Sabe; Kohtaro Tokyo JPAANM Iwama; TakashiAACI TokyoAACO JPAAGP Iwama; Takashi Tokyo JPAANM Kamitani; HiroshiAACI TokyoAACO JPAAGP Kamitani; Hiroshi Tokyo JPAANM Shimizu; OsamuAACI KanagawaAACO JPAAGP Shimizu; Osamu Kanagawa JPAANM Takiguchi; MasashiAACI TokyoAACO JPAAGP Takiguchi; Masashi Tokyo JP | 1 |
Hiroyuki Kamitani | JP | Minato-Ku | 2015-10-08 / 20150285256 - INVERTER-INTEGRATED ELECTRICAL COMPRESSOR | 1 |
Tsuyoshi Kamitani | JP | Osaka | 2009-02-26 / 20090052291 - Composite reproducing apparatus | 1 |
Gaku Kamitani | JP | Kyoto-Fu | 2011-09-22 / 20110229356 - FLUID CONVEYANCE DEVICE | 2 |
Tsutomu Kamitani | JP | Ebina-Shi | 2009-11-12 / 20090280940 - METAL V-BELT OF CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Matsuo Kamitani | JP | Tokyo | 2011-07-14 / 20110171725 - MECHANISM AND METHOD OF PREVENTING SUCTION AIR FROM LEAKING DURING FILTRATION OF CAPTURING CARRIER SOLUTION | 2 |
Toshimi Kamitani | JP | Gunma | 2012-01-19 / 20120014740 - THERMOSENSITIVE DECOLORABLE INK COMPOSITION | 8 |
Hiroshi Kamitani | JP | Tochigi | 2015-02-26 / 20150052770 - FORM MEASURING APPARATUS AND METHOD OF REGISTERING COORDINATE SYSTEM FOR ROTARY TABLE | 2 |
Saburo Kamitani | JP | Kokubunji-Shi | 2016-03-24 / 20160086362 - ELECTRONIC DEVICE, DATA OUTPUT METHOD IN ELECTRONIC DEVICE, AND SERVER DEVICE | 2 |
Saburo Kamitani | JP | Tokyo | 2016-05-19 / 20160140430 - ELECTRONIC DEVICE, METHOD OF DISPLAYING TWO-DIMENSIONAL CODE, AND RECORDING MEDIUM WITH PROGRAM RECORDED THEREON | 5 |
Toshimi Kamitani | JP | Fujioka-Shi | 2015-12-24 / 20150367672 - WRITING INSTRUMENT | 3 |
Hiroyuki Kamitani | JP | Tokyo | 2015-10-15 / 20150295467 - INVERTER-INTEGRATED ELECTRIC COMPRESSOR | 7 |
Matsuo Kamitani | JP | Matsudo | 2013-02-14 / 20130040338 - DEVICE FOR CAPTURING OBJECT AND METHOD FOR USING THE SAME | 2 |
Takafumi Kamito | JP | Fukuoka | 2014-12-18 / 20140369425 - ELEMENTARY STREAM MULTIPLEXING METHOD, MULTIPLEXING SYSTEM, ENCODING OR DECODING METHOD AND APPARATUS | 3 |
Takao Kamito | JP | Kanagawa | 2009-11-12 / 20090278895 - Liquid ejecting head, image forming apparatus, device for ejecting a liquid drop, and recording method | 1 |
Takao Kamito | JP | Tokyo | 2012-06-21 / 20120154483 - LIQUID DROPLET EJECTION HEAD, IMAGE FORMING APPARATUS INCLUDING SAME, AND METHOD FOR CLEANING SAME | 1 |
Shogo Kamito | JP | Hiroshima | 2012-04-26 / 20120097459 - WEIGHT MEASURING APPARATUS | 5 |
Takafumi Kamito | JP | Fukuoka-Shi | 2010-09-30 / 20100251060 - DECODING DEVICE, DECODING METHOD, AND MEDIA DATA DELIVERY SYSTEM | 1 |
Shigehiro Kamitori | JP | Kagawa | 2008-08-28 / 20080202503 - Crystalline Lactosucrose or Syrup Containing Crystalline Lactosucrose and Use Thereof | 1 |
Tomohiro Kamitsu | JP | Kobe-Shi | 2010-07-15 / 20100176300 - INFRARED RAY DETECTOR | 3 |
Tomohiro Kamitsu | JP | Hyogo | 2015-05-07 / 20150123572 - ILLUMINATION LIGHT SOURCE | 1 |
Keisuke Kamitsu | JP | Shizuoka | 2012-01-05 / 20120003007 - ELECTROPHOTOGRAPHIC PHOTOCONDUCTOR, IMAGE FORMING METHOD, IMAGE FORMING APPARATUS AND PROCESS CARTRIDGE | 1 |
Kiran Kamity | US | Santa Clara | 2014-07-24 / 20140205259 - Screen recording for creating contents in mobile devices | 3 |
Tomohiro Kamiura | JP | Kanagawa | 2013-01-31 / 20130025208 - COUPLED STRUCTURE AND WINDOW REGULATOR | 1 |
Norihiko Kamiura | JP | Ishikawa-Ken | 2010-04-01 / 20100079065 - DISPLAY DEVICE | 1 |
Norihiko Kamiura | JP | Kanazawa-Shi | 2008-09-25 / 20080230772 - DISPLAY DEVICE AND METHOD OF MANUFACTURING THE DISPLAY DEVICE | 1 |
Norihiko Kamiura | JP | Mie | 2012-11-01 / 20120273706 - PRESSURE CONTROL DEVICE | 1 |
Naoko Kamiura | JP | Tokyo | 2015-04-09 / 20150097444 - POWER RECEIVING DEVICE AND POWER FEEDING DEVICE | 2 |
Ryosuke Kamiura | JP | Osaka | 2014-12-04 / 20140355174 - FILM CAPACITOR | 2 |
Tomoka Kamiura | JP | Tokyo | 2012-03-08 / 20120059986 - DISK APPARATUS, DATA REPLICATING METHOD ONTO DISK APPARATUS AND PROGRAM RECORDING MEDIUM | 1 |
Kensuke Kamiuttanai | TW | Hsin-Chu | 2009-09-17 / 20090233450 - Plasma etchimg method and plasma etching apparatus | 1 |
Toru Kamiwada | JP | Kawasaki | 2016-04-21 / 20160109927 - COMPUTER-READABLE RECORDING MEDIUM AND MOBILE TERMINAL DEVICE | 12 |
Satoshi Kamiwaki | JP | Minamiashigara-Shi | 2016-03-24 / 20160085165 - ELECTROSTATIC IMAGE-DEVELOPING TONER, ELECTROSTATIC IMAGE DEVELOPER, AND TONER CARTRIDGE | 1 |
Satoshi Kamiwaki | JP | Kanagawa | 2014-07-10 / 20140193750 - ELECTROSTATIC CHARGE IMAGE DEVELOPING TONER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, AND TONER CARTRIDGE | 9 |
Satoshi Kamiwaki | JP | Kanagawa | 2014-07-10 / 20140193750 - ELECTROSTATIC CHARGE IMAGE DEVELOPING TONER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, AND TONER CARTRIDGE | 9 |
Tadashi Kamiwaki | JP | Naka-Gun | 2013-08-08 / 20130204528 - Route Guidance System, Route Guidance Server Apparatus and Navigation Terminal Apparatus | 4 |
Mako Kamiya | JP | Tokyo | 2016-03-10 / 20160067315 - INHIBITOR OF EXTRACELLULAR TRAP FORMATION IN LEUKOCYTES | 2 |
Toshiyuki Kamiya | JP | Fujimi | 2015-08-27 / 20150239126 - FORCE DETECTOR AND ROBOT | 10 |
Noriho Kamiya | JP | Fukuoka | 2011-08-04 / 20110189671 - NUCLEOSIDE TRIPHOSPHATE DERIVATIVE, NUCLEIC ACID PROBE, MULTILABELED NUCLEIC ACID PROBE, METHOD FOR PRODUCTION OF MULTILABELED NUCLEIC ACID PROBE, AND METHOD FOR DETECTION OF TARGET NUCLEIC ACID | 1 |
Kiyonobu Kamiya | JP | Kawasaki-Shi | 2014-07-17 / 20140197106 - DIALYSIS PREPARATION | 1 |
Shohei Kamiya | JP | Kasumigaura-Shi | 2015-05-21 / 20150135685 - EXHAUST GAS CLEANING SYSTEM FOR ENGINEERING VEHICLE | 11 |
Shohei Kamiya | JP | Tsuchiura-Shi | 2015-09-17 / 20150259878 - Construction Machine | 11 |
Shohei Kamiya | JP | Ibaraki | 2011-02-10 / 20110030353 - EXHAUST GAS PURIFYING DEVICE | 3 |
Munekatsu Kamiya | CN | Hong Kong | 2010-12-23 / 20100323057 - SCREW AND INJECTION APPARATUS | 3 |
Kenji Kamiya | US | Saratoga | 2011-09-15 / 20110220008 - Bookmark | 1 |
Akinori Kamiya | JP | Hitachi-Shi | 2013-02-07 / 20130033205 - Power Conversion Device | 3 |
Yosuke Kamiya | JP | Fukuoka | 2014-09-18 / 20140277731 - ROBOT PICKING SYSTEM, CONTROL DEVICE, AND METHOD OF MANUFACTURING A WORKPIECE | 5 |
Hideo Kamiya | JP | Aichi | 2015-03-26 / 20150084227 - METHOD OF MANUFACTURING RESIN MOLDED MEMBER | 1 |
Mitsugu Kamiya | JP | Osaka | 2010-09-23 / 20100236896 - DOOR CONVEYING APPARATUS | 1 |
Hiroshi Kamiya | JP | Osaka | 2009-10-01 / 20090247883 - BIOLOGICAL-BODY-ATTACHED DATA COMMUNICATION DEVICE | 1 |
Atsunori Kamiya | JP | Osaka | 2011-04-28 / 20110098767 - SYSTEM FOR AUTOMATICALLY MINIMIZING CARDIAC OXYGEN CONSUMPTION AND CARDIAC DISEASE TREATING SYSTEM USING THE SAME | 2 |
Hisao Kamiya | JP | Osaka | 2009-12-10 / 20090302879 - SEMICONDUCTOR DEVICE | 2 |
Atsumori Kamiya | JP | Osaka | 2009-09-03 / 20090221923 - Cardiac Disease Treatment System | 1 |
Yusuke Kamiya | JP | Okazaki-Shi | 2016-02-11 / 20160039402 - HYDRAULIC BRAKING SYSTEM, AIR BLEEDING DEVICE, AND AIR BLEEDING METHOD | 19 |
Soichiro Kamiya | JP | Osaka | 2008-10-02 / 20080242781 - Aliphatic Polyester Resin Composition and Sheets, Films or Other Products Molded by the Resin | 2 |
Shuuji Kamiya | JP | Kyoto | 2009-06-18 / 20090154541 - TRANSMITTER, RECEIVER AND COMMUNICATION TERMINAL SYSTEM | 1 |
Yukinori Kamiya | JP | Osaka | 2009-10-01 / 20090246435 - LAMINATE | 1 |
Keisuke Kamiya | JP | Osaka | 2009-03-19 / 20090075731 - GAME PROGRAM, GAME APPARATUS, AND GAME METHOD | 1 |
Shouhei Kamiya | JP | Ibaraki | 2011-01-06 / 20110000199 - CONSTRUCTION MACHINE | 2 |
Jun Kamiya | JP | Aichi | 2015-02-12 / 20150043964 - LEVER CONNECTOR | 3 |
Masachika Kamiya | JP | Toyota-Shi | 2015-06-04 / 20150151714 - VEHICLE CONTROL SYSTEM WITH SUPPRESSION OF WIPER NOISE | 3 |
Kosuke Kamiya | JP | Tokyo | 2015-01-15 / 20150014230 - ORGANIC-WASTE-PROCESSING APPARATUS, PROCESSING METHOD, AND CONTROL APPARATUS | 1 |
Shohei Kamiya | JP | Tsuchiura-Shi | 2015-09-17 / 20150259878 - Construction Machine | 11 |
Kazunori Kamiya | JP | Tokyo | 2013-09-19 / 20130241130 - ASSEMBLY JIG FOR USE IN ASSEMBLY OF LARGE STRUCTURE | 1 |
Haruhisa Kamiya | JP | Aichi-Ken | 2009-02-05 / 20090031540 - Clip | 1 |
Haruhisa Kamiya | JP | Okazaki-Shi | 2008-12-25 / 20080313868 - Fastener | 1 |
Yasushi Kamiya | JP | Tokyo | 2011-06-16 / 20110139998 - ION BEAM GENERATOR | 1 |
Taishi Kamiya | JP | Tokyo | 2012-11-29 / 20120300950 - MANAGEMENT OF A SOUND MATERIAL TO BE STORED INTO A DATABASE | 4 |
Keisuke Kamiya | JP | Toyota-Shi | 2016-05-12 / 20160129866 - Crush Box | 2 |
Yuka Kamiya | JP | Machida-Shi | 2015-09-17 / 20150264198 - AUTOMATIC INSTALLATION SYSTEM AND METHOD, INFORMATION PROCESSING APPARATUS, AND IMAGE FORMING APPARATUS | 8 |
Chisato Kamiya | JP | Hitachinaka-Shi | 2008-11-27 / 20080290275 - Electron beam device | 1 |
Takeshi Kamiya | JP | Kanagawa-Ken | 2012-04-19 / 20120095329 - Biopsy apparatus, phantom, spatial range measuring apparatus, and spatial range measuring method | 2 |
Toshiyuki Kamiya | JP | Yokohama-Shi | 2013-08-01 / 20130198302 - MAIL GATEWAY, MAIL DELIVERY METHOD, AND PROGRAM | 1 |
Hitoshi Kamiya | JP | Anjo | 2011-08-11 / 20110193302 - CAMBER ANGLE CHANGING MECHANISM | 1 |
Natsuki Kamiya | JP | Hitachi-Shi | 2012-06-28 / 20120163759 - RESIN COATED OPTICAL FIBER | 1 |
Sumio Kamiya | JP | Toyota-Shi | 2015-11-19 / 20150329760 - GRAPHITE SHEET | 8 |
Jirou Kamiya | JP | Toyohashi-Shi | 2008-12-18 / 20080307601 - Vehicle wiper device | 1 |
Daisaku Kamiya | JP | Abiko-Shi | 2012-12-27 / 20120326379 - SHEET STACKING APPARATUS AND IMAGE FORMING APPARATUS | 21 |
Satoshi Kamiya | JP | Osaka | 2016-03-31 / 20160091987 - PROJECTOR | 1 |
Nobuyuki Kamiya | JP | Anjo-Shi | 2016-03-31 / 20160094730 - INFORMATION PROCESSING DEVICE, AND METHOD AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM FOR THE SAME | 1 |
Noriyuki Kamiya | JP | Yamato-Shi | 2012-11-22 / 20120294655 - DEVELOPMENT ROLLER, DEVELOPMENT DEVICE, PROCESS CARTRIDGE AND IMAGE-FORMING APPARATUS | 13 |
Jun Kamiya | JP | Toyota-Shi | 2015-11-12 / 20150325950 - LEVER TYPE CONNECTOR | 4 |
Masato Kamiya | JP | Toyota-Shi | 2015-08-06 / 20150221983 - LITHIUM SECONDARY BATTERY AND METHOD FOR PRODUCING SAME | 2 |
Naoki Kamiya | JP | Toyota-Shi | 2015-09-10 / 20150253952 - VEHICLE OPERATION APPARATUS | 1 |
Shu Kamiya | JP | Toyota-Shi | 2015-07-30 / 20150211388 - BEARING MEMBER FOR VALVE GEAR | 1 |
Syota Kamiya | JP | Tokyo | 2014-11-20 / 20140338379 - HEAT PUMP DEVICE, AND AIR CONDITIONER, HEAT PUMP WATER HEATER, REFRIGERATOR AND FREEZING MACHINE INCLUDING HEAT PUMP DEVICE | 1 |
Susumu Kamiya | JP | Kawasaki-Shi | 2016-02-11 / 20160041456 - IMAGING APPARATUS | 2 |
Masanori Kamiya | JP | Kanagawa | 2012-11-15 / 20120290801 - CONTROLLING STORING OF DATA | 1 |
Masaru Kamiya | JP | Toyoake-City | 2012-08-16 / 20120205070 - AERODYNAMIC SOUND DECREASING APPARATUS | 1 |
Haruhisa Kamiya | JP | Aichi | 2014-09-11 / 20140250643 - Clip | 1 |
Takeshi Kamiya | JP | Ashigarakami-Gun | 2014-07-31 / 20140211922 - RADIATION IMAGING SYSTEM AND CONTROL METHOD THEREOF, AND RADIATION IMAGE DETECTING DEVICE | 16 |
Youhei Kamiya | JP | Yamanashi | 2015-12-24 / 20150372567 - PURGE SYSTEM CAPABLE OF CONTROLLING FLOW RATE OF AIR | 4 |
Atsushi Kamiya | JP | Toyota-Shi | 2013-10-10 / 20130268108 - MACHINE TOOL | 1 |
Yoshiaki Kamiya | JP | Nagoya-Shi | 2014-09-25 / 20140287660 - APPARATUS HAVING CUP ATTACHING UNIT | 3 |
Keiichiro Kamiya | JP | Nagoya | 2015-03-26 / 20150083279 - NITROCARBURIZED CRANKSHAFT MEMBER AND STEEL FOR NITROCARBURIZED CRANKSHAFTS | 3 |
Shinji Kamiya | US | Novi | 2012-10-04 / 20120253821 - VEHICULAR DEVICE AND METHOD FOR COMMUNICATING THE SAME WITH INFORMATION CENTER | 1 |
Yoshitaka Kamiya | JP | Hachioji-Shi | 2013-11-14 / 20130301102 - LIGHT DEFLECTOR | 1 |
Yusuke Kamiya | JP | Okazaki-Shi | 2016-02-11 / 20160039402 - HYDRAULIC BRAKING SYSTEM, AIR BLEEDING DEVICE, AND AIR BLEEDING METHOD | 19 |
Jun Kamiya | JP | Makinohara-Shi | 2014-06-26 / 20140178121 - LEVER-TYPE CONNECTOR | 3 |
Takeshi Kamiya | JP | Kanagawa | 2013-03-28 / 20130077744 - RADIATION IMAGING SYSTEM, METHOD FOR TAKING CONTINUOUS RADIOGRAPHIC IMAGE, AND RADIATION IMAGE DETECTING DEVICE | 18 |
Yasuhiro Kamiya | JP | Toyohashi-Shi | 2013-03-07 / 20130057294 - VOLTAGE MONITORING DEVICE | 1 |
Mamoru Kamiya | JP | Kanagawa | 2013-03-07 / 20130057564 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 1 |
Kenta Kamiya | JP | Shizuoka | 2013-08-29 / 20130220697 - ELECTRIC WIRE FIXTURE DEVICE | 1 |
Masahiro Kamiya | JP | Toyohashi-Shi | 2014-08-21 / 20140233976 - IMAGE FORMING APPARATUS AND CONTROL METHOD | 3 |
Kei Kamiya | JP | Anjo-City | 2013-12-05 / 20130320911 - CHARGE CONTROLLER FOR VEHICLE | 1 |
Soichi Kamiya | JP | Saitama | 2011-08-04 / 20110189340 - SPARKLING ALCOHOLIC BEVERAGE, AND METHOD FOR PRODUCTION THEREOF | 2 |
Masaru Kamiya | JP | Kariya | 2013-12-05 / 20130323098 - AXIAL FLOW BLOWER | 1 |
Soji Kamiya | JP | Toyota-Shi | 2010-06-10 / 20100144564 - SLIDING MEMBER | 1 |
Shota Kamiya | JP | Tokyo | 2016-03-17 / 20160079845 - DC POWER-SUPPLY DEVICE AND REFRIGERATION-CYCLE APPLICATION DEVICE INCLUDING THE SAME | 4 |
Koji Kamiya | JP | Kanagawa | 2016-01-28 / 20160029010 - CAMERA SYSTEM AND CAMERA CONTROL METHOD | 18 |
Nozomu Kamiya | JP | Yachiyo | 2016-01-07 / 20160004844 - COMPUTER PRODUCT, COMPOUND DESIGN APPARATUS, AND COMPOUND DESIGN METHOD | 2 |
Akio Kamiya | JP | Okazaki-City | 2013-11-14 / 20130304310 - FAIL-SAFE CONTROL SYSTEM FOR VEHICLE | 1 |
Jun Kamiya | JP | Shizuoka | 2013-09-05 / 20130230994 - Lever Connector | 2 |
Tetsu Kamiya | JP | Odawara-Shi | 2015-02-12 / 20150043302 - PROPORTIONAL MIXING SYSTEM | 7 |
Shuji Kamiya | JP | Nagoya | 2012-11-15 / 20120285212 - Method And Apparatus For Adjusting Spring Characteristics Of A Spring | 1 |
Akinori Kamiya | JP | Tokyo | 2016-02-11 / 20160043346 - ORGANIC EL DISPLAY DEVICE | 6 |
Youhei Kamiya | JP | Chiryu-City | 2013-08-22 / 20130213756 - FLUID BRAKE DEVICE AND VALVE TIMING CONTROL APPARATUS HAVING THE SAME | 2 |
Hitoshi Kamiya | JP | Chiryu-Shi | 2013-06-06 / 20130143092 - RACK FOR BATTERY PACKS | 1 |
Masanori Kamiya | JP | Tokyo | 2016-02-11 / 20160042760 - METHOD OF DETECTING TAMPERING OF DATA IN TAPE DRIVE, AND FILE SYSTEM | 3 |
Masato Kamiya | JP | Kariya-Shi | 2015-09-03 / 20150249266 - SULFIDE SOLID ELECTROLYTE MATERIAL | 3 |
Yusuke Kamiya | JP | Toyota-Shi | 2011-02-24 / 20110046864 - ENGINE CONTROLLING APPARATUS | 1 |
Yusuke Kamiya | JP | Aichi | 2012-07-12 / 20120176040 - INDICATOR DRIVE CIRCUIT | 1 |
Yuji Kamiya | JP | Shizuoka | 2015-05-07 / 20150121877 - COMPRESSOR | 3 |
Yoshitaka Kamiya | JP | Kamiina-Gun | 2009-03-05 / 20090058228 - ULTRASONIC TRANSDUCER, METHOD OF MANUFACTURING ULTRASONIC TRANSDUCER, ULTRASONIC DIAGNOSTIC APPARATUS, AND ULTRASONIC MICROSCOPE | 1 |
Yuka Kamiya | JP | Machida-Shi | 2015-09-17 / 20150264198 - AUTOMATIC INSTALLATION SYSTEM AND METHOD, INFORMATION PROCESSING APPARATUS, AND IMAGE FORMING APPARATUS | 8 |
Tsuyoshi Kamiya | JP | Shizuoka | 2009-10-01 / 20090248231 - VEHICLE | 1 |
Toshihiko Kamiya | JP | Aichi-Ken | 2010-12-02 / 20100304908 - SHAFT OF BELT-TYPE CONTINUOUSLY VARIABLE TRANSMISSION, STATIONARY SHEAVE HALF FOR CONTINUOUSLY VARIABLE TRANSMISSION, METHOD FOR PRODUCTION THEREOF, AND CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Ryoko Kamiya | JP | Tokyo | 2013-09-05 / 20130231578 - ELECTROCARDIOGRAM ANALYSIS REPORT, ELECTROCARDIOGRAM ANALYSIS APPARATUS, AND ELECTROCARDIOGRAM ANALYSIS PROGRAM | 1 |
Masanori Kamiya | JP | Koutoh-Ku | 2013-05-09 / 20130117239 - Generating Information with Plurality of Files Enumerated Therein | 1 |
Hideo Kamiya | JP | Hekinan-Shi | 2013-05-02 / 20130108835 - MOLDED STRUCTURE BODY AND METHOD OF PRODUCING THE SAME | 1 |
Toshihiko Kamiya | JP | Toyota-Shi | 2014-09-11 / 20140256506 - VEHICLE POWER TRANSMISSION DEVICE | 13 |
Toru Kamiya | JP | Utsunomiya | 2011-04-28 / 20110097222 - SUPERCHARGER | 1 |
Tomonori Kamiya | JP | Ichinomiya-City | 2011-04-28 / 20110095102 - FUEL INJECTION VALVE | 2 |
Tetsu Kamiya | JP | Kanagawa | 2015-10-29 / 20150306553 - PARTICLE SIZE BREAKUP DEVICE AND ITS PERFORMANCE ESTIMATION METHOD AND SCALE UP METHOD | 1 |
Tomonori Kamiya | JP | Ichinomiya-Shi | 2016-04-28 / 20160118921 - CONTROL CIRCUIT AND METHOD | 6 |
Sadayuki Kamiya | JP | Aichi | 2012-11-08 / 20120279694 - HIGH-CORROSION-RESISTANT ALUMINUM ALLOY BRAZING SHEET, METHOD OF MANUFACTURING SUCH SHEET, AND CORROSIVE-RESISTANT HEAT EXCHANGER USING SUCH SHEET | 1 |
Takeshi Kamiya | JP | Ashigarakami-Gun | 2014-07-31 / 20140211922 - RADIATION IMAGING SYSTEM AND CONTROL METHOD THEREOF, AND RADIATION IMAGE DETECTING DEVICE | 16 |
Takashi Kamiya | JP | Okazaki-City | 2011-01-27 / 20110021080 - Card edge connector and method of manufacturing the same | 4 |
Takashi Kamiya | JP | Chiyoda-Ku | 2011-02-10 / 20110035044 - NUMERICAL CONTROL METHOD AND APPARATUS THEREFOR | 1 |
Naohide Kamiya | JP | Aichi | 2012-09-27 / 20120241051 - PRECIPITATION HARDENED HEAT-RESISTANT STEEL | 1 |
Takashi Kamiya | JP | Sendai-Shi | 2010-02-11 / 20100037015 - MEMORY CONTROL UNIT AND MEMORY CONTROL METHOD | 1 |
Shouko Kamiya | JP | Ibaraki | 2009-08-13 / 20090202641 - HOLLOW FIBROUS ORGANIC NANOTUBE AND PRODUCTION METHOD THEREOF | 1 |
Shoji Kamiya | JP | Akashi-Shi | 2010-12-02 / 20100304145 - CARBON FIBER REINFORCED PREPREG OF GAS BARRIER PROPERTIES, CARBON FIBER REINFORCED PLASTIC AND METHODS OF PRODUCING THE SAME | 1 |
Shinji Kamiya | JP | Kariya-City | 2012-01-05 / 20120001746 - Vehicular electric charge control apparatus and emergency notification system | 4 |
Shinji Kamiya | JP | Kyoto | 2009-02-05 / 20090033300 - Output control device, and AC/DC power source device, circuit device, LED backlight circuit device, and switching DC/DC converter device each using output control device | 1 |
Shinichi Kamiya | JP | Shizuoka | 2015-07-16 / 20150201512 - ELECTRONIC DEVICE AND A CASING USED THEREFOR | 2 |
Kazutaka Kamiya | JP | Shiga | 2013-05-09 / 20130115785 - ROTARY CONNECTOR DEVICE | 3 |
Shinichi Kamiya | JP | Kariya-City | 2015-04-30 / 20150114098 - AIRFLOW MEASURING DEVICE | 3 |
Akinori Kamiya | JP | Hitachinaka | 2013-03-14 / 20130066501 - Vehicle | 1 |
Shinichi Kamiya | JP | Kariya-Shi | 2014-05-22 / 20140137828 - INTAKE PIPE STRUCTURE FOR INTERNAL COMBUSTION ENGINE | 2 |
Satoshi Kamiya | JP | Ebina-Shi | 2010-03-04 / 20100053666 - IMAGE COMMUNICATION APPARATUS AND COMPUTER READABLE MEDIUM | 1 |
Mitsutoshi Kamiya | JP | Nishio-Shi | 2012-02-02 / 20120028759 - VEHICULAR POWER TRANSMISSION CONTROL APPARATUS | 1 |
Satoshi Kamiya | JP | Minato-Ku | 2009-10-01 / 20090245113 - LOAD BALANCER, NETWORK SYSTEM, LOAD BALANCING METHOD, AND PROGRAM | 1 |
Noriyuki Kamiya | JP | Chita-Gun | / - | 1 |
Ryouhei Kamiya | JP | Fuji-Shi | 2015-10-22 / 20150300701 - REFRIGERATION CYCLE APPARATUS | 1 |
Kei Kamiya | JP | Toyota-Shi | 2014-01-16 / 20140015485 - CHARGING DEVICE FOR VEHICLE, VEHICLE EQUIPPED WITH CHARGING DEVICE, AND OFFSET CORRECTION METHOD FOR CURRENT SENSOR | 1 |
Jain Kamiya | IN | Ghaziabad | 2016-03-03 / 20160059212 - WATER VAPOR ADSORPTION-DESORPTION MATERIAL AND METHOD FOR MEASURING LCST BEHAVIOR | 1 |
Noriyuki Kamiya | JP | Yamato City | 2011-06-02 / 20110130074 - MAGNETIC PARTICLE CARRYING DEVICE, AND DEVELOPING UNIT, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS USING THE SAME, AND SURFACE TREATMENT METHOD OF THE SAME | 2 |
Hisayoshi Kamiya | JP | Nagoya-Shi | 2013-03-28 / 20130075141 - WIRING SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 1 |
Noriho Kamiya | JP | Fukuoka-Shi | 2015-10-08 / 20150284762 - METHOD FOR PRODUCING PROTEIN-NUCLEIC ACID CONJUGATE, AND METHOD FOR DETECTING TARGET SUBSTANCE | 6 |
Noboru Kamiya | JP | Shizuoka-Ken | 2009-01-08 / 20090008197 - STEERING DAMPING METHOD FOR VEHICLE AND STEERING DAMPING DEVICE FOR VEHICLE | 1 |
Naoyuki Kamiya | JP | Kariya-Shi | 2011-11-03 / 20110270568 - APPARATUS FOR CALCULATING TEMPERATURE OF CONDUCTIVE CARRIER OF CATALYST CONVERTER | 4 |
Naoyuki Kamiya | JP | Kariya-City | 2010-02-11 / 20100031646 - ABNORMALITY DIAGNOSIS DEVICE FOR EXHAUST HEAT RECOVERY EQUIPMENT | 1 |
Masato Kamiya | JP | Susono-Shi | 2012-07-12 / 20120177997 - METHOD FOR PRODUCING SOLID ELECTROLYTE MATERIAL-CONTAINING SHEET | 6 |
Masao Kamiya | JP | Aichi-Ken | 2010-09-23 / 20100237381 - Semiconductor light emitting element | 2 |
Masao Kamiya | JP | Nishikasugai-Gun | 2010-03-25 / 20100072508 - Group III nitride semiconductor light-emitting device and method for producing the same | 1 |
Akira Kamiya | JP | Sagamihara-Shi | 2016-03-31 / 20160090837 - Vibrating Wire Viscometer and Cartridge for The Same | 6 |
Masaaki Kamiya | JP | Shiroi-Shi | 2013-10-24 / 20130278331 - Reference Potential Converter Circuit | 2 |
Akira Kamiya | JP | Kanagawa-Ken | 2010-09-09 / 20100223796 - APPARATUS FOR AZIMUTH MEASUREMENTS USING GYRO SENSORS | 4 |
Masanori Kamiya | JP | Yamato | 2009-11-19 / 20090287751 - METHOD AND SYSTEM FOR FILE RELOCATION | 1 |
Tadayoshi Kamiya | JP | Okazaki-Shi | 2009-06-11 / 20090146094 - ASSEMBLED STRUCTURE OF VALVE DEVICE, PLUG BODY, AND MANUAL VALVE | 1 |
Masaki Kamiya | JP | Ibaraki | 2009-07-02 / 20090169808 - COMPOSITE, PREPREG, LAMINATED PLATE CLAD WITH METAL FOIL, MATERIAL FOR CONNECTING CIRCUIT BOARD, AND MULTILAYER PRINTED WIRING BOARD AND METHOD FOR MANUFACTURE THEREOF | 1 |
Masaki Kamiya | JP | Chikusei-Shi | 2010-04-15 / 20100089626 - COMPOSITE, PREPREG, LAMINATED PLATE CLAD WITH METAL FOIL, MATERIAL FOR CONNECTING CIRCUIT BOARD, AND MULTILAYER PRINTED WIRING BOARD AND METHOD FOR MANUFACTURE THEREOF | 1 |
Masahiro Kamiya | JP | Nichio-City | 2010-01-21 / 20100017585 - Microcomputer and encoding system for instruction code and CPU | 1 |
Akira Kamiya | JP | Nagoya-Shi | 2014-06-26 / 20140176124 - CURRENT SENSOR AND MANUFACTURING METHOD FOR THE SAME | 5 |
Akira Kamiya | JP | Kanagawa | 2010-03-11 / 20100059221 - SUBSEA FLUID SAMPLING AND ANALYSIS | 1 |
Daisaku Kamiya | JP | Chiba | 2009-06-25 / 20090160124 - SHEET STACKING/ALIGNING APPARATUS, SHEET HANDLING APPARATUS, AND IMAGE FORMING APPARATUS | 1 |
Takahiro Kamiya | JP | Tajimi-Shi | 2014-01-23 / 20140021661 - Container for heat treatment of positive-electrode active material for lithium-ion battery and method for producing the same | 2 |
Masahiro Kamiya | JP | Nishio-City | 2009-08-13 / 20090204841 - Periodic signal processing apparatus | 1 |
Satoshi Kamiya | JP | Kanagawa | 2015-09-24 / 20150268906 - COMMUNICATION APPARATUS, IMAGE FORMING APPARATUS, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 3 |
Masahiro Kamiya | JP | Kobe-Shi | 2009-06-18 / 20090154713 - Acoustic control apparatus for controlling acoustic in each individual space | 1 |
Kenji Kamiya | JP | Tokyo | 2015-11-19 / 20150332841 - LITZ WIRE COIL | 2 |
Akira Kamiya | JP | Kuwana-City | 2015-10-15 / 20150291094 - DISPLAY APPARATUS | 3 |
Masahiro Kamiya | JP | Kobe | 2009-04-16 / 20090097669 - Acoustic system for providing individual acoustic environment | 1 |
Masahiro Kamiya | JP | Hyogo | 2009-02-19 / 20090049471 - Digital Broadcast Receiving Apparatus and Content Display Method | 1 |
Manabu Kamiya | JP | Azumino-Shi | 2010-09-30 / 20100245785 - PROJECTOR | 1 |
Makoto Kamiya | JP | Nishio-Shi | 2010-11-18 / 20100289604 - ELECTROMAGNETIC RELAY | 1 |
Makoto Kamiya | JP | Nishio-City | 2010-08-05 / 20100193475 - Electromagnetic relay | 1 |
Katsunori Kamiya | JP | Kariya-Shi | 2016-04-21 / 20160108872 - FUEL SUPPLY DEVICE | 4 |
Yoshinori Kamiya | JP | Nagano | 2014-01-16 / 20140016999 - MULTIPIECE TOLERANCE RING | 1 |
Kosuke Kamiya | JP | Minato-Ku | 2010-08-05 / 20100192816 - FLUIDIZED BED INCINERATOR AND FLUIDIZED BED INCINERATING METHOD FOR SLUDGE USING THE SAME | 1 |
Soji Kamiya | JP | Aichi | 2012-10-25 / 20120270761 - SLIDING MEMBER | 1 |
Kazuhide Kamiya | JP | Tokyo | 2014-12-04 / 20140353144 - CARBON-BASED MATERIAL, ELECTRODE CATALYST, OXYGEN REDUCTION ELECTRODE CATALYST, GAS DIFFUSION ELECTRODE, AQUEOUS SOLUTION ELECTROLYSIS DEVICE, AND METHOD OF PREPARING CARBON-BASED MATERIAL | 3 |
Kiyoshi Kamiya | JP | Sayama | 2009-01-01 / 20090002331 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Kiroki Kamiya | JP | Chiyoda-Ku | 2010-02-04 / 20100029878 - METHOD FOR PRODUCING FLUOROPOLYMER USING FLUOROCARBOXYLIC ACID COMPOUND | 1 |
Keisuke Kamiya | JP | Amagasaki | 2010-04-22 / 20100099492 - GAME DEVICE, GAME CONTROL METHOD, GAME CONTROL PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM ON WHICH THE PROGRAM IS RECORDED | 1 |
Kazuyuki Kamiya | JP | Aichi-Ken | 2010-06-24 / 20100158713 - FAN MOTOR | 1 |
Kazuhiro Kamiya | JP | Kariya-Shi | 2009-08-20 / 20090206829 - SIGNAL PROCESSING DEVICE FOR SENSING DEVICE | 1 |
Kazuhiro Kamiya | JP | Anjo-City | 2009-05-21 / 20090132143 - Parking Support Control Apparatus and Parking Support Control System | 1 |
Hiroyuki Kamiya | JP | Chiyoda-Ku | 2009-06-04 / 20090140199 - POLISHING COMPOUND AND POLISHING METHOD | 1 |
Hiroyuki Kamiya | JP | Yamato-Shi | 2008-12-18 / 20080309845 - LIQUID CRYSTAL DISPLAY PANEL AND DEVICE THEREOF | 1 |
Hiroyuki Kamiya | JP | Yokohama | 2008-11-20 / 20080284963 - Liquid crystal panel for liquid crystal display device | 1 |
Yoshitaka Kamiya | JP | Tokyo | 2012-12-20 / 20120317760 - Button Fastener, Method for Forming Button Fastener, Eyelet, and Method for Forming Eyelet | 2 |
Hirotaka Kamiya | JP | Nagano | 2015-08-27 / 20150239257 - IMAGE FORMING DEVICE AND IMAGE FORMING METHOD | 2 |
Masaki Kamiya | JP | Tokushima-Shi | 2012-08-09 / 20120203027 - PROCESS FOR PREPARATION OF DIETHYLENETRIAMINEPENTAACETIC ACID DERIVATIVE, AND DIETHYLENETRIAMINEPENTAACETIC ACID DERIVATIVE | 1 |
Hiroshi Kamiya | JP | Kariya-Shi | 2011-12-22 / 20110310532 - OUTDOOR POWER GENERATING APPARATUS | 3 |
Hideki Kamiya | JP | Okaya | 2010-10-07 / 20100254227 - TIMEPIECE | 1 |
Kohji Kamiya | JP | Aichi | 2013-06-06 / 20130142990 - COMPOSITE MOLDED ARTICLE AND MANUFACTURING METHOD THEREOF | 1 |
Haruo Kamiya | JP | Chiryu-City | 2015-05-07 / 20150125330 - COMPRESSOR | 2 |
Haruo Kamiya | JP | Atsugi-Shi | 2011-12-22 / 20110308162 - VEHICLE DOOR OPENING/CLOSING CONTROL DEVICE | 2 |
Shinji Kamiya | JP | Tokyo | 2010-09-09 / 20100228073 - METHOD OF DETOXIFYING A METHYL COMPOUND | 4 |
Kenta Kamiya | JP | Makinohara-Shi | 2012-10-25 / 20120267162 - WATERPROOF STRUCTURE OF ELECTRICAL JUNCTION BOX | 2 |
Haruo Kamiya | JP | Kanagawa-Ken | 2009-02-05 / 20090033256 - Powered opening and closing system for vehicle's door | 1 |
Eiji Kamiya | JP | Yokkaichi-Shi | 2012-06-14 / 20120149187 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Akio Kamiya | JP | Warabi-Shi | 2013-07-11 / 20130176180 - ANTENNA APPARATUS | 3 |
Akinori Kamiya | JP | Aichi-Ken | 2009-11-26 / 20090288245 - DRAIN SOCKET | 1 |
Akinori Kamiya | JP | Hitachi | 2012-11-01 / 20120274160 - ROTARY ELECTRIC MACHINE WITH AIR GAPS CONFIGURED TO CANCEL TORQUE PULSATIONS | 3 |
Akihiro Kamiya | JP | Takahama-Shi | 2011-02-03 / 20110024946 - Resin gear | 1 |
Akihiro Kamiya | JP | Yokohama | 2010-10-14 / 20100260184 - CONTROL APPARATUS | 1 |
Akihiro Kamiya | JP | Takahama-City | 2009-09-24 / 20090235891 - INTAKE FLOW CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Akihiro Kamiya | JP | Aichi-Ken | 2009-08-06 / 20090194078 - DEVICE FOR CONTROLLING HEATING OF A THROTTLE VALVE OF AN INTERNAL COMBUSTION ENGINE | 1 |
Satoshi Kamiya | JP | Tokyo | 2013-10-03 / 20130257012 - TWO-WHEELED MOTOR VEHICLE AND FRONT FORK FOR THE SAME | 14 |
Atsuko Kamiya | JP | Tokyo | 2016-05-19 / 20160136274 - MEDICINAL COMPOSITION FOR PROMOTING SYNTHESIS OF PROTOPORPHYRIN IX | 1 |
Noriyuki Kamiya | JP | Kanagawa | 2014-12-04 / 20140356031 - ROLLER, DEVELOPING ROLLER, DEVELOPING DEVICE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 4 |
Katsuhiko Kamiya | JP | Osaka | 2014-09-18 / 20140272201 - PRESSURE-SENSITIVE ADHESIVE, PRESSURE SENSITIVE ADHESIVE LAYER, PRESSURE-SENSITIVE ADHESIVE SHEET, AND TOUCH PANEL | 22 |
Kazunobu Kamiya | JP | Tochigi | 2014-04-17 / 20140102223 - METHOD FOR MEASURING DYNAMIC VISCOELASTICITY OF PARTICULATE MATERIAL | 10 |
Mayumi Kamiya | JP | Tokyo | 2012-12-06 / 20120311483 - IMAGING APPARATUS | 3 |
Kenji Kamiya | JP | Tochigi | 2012-10-18 / 20120263956 - DISPLAY, MANUFACTURING METHOD THEREFOR AND TRANSPARENT RESIN CHARGING MATERIAL | 3 |
Toshio Kamiya | JP | Kanagawa | 2012-01-19 / 20120012838 - SWITCHING ELEMENT | 10 |
Toshio Kamiya | JP | Yokohama-Shi | 2011-02-03 / 20110024741 - INTEGRATED CIRCUITS UTILIZING AMORPHOUS OXIDES | 7 |
Toshio Kamiya | JP | Tokyo | 2009-09-03 / 20090218449 - ATTITUDE CONTROL DATA CREATING METHOD, AND ATTITUDE CONTROL SYSTEM APPLYING THE METHOD | 3 |
Norifumi Kamiya | JP | Tokyo | 2015-03-26 / 20150085960 - CARRIER WAVE REPRODUCTION DEVICE AND CARRIER WAVE REPRODUCTION METHOD | 8 |
Toshio Kamiya | JP | Kanagawa-Ken | 2015-11-12 / 20150325707 - AMORPHOUS OXIDE AND FIELD EFFECT TRANSISTOR | 3 |
Toshio Kamiya | JP | Kawasaki-Shi | 2010-10-21 / 20100267198 - FIELD EFFECT TRANSISTOR MANUFACTURING METHOD | 1 |
Toshikazu Kamiya | JP | Ibaraki | 2010-10-14 / 20100261749 - METHOD OF PREVENTING OR AMELIORATING PSORIASIS USING PYRROLOQUINOLINE QUINONE COMPOUNDS | 5 |
Mitsuru Kamiya | JP | Osaka | 2015-07-23 / 20150203173 - BICYCLE SPROCKET | 1 |
Yuki Kamiya | JP | Tokyo | 2015-01-22 / 20150024358 - STRESS ASSESSMENT DEVICE, STRESS ASSESSMENT METHOD AND RECORDING MEDIUM | 3 |
Yuuto Kamiya | JP | Takahama-City | 2014-08-28 / 20140240885 - ELECTRONIC PART AND ELECTRONIC CONTROL UNIT | 1 |
Nozomi Kamiya | JP | Ichihara-Shi | 2013-02-14 / 20130041080 - THERMOPLASTIC POLYMER COMPOSITION, AND ARTICLE AND ELECTRIC WIRE COMPRISING THE SAME | 2 |
Toshikazu Kamiya | JP | Tsukuba-Shi | 2010-02-18 / 20100040715 - COMPOSITION CONTAINING AGARICUS BLAZEI MURILL | 1 |
Dai Kamiya | JP | Tokyo | 2013-11-14 / 20130304652 - CONTENT TRANSACTION MANAGEMENT SERVER DEVICE, CONTENT-PROVIDING SERVER DEVICE, AND TERMINAL DEVICE AND CONTROL PROGRAM | 46 |
Mitsuharu Kamiya | JP | Tokyo | 2015-06-11 / 20150161745 - SALES DATA PROCESSING APPARATUS AND COMPUTER-READABLE STORAGE MEDIUM | 4 |
Hodaka Kamiya | JP | Obu-City | 2015-12-24 / 20150371472 - VEHICULAR SYSTEM, VEHICLE SIDE UNIT, AND MOBILE DEVICE | 1 |
Takeshi Kamiya | JP | Akita-Shi | 2014-02-06 / 20140037529 - METHOD FOR PRODUCING BIS(FLUOROSULFONYL)IMIDE SALT, METHOD FOR PRODUCING FLUOROSULFATE, AND METHOD FOR PRODUCING BIS(FLUOROSULFONYL)IMIDE ONIUM SALT | 5 |
Yoshiaki Kamiya | JP | Tokyo | 2009-03-05 / 20090060967 - Antimicrobial fiber and method for producing the same thereof | 1 |
Takuki Kamiya | US | Palo Alto | 2016-04-28 / 20160117410 - EXI FORMAT TO REPRESENT JSON DOCUMENTS | 4 |
Toshikazu Kamiya | JP | Tsuchiura-Shi | 2013-08-29 / 20130225650 - METHOD FOR PROMOTING SYNTHESIS OF TISSUE COLLAGEN | 4 |
Ryo Kamiya | JP | Tokyo | 2013-08-29 / 20130222532 - Image Processing Apparatus and Camera System | 2 |
Hiroshi Kamiya | JP | Tokyo | 2010-03-18 / 20100066971 - METHOD AND DEVICE FOR ADJUSTING LUMINANCE OF LIGHT TRANSMITTED THROUGH GLASSES | 1 |
Takashi Kamiya | JP | Kanagawa | / - | 1 |
Masamichi Kamiya | JP | Gunma-Ken | 2009-08-20 / 20090208869 - LITHOGRAPHIC-PRINTING PLATE PRECURSOR AND IMAGE FORMING METHOD USING THE SAME | 1 |
Toshiyuki Kamiya | JP | Fujimi | 2015-08-27 / 20150239126 - FORCE DETECTOR AND ROBOT | 10 |
Toshikazu Kamiya | JP | Kariya-Shi | 2008-08-21 / 20080201044 - Travel control apparatus for industrial vehicle | 1 |
Yasumasa Kamiya | JP | Aichi | 2012-12-27 / 20120326421 - AIRBAG DEVICE AND AIRBAG FOLDING METHOD | 1 |
Masaaki Kamiya | JP | Tokyo | 2009-10-22 / 20090262584 - Nonvolatile Memory Cell and Data Latch Incorporating Nonvolatile Memory Cell | 1 |
Masayuki Kamiya | JP | Higashihiroshima-Shi | 2012-12-20 / 20120319999 - SCREENS FOR USE AS DISPLAYS OF SMALL-SIZED DISPLAY DEVICES WITH TOUCH PANEL FUNCTIONS, AND SMALL-SIZED DISPLAY DEVICES WITH TOUCH PANEL FUNCTIONS COMPRISING SAID SCREENS | 1 |
Tomihiro Kamiya | US | 2012-08-23 / 20120215495 - PARTICLE RADIATION MONITORING APPARATUS, RECORDING MEDIUM TO RETAIN PARTICLE RADIATION MONITORING PROGRAM, AND PARTICLE RADIATION MONITORING METHOD | 1 | |
Dai Kamiya | JP | Ichikawa-Shi | 2009-08-06 / 20090199012 - CONTENTS TRANSMISSION METHOD AND CONTENTS TRANSMISSION SYSTEM | 2 |
Kazuyuki Kamiya | JP | Nagoya-Shi | 2013-12-19 / 20130336819 - CENTRIFUGAL BLOWER AND VEHICLE AIR CONDITIONER PROVIDED WITH THE SAME | 1 |
Norifumi Kamiya | JP | Tokyo | 2015-03-26 / 20150085960 - CARRIER WAVE REPRODUCTION DEVICE AND CARRIER WAVE REPRODUCTION METHOD | 8 |
Ryuta Kamiya | JP | Kariya-Shi | 2015-10-22 / 20150299913 - TUBULAR FIBER STRUCTURE AND FIBER REINFORCED COMPOSITE MATERIAL | 4 |
Tamotsu Kamiya | JP | Tokyo | 2009-11-05 / 20090272152 - METHOD FOR MANUFACTURING OPTICAL FIBER | 1 |
Haruhisa Kamiya | JP | Anjo-Shi | 2014-07-17 / 20140196261 - CUSHION CLIP | 8 |
Toshihiko Kamiya | JP | Toyota | 2014-05-08 / 20140124324 - HYDRAULIC CONTROLLER FOR HYBRID DRIVE SYSTEM | 11 |
Hajime Kamiya | JP | Tokyo | 2014-06-19 / 20140167920 - RFID TAG MOVEMENT DISTINGUISHING METHOD AND RFID TAG MOVEMENT DISTINGUISHING PROGRAM | 1 |
Takeshi Kamiya | JP | Chiryu-Shi | 2012-09-13 / 20120228907 - VEHICLE SEAT INCLUDING SIDE AIRBAG SYSTEM | 1 |
Masao Kamiya | JP | Kiyosu-Shi | 2014-07-03 / 20140183586 - LIGHT EMITTING ELEMENT | 8 |
Satoshi Kamiya | JP | Tokyo | 2013-10-03 / 20130257012 - TWO-WHEELED MOTOR VEHICLE AND FRONT FORK FOR THE SAME | 14 |
Hiroyuki Kamiya | JP | Tokyo | 2011-09-01 / 20110212621 - ABRASIVE COMPOSITION AND METHOD FOR MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 3 |
Toshihiko Kamiya | JP | Toyota | 2014-05-08 / 20140124324 - HYDRAULIC CONTROLLER FOR HYBRID DRIVE SYSTEM | 11 |
Toshihiko Kamiya | JP | Toyota-Shi | 2014-09-11 / 20140256506 - VEHICLE POWER TRANSMISSION DEVICE | 13 |
Takashi Kamiya | JP | Ichinomiya | 2013-02-14 / 20130037006 - EVAPORATED FUEL TREATING APPARATUS | 1 |
Naoki Kamiya | JP | Anjo-Shi | 2016-01-28 / 20160025095 - ELECTRIC PUMP | 3 |
Masataka Kamiya | JP | Owariasahi-Shi | 2015-10-08 / 20150284170 - LIQUID DISCHARGE APPARATUS | 4 |
Misaki Kamiya | JP | Anjo-Shi | 2009-10-01 / 20090247352 - POWER TRANSMISSION DEVICE | 1 |
Kazuhide Kamiya | JP | Shibuya-Ku | 2011-01-13 / 20110005916 - PHOTOCATALYST MATERIAL, METHOD FOR DECOMPOSITION OF ORGANIC MATERIAL, INTERIOR MEMBER, AIR PURIFICATION DEVICE, AND APPARATUS FOR PREPARATION OF OXIDIZING AGENT | 1 |
Hitoshi Kamiya | JP | Tokyo | 2010-12-23 / 20100320706 - CONTROL DEVICE AND VEHICLE | 2 |
Hidehiro Kamiya | JP | Tokyo | 2008-08-21 / 20080197218 - Dispersing or milling apparatus, and dispersing or milling method using same | 1 |
Koji Kamiya | JP | Anjo-Shi | 2014-06-19 / 20140169636 - METHOD AND SYSTEM FOR ESTIMATING ATTITUDE OF CAMERA | 5 |
Tsuyoshi Kamiya | JP | Anjo-Shi | 2016-05-05 / 20160123061 - OPENING/CLOSING BODY CONTROL DEVICE FOR VEHICLE | 1 |
Kenichi Kamiya | JP | Tokyo | 2014-04-03 / 20140093064 - COMMUNICATION PROCESSING SYSTEM, COMMUNICATION PROCESSING METHOD, COMMUNICATION PROCESSING DEVICE, AND CONTROL METHOD AND CONTROL PROGRAM OF COMMUNICATION PROCESSING DEVICE | 6 |
Makoto Kamiya | JP | Tokyo | 2012-04-05 / 20120083260 - INFORMATION TERMINAL, INFORMATION PRESENTATION METHOD FOR AN INFORMATION TERMINAL, AND INFORMATION PRESENTATION PROGRAM | 1 |
Sumio Kamiya | JP | Aichi-Ken | 2010-07-01 / 20100167627 - Precision Machining Apparatus and Precision Machining Method | 1 |
Yoshihiro Kamiya | JP | Anjo-Shi | 2013-05-23 / 20130127209 - Vehicle Structure In Which Exhaust Heat Recovery Apparatus Is Installed | 2 |
Shingo Kamiya | JP | Anjo-Shi | 2013-12-12 / 20130328460 - ELECTRIC POWER TOOL | 2 |
Shouhei Kamiya | JP | Tsuchiura-Shi | 2013-07-11 / 20130174539 - Engine Control System for Construction Machine | 2 |
Yoshie Kamiya | JP | Aichi | 2014-05-22 / 20140141689 - PHOTOCHROMIC TOY | 1 |
Naohiro Kamiya | JP | Kyoto | 2012-04-05 / 20120081564 - HEAD-MOUNTED DISPLAY | 1 |
Satomi Kamiya | JP | Tokyo | 2010-05-06 / 20100112066 - Prostaglandin fat emulsion, method for producing the same, method for stabilizing the same, and emulsifying agent | 1 |
Akihiro Kamiya | JP | Tokyo | 2015-02-26 / 20150055453 - DETOUR PATH CALCULATION METHOD IN EMERGENCY | 2 |
Taku Kamiya | JP | Utsunomiya-Shi | 2011-12-08 / 20110297715 - CUP HOLDER | 2 |
Shoichi Kamiya | JP | Tokyo | 2009-04-23 / 20090101515 - IRON-PHOSPHORUS ELECTROPLATING BATH AND METHOD | 1 |
Saburo Kamiya | JP | Tokyo | 2010-02-11 / 20100033694 - Exposure method, exposure apparatus and device manufacturing method | 2 |
Kazushi Kamiya | JP | Kariya-Shi | 2008-10-30 / 20080264728 - Control lever unit | 1 |
Hideki Kamiya | JP | Aichi | 2014-11-06 / 20140329123 - BATTERY PACK | 5 |
Kazunobu Kamiya | JP | Tochigi | 2014-04-17 / 20140102223 - METHOD FOR MEASURING DYNAMIC VISCOELASTICITY OF PARTICULATE MATERIAL | 10 |
Takumi Kamiya | JP | Anjo-Shi | 2010-12-16 / 20100315075 - Resolver of phase difference type | 2 |
Kazuyoshi Kamiya | JP | Anjo-Shi | 2010-09-23 / 20100241297 - HYBRID VEHICLE AND CONTROL METHOD FOR HYBRID VEHICLE | 1 |
Akira Kamiya | JP | Toyokawa-City | 2015-12-17 / 20150362614 - METAL OBJECT DETECTION DEVICE | 1 |
Takahiro Kamiya | JP | Anjo-Shi | 2013-02-07 / 20130031787 - BRUSH CUTTER | 2 |
Takashi Kamiya | JP | Tokyo | 2013-03-14 / 20130066457 - METHOD OF GENERATING A NUMERICAL CONTROL PROGRAM, APPARATUS FOR THE SAME, AND PROGRAM FOR CAUSING A COMPUTER TO EXECUTE THE METHOD | 5 |
Kentaro Kamiya | JP | Anjo-Shi | 2008-12-04 / 20080296933 - Interior parts for a vehicle | 1 |
Munehiro Kamiya | JP | Anjo-Shi | 2008-10-23 / 20080258573 - Rotor of Rotating Electric Machine, Rotating Electric Machine and Vehicle Drive Apparatus | 1 |
Haruhisa Kamiya | JP | Anjo-Shi | 2014-07-17 / 20140196261 - CUSHION CLIP | 8 |
Toshiyuki Kamiya | JP | Osaka-Shi | 2013-02-14 / 20130039578 - PRECISION IMPROVING DEVICE FOR THREE DIMENSIONAL TOPOGRAPHICAL DATA, PRECISION IMPROVING METHOD FOR THREE DIMENSIONAL TOPOGRAPHICAL DATA AND RECORDING MEDIUM | 2 |
Mitsuyoshi Kamiya | JP | Saitama | 2009-10-01 / 20090241893 - Bolt fastening structure for internal combustion engine, and engine incorporating same | 1 |
Tatsuaki Kamiya | JP | Chiyoda-Ku | 2013-01-24 / 20130021135 - SAFETY APPARATUS AND FAULT DETECTION METHODS | 1 |
Toshiyuki Kamiya | JP | Tokyo | 2014-05-29 / 20140144607 - WATER HEATER AND FLOW RATE CONTROL METHOD | 6 |
Tsukasa Kamiya | JP | Nagoya-Shi | 2009-08-20 / 20090206694 - BRUSH HOLDER | 1 |
Toshiyuki Kamiya | JP | Yokohama | 2012-11-01 / 20120278409 - MAIL SYSTEM | 3 |
Masato Kamiya | JP | Anjo-Shi | 2016-03-31 / 20160093844 - BATTERY STACK | 5 |
Nagisa Kamiya | JP | Tokyo | 2016-04-28 / 20160115413 - COMPOSITE LUBRICATING MATERIAL, ENGINE OIL, GREASE, AND LUBRICANT | 2 |
Ryohei Kamiya | JP | Chiba | 2011-06-02 / 20110127621 - Electrostatic vibrator and electronic apparatus | 1 |
Sumio Kamiya | JP | Toyota-Shi | 2015-11-19 / 20150329760 - GRAPHITE SHEET | 8 |
Munehiro Kamiya | JP | Toyota-Shi | 2010-10-21 / 20100264760 - ELECTRIC ROTATING MACHINE | 2 |
Masakazu Kamiya | JP | Toyoake-Shi | 2015-09-24 / 20150267756 - DAMPER APPARATUS | 2 |
Seiichi Kamiya | JP | Yokohama-Shi | 2010-08-12 / 20100201744 - INKJET RECORDING HEAD | 2 |
Yukiko Kamiya | JP | Yokohama-Shi | 2010-02-25 / 20100048442 - Oil-In-Water Type Emulsion Composition | 1 |
Kohji Kamiya | JP | Yokohama-Shi | 2008-12-18 / 20080311293 - Method and device for coating hollow cylindrical member | 1 |
Makoto Kamiya | JP | Yokkaichi-Shi | 2015-10-29 / 20150310968 - WIRING HARNESS MANUFACTURING METHOD | 2 |
Mamoru Kamiya | JP | Yokohama-Shi | 2010-12-02 / 20100306602 - SEMICONDUCTOR DEVICE AND ABNORMALITY DETECTING METHOD | 1 |
Takashi Kamiya | JP | Anjyo-City | 2013-01-17 / 20130017702 - ELECTRONIC DEVICE HAVING CARD EDGE CONNECTORAANM KAMIYA; TakashiAACI Anjyo-cityAACO JPAAGP KAMIYA; Takashi Anjyo-city JPAANM Iida; TakuAACI Nagoya-cityAACO JPAAGP Iida; Taku Nagoya-city JPAANM Watanabe; YujiAACI Nagoya-cityAACO JPAAGP Watanabe; Yuji Nagoya-city JP | 1 |
Tsuyoshi Kamiya | JP | Aichi | 2010-10-07 / 20100251619 - VEHICLE DOOR OPENING/CLOSING APPARATUS | 1 |
Daisuke Kamiya | JP | Aichi | 2010-07-15 / 20100178359 - FUNGICIDE FOR AGRICULTURAL AND HORTICULTURAL USE CONTAINING INORGANIC COPPER COMPOUND AS ACTIVE INGREDIENT | 1 |
Naoki Kamiya | JP | Aichi | 2015-12-31 / 20150379914 - TOUCH-TYPE INPUT DEVICE | 2 |
Hiroyuki Kamiya | JP | Yokohama-Shi | 2010-01-14 / 20100009540 - POLISHING COMPOUND, ITS PRODUCTION PROCESS AND POLISHING METHOD | 1 |
Takanobu Kamiya | JP | Aichi | 2009-10-08 / 20090249800 - LOW-TEMPERATURE-SLUSH-FLUID PRODUCING APPARATUS | 1 |
Toshimitsu Kamiya | JP | Aichi-Gun | 2013-11-07 / 20130292156 - BUS BAR AND METHOD OF MANUFACTURING THE BUS BAR | 1 |
Tomonori Kamiya | JP | Aichi | 2011-08-11 / 20110193977 - VIBRATION COMPENSATION CIRCUIT AND IMAGING DEVICE INCLUDING THE SAME | 3 |
Toshihiko Kamiya | JP | Yokohama-Shi | 2013-06-06 / 20130141571 - AIRTIGHTNESS INSPECTION METHOD AND AIRTIGHTNESS INSPECTION APPARATUS FOR SEALED BATTERY | 4 |
Toshiyuki Kamiya | JP | Fujimi-Machi | 2014-12-18 / 20140366646 - FORCE DETECTOR, ROBOT, ELECTRONIC COMPONENT CARRYING APPARATUS, ELECTRONIC COMPONENT TESTING APPARATUS, PART PROCESSING APPARATUS, AND MOVING OBJECT | 2 |
Sumio Kamiya | JP | Aichi | 2010-12-16 / 20100316559 - SINGLE-CRYSTAL FINE POWDER OF SULFIDE OR SULFIDE COMPLEX AND METHOD FOR PREPARING THE SAME | 6 |
Yukiko Kamiya | JP | Kanagawa | 2010-12-23 / 20100319141 - HUMIC SUBSTANCE DISPERSION FLUID | 2 |
Masami Kamiya | JP | Aichi | 2009-01-29 / 20090029180 - Process for Imparting Conductivity to Surface of Formed Article, and Formed Article with Surface Conductivity | 1 |
Toshiyuki Kamiya | JP | Osaka | 2014-03-20 / 20140078146 - FLIGHT OBSTACLE EXTRACTION DEVICE, FLIGHT OBSTACLE EXTRACTION METHOD, AND RECORDING MEDIUM | 4 |
Itsuo Kamiya | JP | Aichi | 2010-04-29 / 20100102067 - SEALING MATERIAL FOR HIGH-PRESSURE HYDROGEN CONTAINER, AND HIGH-PRESSURE HYDROGEN CONTAINER | 3 |
Masakazu Kamiya | JP | Aichi | 2009-03-12 / 20090069098 - DAMPER MECHANISM | 1 |
Kenta Kamiya | JP | Kakegawa-Shi | 2012-01-05 / 20120000686 - Electric junction box | 1 |
Hitomi Kamiya | JP | Kanagawa | 2014-03-27 / 20140084406 - METHOD OF MANUFACTURING SOLID STATE IMAGING DEVICE, AND SOLID STATE IMAGING DEVICE | 4 |
Kohei Kamiya | JP | Zama-Shi | 2013-10-31 / 20130289830 - REGENERATION CONTROL DEVICE FOR VEHICLE | 1 |
Katsuhiko Kamiya | JP | Ibaraki-Shi | 2014-09-04 / 20140248489 - ADHESIVE, ADHESIVE LAYER, AND ADHESIVE SHEET | 4 |
Taku Kamiya | JP | Wako-Shi | 2015-05-28 / 20150144631 - SAFETY DEVICE AND CASE WITH LID USING SAFETY DEVICE | 2 |
Akira Kamiya | JP | Sagamiha-Shi | 2014-08-28 / 20140239168 - Optical Window Assembly for An Optical Sensor of A Downhole Tool and Method of Using Same | 1 |
Toshihiro Kamiya | JP | Tokyo | 2013-01-10 / 20130011189 - CONNECTING AND SEPARATING DEVICE, CONNECTING AND SEPARATING SYSTEM, AND CONNECTING AND SEPARATING METHOD | 1 |
Kiyonobu Kamiya | JP | Kanagawa | 2009-12-03 / 20090294360 - Dialysis preparation | 1 |
Masaki Kamiya | JP | Kanagawa | 2014-01-16 / 20140019510 - MOBILE DEVICE, METHOD OF ACTIVATING APPLICATION, AND PROGRAM | 3 |
Takanobu Kamiya | JP | Tokyo | 2012-11-29 / 20120299291 - FLUID JOINT | 1 |
Ryo Kamiya | JP | Kanagawa | 2009-06-25 / 20090160996 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, PROGRAM THEREOF, RECORDING MEDIUM CONTAINING THE PROGRAM, AND IMAGING DEVICE | 2 |
Misaki Kamiya | JP | Anjo | 2011-03-24 / 20110070996 - CONICAL FRICTION WHEEL TYPE CONTINUOUSLY VARIABLE TRANSMISSION DEVICE | 2 |
Yuji Kamiya | JP | Kanagawa | 2008-10-30 / 20080271205 - Method for producing steviol synthetase gene and steviol | 1 |
Misaki Kamiya | JP | Kariya | 2010-07-22 / 20100184558 - Friction type continuously variable transmission | 2 |
Masayasu Kamiya | JP | Tokyo | 2016-02-04 / 20160030846 - GAME CONTROL DEVICE, GAME SYSTEM, AND INFORMATION STORAGE MEDIUM | 1 |
Shogo Kamiya | JP | Ebina-Shi | 2011-06-09 / 20110135341 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Shogo Kamiya | JP | Kanagawa | 2016-03-17 / 20160077477 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 5 |
Kohei Kamiya | JP | Kanagawa | 2015-02-26 / 20150057860 - DRIVING FORCE CONTROL DEVICE FOR HYBRID VEHICLE AND DRIVING FORCE CONTROL METHOD FOR HYBRID VEHICLE | 1 |
Shogo Kamiya | JP | Ebina | 2011-06-09 / 20110135351 - RECORDING MEDIUM PEELING DEVICE AND IMAGE FORMING DEVICE | 1 |
Hiroki Kamiya | JP | Tokyo | 2009-02-26 / 20090054593 - FLUOROELASTOMER COMPOSITION AND MOLDED FLUORORUBBER ARTICLE | 4 |
Takuro Kamiya | JP | Kanagawa | 2014-11-27 / 20140348563 - SHEET CONVEYING APPARATUS, IMAGE FORMING APPARATUS, SHEET CONVEYING DISTANCE CALCULATION APPARATUS AND SHEET LENGTH CALCULATION APPARATUS | 6 |
Taishi Kamiya | JP | Tokyo-To | 2010-10-14 / 20100257995 - MUSICAL PERFORMANCE APPARATUS AND PROGRAM | 1 |
Hiroki Kamiya | JP | Chiyoda-Ku | 2009-12-10 / 20090301752 - ETHYLENE/TETRAFLUOROETHYLENE COPOLYMER AND METHOD FOR ITS PRODUCTION | 4 |
Koji Kamiya | JP | Kanagawa | 2016-01-28 / 20160029010 - CAMERA SYSTEM AND CAMERA CONTROL METHOD | 18 |
Naohiro Kamiya | JP | Osaka | 2012-04-19 / 20120095116 - PROBE SET FOR IDENTIFICATION OF NUCLEOTIDE MUTATION, AND METHOD FOR IDENTIFICATION OF NUCLEOTIDE MUTATION | 1 |
Masato Kamiya | JP | Shizuoka-Ken | 2012-04-19 / 20120094185 - SOLID ELECTROLYTE MATERIAL, ELECTRODE ELEMENT THAT INCLUDES SOLID ELECTROLYTE MATERIAL, ALL-SOLID BATTERY THAT INCLUDES SOLID ELECTROLYTE MATERIAL, AND MANUFACTURING METHOD FOR SOLID ELECTROLYTE MATERIAL | 1 |
Hiroki Kamiya | JP | Nagoya-City | 2010-07-15 / 20100175250 - Multilayer substrate and method of manufacturing the same | 3 |
Eiji Kamiya | JP | Yokkaichi | 2012-09-06 / 20120225546 - METHOD OF MANUFACTURING NONVOLATILE SEMICONDUCTOR STORAGE DEVICE | 1 |
Kathy Kamiya | US | Valencia | 2009-10-08 / 20090254398 - Computer system for managing orders for and deliveries of goods | 1 |
Takayuki Kamiya | JP | Yokohama-Shi | 2014-11-13 / 20140332930 - INTEGRATED CIRCUIT DEVICE AND CONFIGURATION METHOD THEREOF | 1 |
Takeshi Kamiya | JP | Anjo-Shi | 2015-06-25 / 20150174753 - AUXILIARY HANDLE AND POWER TOOL HAVING THE SAME | 3 |
Kazuyuki Kamiya | JP | Aichi | 2011-01-13 / 20110008170 - SHROUD AND ROTARY VANE WHEEL OF PROPELLER FAN AND PROPELLER FAN | 2 |
Kenichi Kamiya | JP | Aichi | 2010-10-14 / 20100260577 - MOUNTING STRUCTURE | 1 |
Yasushi Kamiya | JP | Fuchu-Shi | 2014-07-24 / 20140206197 - METHOD OF FABRICATING FIN FET AND METHOD OF FABRICATING DEVICE | 2 |
Shohei Kamiya | JP | Kasumigaura-Shi | 2015-05-21 / 20150135685 - EXHAUST GAS CLEANING SYSTEM FOR ENGINEERING VEHICLE | 11 |
Sadayuki Kamiya | JP | Kariya-City | 2013-10-10 / 20130263797 - INTAKE SYSTEM FOR INTERNAL COMBUSTION ENGINE | 2 |
Masao Kamiya | JP | Kiyosu-Shi | 2014-07-03 / 20140183586 - LIGHT EMITTING ELEMENT | 8 |
Yuji Kamiya | JP | Tokyo | 2014-04-17 / 20140102103 - Gas Compressor | 1 |
Takuro Kamiyai | JP | Kanagawa | 2010-09-23 / 20100239282 - To-be-transferred object length measurement device and image forming apparatus and computer-readable storage medium | 1 |
Takao Kamiyama | JP | Hiratsuka | 2011-07-14 / 20110168617 - ADVANCED WASTEWATER TREATMENT DEVICE HAVING MOVABLE DIVIDERS | 1 |
Kiyosuke Kamiyama | JP | Ohtsu-Shi | 2009-06-25 / 20090162626 - CONCRETE HAVING EXCELLENT EXPLOSION RESISTANCE | 1 |
Takashi Kamiyama | JP | Ebina-Shi | 2009-06-25 / 20090159237 - VEHICLE FRONT STRUCTURE | 1 |
Takuya Kamiyama | JP | Fuji-Shi | 2009-08-27 / 20090212540 - CURTAIN AIR BAG DEVICE AND METHOD OF PRODUCING THE SAME | 1 |
Takuya Kamiyama | JP | Takatsuki-Shi | 2009-09-17 / 20090232987 - Composition for Chemical Vapor Deposition Film-Formation and Method for Production of Low Dielectric Constant Film | 2 |
Keisuke Kamiyama | JP | Minato-Ku | 2009-11-12 / 20090280878 - GAME DEVICE, GAME DEVICE CONTROL METHOD, AND INFORMATION STORAGE MEDIUM | 1 |
Yuma Kamiyama | JP | Osaka | 2013-04-11 / 20130086947 - MOLD, CASTING APPARATUS, AND METHOD FOR PRODUCING CAST ROD | 3 |
Michio Kamiyama | JP | Tochigi | 2009-12-10 / 20090301235 - Inspection system and inspecting method | 2 |
Naohisa Kamiyama | JP | Tochigi-Ken | 2010-01-21 / 20100016723 - ULTRASOUND DIAGNOSIS APPARATUS | 1 |
Takeshi Kamiyama | JP | Wako | 2012-09-20 / 20120234619 - SADDLE-RIDE VEHICLE | 1 |
Tomonori Kamiyama | JP | Kanagawa | 2011-01-13 / 20110009491 - PROCESS FOR PRODUCING 1,4-DIHYDROXY-2-NAPHTHOIC ACID | 2 |
Mie Kamiyama | JP | Matsuyama-Shi | 2010-02-04 / 20100029158 - ISLANDS-IN-SEA TYPE COMPOSITE FIBER AND PROCESS FOR PRODUCING SAME | 1 |
Daisuke Kamiyama | JP | Kanagawa-Ken | 2010-02-18 / 20100042658 - METHOD FOR CAD KNOWLEDGE MANAGEMENT | 1 |
Naoyuki Kamiyama | JP | Hiroshima | 2010-10-28 / 20100273118 - HEAT TRANSFER TUBE MONITORING APPARATUS | 2 |
Eiji Kamiyama | JP | Noda-Shi | 2010-09-02 / 20100221877 - METHOD OF MANUFACTURING A SOI STRUCTURE HAVING A SIGE LAYER INTERPOSED BETWEEN THE SILICON AND THE INSULATOR | 1 |
Yuma Kamiyama | JP | Kyogo | 2010-08-05 / 20100196623 - FILM FORMING METHOD AND FILM FORMING APPARATUS | 1 |
Yoshiaki Kamiyama | JP | Takasaki-Shi | 2011-06-09 / 20110133881 - LAMINATED INDUCTOR, METHOD FOR MANUFACTURING THE LAMINATED INDUCTOR, AND LAMINATED CHOKE COIL | 2 |
Ryuichi Kamiyama | JP | Kagawa | 2012-02-16 / 20120041407 - DISPOSABLE DIAPER | 5 |
Kenichi Kamiyama | JP | Shimodate-Shi | 2010-03-04 / 20100051338 - ADHESION ASSISTING AGENT-BEARING METAL FOIL, PRINTED WIRING BOARD, AND PRODUCTION METHOD OF PRINTED WIRING BOARD | 3 |
Shuichi Kamiyama | JP | Kawasaki-Shi | 2012-03-29 / 20120073154 - COORDINATES MEASURING HEAD UNIT AND COORDINATES MEASURING MACHINE | 2 |
Tomoyuki Kamiyama | JP | Wako-Shi | 2013-10-24 / 20130278917 - DISTANCE MEASURING SYSTEM | 14 |
Tomoyuki Kamiyama | JP | Chiyoda-Ku | 2011-03-10 / 20110057750 - ELECTRONIC OVERLOAD RELAY | 1 |
Fumio Kamiyama | JP | Otokuni-Gun | 2013-06-06 / 20130143979 - PRECURSOR COMPOSITION FOR CROSSLINKABLE PRESSURE-SENSITIVE ADHESIVE FOR SKIN | 2 |
Akane Kamiyama | JP | Osaka | 2011-03-10 / 20110059034 - ASCORBIC ACID DERIVATIVE OR SALT THEREOF, PRODUCTION METHOD THEREOF, AND COSMETIC | 1 |
Yoshiroh Kamiyama | JP | Setagaya-Ku | 2014-07-03 / 20140189344 - PROVIDING A WEB PAGE TO A CLIENT | 3 |
Nobuaki Kamiyama | JP | Matsumoto-Shi | 2011-03-03 / 20110050800 - LIQUID RECEIVING APPARATUS AND LIQUID EJECTING APPARATUS | 1 |
Haruka Kamiyama | JP | Nagasaki | 2010-03-04 / 20100056629 - RETROVIRUS-INFECTION INHIBITOR | 1 |
Masahiko Kamiyama | JP | Tochigi-Ken | 2011-02-03 / 20110024073 - APPARATUS FOR MANUFACTURING ROTOR FOR ROTATING ELECTRIC MACHINE | 1 |
Motoya Kamiyama | JP | Takatsuki-Shi, Osaka | 2009-06-25 / 20090163605 - Foaming method and apparatus therefor | 1 |
Tomoyuki Kamiyama | JP | Tochigi | 2009-06-11 / 20090147119 - OPTICAL SENSOR CIRCUIT AND IMAGE SENSOR | 1 |
Takeyuki Kamiyama | JP | Ichinomiya-Shi | 2010-12-30 / 20100326299 - Self-inking stamp | 1 |
Koichi Kamiyama | JP | Nara-Shi Nara | 2010-12-02 / 20100301892 - Electrostatic discharge withstand voltage evaluating device and electrostatic discharge withstand voltage evaluating method | 1 |
Yoshiroh Kamiyama | JP | Yamato | 2015-04-30 / 20150116319 - DISPLAYING NODES VISUALLY OFFSET FROM ASSOCIATED COMPONENTS | 4 |
Yuma Kamiyama | JP | Kyoto | 2012-04-26 / 20120100306 - THIN FILM MANUFACTURING METHOD AND SILICON MATERIAL WHICH CAN BE USED IN THE METHOD | 7 |
Fumio Kamiyama | JP | Kyoto | 2010-10-21 / 20100266670 - TRANSDERMALLY ABSORPTIVE PREPARATION | 4 |
Yasuo Kamiyama | JP | Takatsuki-Shi | 2010-09-30 / 20100248364 - SENSE OLOGONUCLEOTIDE CAPABLE OF CONTROLLING THE EXPRESSION OF iNOS AND COMPOSITION COMPRISING THE SAME | 2 |
Keiji Kamiyama | JP | Osaka | 2012-12-13 / 20120316344 - BENZIMIDAZOLE COMPOUND CRYSTAL | 4 |
Hideo Kamiyama | JP | Nerima-Ku | 2010-08-19 / 20100210683 - IMIDATE COMPOUND AND USE THEREOF FOR PEST CONTROL | 1 |
Eiichi Kamiyama | JP | Shizuoka-Ken | 2010-08-05 / 20100192917 - SPARK-IGNITED INTERNAL COMBUSTION ENGINE AND METHOD OF CONTROLLING THE SAME | 5 |
Nobuhiro Kamiyama | JP | Hyogo | 2010-05-13 / 20100120992 - PROCESS FOR PREPARING MODIFIED POLYISOCYANATE | 1 |
Yoshiroh Kamiyama | JP | Kanagawa | 2010-07-29 / 20100192140 - METHOD FOR PRESENTING CANDIDATE FOR LINK TARGET TO COMPONENT IN WEB APPLICATION, AS WELL AS COMPUTER PROGRAM AND COMPUTER SYSTEM FOR THE SAME | 1 |
Takuya Kamiyama | JP | Suita-Shi | 2010-07-22 / 20100181654 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, INSULATING FILM FOR SEMICONDUCTOR DEVICE, AND MANUFACTURING APPARATUS OF THE SAME | 1 |
Fumio Kamiyama | JP | Kyoto-City | 2015-10-15 / 20150290163 - RETINOIC ACID MICRONEEDLE | 7 |
Naoyuki Kamiyama | JP | Tokyo | 2015-12-31 / 20150375166 - AIR POLLUTION CONTROL SYSTEM AND AIR POLLUTION CONTROL METHOD | 20 |
Hiroshi Kamiyama | JP | Osaka | 2012-02-02 / 20120026427 - IMAGE DISPLAY APPARATUS AND METHOD OF ASSEMBLING THE SAME | 2 |
Masashi Kamiyama | JP | Osaka | 2011-09-15 / 20110223236 - SELEGILINE-CONTAINING ADHESIVE PREPARATION | 1 |
Takeshi Kamiyama | JP | Saitama | 2013-01-31 / 20130026784 - SADDLE-RIDING TYPE VEHICLE INCLUDING MULTI-PART SHROUD | 1 |
Hideaki Kamiyama | JP | Ichinoseki-Shi | 2015-12-17 / 20150362072 - SLIDING MEMBER AND METHOD FOR PRODUCING SAME | 1 |
Yoichi Kamiyama | JP | Hagagun, Tochigi | 2015-12-03 / 20150343578 - METHOD AND DEVICE FOR MOUNTING PISTON | 1 |
Hideaki Kamiyama | JP | Saitama-Shi | 2015-03-26 / 20150084886 - IN-CAR OPERATION DISPLAY DEVICE | 1 |
Toshihiko Kamiyama | JP | Funabashi-Shi | 2015-12-24 / 20150368245 - TRICYCLIC PYRROLOPYRIDINE COMPOUND, AND JAK INHIBITOR | 1 |
Hideaki Kamiyama | JP | Saitama | 2010-11-25 / 20100296263 - DISPLAY DEVICE FOR VEHICLE | 1 |
Tomohisa Kamiyama | JP | Saitama | 2015-09-10 / 20150255814 - FUEL CELL SYSTEM | 5 |
Youichi Kamiyama | JP | Saitama | 2012-08-09 / 20120200143 - VEHICLE WHEEL | 6 |
Shiro Kamiyama | JP | Saitama | 2010-06-03 / 20100136330 - PRECOATED METAL SHEET | 1 |
Hiroyuki Kamiyama | JP | Saitama | 2008-10-30 / 20080265357 - Semiconductor optical receiver device, optical receiver module, and method for manufacturing semiconductor optical receiver device | 1 |
Haruki Kamiyama | JP | Osaka-Shi | 2015-06-25 / 20150177143 - PARTICLE DETECTION DEVICE | 4 |
Motoya Kamiyama | JP | Osaka | 2011-05-26 / 20110121470 - FOAMING METHOD AND APPARATUS THEREFOR | 1 |
Yoshiroh Kamiyama | JP | Tokyo | 2016-02-04 / 20160034599 - Automatically Modifying A Tree Structure | 8 |
Susumu Kamiyama | JP | Nara-Shi | 2011-09-29 / 20110236265 - CHANNEL CHIP AND JIG | 1 |
Hidehiko Kamiyama | JP | Wako | 2015-05-14 / 20150128886 - OIL PASSAGE STRUCTURE OF DRY SUMP ENGINE AND OIL PASSAGE STRUCTURE OF V-SHAPED DRY SUMP ENGINE | 1 |
Yasuhiro Kamiyama | JP | Tokyo | 2011-03-24 / 20110071906 - Advertisement Distribution System, Advertisement Distribution Device, and Advertisement Distribution Method | 1 |
Hiroaki Kamiyama | JP | Tokyo | 2011-03-17 / 20110065934 - METHOD OF PRODUCING BICYCLO[3.1.0] HEXANE DERIVATIVE USING ENZYME | 1 |
Hironori Kamiyama | JP | Tokyo | 2015-10-22 / 20150298363 - ANTI-REFLECTION ARTICLE | 2 |
Takahide Kamiyama | JP | Tokyo | 2010-07-08 / 20100171022 - Support structure and exposure apparatus | 2 |
Hiroshi Kamiyama | JP | Tokyo | 2014-10-02 / 20140293258 - MANUFACTURING APPARATUS OF ELECTRONIC COMPONENT AND MANUFACTURING METHOD THEREOF | 7 |
Shigeru Kamiyama | JP | Tokyo | 2010-06-24 / 20100162200 - SOFTWARE DEVELOPMENT PRODUCTION MANAGEMENT SYSTEM, COMPUTER PROGRAM, AND RECORDING MEDIUM | 1 |
Yoshiroh Kamiyama | JP | Tokyo | 2016-02-04 / 20160034599 - Automatically Modifying A Tree Structure | 8 |
Kenichi Kamiyama | JP | Tokyo | / - | 1 |
Yohei Kamiyama | JP | Tokyo | 2008-09-11 / 20080219078 - MEMORY SYSTEM AND METHOD OF CONTROLLING THE SAME | 1 |
Masahiko Kamiyama | JP | Tokyo | 2010-12-30 / 20100329228 - DIGITAL BROADCAST RETRANSMISSION SYSTEM, DIGITAL BROADCAST RETRANSMISSION METHOD, PACKET CONVERTING APPARATUS, AND FREQUENCY CONVERTING APPARATUS | 2 |
Eiji Kamiyama | JP | Tokyo | 2011-08-11 / 20110194753 - IMAGE DATA PROCESSING METHOD AND IMAGE CREATING METHOD | 5 |
Tomoyuki Kamiyama | JP | Tokyo | 2010-07-15 / 20100178778 - RADIOFREQUENCY CONTACTOR | 3 |
Kaoru Kamiyama | JP | Tokyo | 2010-04-22 / 20100097530 - DISPLAY DEVICE AND RADIO TRANSMISSION CONTROL METHOD | 1 |
Nobuaki Kamiyama | JP | Matsumoto | 2014-12-18 / 20140368575 - LIQUID EJECTION DEVICE | 9 |
Koichi Kamiyama | JP | Osaka-Shi | 2015-03-12 / 20150073616 - POWER SUPPLY DEVICE, POWER SUPPLY SYSTEM, AND ELECTRONIC DEVICE | 1 |
Tomohide Kamiyama | JP | Osaka | 2014-08-21 / 20140231418 - MICROWAVE HEATING DEVICE | 8 |
Eiichi Kamiyama | JP | Mishima-Shi | 2013-08-22 / 20130213035 - ENGINE | 25 |
Tatsunori Kamiyama | JP | Shizuoka | 2011-09-29 / 20110236818 - METHOD FOR PREPARING PARTICULATE RELEASE AGENT, TONER USING THE PARTICULATE RELEASE AGENT, AND METHOD FOR PREPARING THE TONER | 1 |
Nobuaki Kamiyama | JP | Matsumoto | 2014-12-18 / 20140368575 - LIQUID EJECTION DEVICE | 9 |
Tohru Kamiyama | JP | Saitama-Shi | 2012-11-29 / 20120301343 - COMPRESSOR | 1 |
Fumio Kamiyama | JP | Kyoto-Shi | 2013-01-10 / 20130012882 - PROTEOGLYCAN-CONTAINING MICRONEEDLE ARRAY | 1 |
Mie Kamiyama | JP | Ibaraki-Shi | 2016-05-19 / 20160135944 - BODY LUMEN GRAFT BASE, PRODUCTION METHOD OF BODY LUMEN GRAFT BASE, AND BODY LUMEN GRAFT USING THE SAME | 1 |
Fumio Kamiyama | JP | Kyoto-City, Kyoto | 2016-01-07 / 20160001053 - DRUG-HOLDING MICRONEEDLE ARRAY AND MANUFACTURING METHOD THEREOF | 2 |
Shotaro Kamiyama | JP | Chiba-Shi | 2012-11-29 / 20120300595 - MOTOR DRIVE DEVICE AND ANALOGUE ELECTRONIC TIMEPIECE | 8 |
Naohisa Kamiyama | JP | Tokyo | 2015-12-31 / 20150379700 - ULTRASOUND IMAGE DISPLAYING APPARATUS AND METHOD FOR DISPLAYING ULTRASOUND IMAGE | 1 |
Yoshiyuki Kamiyama | JP | Kanagawa | 2015-05-21 / 20150143253 - INFORMATION PROCESSING DEVICE, CONTROL METHOD THEREFOR, AND PROGRAM | 1 |
Ryoji Kamiyama | JP | Ibaraki | 2015-02-05 / 20150035807 - PEN PRESSURE DETECTING MODULE AND POSITION INDICATOR | 1 |
Nozomu Kamiyama | JP | Shinagawa-Ku | 2014-01-23 / 20140022701 - POLYMERIZATION SOLUTION, CONDUCTIVE POLYMER FILM OBTAINED FROM THE POLYMERIZATION SOLUTION, POLYMER ELECTRODE, AND SOLID ELECTROLYTIC CAPACITOR | 2 |
Kouichi Kamiyama | JP | Ayase-Shi | 2015-06-04 / 20150152124 - SURFACE TREATMENT METHOD, SURFACE TREATMENT AGENT, AND NOVEL COMPOUND | 1 |
Koji Kamiyama | JP | Kariya-Shi | 2013-12-05 / 20130324361 - DRIVE SYSTEM FOR HYBRID VEHICLE | 2 |
Hiroshi Kamiyama | JP | Takasago-Shi, Hyogo | 2012-05-24 / 20120128940 - COMPOSITION FOR FILM WHICH IS PROVIDED WITH PRISM-TYPE RETROREFLECTIVE STRUCTURE ON SURFACE | 1 |
Naohiko Kamiyama | JP | Sopporo | 2012-06-14 / 20120149471 - MIXER DEVICE, REPRODUCTION SYSTEM, PLAYER, AND PROGRAM | 1 |
Haruka Kamiyama | JP | Nagasaki-Shi | 2012-03-15 / 20120065263 - RETROVIRUS-INFECTION INHIBITOR | 1 |
Naohisa Kamiyama | JP | Utsunomiya | 2015-01-22 / 20150025380 - ULTRASOUND DIAGNOSIS APPARATUS, IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 1 |
Naohisa Kamiyama | JP | Otawara-Shi | 2016-05-19 / 20160135781 - ULTRASOUND DIAGNOSIS APPARATUS AND CONTROLLING METHOD | 36 |
Masayuki Kamiyama | JP | Nagoya-Shi | 2016-02-18 / 20160049801 - POWER RECEPTION CONTROL DEVICE AND POWER RECEPTION CONTROL METHOD FOR NON-CONTACT POWER TRANSMISSION | 19 |
Shotaro Kamiyama | JP | Chiba-Shi | 2012-11-29 / 20120300595 - MOTOR DRIVE DEVICE AND ANALOGUE ELECTRONIC TIMEPIECE | 8 |
Naoyuki Kamiyama | JP | Hiroshima-Ken | 2011-06-16 / 20110139426 - HEAT EXCHANGER | 1 |
Takuya Kamiyama | JP | Osaka | 2011-11-03 / 20110266660 - INSULATING FILM FOR SEMICONDUCTOR DEVICE, PROCESS AND APPARATUS FOR PRODUCING INSULATING FILM FOR SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, AND PROCESS FOR PRODUCING THE SEMICONDUCTOR DEVICE | 1 |
Naota Kamiyama | JP | Saitama | 2011-11-03 / 20110267035 - CURRENT DETECTOR AND METHOD OF MANUFACTURING SAME | 1 |
Takeshi Kamiyama | JP | Yoshikawa-Shi | 2016-03-31 / 20160090062 - VEHICLE SEAT BELT ASSEMBLY | 2 |
Youichi Kamiyama | JP | Wako-Shi, Saitama | 2016-01-07 / 20160001596 - VEHICLE WHEEL | 2 |
Masamichi Kamiyama | JP | Hachioji | 2014-09-11 / 20140252490 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SEMICONDUCTOR DEVICE | 1 |
Satoshi Kamiyama | JP | Aichi | 2012-10-11 / 20120258286 - Template for Epitaxial Growth and Process for Producing Same | 3 |
Eiichi Kamiyama | JP | Susono-Shi | 2013-08-29 / 20130220272 - ENGINE CONTROL APPARATUS | 1 |
Seiichiro Kamiyama | JP | Hyogo-Ken | 2015-11-05 / 20150318392 - SEMICONDUCTOR DEVICE | 1 |
Naohisa Kamiyama | JP | Utsunomiya-Shi | 2014-05-29 / 20140148701 - ULTRASOUND PROBE AND ULTRASOUND DIAGNOSIS APPARATUS | 9 |
Yasuko Kamiyama | JP | Yokohama | 2016-05-19 / 20160142349 - MESSAGE GENERATION PROGRAM, MESSAGE GENERATION APPARATUS, AND MESSAGE GENERATION METHOD | 1 |
Nozomi Kamiyama | JP | Saitama | 2013-11-14 / 20130299263 - INVERTED PENDULUM TYPE VEHICLE | 2 |
Ryuichi Kamiyama | JP | Kanonji-Shi | / - | 1 |
Naohisa Kamiyama | JP | Saitama-Shi | 2015-12-10 / 20150357138 - HEAT SENSOR | 5 |
Nozomu Kamiyama | JP | Tokyo | 2015-11-19 / 20150332858 - DYE-SENSITIZED SOLAR CELL | 4 |
Masahiko Kamiyama | JP | Kanuma-Shi | 2012-10-25 / 20120267979 - ROTARY ELECTRIC MACHINE AND METHOD OF MANUFACTURING SAME | 1 |
Hideki Kamiyama | JP | Tokyo | 2012-10-25 / 20120267141 - COMPOSITE ELECTRIC CABLE AND PROCESS FOR PRODUCING SAME | 1 |
Takao Kamiyama | JP | Hiratsuka-Shi | 2012-05-03 / 20120103514 - Lateral pipe lining method and lateral pipe lining apparatus | 23 |
Youichi Kamiyama | JP | Wako-Shi | 2014-11-27 / 20140346843 - VEHICLE WHEEL | 2 |
Yuji Kamiyama | JP | Osaka-Shi | 2012-12-27 / 20120328313 - IMAGE FORMING APPARATUS USING TWO-COMPONENT DEVELOPER | 1 |
Toshiya Kamiyama | JP | Tokyo | 2015-12-10 / 20150356369 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 3 |
Hidehiko Kamiyama | JP | Tochigi | 2013-06-13 / 20130146015 - BALANCER DEVICE FOR AN INTERNAL COMBUSTION ENGINE | 2 |
Kei Kamiyama | JP | Shinjuku-Ku | 2013-07-18 / 20130183881 - FUSIBLE BEAD TOY | 1 |
Yasuo Kamiyama | JP | Osaka | 2015-07-09 / 20150191733 - SENSE OLIGONUCLEOTIDE CAPABLE OF CONTROLLING THE EXPRESSION OF INOS AND COMPOSITION COMPRISING THE SAME | 4 |
Kei Kamiyama | JP | Tokyo | 2012-07-26 / 20120186750 - TAPE AFFIXING APPARATUS FOR BAND-SHAPED ACCESSORY | 3 |
Tomoyuki Kamiyama | JP | Saitama-Ken | 2011-08-18 / 20110199002 - LIGHT EMITTING DEVICE, LIGHT RECEIVING SYSTEM AND IMAGE PICKUP SYSTEM | 2 |
Naohisa Kamiyama | JP | Utsunomiya-Shi | 2014-05-29 / 20140148701 - ULTRASOUND PROBE AND ULTRASOUND DIAGNOSIS APPARATUS | 9 |
Takao Kamiyama | JP | Kanagawa-Ken | 2015-01-29 / 20150027577 - APPARATUS AND METHOD FOR SUPPORTING A REHABILITATION PIPE | 6 |
Nobutaka Kamiyama | JP | Matsumoto | 2014-04-17 / 20140104342 - LIQUID EJECTION DEVICE | 2 |
Hidehiko Kamiyama | JP | Haga-Gun | 2014-03-13 / 20140069366 - BALANCER DEVICE FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Daizo Kamiyama | JP | Kariya-Shi | 2015-08-06 / 20150221579 - HEAT DISSIPATION DEVICE AND SEMICONDUCTOR DEVICE | 3 |
Tadataka Kamiyama | JP | Iwate | 2014-11-13 / 20140332565 - DISPENSING APPARATUS | 1 |
Masayuki Kamiyama | JP | Chino | 2014-01-09 / 20140008984 - POWER SUPPLY SWITCHING CIRCUIT, REAL TIME CLOCK DEVICE, ELECTRONIC APPARATUS, MOBILE UNIT, AND METHOD OF CONTROLLING POWER SUPPLY SWITCHING CIRCUIT | 1 |
Tomoyuki Kamiyama | JP | Wako-Shi | 2013-10-24 / 20130278917 - DISTANCE MEASURING SYSTEM | 14 |
Takeshi Kamiyama | JP | Wako-Shi | 2015-10-01 / 20150274215 - FLOOR SUPPORT STRUCTURE OF VEHICLE | 2 |
Takeshi Kamiyama | JP | Tokyo | 2015-08-20 / 20150234680 - TASK CONTROL DEVICE | 1 |
Nobuto Kamiyama | JP | Ryugasaki-Shi | 2013-12-12 / 20130328262 - IMAGE FORMING APPARATUS | 1 |
Hiroshi Kamiyama | TW | Taipei City | 2013-11-21 / 20130309649 - METHOD FOR RATING ELECTRONIC BOOK | 1 |
Koji Kamiyama | JP | Hyogo | 2014-03-27 / 20140083568 - CORROSION-RESISTANT MAGNET AND METHOD FOR PRODUCING THE SAME | 3 |
Satoshi Kamiyama | JP | Nagoya-Shi | 2015-04-02 / 20150091039 - SEMICONDUCTOR LIGHT EMITTING ELEMENT | 6 |
Hironori Kamiyama | JP | Tokyo-To | 2010-09-30 / 20100247877 - PROTECTIVE FILM FOR TEMPORARILY LAMINATION TO ELECTROMAGNETIC WAVE SHIELDING SHEET, METHOD FOR PRODUCING THE SAME, AND ELECTROMAGNETIC WAVE SHIELDING SHEET | 6 |
Yoshiroh Kamiyama | JP | Tokyo-To | 2009-10-08 / 20090254334 - TRANSLATION METHOD, TRANSLATION OUTPUT METHOD AND STORAGE MEDIUM, PROGRAM, AND COMPUTER USED THEREWITH | 1 |
Koichi Kamiyama | JP | Tokyo-To | 2012-08-23 / 20120212690 - BACKLIGHT DEVICE AND LIQUID CRYSTAL DISPLAY | 2 |
Takuya Kamiyama | JP | Tokyo | 2015-10-29 / 20150310184 - Regional Medical Cooperation System | 2 |
Satoshi Kamiyama | JP | Shizuoka | 2011-03-03 / 20110048553 - PRESSURE REGULATOR | 1 |
Satoshi Kamiyama | JP | Otawara-Shi | 2014-10-16 / 20140307521 - ULTRASOUND DIAGNOSIS APPARATUS AND POWER SUPPLY | 3 |
Satoshi Kamiyama | JP | Hyogo-Ken | 2009-06-25 / 20090159924 - SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR FABRICATING THE SAME | 1 |
Keiji Kamiyama | JP | Ibaraki-Shi | 2013-10-24 / 20130281704 - SALTS OF BENZIMIDAZOLE COMPOUND AND USE THEREOF | 1 |
Keita Kamiyama | JP | Chiba | 2013-09-26 / 20130251610 - METHOD FOR PRODUCING LITHIUM CARBONATE | 1 |
Teruo Kamiyama | JP | Osaka | 2016-04-28 / 20160119134 - ENCRYPTION METHOD, ENCRYPTOR, AND ENCRYPTION SYSTEM FOR ENCRYPTING ELECTRONIC DATA BY SPLITTING ELECTRONIC DATA | 2 |
Yuji Kamiyama | JP | Osaka | 2015-06-25 / 20150177652 - DEVELOPING DEVICE, IMAGE FORMING APPARATUS | 7 |
Naoyuki Kamiyama | JP | Tokyo | 2015-12-31 / 20150375166 - AIR POLLUTION CONTROL SYSTEM AND AIR POLLUTION CONTROL METHOD | 20 |
Mitsuru Kamiyama | JP | Tokyo | 2016-04-21 / 20160110841 - SCREEN PROVISION APPARATUS, SCREEN PROVISION SYSTEM, CONTROL METHOD AND STORAGE MEDIUM | 2 |
Keisuke Kamiyama | JP | Tokyo | 2015-02-26 / 20150057066 - NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM STORING GAME PROGRAM, AND INFORMATION PROCESSING DEVICE | 4 |
Satoshi Kamiyama | JP | Otawara | 2016-05-05 / 20160120512 - ULTRASONIC DIAGNOSTIC APPARATUS, INTERPOLATION PROCESSING UNIT, AND INTERPOLATION PROCESSING METHOD | 2 |
Eiji Kamiyama | JP | Chiba-Ken | 2008-09-04 / 20080213989 - SILICON WAFER FOR MANUFACTURING SOI WAFER, SOI WAFER, AND METHOD FOR MANUFACTURING SOI WAFER | 1 |
Daiki Kamiyama | JP | Wako-Shi | 2012-09-13 / 20120227384 - COGENERATION APPARATUS | 1 |
Kazuto Kamiyama | JP | Gunma | 2008-10-09 / 20080245955 - Optical Tactile Sensor | 1 |
Takao Kamiyama | JP | Kangawa-Ken | 2008-10-16 / 20080253843 - Method and Apparatus for Everting a Pipe Lining Material and Construction Method for Rehabilitating a Pipeline Using the Eversion Apparatus | 1 |
Hiroshi Kamiyama | JP | Kyoto | 2008-12-25 / 20080320454 - Variable address length compiler and processor improved in address management | 1 |
Eiichi Kamiyama | JP | Shizuoka | 2009-01-08 / 20090012700 - Spark Ignition Type Internal Combustion Engine | 1 |
Mie Kamiyama | JP | Ehime | 2009-02-12 / 20090042031 - METHOD OF PRODUCING ISLANDS-IN-SEA TYPE COMPOSITE SPUN FIBER | 1 |
Hirotaka Kamiyama | JP | Kanagawa | 2015-09-17 / 20150258989 - PARKING ASSIST DEVICE | 3 |
Naohisa Kamiyama | JP | Ashikaga-Shi | 2012-06-21 / 20120151957 - ACCUMULATOR FOR REFRIGERATION CYCLE SYSTEM | 2 |
Hideharu Kamiyama | JP | Kanagawa | 2009-05-21 / 20090132492 - MENU DISTRIBUTION SYSTEM, MENU SERVER, READ/WRITE DEVICE, METHOD, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Tomohide Kamiyama | JP | Osaka | 2014-08-21 / 20140231418 - MICROWAVE HEATING DEVICE | 8 |
Masashi Kamiyanagi | JP | Suwa-Shi | 2016-03-03 / 20160059549 - LIQUID EJECTING DEVICE, METHOD OF CONTROLLING LIQUID EJECTION DEVICE, AND CONTROL PROGRAM OF LIQUID EJECTING DEVICE | 1 |
Masashi Kamiyanagi | JP | Suwa | 2016-02-11 / 20160039203 - LIQUID DISCHARGING APPARATUS | 1 |
Hisako Kamiyanagi | JP | Toyama | 2010-01-07 / 20100003770 - ELEMENTAL ANALYSIS METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Masashi Kamiyanagi | JP | Nagano-Ken | 2013-05-09 / 20130114084 - Liquid Consumption Apparatus | 1 |
Nobumichi Kamiyoshi | JP | Wakayama | 2012-10-25 / 20120269992 - THERMAL TRANSFER IMAGE-RECEIVING SHEETS | 10 |
Nobumichi Kamiyoshi | JP | Wakayama | 2012-10-25 / 20120269992 - THERMAL TRANSFER IMAGE-RECEIVING SHEETS | 10 |
Atsushi Kamiyoshi | JP | Tokyo | 2013-06-20 / 20130153838 - CLAMPER, IN-CHANNEL-HEAD OPERATION DEVICE, AND CLAMPING METHOD | 4 |
Nobumichi Kamiyoshi | JP | Wakayama-Shi | 2012-12-20 / 20120321861 - RESIN COMPOSITION FOR PROTECTIVE LAYER TRANSFER SHEETS | 1 |
Atsushi Kamiyoshi | JP | Hyogo | 2010-12-30 / 20100326157 - TIP TOOL GUIDE APPARATUS | 2 |
Jyunichi Kamizono | JP | Kagoshima | 2012-09-13 / 20120230954 - Drug Comprising As The Active Ingredient Proliferative Vector Containing Survivin Promoter | 2 |
Fumihiko Kamizono | JP | Tokyo | 2015-04-02 / 20150092198 - LASER SURVEYING SYSTEM | 4 |
Takeshi Kamizono | JP | Ama-Gun | 2009-12-31 / 20090325795 - METHOD FOR PRODUCING PLATINUM NANOPARTICLES | 1 |
Fumihiko Kamizono | JP | Tokyo-To | 2012-01-19 / 20120012350 - Surveying System And Laser Reference Plane Smoothing Method In Surveying System | 2 |
Tomomi Kamizono | JP | Sendai | 2015-04-09 / 20150099710 - Feed Additive, Feed, and Method for Producing Feed | 1 |
Takeshi Kamizono | JP | Nagoya-Shi | 2015-09-24 / 20150270576 - NONAQUEOUS LIQUID ELECTROLYTE | 3 |
Kojiro Kamizono | JP | Kanagawa | 2010-10-21 / 20100265399 - VIDEO/AUDIO INPUT/OUTPUT SYSTEM | 1 |
Akinori Kamizono | JP | Kanagawa-Ken | 2013-08-22 / 20130219244 - STORAGE DEVICE | 2 |
Takashi Kamizono | JP | Kawasaki-Shi | 2015-05-21 / 20150140718 - DIFFUSING AGENT COMPOSITION, AND METHOD FOR FORMING AN IMPURITY DIFFUSION LAYER | 7 |
Fumihiko Kamizono | JP | Itabashi-Ku | 2010-12-23 / 20100321673 - ROTARY LASER EMITTING APPARATUS | 4 |
Akinori Kamizono | JP | Yokohama-Shi | 2014-02-13 / 20140043900 - STORAGE DEVICE AND CONTROL METHOD OF NONVOLATILE MEMORY | 1 |
Tsutomu Kamizono | JP | Nagoya | 2012-06-28 / 20120161633 - LIGHTING APPARATUS AND LIGHTING FITTING | 7 |
Fumihiko Kamizono | JP | Itabashi-Ku, Tokyo | 2016-05-05 / 20160124093 - PREPARATION SYSTEM FOR SURVEYING OPERATION | 1 |
Tsutomu Kamizono | JP | Nagoya-City | 2013-07-04 / 20130169171 - LIGHT EMISSION DRIVER DEVICE | 4 |
Hiroshi Kamizuma | JP | Tachikawa | 2015-03-26 / 20150089265 - SEMICONDUCTOR INTEGRATED CIRCUIT AND CIRCUIT OPERATION METHOD | 2 |
Hiroshi Kamizuma | JP | Kokubunji | 2012-12-20 / 20120320957 - TRANSCEIVER | 5 |
Hiroshi Kamizuma | JP | Tokyo | 2016-03-31 / 20160093788 - Cooling Structure of Heating Element and Power Conversion Device | 5 |
Teruyuki Kamizuru | JP | Fukaya-Shi | 2012-06-28 / 20120162543 - TELEVISION AND ELECTRONIC DEVICE | 1 |
Shinobu Kamizuru | JP | Fukuoka | 2009-06-25 / 20090160758 - SURFACE LIGHTING DEVICE AND PORTABLE TERMINAL USING THE SAME | 1 |
Kirtan Shravan Kamkar | IN | Bangalore | 2012-09-06 / 20120225404 - PROCESS FOR CLEANING TEETH | 4 |
David M. Kamkar | US | Marina Del Rey | 2014-09-18 / 20140270085 - CONTINUOUS CONTACT X-RAY SOURCE | 1 |
Samy Kamkar | US | Marina Del Rey | 2014-04-24 / 20140111432 - INTERACTIVE MUSIC PLAYBACK SYSTEM | 2 |
Morad Kamkar | CA | Maple | 2008-12-04 / 20080296533 - BARIUM THIOALUMINATE PHOSPHOR MATERIALS WITH NOVEL CRYSTAL STRUCTURES | 1 |
David M. Kamkar | US | Los Angeles | 2015-07-30 / 20150213993 - CONTINUOUS CONTACT X-RAY SOURCE | 1 |
Babak Kamkar | US | Irvine | 2015-08-13 / 20150223682 - AFFERENT PUPIL TESTER | 1 |
Homayoun Kamkar Parsi | DE | Erlangen | 2016-03-03 / 20160066102 - METHOD AND DEVICE FOR THE IMPROVED PERCEPTION OF ONE'S OWN VOICE | 8 |
Homayoun Kamkar Parsi | DE | Erlangen | 2016-03-03 / 20160066102 - METHOD AND DEVICE FOR THE IMPROVED PERCEPTION OF ONE'S OWN VOICE | 8 |
James F. Kamke | US | San Diego | 2009-10-15 / 20090257607 - IMPLEMENTATION OF DIVERSITY ANTENNAS IN SMALL PORTABLE MEDIA DEVICES AND CELL PHONES | 1 |
Pranav Kamkhalia | US | Flushing | 2009-02-19 / 20090049373 - Method and system for user receipt of digital content | 2 |
Jonathan R. Kaml | US | Shakopee | 2016-04-21 / 20160109671 - FIBER TERMINATION ENCLOSURE WITH MODULAR PLATE ASSEMBLIES | 31 |
Yorick Kamlag | DE | Paehl | 2012-03-22 / 20120071449 - PHARMACEUTICAL COMPOSITION FOR INHALATION | 1 |
Yorick Kamlag | DE | Pahl | 2014-03-20 / 20140076313 - Metering Device for the Inhalation of a Pulverulent Substance | 4 |
Yorick Kamlag | DE | Frankfurt Am Main | 2016-03-17 / 20160074318 - Inhalable Pharmaceutical Compositions and the Inhaler Devices Containing Them | 1 |
Yorick Kamlag | GB | Chippenham | 2011-09-08 / 20110217339 - MUCOACTIVE AGENTS FOR TREATING A PULMONARY DISEASE | 1 |
Beate Kamlage | DE | Berlin | 2016-01-07 / 20160003799 - Means and Methods for Assessing the Quality of a Biological Sample | 19 |
Beate Kamlage | DE | Berlin | 2016-01-07 / 20160003799 - Means and Methods for Assessing the Quality of a Biological Sample | 19 |
Swetha Kamlapurkar | US | Ossining | 2014-08-07 / 20140217485 - STRESS ENGINEERED MULTI-LAYERS FOR INTEGRATION OF CMOS AND Si NANOPHOTONICS | 4 |
Jonathan Jacques Kamler | US | Jamaica | 2012-05-10 / 20120114809 - DELIVERING AEROSOLIZABLE FOOD PRODUCTS | 2 |
Jim Kamler | US | Marietta | 2013-07-11 / 20130174962 - Tape Application Assembly And Method Of Sealing A Carton | 1 |
Jan P. Kamler | US | Reno | 2015-06-25 / 20150173766 - LIGATOR AND METHOD OF USE | 3 |
Arnold Kamler | US | Montville | 2014-09-18 / 20140260784 - Pedal And Crank System | 3 |
Michael Kamlet | US | Chicago | 2009-07-16 / 20090180607 - SKILLS-BASED COMMUNICATION ROUTING | 1 |
Leonard I. Kamlet | US | Andover | 2015-12-10 / 20150355081 - METHOD AND APPARATUS FOR SILOXANE MEASUREMENTS IN A BIOGAS | 3 |
Adam Seth Kamlet | US | Mystic | 2014-01-16 / 20140018538 - HIGH-VALENT PALLADIUM FLUORIDE COMPLEXES AND USES THEREOF | 1 |
Adam Kamlet | US | Somerville | 2013-08-29 / 20130225426 - REACTIVITY-DEPENDENT AND INTERACTION-DEPENDENT PCR | 1 |
Mokhtar Kamli | CA | Mississauga | 2010-06-24 / 20100156194 - DEVICE FOR FILTERING HARMONICS | 1 |
Tanay Kamlk | US | Portland | 2010-04-01 / 20100079184 - Sequential circuit with error detection | 1 |
Elsa Kam-Lum | US | San Jose | 2013-10-10 / 20130267043 - Magnetic Field Sensors and Methods for Fabricating the Magnetic Field Sensors | 2 |
Elsa Kam-Lum | US | Amherst | 2012-01-19 / 20120013333 - Magnetic Field Sensors and Methods for Fabricating the Magnetic Field Sensors | 2 |
Andreas Kamm | DE | Jena | 2015-05-28 / 20150148894 - CATHETER DELIVERY SYSTEM FOR INTRODUCING AN EXPANDABLE HEART VALVE PROSTHESIS AND MEDICAL DEVICE FOR THE TREATMENT OF A HEART VALVE DEFECT | 1 |
Nony Kamm | IL | Tel Aviv | 2012-02-09 / 20120035020 - Device Method and System for Exercising | 1 |
Zeke L. Kamm | US | Bend | 2014-01-16 / 20140014807 - TELESCOPING PORTABLE CAMERA JIB | 1 |
Richard J. Kamm | US | Vandalia | 2014-04-10 / 20140097720 - Production of die cast rotors with copper bars for electric motors | 1 |
Richard J. Kamm | US | Plain City | 2016-04-07 / 20160096220 - HERMETICALLY SEALED DISC DRIVE, THERMALLY DIRECTED DIE CASTING PRESS SUBASSEMBLY, AND DIE CASTING PRESS INCLUDING THE SAME | 3 |
Steven Kamm | US | Foothill Ranch | 2014-03-20 / 20140081189 - PANEL ATTACHMENT AND CIRCUMFERENCE ADJUSTMENT SYSTEMS FOR AN ORTHOPEDIC DEVICE | 1 |
Markus Kamm | DE | Stuttgart | 2013-10-24 / 20130278726 - IMAGING SYSTEM USING A LENS UNIT WITH LONGITUDINAL CHROMATIC ABERRATIONS AND METHOD OF OPERATING | 1 |
Michael A. Kamm | GB | London | 2013-03-28 / 20130079332 - TOPICAL PHARMACEUTICAL COMPOSITION COMPRISING A CHOLINERGIC AGENT OR A CALCIUM CHANNEL BLOCKER | 2 |
Lars Kamm | CH | Schanis | 2016-01-28 / 20160025546 - METHOD AND DEVICE FOR DISTINGUISHING BETWEEN A FOAM AND/OR LIQUID CONTACTING | 2 |
Andre Kamm | DE | Lemförde | / - | 1 |
Roger Dale Kamm | US | Cambridge | 2014-02-27 / 20140057311 - Device For High Throughput Investigations Of Multi-Cellular Interactions | 2 |
Markus Kamm | DE | Karisruhe | 2015-11-26 / 20150339803 - LENS AND COLOR FILTER ARRANGEMENT, SUPER-RESOLUTION CAMERA SYSTEM AND METHOD | 1 |
Wolfgang Kamm | DE | Arberg | 2015-03-12 / 20150071695 - WIRE CORE BRUSH WITH TWISTED FILM | 1 |
Markus Kamm | DE | Karlsruhe | 2015-12-17 / 20150365594 - ELECTRONIC DEVICE, METHOD FOR GENERATING AN IMAGE AND FILTER ARRANGEMENT | 14 |
Andre Kamm | DE | Bohmte | 2016-03-31 / 20160090436 - ISOCYANATE-EPOXIDE HYBRID RESINS | 8 |
Michael Kamm | DE | Stolberg | 2008-09-25 / 20080234083 - Tensioner | 1 |
Norbert Kamm | DE | Birkenfeld | 2015-02-05 / 20150034075 - AEROSOL GENERATING MEANS FOR INHALATION THERAPY DEVICES | 4 |
Walter Kamm | DE | Frankfurt Am Main | 2015-08-06 / 20150216981 - Stabilized Pharmaceutical Formulations of Insulin Analogues and/or Insulin Derivatives | 9 |
Frank-Michael Kamm | DE | Dresden | 2009-09-03 / 20090219496 - Methods of Double Patterning, Photo Sensitive Layer Stack for Double Patterning and System for Double Patterning | 1 |
Andre Kamm | DE | Lemförde | / - | 1 |
Andre Kamm | DE | Lemfoerde | 2010-07-29 / 20100190880 - LOW-DENSITY POLYURETHANE FOAMS AND USE THEREOF IN SHOE SOLES | 1 |
Robert Kamm | DE | Veitsbronn | 2010-12-09 / 20100307437 - ROCKER ARM FOR A VALVE TRAIN OF AN INTERNAL COMBUSTION ENGINE | 1 |
Stefan Kamm | DE | Murnau Am Staffelsee | 2010-12-30 / 20100326177 - Method and Arrangment for Fully Automatic Function Checking of Internal Combustion Engines | 1 |
Sandra Kamm | DE | Gochsheim | 2014-02-13 / 20140041383 - PRESSURE GENERATING DEVICE | 7 |
Markus Kamm | DE | Karlsruhe | 2015-12-17 / 20150365594 - ELECTRONIC DEVICE, METHOD FOR GENERATING AN IMAGE AND FILTER ARRANGEMENT | 14 |
Walter Kamm | DE | Idstein | 2010-01-07 / 20100004216 - Medicinal lipolysis of accumulation of fat | 1 |
Carol Kamm | US | East Lyme | / - | 1 |
Walter Kamm | DE | Frankfurt Am Main | 2015-08-06 / 20150216981 - Stabilized Pharmaceutical Formulations of Insulin Analogues and/or Insulin Derivatives | 9 |
John W. Kamm | US | Austin | 2013-11-07 / 20130297441 - COMMERCE SERVER ARCHITECTURE AND METHOD FOR USING SAME | 2 |
Roger D. Kamm | US | Cambridge | 2016-04-07 / 20160097027 - Engineering Of A Novel Breast Tumor Microenvironment On A Microfluidic Chip | 3 |
Michael Kamm | DE | Bodnegg | 2013-05-16 / 20130123060 - MULTI-SPEED TRANSMISSION | 6 |
Kate Laurel Kamm | US | Muscatine | 2010-05-13 / 20100116953 - QUICK DISCONNECT BRACKET ASSEMBLY | 1 |
Lars Kamm | CH | Muhlehorn | 2010-03-25 / 20100071459 - SYSTEM FOR MEASURING A PHYSICAL VARIABLE | 1 |
Andrew C. Kamm | US | Highland | 2010-01-07 / 20100000783 - FLOOR STAND FOR MOUNTING AN ELECTRICAL BOX | 1 |
Roger Kamm | US | Weston | 2009-12-03 / 20090297579 - Control of Cells and Cell Multipotentiality in Three Dimensional Matrices | 1 |
Thomas Kamm | DE | Koeln | 2012-01-26 / 20120021227 - PU ADHESIVES FOR STERILIZABLE COMPOSITE FILMS | 1 |
Benjamin Kamm | DE | Wiesbaden | 2014-09-18 / 20140268712 - FLASHLIGHT HAVING ROLLING PROTECTION | 1 |
Andre Kamm | DE | Bohmte | 2016-03-31 / 20160090436 - ISOCYANATE-EPOXIDE HYBRID RESINS | 8 |
Yui Kamma | JP | Kasugai-Shi | 2015-02-12 / 20150043036 - Relaying Device, Relaying Method, Image Processing Apparatus, and Image Processing System | 5 |
Ganesh Babu Kamma | IN | Bangalore | 2015-09-10 / 20150257037 - METHOD AND DEVICE FOR RETAINING ROBUST HEADER COMPRESSION (ROHC) COMPRESSOR STATE | 2 |
Ravishankar Kammaje | US | Fremont | 2014-01-02 / 20140003564 - MULTICHIP SYNCHRONIZATION SYSTEM | 1 |
Andreas Kammann | DE | Heidelberg | 2010-08-05 / 20100193975 - HUMIDIFIER | 2 |
Stefan Kammann | DE | Kelkheim | 2011-07-07 / 20110166825 - METHOD AND APPARATUS FOR DETERMINING THE MILEAGE OF A TIRE | 8 |
Stefan Kammann | DE | Kelkheim | 2011-07-07 / 20110166825 - METHOD AND APPARATUS FOR DETERMINING THE MILEAGE OF A TIRE | 8 |
Reinhold Kammann | DE | Wienhausin | 2009-07-30 / 20090188675 - DRILLING RIGS WITH APPARATUS IDENTIFICATION SYSTEMS AND METHODS | 1 |
Rolf Kammann | DE | Westerkappeln | 2015-10-29 / 20150307304 - WINDING DEVICE | 4 |
Rheinhold Kammann | DE | Wienhausen | 2009-11-19 / 20090283454 - Shale shakers and screens with identification apparatuses | 4 |
Sigrun Kammans | DE | Herborn | 2011-09-22 / 20110228395 - APPARATUS FOR ILLUMINATING A RETICLE | 2 |
Praveen Kumar Kammara | IN | Hyderabad | 2016-01-28 / 20160025497 - PRE-CACHING OF NAVIGATION CONTENT BASED ON CELLULAR NETWORK COVERAGE | 1 |
Vidya Kammaradi Ramanath Bhat | IN | Karnataka | 2015-07-16 / 20150197764 - TRANSGENIC PLANTS WITH ENHANCED AGRONOMIC TRAITS | 2 |
Ulrich Kammel | DE | Speyer | 2008-09-04 / 20080210222 - RUTHENIUM CATALYSTS | 1 |
Soeren Kammel | US | San Jose | 2013-04-25 / 20130103298 - METHODS AND SYSTEMS FOR PRECISE VEHICLE LOCALIZATION USING RADAR MAPS | 5 |
Johanna Kammel | DE | Ettersburg | 2009-06-11 / 20090147923 - ANTI-SCATTER GRID | 1 |
Ulrich Kammel | BE | Kapellen | 2013-01-24 / 20130023700 - PROCESS FOR A CONTINUOUS PRODUCTION OF POLYETHEROLS | 1 |
Refaat Kammel | US | Jackson | 2010-05-06 / 20100111790 - SYSTEM AND METHOD FOR THE PROCESSING AND INCINERATION OF DIESEL EXHAUST PARTICULATE MATTER | 1 |
Raj K. Kammend | US | Colleyville | 2011-07-21 / 20110179172 - DISPERSION DEPENDENCY IN ORACLE CLUSTERWARE | 4 |
Kevin R. Kammer | US | Omaha | 2014-12-11 / 20140365363 - SECURE INTEGRATIVE VAULT OF CONSUMER PAYMENT INSTRUMENTS FOR USE IN PAYMENT PROCESSING SYSTEM AND METHOD | 1 |
Leonardo Cesar Kammer | US | Niskayuna | 2015-03-19 / 20150076275 - CONTROL METHODS FOR PRODUCING PRECISION COILS | 13 |
Peter J. Kammer | US | Irvine | 2012-12-27 / 20120331570 - RULE-BASED APPLICATION ACCESS MANAGEMENT | 2 |
Carl Kammer | US | Middleton | 2014-10-23 / 20140316437 - EPILATOR | 1 |
Peter J. Kammer | US | Sunnyvale | 2015-09-03 / 20150249671 - RULE-BASED APPLICATION ACCESS MANAGEMENT | 2 |
Leonardo Cesar Kammer | US | Niskayuna | 2015-03-19 / 20150076275 - CONTROL METHODS FOR PRODUCING PRECISION COILS | 13 |
Gary M. Kammer | US | Lewisville | 2010-08-12 / 20100204322 - METHOD OF TREATING AUTOIMMUNE DISEASES | 1 |
David Kammer | US | Seattle | 2012-06-28 / 20120164947 - BYPASSING BLUETOOTH DISCOVERY FOR DEVICES IN A SPECIAL LIST | 5 |
Michael Kammer | DE | Hungen | 2015-10-29 / 20150309104 - DIFFERENTIAL CURRENT MONITORING DEVICE WITH ARC DETECTION | 4 |
Andreas Kammer | CH | Zollikofen | 2012-08-02 / 20120195962 - Multiepitope Vaccine for Her2/Neu-Associated Cancers | 5 |
Thomas Kammer | DE | Biebertal | 2010-08-19 / 20100207614 - ELECTROMECHANICAL TRANSDUCER DEVICE | 2 |
Cyrill Kammer | DE | Bad Urach | 2011-01-13 / 20110005199 - Method for Reducing Emission of Nitrogen Oxide in a Motor Vehicle Having a Lean Burning Internal Combustion Engine | 1 |
Gerald Kammer | DE | Ludwigsburg | 2011-12-22 / 20110311728 - STABILIZER COMPOSITION FOR POLYAMIDES | 2 |
Gert Kammer | AT | Graz | 2010-01-14 / 20100010766 - Calibration method for a position determination of a rear axle steering actuator | 1 |
Peter Kammer | CH | Derendingen | 2009-12-10 / 20090301742 - CLOSURE FOR SPRINKLERS AND NOZZLES HAVING HEAT TRIPPING DEVICE | 1 |
Axel Kammer | DE | Konigswinter | 2009-03-12 / 20090066563 - Method and Apparatus for Correcting Weather data, and Computer Program Product | 1 |
Olaf Kammer | DE | Frankfurt | 2014-10-02 / 20140294255 - METHOD FOR CONTROLLING THE AUTHORIZATION OF A PERSON TO ACCESS A SECURE AREA | 2 |
Peter Kammer | US | Sunnyvale | 2015-03-05 / 20150067149 - RULE-BASED APPLICATION ACCESS MANAGEMENT | 1 |
Carl G. Kammer | US | Middleton | 2012-05-10 / 20120110859 - ELECTRIC HAIR TRIMMER | 1 |
Manfred Kammer | DE | Dresden | 2012-08-16 / 20120204791 - APPARATUS FOR TRANSPORTING STRIP-LIKE MATERIAL | 1 |
Patrick Kammer | US | Greensboro | 2013-11-21 / 20130310799 - OPEN ACCESS SLEEVE FOR HEATED FLUID UNITS | 7 |
Carl Gottfried Kammer | US | Middleton | 2016-05-12 / 20160129601 - ELECTRIC HAIR TRIMMER | 4 |
Kenneth Lee Kammer | US | Paris | 2015-04-02 / 20150094173 - BASEBALL'S 3-IN-1 ZONE TRAINER | 1 |
Matthew T. Kammerait | US | Sussex | 2013-11-07 / 20130298001 - PRESENTING ACTIONABLE ELEMENTS ON A DEVICE RELATING TO AN OBJECT | 1 |
Matthew T. Kammerait | US | Mequon | 2015-10-22 / 20150301775 - BUILDING AN INFRASTRUCTURE OF ACTIONABLE ELEMENTS | 2 |
Matthew Kammerait | US | West Hollywood | 2016-03-10 / 20160070109 - RETRACTABLE DISPLAY FOR HEAD MOUNTED DEVICE | 10 |
Willi Kammerbauer | DE | Landershofen | 2015-08-13 / 20150225988 - EXTERIOR DOOR HANDLE ARRANGEMENT FOR MOTOR VEHICLE DOOR OF A MOTOR VEHICLE | 2 |
Shawn Kammerdiener | US | Rochester | 2009-11-19 / 20090287767 - MOBILE REMOTE DEVICE MANAGEMENT | 4 |
Gerhard Kammerer | DE | Konigsbach | 2014-11-06 / 20140327536 - Combination Display Instrument in a Vehicle | 5 |
Christopher Kammerer | US | Chicago | 2012-05-10 / 20120110722 - Clip for Mounting a Fluid Delivery Device | 1 |
Thomas Marc Kammerer | DE | Mandelbachtal | 2009-01-22 / 20090019918 - PROCEDURE FOR OPERATING A COLLECTING PARTICLE SENSOR AND DEVICE FOR IMPLEMENTING THIS PROCEDURE | 1 |
Roderich Kammerer | DE | Bad Honnef | 2009-08-27 / 20090212587 - Asymmetric Multi-Layer Composites and Processes for Producing the Same | 1 |
Kurt Kammerer | DE | Huefingen | 2015-08-13 / 20150229517 - Network system for retrieval of configuration related data | 3 |
Ralf Kammerer | DE | Rheinau | 2008-12-25 / 20080314088 - Finish Cooling Apparatus for Container Glass Machines and Method for Cooling the Finish of a Glass Container During Preforming in a Container Glass Machine | 1 |
William Kammerer | US | Los Altos | 2014-06-05 / 20140153334 - TERMINATION FOR COMPLEMENTARY SIGNALS | 4 |
Brian Kammerer | US | Ft. Worth | 2015-12-03 / 20150343285 - GOLF CLUBS AND GOLF CLUB HEADS | 3 |
Thomas Marc Kammerer | DE | Homburg | 2011-05-19 / 20110113854 - DEVICE FOR OPERATING A PARTICLE SENSOR | 1 |
Brian J. Kammerer | US | Ft. Worth | 2014-09-18 / 20140260636 - Impact and Sound Analysis for Golf Equipment | 1 |
Brian Kammerer | US | Fort Worth | 2016-05-05 / 20160121178 - GOLF CLUBS AND GOLF CLUB HEADS | 5 |
Jason Kammerer | US | Bellingham | 2010-02-18 / 20100038498 - CONFIGURABLE ANCHOR POINT AND MODULAR KIT FOR AN ANCHOR POINT | 1 |
Markus Kammerer | DE | Langenpreising | 2013-09-05 / 20130231831 - Commercial vehicle, in particular folk-lift truck or industrial truck, with a data memory that is rigidly attached on the vehicle side and assigned to a parameterisable electronic control arrangement | 1 |
Stefan M. Kammerer | US | San Diego | 2009-12-24 / 20090317816 - METHODS FOR IDENTIFYING RISK OF BREAST CANCER AND TREATMENTS THEREOF | 5 |
Gene W. Kammerer | US | East Brunswick | 2013-11-07 / 20130296924 - PATENT FORAMEN OVALE CLOSURE DEVICE | 4 |
Judith Kammerer | DE | Ostfildern-Scharnhausen | 2013-11-14 / 20130302504 - DIHYDROCHALCONE PURIFICATION PROCESS | 1 |
Steffen Kammerer | DE | Crailsheim | 2011-09-15 / 20110220442 - Hydrodynamic machine, especially hydrodynamic retarder | 2 |
Andreas Kammerer | DE | Winnenden | 2014-10-02 / 20140291019 - COATED ELECTRIC CABLE FOR USE IN A WELDING DEVICE | 2 |
Michael Kammerer | CH | Basel | 2014-11-06 / 20140329806 - NOVEL SOLID FORMS | 4 |
Mathias Kammerer | DE | Herrenzimmern | 2014-10-09 / 20140301800 - TOOL SPINDLE | 1 |
Karl Kammerer | DE | Fluom-Winzeln | 2013-09-19 / 20130241265 - CHISEL HOLDER, AND CHISEL HOLDER SYSTEM COMPRISING A CHISEL HOLDER AND A BASE PART | 1 |
John Kammerer | US | San Diego | 2013-08-15 / 20130208001 - Composable Situational Awareness Visualization System | 1 |
Jon David Kammerer | US | Hamilton | 2008-10-23 / 20080257130 - Stringed instrument construction | 1 |
Norma D. Kammerer | US | Bloomingdale | 2011-07-21 / 20110174771 - HIGH-DEFINITION DEMETALIZATION PROCESS | 3 |
Bernhard Kammerer | AT | Zell An Der Pram | 2015-12-17 / 20150360780 - OVERHEAD LUGGAGE COMPARTMENT FOR AIRCRAFT | 4 |
Karl Kammerer | DE | Fluorn-Winzeln | 2016-03-17 / 20160076370 - Chisel Holder | 5 |
Susan Bodelson Kammerer | US | Albuquerque | 2010-05-27 / 20100130098 - FRONT ADJUSTABLE BRA | 1 |
Stephan Kammerer | DE | Neuhausen | 2012-10-25 / 20120266455 - DEVICE FOR ASSEMBLING A WINDOW SASH HAVING AN INTEGRATED INSULATING GLASS PANE | 2 |
Karl Kammerer | DE | Fluorn-Winzelm | 2009-06-25 / 20090162159 - Circular-Shank Tool Comprising a Tool Holder | 1 |
Jason R. Kammerer | US | Bellingham | 2015-03-12 / 20150070810 - GROUNDING ROPE FOR A SHAFT GROUNDING APPARATUS OF A DYNAMO-ELECTRIC MACHINE | 1 |
Rolf Kammerer | DE | Remchingen | 2009-10-01 / 20090247059 - Apparatus for Mechanical Treatment of Surfaces | 1 |
Birgit Kammerer | DE | Kupferzell | 2015-09-17 / 20150259498 - METHOD FOR PRODUCING A BREATHABLE FILM | 3 |
Corinna Kammerer | DE | Bobingen | 2010-03-04 / 20100058088 - Computer System and Method for Energy-Saving Operation of a Computer System | 1 |
Armin Kammerer | DE | Roehrmoos | 2015-02-05 / 20150037161 - METHOD FOR MOUNTING A GAS TURBINE BLADE IN AN ASSOCIATED RECEIVING RECESS OF A ROTOR BASE BODY | 1 |
Jean-Baptiste Kammerer | FR | Lochwiller | 2015-01-29 / 20150028852 - ARRANGEMENT FOR MEASURING A CURRENT WITH A CURRENT TRANSDUCER OF THE ROGOWSKI TYPE | 1 |
Joerg Kammerer | DE | Wunsiedel | 2015-04-30 / 20150117049 - ASSEMBLY FOR FORMING AN AIR-GUIDING ELEMENT FOR A MOTOR VEHICLE | 1 |
Brian Kammerer | US | Forth Worth | 2013-12-19 / 20130337932 - GOLF CLUB ASSEMBLY AND GOLF CLUB HEAD WITH TENSION MEMBER | 1 |
Roland Kammerer | FR | Caluire | 2009-05-07 / 20090115205 - REINFORCING ELEMENT FOR A LOWER AREA OF A FRONT BUMPER, AND CORRESPONDINGLY EQUIPPED BUMPER FOR A MOTOR VEHICLE | 1 |
Anthony D. Kammerich | US | Battlefield | 2013-01-03 / 20130001090 - POLYMER FILM BIOELECTRODES AND METHODS OF MAKING AND USING THE SAME | 1 |
Thomas Kammermeier | DE | Ulm | 2009-08-20 / 20090209610 - Polymorphic form of 6-(4-chlorophenyl)-2,2-dimethyl-7-Phenyl-2,3-Dihydro-1h-Pyrrolizin-5-Ylac- etic Acid | 1 |
Dirk Kammermeier | DE | Stein | 2008-12-18 / 20080310929 - TOOL AND METHOD FOR MACHINING A WORKPIECE, SUCH AS A MILLING TOOL FOR MACHINING A WORKPIECE, AND THE METHOD THEREOF | 3 |
Paul Kammermeier | US | Boulder | 2009-05-21 / 20090126540 - Locking pliers with opposing handle | 1 |
Dirk Kammermeier | DE | Nurnberg | 2012-12-20 / 20120321399 - TOOL FOR MACHINING AND METHOD FOR ORIENTING CUTTING INSERTS IN SUCH A TOOL | 1 |
Heribert Kammerstetter | AT | Oberalm | 2013-10-17 / 20130270369 - FUEL INJECTOR | 4 |
Andrew Kammerzell | US | West Bloomfield | 2015-04-02 / 20150091322 - Tailgate Hinge | 3 |
Barret Kammerzell | ES | Barcelona | 2016-04-21 / 20160107435 - PRINTHEAD ALIGNMENT CORRECTION | 3 |
Donald L. Kammerzell | US | Phoenix | 2011-05-26 / 20110120693 - INTEGRATED EVAPORATIVE COOLER AND FLAT PLATE AIR TO AIR HEAT EXCHANGER | 1 |
Sergej Kammerzell | DE | Freilassing | 2009-11-12 / 20090281428 - Method and apparatus for examining a body with an ultrasound head | 1 |
Larry L. Kammerzell | US | Phoenix | 2011-05-26 / 20110120693 - INTEGRATED EVAPORATIVE COOLER AND FLAT PLATE AIR TO AIR HEAT EXCHANGER | 4 |
Sergej Kammerzell | DE | Engen | 2008-09-04 / 20080214932 - Method and surgical navigation system for creating a recess to receive an acetabulum | 1 |
Anja Kammesheidt | US | Laguna Beach | 2010-05-06 / 20100112551 - Approaches to identifying mutations associated with hereditary nonpolyposis colorectal cancer | 1 |
John B. Kammeter | US | Richmond | 2011-03-24 / 20110068634 - DIRECT CURRENT POWER SUPPLY FOR MISSION CRITICAL APPLICATIONS | 1 |
Kenneth L. Kammeyer | US | Waterloo | 2015-02-12 / 20150043983 - SPADE DRILL | 1 |
Marvin Kammin | US | Minneapolis | 2011-11-03 / 20110265415 - Window and Door Grille Attachment System | 1 |
Wilhelmus Kamminga | NL | Weert | 2008-10-02 / 20080244207 - System as well as a method for granting a privilege to a chip holder | 1 |
Kendall Kamminga | CA | Neerlandia | 2013-10-24 / 20130282235 - DEPLOYABLE TRACTION ASSEMBLY | 1 |
Keith Donald Kammler | US | Las Vegas | 2014-03-27 / 20140087795 - GAMING SYSTEM FOR TRACKING PLAYER ACTIVITY DURING VIRTUAL SESSIONS AT A GAMING MACHINE | 5 |
Roman Kammler | DE | Worms | 2009-12-10 / 20090301661 - DEVICE FOR WELDING A FILM WEB | 2 |
Susanne Kammler | DK | Holte | 2015-12-24 / 20150368642 - LNA OLIGONUCLEOTIDE CARBOHYDRATE CONJUGATES | 4 |
Martin Kammler | DE | Monchengladbach | 2013-10-03 / 20130262160 - Method for the automated communication of parking spaces | 1 |
Michael Kammler | DE | Kempen | 2012-07-05 / 20120169108 - CANTILEVERED SEAT SUPPORT | 1 |
Keith Kammler | US | Las Vegas | 2009-09-17 / 20090233707 - Method and System of Distributing Progressive Gaming | 1 |
Georg Kammler | DE | Stuttgart | 2008-11-13 / 20080281051 - Method for Producing a Plastic Part and Device Comprising Said Plastic Part | 2 |
Thorsten Kammler | DE | Ottendorf-Okrilla | 2013-11-21 / 20130307090 - ADJUSTING OF STRAIN CAUSED IN A TRANSISTOR CHANNEL BY SEMICONDUCTOR MATERIAL PROVIDED FOR THE THRESHOLD ADJUSTMENT | 21 |
Jarmo Kammonen | SE | Sundsvall | 2011-12-01 / 20110289975 - Cooling Shroud for a Post-Manufacture Glass Container Thermal Strengthening Station | 1 |
Agnès Kammoun | FR | Reze | 2012-12-20 / 20120322098 - CULTURE MEDIUM FOR SCREENING OR ENRICHMENT OF METHICILLIN-RESISTANT S. AUREUS | 1 |
Mejdi Kammoun | US | Humble | 2015-10-01 / 20150280280 - STRETCHABLE AND MULTIFUNCTIONAL BATTERIES | 1 |
Agnès Kammoun | FR | Reze | 2012-12-20 / 20120322098 - CULTURE MEDIUM FOR SCREENING OR ENRICHMENT OF METHICILLIN-RESISTANT S. AUREUS | 1 |
Agnès Kammoun | FR | Reze | 2012-12-20 / 20120322098 - CULTURE MEDIUM FOR SCREENING OR ENRICHMENT OF METHICILLIN-RESISTANT S. AUREUS | 1 |
Hana Kammoun | FR | La Madeleine | 2015-07-30 / 20150210978 - Novel Recombinant Bordetella Strains | 1 |
Venkat Kammula | US | Charlotte | 2014-06-05 / 20140156785 - Method and Apparatus for Generating User Notifications | 1 |
Abhishek Kammula | US | Austin | 2012-08-30 / 20120222082 - Low Noise Amplifier and Method of Input Impedance Control for Terrestrial and Cable Modes | 2 |
Abhishek V. Kammula | US | Austin | 2012-12-27 / 20120326794 - DIGITAL AMPLITUDE CONTROL CIRCUITRY FOR CRYSTAL OSCILLATOR CIRCUITRY AND RELATED METHODS | 3 |
Udai S. Kammula | US | Bethesda | 2014-08-21 / 20140234353 - METHODS OF OBTAINING ANTIGEN-SPECIFIC T CELL POPULATIONS | 3 |
Spyros Kamnis | GR | Lamia | 2012-04-05 / 20120082797 - Nozzle For A Thermal Spray Gun And Method Of Thermal Spraying | 1 |
Yuji Kamo | JP | Tokyo | 2014-03-20 / 20140081085 - Endoscope Tip Part and Endoscope | 4 |
Yusuke Kamo | JP | Tokyo | 2015-12-03 / 20150348165 - SERVER, METHOD, AND STORAGE MEDIUM STORING PROGRAM FOR MANAGEMENT OF SERVICE RECOMMENDATION | 1 |
Hiroyuki Kamo | JP | Kanagawa | 2011-09-15 / 20110221628 - Radar apparatus and computer program | 1 |
Satoshi Kamo | JP | Yokkaichi | 2013-11-28 / 20130316621 - CHEMICAL MECHANICAL POLISHING PAD AND CHEMICAL MECHANICAL POLISHING METHOD USING SAME | 2 |
Kazuyuki Kamo | JP | Tsukuba-Shi | 2014-12-04 / 20140353706 - SILVER SURFACE TREATMENT AGENT, AND LIGHT-EMITTING DEVICE | 2 |
Takashi Kamo | JP | Yokohama-Shi | 2013-03-07 / 20130059234 - EXPOSURE METHOD AND EXPOSURE MASK | 7 |
Yoshirou Kamo | JP | Toyota-Shi | 2013-02-21 / 20130042829 - VARIABLE VALVE TIMING APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Kazuhiko Kamo | JP | Takasago-Shi | 2010-12-30 / 20100326974 - METHOD FOR IMPROVING RESIDUAL STRESS IN TUBULAR BODY | 2 |
Shotaro Kamo | JP | Shizuoka | 2010-12-23 / 20100322453 - CANALPHONES | 1 |
Izumi Kamo | JP | Osaka | 2015-08-27 / 20150238506 - FUSED HETEROCYCLIC COMPOUND AND USE THEREOF | 8 |
Toshiyuki Kamo | JP | Kanagawa-Ken | 2010-07-29 / 20100191479 - POTENTIOMETRIC TIRTATION METHOD AND POTENTIOMETRIC TITRATION APPARATUS | 1 |
Tomoko Kamo | JP | Toyota-Shi | 2010-05-27 / 20100127487 - SEWING STRUCTURE OF FACING SHEET MEMBER FOR COVERING VEHICLE SEAT, METHOD FOR PRODUCING THE SAME, AND AIR BAG STRUCTURE FOR VEHICLE SEAT | 1 |
Shuichi Kamo | JP | Shizuoka | 2010-04-22 / 20100099918 - Tranquilizer and Functional Food | 1 |
Hiroyuki Kamo | JP | Yokohama-Shi | 2014-09-25 / 20140285373 - ON-BOARD RADAR APPARATUS | 6 |
Tetsuro Kamo | JP | Niihama-Shi | 2011-04-28 / 20110094939 - PROCESS FOR ACTIVATING A HYDROTREATING CATALYST | 1 |
Hisao Kamo | JP | Ushiku-Shi | 2016-04-14 / 20160101640 - RECORDING MEDIUM | 34 |
Takashi Kamo | JP | Aichi-Ken | 2009-04-16 / 20090095631 - METHOD FOR SEPARATING ACTIVE MATERIAL OF ELECTRODE PLATE FOR STORAGE BATTERY | 1 |
Shunji Kamo | JP | Wako | 2011-02-03 / 20110028273 - HYDRAULIC CONTROL APPARATUS FOR AUTOMATIC TRANSMISSION | 1 |
Hiroshi Kamo | JP | Yamatokoriyama-Shi | 2010-12-09 / 20100307300 - MACHINE TOOL | 1 |
Atsushi Kamo | JP | Kanagawa | 2008-11-13 / 20080278194 - Semiconductor integrated circuit and operation method of the same | 1 |
Kunio Kamo | JP | Mie | 2010-09-16 / 20100230014 - METHOD OF QUENCHING A RING-SHAPED ARTICLE AND APPARATUS THEREOF | 1 |
Makoto Kamo | JP | Kanagawa | 2015-12-31 / 20150378089 - BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY DEVICE | 6 |
Kazuhiko Kamo | JP | Hyogo | 2011-10-27 / 20110259854 - CLAD WELDING METHOD | 2 |
Tomoichi Kamo | JP | Tokai | 2009-11-26 / 20090291348 - ELECTROLYTE MEMBRANE FOR FUEL CELL AND METHOD OF MANUFACTURING THE SAME, MEMBRANE ELECTRODE ASSEMBLY AND FUEL CELL | 4 |
Hiroshi Kamo | JP | Chiba | 2009-11-26 / 20090292082 - Mold releasing film for printed circuit board production | 1 |
Makoto Kamo | JP | Shizuoka | 2009-03-26 / 20090081561 - PHOTOSENSITIVE COMPOSITION, OPTICAL RECORDING MEDIUM AND METHOD FOR MANUFACTURING SAME, OPTICAL RECORDING METHOD, AND OPTICAL RECORDING APPARATUS | 1 |
Makoto Kamo | JP | Ashigarakami-Gun | 2011-09-22 / 20110228656 - METHOD AND APPARATUS FOR RECORDING INTERFERENCE FRINGE PATTERN | 4 |
Ryuichi Kamo | JP | Yokkaichi | 2008-12-11 / 20080305612 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Toshifumi Kamo | JP | Tokai-City | 2008-10-02 / 20080237312 - Brazing method | 1 |
Kazuyuki Kamo | JP | Tsukuba-Shi, Ibaraki | 2016-02-04 / 20160031192 - NANO THIN-FILM TRANSFER SHEET, METHOD FOR MANUFACTURING NANO THIN-FILM TRANSFER SHEET, AND MTHOD FOR TRANSFERRING NANO THIN-FIILM LAYER INTO ADHEREND | 1 |
Keita Kamo | JP | Tokyo | 2015-02-26 / 20150053731 - Shoulder Harness For Portable Work Machine | 2 |
Yoshiyuki Kamo | JP | Nagasaki | 2015-10-22 / 20150299626 - CLEANING SOLUTION, CLEANING FACILITY AND METHOD OF CLEANING MOUNT SUBSTRATE | 1 |
Shunji Kamo | JP | Wako-Shi | 2015-10-15 / 20150292570 - TRANSMISSION | 2 |
Takahiro Kamo | JP | Shibuya | 2010-09-16 / 20100230675 - DISPLAY DEVICE | 3 |
Takahiro Kamo | JP | Kashima-Shi | 2010-09-09 / 20100226813 - HIGH TENSILE STRENGTH STEEL AND MARINE STRUCTURE HAVING EXCELLENT WELD TOUGHNESS | 1 |
Takahiro Kamo | JP | Tokyo | 2009-10-22 / 20090261329 - DISPLAY DEVICE | 2 |
Takahiro Kamo | JP | Mobara | 2009-03-05 / 20090061575 - Display device and fabrication method thereof | 1 |
Takahiro Kamo | JP | Osaka-Shi | 2009-01-22 / 20090022619 - Steel plate for submerged arc welding | 1 |
Hisao Kamo | JP | Ushiku-Shi | 2016-04-14 / 20160101640 - RECORDING MEDIUM | 34 |
Takahiro Kamo | JP | Chiba | 2008-12-04 / 20080296583 - Display Device And Manufacturing Method of The Same | 1 |
Atsushi Kamo | JP | Shizuoka | 2014-01-30 / 20140032042 - STRADDLE TYPE VEHICLE | 1 |
Hiroaki Kamo | JP | Makinohara-Shi | 2013-01-03 / 20130003264 - ELECTRIC JUNCTION BOX | 1 |
Mitsuhiro Kamo | JP | Okazaki-Shi | 2013-09-26 / 20130253769 - PERSONAL VEHICLE CONTROL DEVICE | 1 |
Ryouga Kamo | JP | Kumamoto | 2014-01-30 / 20140026927 - LIQUID PROCESSING APPARATUS AND CLEANING METHOD | 1 |
Satoshi Kamo | JP | Yokkaichi-Shi | 2013-12-12 / 20130331004 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND CHEMICAL MECHANICAL POLISHING METHOD | 1 |
Syuichi Kamo | JP | Chuo-Ku | 2013-03-14 / 20130065821 - COMPOSITION FOR PREVENTING AND IMPROVING METABOLIC SYNDROME | 1 |
Yoshihiko Kamo | JP | Tokyo | 2008-12-25 / 20080315765 - PLASMA DISPLAY PANEL | 1 |
Hiroshi Kamo | JP | Tokyo | 2015-09-03 / 20150249242 - Polyethylene Powder, Microporous Membrane, and Fiber | 5 |
Yoshitaka Kamo | JP | Tokyo | 2016-04-07 / 20160099690 - DISTRIBUTED AMPLIFIER | 8 |
Hiromichi Kamo | JP | Takasaki | 2016-05-19 / 20160141599 - ROTARY TUBULAR FURNACE, METHOD OF PRODUCING NEGATIVE ELECTRODE ACTIVE MATERIAL FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY, NEGATIVE ELECTRODE ACTIVE MATERIAL FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 5 |
Syuichi Kamo | JP | Tokyo | 2009-07-23 / 20090186145 - Composition for Preventing and Improving Metabolic Syndrome | 1 |
Kensuke Kamo | JP | Tokyo | 2009-08-20 / 20090209210 - DRIVER CIRCUIT AND TEST APPARATUS | 3 |
Yasuki Kamo | JP | Tokyo | 2010-03-25 / 20100075559 - SYNTHETIC RESIN LEATHER | 1 |
Satoshi Kamo | JP | Tokyo | 2012-08-16 / 20120205135 - RADIATION CURABLE RESIN COMPOSITION FOR WIRE COATING | 5 |
Yuki Kamo | JP | Anjo-Shi | 2012-11-29 / 20120297619 - METHOD OF PRODUCING HOLLOW CASTING AND METHOD OF PRODUCING PISTON OF INTERNAL COMBUSTION ENGINE | 2 |
Hiroaki Kamo | JP | Kakegawa-Shi | 2013-01-17 / 20130017012 - ATTACHING STRUCTURE OF BANDING BANDAANM Kawamura; YukihiroAACI Kakegawa-shiAACO JPAAGP Kawamura; Yukihiro Kakegawa-shi JPAANM Kamo; HiroakiAACI Kakegawa-shiAACO JPAAGP Kamo; Hiroaki Kakegawa-shi JP | 2 |
Shuichi Kamo | JP | Tokyo | 2015-05-07 / 20150126614 - Hyaluronic Acid Production Promoter And Melanin Production Inhibitor | 7 |
Shigeki Kamo | JP | Tokyo | 2010-05-06 / 20100113703 - RUBBER COMPOSITION AND TIRE USING THE SAME | 2 |
Takashi Kamo | JP | Yokohama | 2015-09-17 / 20150261104 - ELECTROSTATIC CHUCK CLEANER, CLEANING METHOD, AND EXPOSURE APPARATUS | 1 |
Arata Kamo | JP | Osaka | 2015-10-01 / 20150273288 - IRON GOLF CLUB HEAD AND IRON GOLF CLUB | 2 |
Izumi Kamo | JP | Osaka | 2015-08-27 / 20150238506 - FUSED HETEROCYCLIC COMPOUND AND USE THEREOF | 8 |
Mitsunori Kamo | JP | Fukuoka | 2012-08-09 / 20120200189 - ROTATING ELECTRICAL MACHINE | 1 |
Takanori Kamo | JP | Aichi | 2014-11-27 / 20140346333 - PHOTODETECTION DEVICE | 1 |
Yoshiro Kamo | JP | Toyota-Shi | 2013-05-16 / 20130118430 - VALVE TIMING CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE AND CONTROL METHOD THEREOF | 2 |
Yoshitaka Kamo | JP | Tokyo | 2016-04-07 / 20160099690 - DISTRIBUTED AMPLIFIER | 8 |
Mitsunori Kamo | JP | Kitakyushu-Shi | 2015-07-02 / 20150187477 - COIL, ROTATING ELECTRICAL MACHINE, AND LINEAR MOTOR | 1 |
Kazuhiko Kamo | JP | Tokyo | 2015-12-10 / 20150354904 - HEAT EXCHANGER AND METHOD FOR MANUFACTURING HEAT EXCHANGER | 3 |
Hiromichi Kamo | JP | Annaka-Shi, Gunma | 2016-03-24 / 20160087270 - NEGATIVE ELECTRODE MATERIAL FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERIES, AND SECONDARY BATTERY | 1 |
Hiroyuki Kamo | JP | Kawasaki-Shi | 2016-04-07 / 20160097853 - NEURAL NETWORK-BASED RADAR SYSTEM | 2 |
Hiroshi Kamo | JP | Chiyoda-Ku | 2013-09-05 / 20130231430 - POLYPHENYLENE ETHER, RESIN COMPOSITION, AND MOLDED BODY OF RESIN COMPOSITION | 1 |
Daisaku Kamo | JP | Takarazuka-Shi | 2012-06-28 / 20120165196 - HYDRATE OF SULFONYLUREA COMPOUND, PROCESS FOR PRODUCING THE SAME AND SUSPENSION FORMULATION CONTAINING THE SAME | 1 |
Tomonori Kamo | JP | Osaka-Shi | 2015-11-12 / 20150321227 - CLEANING TOOL FOR COLLECTION MEMBER, PARTICLE DETECTOR, AND MANUFACTURING METHOD FOR CLEANING TOOL FOR COLLECTION MEMBER | 2 |
Hiroyuki Kamo | JP | Tsukuba-Shi | 2013-09-12 / 20130238467 - OBJECT DISPLAY SERVER, OBJECT DISPLAY METHOD, OBJECT DISPLAY PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM FOR STORING THE PROGRAM | 1 |
Kunio Kamo | JP | Kuwana-Shi | 2015-05-21 / 20150137434 - APPARATUS TO QUENCH A RING-SHAPED ARTICLE | 2 |
Yuji Kamo | JP | Hino-Shi | 2012-05-31 / 20120134159 - Illumination optical system | 1 |
Mitsuhiro Kamo | JP | Hamamatsu-Shi | 2013-10-31 / 20130285667 - VOLTAGE DETECTION CIRCUIT | 1 |
Toshiaki Kamo | JP | Hiroshima-Shi | 2011-06-02 / 20110126522 - EXHAUST APPARATUS FOR TRANSVERSE ENGINE | 2 |
Masahiko Kamo | JP | Nagoya-Shi | 2015-09-17 / 20150258806 - LIQUID EJECTION DEVICE | 2 |
Hiroyoshi Kamoda | JP | Noda-Shi | 2010-09-23 / 20100237560 - QUALITY INSPECTION APPARATUS FOR SHEET-SHAPED MATTER | 3 |
Hisao Kamoda | JP | Gyoda | 2016-03-24 / 20160088141 - HANDSFREE-CALL SUPPORTING DEVICE AND HANDSFREE-CALL SUPPORTING SYSTEM | 1 |
Hiroyoshi Kamoda | JP | Tsukuba-Shi | 2016-03-24 / 20160082713 - COMBINATION PRINTER | 14 |
Yuuji Kamoda | JP | Saitama | 2016-04-28 / 20160115849 - ABNORMALITY DIAGNOSING APPARATUS | 1 |
Hiroyoshi Kamoda | JP | Tsukuba-Shi | 2016-03-24 / 20160082713 - COMBINATION PRINTER | 14 |
Hiroyoshi Kamoda | JP | Ibaraki | 2016-03-03 / 20160059541 - PRINTING PRESS | 3 |
Akinori Kamoda | JP | Tokyo | 2016-03-24 / 20160082590 - ROBOT APPARATUS, METHOD OF CONTROLLING ROBOT APPARATUS, AND COMPUTER PROGRAM | 4 |
Yuji Kamoda | JP | Ibaraki-Shi | 2014-10-30 / 20140321892 - WET-TYPE IMAGE FORMATION APPARATUS | 5 |
Hirokazu Kamoda | JP | Shiga | 2015-08-06 / 20150219352 - HOUSEHOLD ELECTRICAL APPLIANCE AND HOUSEHOLD ELECTRICAL SYSTEM | 2 |
Yuji Kamoda | JP | Osaka | 2016-03-10 / 20160070212 - FIXING DEVICE | 5 |
Osamu Kamoda | JP | Fukuchiyama-Shi | 2009-05-07 / 20090118353 - Medicinal composition | 1 |
Kenji Kamoda | JP | Tokyo | 2013-01-17 / 20130015075 - PLATING APPARATUS AND PLATING METHOD | 2 |
Hitoshi Kamoda | JP | Kanagawa | 2012-03-22 / 20120069088 - LIQUID DISCHARGING APPARATUS | 4 |
Naoko Kamogawa | JP | Yokohama | 2012-05-10 / 20120112853 - TUNABLE FILTER, TUNABLE DUPLEXER AND MOBILE COMMUNICATION TERMINAL USING THE SAME | 1 |
Yumiko Kamogawa | JP | Tokyo | 2016-05-12 / 20160130343 - ANTI-ILT7 ANTIBODY | 7 |
Makoto Kamogawa | JP | Kanagawa | 2008-12-18 / 20080311021 - Apparatus for pulling single crystal by CZ method | 2 |
Makato Kamogawa | JP | Hiratsuka-Shi | 2012-09-06 / 20120222613 - SINGLE CRYSTAL SILICON PULLING DEVICE, METHOD FOR PREVENTING CONTAMINATION OF SILICON MELT, AND DEVICE FOR PREVENTING CONTAMINATION OF SILICON MELT | 1 |
Hiroyuki Kamogawa | JP | Hitachi | 2009-01-29 / 20090026499 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND SEMICONDUCTOR SWITCHING DEVICE USING THEREOF | 1 |
Koji Kamogawa | JP | Yokohama | 2012-05-24 / 20120131630 - CONTROL APPARATUS AND CONTROL METHOD | 3 |
Shun Kamogawa | JP | Kanagawa | 2010-09-16 / 20100233348 - FOOD OR DRINK AND METHOD OF PRODUCTION THEREOF | 1 |
Kouji Kamogawa | JP | Yokohama | 2014-02-13 / 20140047068 - VIDEO INFORMATION CONTROL APPARATUS AND METHOD | 4 |
Makato Kamogawa | JP | Kanagawa | / - | 1 |
Masao Kamogawa | JP | Otsu-Shi | 2012-09-27 / 20120244473 - PHOTOSENSITIVE RESIN COMPOSITION, LAMINATE UTILIZING SAME AND SOLID-STATE IMAGING DEVICE | 2 |
Makoto Kamogawa | JP | Hiratsuka-Shi | 2009-07-09 / 20090173272 - Apparatus for pulling single crystal by CZ method | 1 |
Yutaka Kamogi | JP | Fukuroi-Shi | 2015-12-10 / 20150357958 - Control Method For Vibration Generator And Vibration Generator | 1 |
Yutaka Kamogi | JP | Tottori | 2010-12-23 / 20100320880 - BRUSHLESS MOTOR | 1 |
Yutaka Kamogi | JP | Osaka | 2011-03-03 / 20110050051 - MOUNTING STRUCTURE AND MOTOR | 2 |
Yutaka Kamogi | JP | Shizuoka | 2014-01-30 / 20140028161 - MOTOR CONTROL UNIT AND BRUSHLESS MOTOR | 1 |
Satoru Kamohara | JP | Hyogo | 2010-03-11 / 20100058730 - GAS TURBINE POWER GENERATION SYSTEM AND METHOD OF DETECTING CALORIFIC ABNORMALITY OF THE SAME | 2 |
Kei Kamohara | JP | Kitakyushu-Shi | 2012-05-10 / 20120111135 - ROBOT WRIST STRUCTURE AND ROBOT | 1 |
Toshiki Kamohara | JP | Odawara | 2011-06-16 / 20110145197 - Storage system and method for operating storage system | 1 |
Masazumi Kamohara | JP | Tokyo | 2015-08-06 / 20150218265 - NOVEL ANTI-HUMAN NGF ANTIBODY | 2 |
Tadashi Kamohara | JP | Ibaraki | 2011-02-10 / 20110032425 - Electronic device | 1 |
Masazumi Kamohara | JP | Ibaraki | 2010-06-10 / 20100144677 - METHOD FOR SCREENING AGENTS FOR THE TREATMENT OF DIABETES | 1 |
Hiroshi Kamohara | JP | Matsudo-Shi | 2013-10-03 / 20130260331 - IMPRESSION TRAY FOR UPPER JAW | 4 |
Satoshi Kamohara | JP | Osaka | 2008-10-30 / 20080267026 - OPTICAL DISK DEVICE | 1 |
Satoru Kamohara | JP | Tokyo | 2010-08-05 / 20100192572 - CLOSED-CYCLE PLANT | 1 |
Kazuo Kamohara | JP | Kanagawa | 2016-03-17 / 20160077239 - ANTIREFLECTIVE FILM, POLARIZING PLATE, COVER GLASS, IMAGE DISPLAY DEVICE, AND METHOD OF MANUFACTURING ANTIREFLECTIVE FILM | 7 |
Hiroshi Kamohara | JP | Itabashi-Ku | 2012-04-05 / 20120083549 - DENTAL SILICONE IMPRESSION MATERIAL COMPOSITION | 5 |
Hiroaki Kamohara | JP | Fukuoka | 2010-11-18 / 20100292859 - ELECTRIC POWER SUPPLY APPARATUS | 1 |
Satoru Kamohara | JP | Hyogo-Ken | 2010-04-08 / 20100086096 - Gas Turbine Plant | 1 |
Toshihiro Kamohara | JP | Saga | 2008-12-04 / 20080296529 - Piezoelectric thin film, piezoelectric material, and fabrication method of piezoelectric thin film and piezoelectric material, and piezoelectric resonator, actuator element, and physical sensor using piezoelectric thin film | 1 |
Takao Kamohara | JP | Sunan-Shi | 2009-12-17 / 20090312488 - AQUEOUS POLYURETHANE FLUID DISPERSION AND METHOD FOR PRODUCING THE SAME | 1 |
Shiro Kamohara | JP | Hachiouji | 2012-09-20 / 20120235250 - SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME | 3 |
Hiroshi Kamohara | JP | Tokyo | 2016-02-11 / 20160038261 - IMPRESSION TRAY | 6 |
Yasuharu Kamoi | JP | Wako-Shi | / - | 1 |
Yusuke Kamoi | JP | Kanagawa | 2013-04-25 / 20130100472 - IMAGE FORMING SYSTEM, IMAGE FORMING DEVICE, IMAGE FORMING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Akiko Kamoi | JP | Osaka | 2011-10-27 / 20110262614 - SAPONIN WITH SUPPRESSED BITTER TASTE | 2 |
Takeshi Kamoi | JP | Kyoto | 2016-04-21 / 20160113079 - LIGHT MODULATION CONTROL UNIT, ILLUMINATION SYSTEM, AND FACILITY APPARATUS | 17 |
Sumio Kamoi | JP | Tokyo | 2015-08-13 / 20150227090 - ELECTROCONDUCTIVE RESIN BELT, METHOD OF PREPARING THE SAME, AND IMAGE FORMING APPARATUS HAVING THE SAME | 7 |
Akira Kamoi | JP | Tochigi | 2012-01-12 / 20120010341 - ADHESIVE COMPOSITION | 2 |
Keiko Kamoi | JP | Toride-Shi | 2015-12-10 / 20150355874 - IMAGE FORMING APPARATUS USING WI-FI HANDOVER TECHNIQUE, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM | 1 |
Takeshi Kamoi | JP | Kyoto | 2016-04-21 / 20160113079 - LIGHT MODULATION CONTROL UNIT, ILLUMINATION SYSTEM, AND FACILITY APPARATUS | 17 |
Yusuke Kamoi | JP | Yokohama-Shi | 2015-02-12 / 20150046879 - PROCESSING APPARATUS, DISPLAY DEVICE AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING PROGRAM | 1 |
Keiko Kamoi | JP | Yokohama-Shi | 2015-10-01 / 20150281495 - IMAGE FORMING APPARATUS, CONTROL METHOD FOR THE SAME AND STORAGE MEDIUM | 5 |
Atsushi Kamoi | JP | Tokyo | 2015-02-12 / 20150046099 - PIPELINE MANAGEMENT SUPPORTING SERVER AND PIPELINE MANAGEMENT SUPPORTING SYSTEM | 1 |
Akira Kamoi | JP | Sano-Shi | 2014-02-06 / 20140037955 - PRESSURE-SENSITIVE ADHESIVE COMPOSITION AND FILM FOR OPTICAL MEMBER | 1 |
Takeshi Kamoi | JP | Kyoto-Shi | 2011-02-17 / 20110037406 - HIGH PRESSURE DISCHARGE LAMP LIGHTING APPARATUS AND LIGHTING FIXTURE | 5 |
Makoto Kamoi | JP | Toda-Shi | 2014-03-13 / 20140073247 - MOBILE WIRELESS DEVICE, WIRELESS COMMUNICATION SYSTEM AND, WIRELESS COMMUNICATION METHOD | 2 |
Kimberly Kamolz | US | Kirkland | 2013-04-25 / 20130103770 - DISTRIBUTED SEMI-SYNCHRONIZED EVENT DRIVEN PLAYBACK OF MULTIMEDIA | 1 |
Kenichi Kamon | JP | Nara | 2010-01-21 / 20100017150 - FLOW MEASUREMENT APPARATUS AND PROGRAM THEREOF, FLOW MEASUREMENT METHOD AND FLUID SUPPLY SYSTEM | 3 |
Yishay Kamon | IL | Yuvalim | 2012-07-12 / 20120176494 - SYSTEM AND METHOD FOR VIRTUAL RANGE ESTIMATION | 1 |
Miyako Kamon | JP | Funabashi-Shi | 2012-03-08 / 20120059169 - NOVEL CRYSTAL FORM OF TRICYCLIC BENZOPYRAN COMPOUND AND PRODUCTION METHOD THEREOF | 1 |
Takuma Kamon | JP | Osaka | 2015-10-01 / 20150274742 - Treating Brain Cancer using Agelastatin A (AA) and Analogues Thereof | 1 |
Shinji Kamon | JP | Wako-City | 2014-12-25 / 20140375926 - VEHICULAR DISPLAY APPARATUS | 3 |
Kenichi Kamon | JP | Osaka | 2011-01-13 / 20110010111 - FLOWMETER | 4 |
Junji Kamon | JP | Saitama | 2012-02-02 / 20120027760 - ADIPONECTIN RECEPTOR AND GENE ENCODING THE SAME | 3 |
Seiichi Kamon | JP | Kakogawa | 2015-01-29 / 20150028673 - POWER TRANSMISSION DEVICE AND POWER TRANSMISSION-RECEPTION SYSTEM | 1 |
Masayuki Kamon | JP | Akashi-Shi | 2015-12-10 / 20150351864 - SURGICAL ROBOT | 5 |
Shinji Kamon | JP | Utsunomiya-Shi | 2010-11-04 / 20100277495 - VEHICULAR DISPLAY APPARATUS | 1 |
Hidekazu Kamon | JP | Kanagawa | 2010-10-28 / 20100275234 - DISPLAY APPARATUS, DATA TRANSMITTING METHOD IN DISPLAY APPARATUS, TRANSMISSION APPARATUS, AND DATA RECEIVING METHOD IN TRANSMISSION APPARATUS | 4 |
Akihiro Kamon | JP | Sakai | 2012-07-05 / 20120172571 - POLYCONDENSATION CATALYST FOR PRODUCING POLYESTER AND METHOD FOR PRODUCING POLYESTER USING THE SAME | 3 |
Koichi Kamon | JP | Kyoto | 2012-04-19 / 20120092539 - Image Pickup Apparatus | 2 |
Koichi Kamon | JP | Tokyo | 2013-04-04 / 20130083157 - Imaging Device | 1 |
Koichi Kamon | JP | Otokuni-Gun | 2013-08-15 / 20130208117 - Imaging Device | 12 |
Shigeru Kamon | JP | Noda-City | 2015-10-01 / 20150279654 - TREATING SOLUTION FOR ELECTRONIC PARTS, AND PROCESS FOR PRODUCING ELECTRONIC PARTS | 1 |
Koichi Kamon | JP | Otokuni-Gun, Kyoto | 2011-10-06 / 20110243430 - IMAGE INPUT APPARATUS | 1 |
Akihiro Kamon | JP | Osaka | 2014-03-13 / 20140073758 - POLYCONDENSATION CATALYST FOR PRODUCING POLYESTER AND PRODUCTION OF POLYESTER USING THE POLYCONDENSATION CATALYST | 1 |
Go Kamon | JP | Gifu-Ken | 2015-03-26 / 20150086140 - LINEAR MOTION GUIDE UNIT WITH LUBRICATING MEMBER | 1 |
Ryouichi Kamon | JP | Hyogo | 2015-09-03 / 20150247225 - WIRE FOR PISTON RINGS | 1 |
Shinji Kamon | JP | Wako-Shi | 2015-04-16 / 20150103509 - NEEDLE TYPE GAUGE | 1 |
Koichi Kamon | JP | Osaka | 2012-10-25 / 20120268632 - IMAGE SENSING APPARATUS WITH EXPOSURE CONTROLLER | 1 |
Ammiel Kamon | US | Burlingame | 2011-06-02 / 20110131228 - METHOD & APPARATUS FOR IDENTIFYING A SECONDARY CONCEPT IN A COLLECTION OF DOCUMENTS | 3 |
Kenichi Kamon | JP | Shiga | 2015-08-06 / 20150222497 - INFORMATION TERMINAL, INFORMATION DISPLAY METHOD, CONTROL PROGRAM AND SERVER | 4 |
Kfir Kamon | IL | Tel Aviv | 2010-07-08 / 20100175019 - DATA EXPLORATION TOOL INCLUDING GUIDED NAVIGATION AND RECOMMENDED INSIGHTS | 1 |
Yuji Kamon | JP | Osaka-Shi | 2014-12-25 / 20140375748 - ELECTRONIC DEVICE | 1 |
Ammiel Kamon | US | San Francisco | 2013-12-12 / 20130328811 - INTERACTIVE LAYER ON TOUCH-BASED DEVICES FOR PRESENTING WEB AND CONTENT PAGES | 1 |
Satoshi Kamon | JP | Odawara | 2012-06-21 / 20120159071 - STORAGE SUBSYSTEM AND ITS LOGICAL UNIT PROCESSING METHOD | 1 |
Mattan Kamon | US | Arlington | 2015-07-30 / 20150213176 - MODELING PATTERN DEPENDENT EFFECTS FOR A 3-D VIRTUAL SEMICONDUCTOR FABRICATION ENVIRONMENT | 2 |
Junji Kamon | JP | Minamisaitama-Gun | 2016-04-14 / 20160102102 - TRICYCLIC HETEROCYCLIC COMPOUNDS AND JAK INHIBITORS | 2 |
Michal Kamon | PL | Zielonki | 2012-07-19 / 20120181003 - CLOSED AND INTERNAL COOLING SYSTEM FOR CAR RADIO | 1 |
Yuji Kamon | JP | Osaka | 2012-05-17 / 20120120095 - IMAGE PROCESSING DEVICE, CONTROL METHOD FOR IMAGE PROCESSING DEVICE, CONTROL PROGRAM FOR IMAGE PROCESSING DEVICE, AND RECORDING MEDIUM IN WHICH CONTROL PROGRAM IS RECORDED | 2 |
Hiroaki Kamon | JP | Kyoto-Shi | 2015-11-05 / 20150319404 - IMAGE SIGNAL GENERATING APPARATUS, IMAGE INSPECTION APPARATUS, PRINTING SYSTEM, AND IMAGE SIGNAL GENERATING METHOD | 1 |
Junji Kamon | JP | Tokyo | 2011-03-17 / 20110065780 - INSULIN RESISTANCE IMPROVING AGENT | 2 |
Hidekazu Kamon | JP | Nagano | 2014-07-24 / 20140205104 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 1 |
Youichirou Kamon | JP | Kanagawa | 2014-02-06 / 20140036181 - CONNECTING STRUCTURE OF ELECTRONIC APPARATUS AND DISPLAY DEVICE USING THE SAME | 3 |
Kazuya Kamon | JP | Kanagawa | 2014-02-06 / 20140035108 - SEMICONDUCTOR INTEGRATED CIRCUIT AND PATTERN LAYOUTING METHOD FOR THE SAME | 3 |
Tsugutaka Kamono | JP | Hamamatsu-Shi | 2014-02-20 / 20140052320 - DRIVE CONTROL DEVICE FOR HYBRID VEHICLE | 1 |
Takashi Kamono | JP | Utsunomiya-Shi | 2013-03-28 / 20130077747 - X-RAY IMAGING APPARATUS AND METHOD OF CAPTURING IMAGES WITH SAME | 2 |
Takeshi Kamono | JP | Tokyo | 2015-05-28 / 20150145630 - COIL | 7 |
Michael Kamor | US | North Massapequa | 2016-02-11 / 20160044764 - DIMMER SWITCH HAVING DIMMER ACTUATOR OPERABLE FOR ACTUATING AN AIR-GAP SWITCH | 14 |
Michael Kamor | US | North Massapequa | 2016-02-11 / 20160044764 - DIMMER SWITCH HAVING DIMMER ACTUATOR OPERABLE FOR ACTUATING AN AIR-GAP SWITCH | 14 |
Michael Kamor | US | N. Massapequa | 2015-02-05 / 20150038006 - WIRING DEVICE WITH LOW VOLTAGE DATA PORTS | 2 |
Takeo Kamosaki | JP | Saitama | 2009-08-20 / 20090206977 - Thermal overload relay | 1 |
Takeo Kamosaki | JP | Konosu-Shi | 2012-06-28 / 20120161918 - THERMAL OVERLOAD RELAY | 7 |
Tetsu Kamosaki | JP | Haibara-Gun, Shizuoka | 2009-07-30 / 20090190073 - PHTHALOCYANINE-SERIES PIGMENT FINE PARTICLES, METHOD OF PRODUCING THE SAME, PIGMENT DISPERSION PHOTORESIST, COLORED TRANSFER MATERIAL, COLOR FILTER AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Tetsu Kamosaki | JP | Shizuoka | 2009-03-05 / 20090059138 - METHOD OF PRODUCING ORGANIC NANOPARTICLES, ORGANIC NANOPARTICLES THUS OBTAINED, INKJET INK FOR COLOR FILTER, COLORED PHOTOSENSITIVE RESIN COMPOSITION AND PHOTOSENSITIVE RESIN TRANSFER MATERIAL, CONTAINING THE SAME, AND COLOR FILTER, LIQUID CRYSTAL DISPLAY DEVICE AND CCD DEVICE, PREPARED USING THE SAME | 1 |
Yoji Kamosawa | JP | Tokyo | 2009-10-29 / 20090266495 - FOLDABLE SCREEN DEVICE | 2 |
Kenta Kamoshida | JP | Arakawa-Ku | 2012-06-14 / 20120146942 - TOUCH PANEL | 1 |
Yukio Kamoshida | JP | Kawagoe-Shi | 2010-03-11 / 20100063817 - ACOUSTIC MODEL REGISTRATION APPARATUS, TALKER RECOGNITION APPARATUS, ACOUSTIC MODEL REGISTRATION METHOD AND ACOUSTIC MODEL REGISTRATION PROCESSING PROGRAM | 1 |
Yasuhiro Kamoshida | JP | Ibaraki | 2010-03-11 / 20100058752 - ROTATION CONTROL SYSTEM FOR WORKING-MACHINE PUMP | 1 |
Akira Kamoshida | JP | Kanagawa | 2010-03-25 / 20100074917 - METHOD FOR PREVENTING DISEASES IN WEANED PIGLET | 2 |
Hironori Kamoshida | JP | Hitachi | 2010-08-05 / 20100193083 - HYDROGEN-RESISTANT HIGH STRENGTH MATERIAL AND METHOD FOR PRODUCING THE SAME | 1 |
Kenta Kamoshida | JP | Tokorozawa | 2010-09-09 / 20100224393 - Display device | 2 |
Shiro Kamoshida | JP | Kawasaki | 2014-02-13 / 20140046994 - OPERATION CIRCUIT AND CONTROL METHOD OF OPERATION CIRCUIT | 6 |
Heikichi Kamoshida | JP | Hitachinaka | 2009-06-11 / 20090145110 - APPARATUS FOR DIAGNOSING EXHAUST GAS PURIFYING DEVICE | 1 |
Hironori Kamoshida | JP | Tokyo | 2014-05-08 / 20140127525 - Ni-Based Casting Alloy and Steam Turbine Casting Part Using the Same | 2 |
Kenta Kamoshida | JP | Chiba | 2009-04-02 / 20090086148 - Display Device | 1 |
Shinichi Kamoshida | JP | Osaka | 2009-05-21 / 20090130535 - Separator for Low-Temperature Type Fuel Cell and Production Method Therefor | 1 |
Shinichi Kamoshida | JP | Saitama | 2013-05-16 / 20130120488 - RECORDING APPARATUS AND RECORDING MEDIUM CONVEYANCE METHOD | 1 |
Takashi Kamoshida | JP | Yamato-Shi | 2009-02-19 / 20090047114 - APPARATUS FOR MANUFACTURING THIN-FILM LAMINATED MEMBER AND METHOD OF CONVEYING THE MEMBER THEREIN | 1 |
Mamoru Kamoshida | JP | Hitachi | 2008-12-18 / 20080307827 - METHOD OF REFINING NATURAL GAS AND NATURAL GAS REFINING SYSTEM | 1 |
Shigemi Kamoshida | JP | Susono-Shi | 2015-08-06 / 20150220020 - DEVELOPER CONTAINER, CARTRIDGE, AND IMAGE-FORMING APPARATUS | 3 |
Ryota Kamoshida | JP | Kodaira | 2008-10-02 / 20080243511 - Speech synthesizer | 1 |
Chiharu Kamoshida | JP | Ibaraki | 2011-03-03 / 20110052258 - Fixing roller for fixing unit, fixing unit, image forming apparatus, roller replacement aid for fixing unit, and method of replacing fixing roller in fixing unit | 1 |
Osamu Kamoshida | JP | Oyama-Shi | 2015-12-17 / 20150360534 - EVAPORATOR WITH COOL STORAGE FUNCTION | 13 |
Masaru Kamoshida | JP | Hitachinaka-Shi | 2016-05-19 / 20160143158 - Electronic Control Device | 2 |
Koji Kamoshida | JP | Hitachinaka-Shi | 2012-09-20 / 20120239676 - AUTOMATIC ANALYZING DEVICE | 1 |
Shinichi Kamoshida | JP | Nagano-Ken | 2009-08-20 / 20090208239 - Charging Roller and Image Forming Apparatus | 1 |
Masahiro Kamoshida | JP | Kanagawa | 2012-09-20 / 20120236664 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Masaru Kamoshida | JP | Hitachinaka | 2015-10-22 / 20150305169 - Electronic Control Device | 2 |
Yukio Kamoshida | JP | Tokyo | 2013-01-10 / 20130011020 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND STORAGE MEDIUM | 1 |
Koji Kamoshida | JP | Hitachinaka | 2013-11-21 / 20130310964 - SAMPLE TRANSPORT SYSTEM AND METHOD FOR CONTROLLING THE SAME | 4 |
Masaru Kamoshida | JP | Hitachi | 2012-05-24 / 20120127677 - AUTOMOTIVE CONTROL UNIT | 1 |
Shinichi Kamoshida | JP | Shiojiri-Shi | 2014-11-20 / 20140340440 - PRINTING APPARATUS AND PRINTING METHOD | 30 |
Masahiro Kamoshida | JP | Yokohama-Shi | 2015-09-17 / 20150260779 - SEMICONDUCTOR DEVICE | 2 |
Koji Kamoshida | JP | Tokyo | 2015-07-09 / 20150192598 - SAMPLE INSPECTION AUTOMATION SYSTEM AND SAMPLE TRANSFER METHOD | 3 |
Osamu Kamoshida | JP | Oyama-Shi | 2015-12-17 / 20150360534 - EVAPORATOR WITH COOL STORAGE FUNCTION | 13 |
Hironori Kamoshida | JP | Tsuchiura | 2014-03-06 / 20140064981 - Ni Base Forged Alloy and Gas Turbine Utilizing the Same | 5 |
Yukio Kamoshida | JP | Bunkyo-Ku | 2013-07-18 / 20130182135 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND IMAGE PROCESSING PROGRAM | 1 |
Shinichi Kamoshida | JP | Saitama-Shi | 2013-08-08 / 20130201266 - PRINTING APPARATUS USING PHOTOCURABLE INK AND METHOD FOR PRODUCING PRINTED MATERIAL | 4 |
Toru Kamoshida | JP | Wako-Shi | 2013-02-28 / 20130047768 - SHIFT DEVICE FOR A TRANSMISSION OF A MOTOR VEHICLE | 2 |
Toru Kamoshida | JP | Saitama | 2012-05-10 / 20120116629 - ENGINE STARTING CONTROL DEVICE FOR HYBRID VEHICLE | 1 |
Masaru Kamoshida | JP | Ibaraki | 2016-04-14 / 20160106010 - BOX-TYPE VEHICLE-MOUNTED CONTROL DEVICE | 1 |
Minoru Kamoshida | JP | Kawasaki | 2009-11-12 / 20090282287 - SUPPORTING APPARATUS FOR ANALYZING COMPUTER OPERATION STATUS | 2 |
Kenichi Kamoshida | JP | Ibaraki | 2013-06-27 / 20130164000 - IMAGE FORMING SYSTEM | 1 |
Ryota Kamoshida | JP | Tokyo | 2016-03-17 / 20160077654 - Touch Panel-Type Operation Panel and Control Method Therefor | 2 |
Shigemi Kamoshida | JP | Tokyo | 2016-03-24 / 20160085201 - CLEANING APPARATUS, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS | 1 |
Mamoru Kamoshida | JP | Tokyo | 2014-12-25 / 20140378734 - Radioactive Organic Waste Treatment Method and System | 1 |
Hironori Kamoshida | JP | Yokohama | 2015-12-24 / 20150368774 - Manufacturing Process of Ni Based Superalloy and Member of Ni Based Superalloy, Ni Based Superalloy, Member of Ni Based Superalloy, Forged Billet of Ni Based Superalloy, Component of Ni Based Superalloy, Structure of Ni Based Superalloy, Boiler Tube, Combustor Liner, Gas Turbine Blade, and Gas Turbine Disk | 1 |
Shinichi Kamoshida | JP | Shiojiri | 2011-08-04 / 20110187767 - DEVICE AND METHOD FOR RECORDING IMAGE TO ELECTRONIC PAPER | 1 |
Hitoshi Kamoshida | JP | Ibaraki | 2015-12-24 / 20150371119 - IMAGE FORMING APPARATUS, METHOD OF CORRECTING IMAGE MAGNIFICATION, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Wataru Kamoshima | JP | Mishima-Gun | 2008-10-23 / 20080261947 - FUSED PYRIDAZINE DERIVATIVE COMPOUNDS AND DRUGS CONTAINING THESE COMPOUNDS AS THE ACTIVE INGREDIENT | 1 |
Takao Kamoshima | JP | Kanagawa | 2013-09-19 / 20130241067 - SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME | 2 |
Wataru Kamoshima | JP | Osaka | 2009-09-10 / 20090226412 - AGENT FOR REDUCTION OF BLEEDING IN CEREBROVASCULAR DISORDER | 1 |
Takao Kamoshima | JP | Tokyo | 2012-11-15 / 20120289032 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 4 |
Naoki Kamoshita | JP | Shizuoka-Ken | 2008-11-27 / 20080290095 - FUEL TANK | 1 |
Kenji Kamoshita | JP | Hadano-Shi | 2016-02-18 / 20160047425 - CLUTCH, CLUTCH FORMING PUNCH, AND METHOD OF MANUFACTURING CLUTCH | 1 |
Yutaka Kamoshita | JP | Osaka | 2016-01-07 / 20160005069 - Advertising Medium Determination Device and Method Therefor | 4 |
Mikio Kamoshita | JP | Tokyo | 2013-08-08 / 20130202319 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 2 |
Shinji Kamoshita | JP | Gotemba-Shi | 2013-08-29 / 20130219863 - EXHAUST GAS CONTROL APPARATUS AND METHOD | 1 |
Shinji Kamoshita | JP | Gotenba-Shi | 2011-04-14 / 20110083427 - EXHAUST PURIFICATION APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Miyuki Kamoshita | JP | Tokyo | 2010-09-23 / 20100239852 - COATING MATERIAL FOR FORMING GAS-BARRIER LAYER AND GAS-BARRIER MULTILAYER BODY | 4 |
Tsutomu Kamoshita | JP | Tokyo | 2010-06-24 / 20100154345 - Three-Dimensional Tubular Architectural Structure | 3 |
Keigo Kamoshita | JP | Ogaki | 2016-02-04 / 20160037647 - WIRING BOARD WITH BUILT-IN ELECTRONIC COMPONENT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Heikichi Kamoshita | JP | Hitachinaka | 2013-01-24 / 20130024088 - CONTROL DEVICE OF INTERNAL COMBUSTION ENGINE | 2 |
Junichi Kamoshita | JP | Miyagi | 2014-03-06 / 20140061804 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Taku Kamoto | JP | Kanagawa | 2012-03-29 / 20120077313 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Takanori Kamoto | JP | Osaka-Shi | 2010-04-29 / 20100104329 - MAGNETIC CARRIER, TWO COMPONENT DEVELOPER, DEVELOPING DEVICE, IMAGE FORMING APPARATUS, AND IMAGE FORMING METHOD | 1 |
Mitsuhiro Kamoto | JP | Hyogo | 2009-03-05 / 20090058845 - Display device | 1 |
Takanori Kamoto | JP | Osaka | 2012-04-05 / 20120082927 - TWO-COMPONENT DEVELOPER AND IMAGE FORMING METHOD | 8 |
Takanori Kamoto | JP | Yamatokoriyama-Shi | 2009-09-10 / 20090226838 - CARRIER, DEVELOPER, DEVELOPING APPARATUS AND IMAGE FORMING APPARATUS | 2 |
Yoshinori Kamoto | JP | Osaka | 2011-11-10 / 20110273550 - MEIBOMIAN GLAND OBSERVING DEVICE | 1 |
Taku Kamoto | JP | Yokohama-Shi | 2013-03-28 / 20130075895 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Taku Kamoto | JP | Kanagawa-Ken | 2012-01-26 / 20120018920 - RESIN SUPPLY DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Taku Kamoto | JP | Oita-Shi | 2015-09-10 / 20150255373 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND SEMICONDUCTOR INTEGRATED CIRCUIT WAFER | 1 |
Satoru Kamoto | JP | Tokyo | 2010-05-06 / 20100111491 - MULTI-SCREEN SYNCHRONIZED PLAYBACK SYSTEM, DISPLAY CONTROL TERMINAL, MULTI-SCREEN SYNCHRONIZED PLAYBACK METHOD, AND PROGRAM | 1 |
Satoru Kamoto | JP | Kanagawa | 2009-11-19 / 20090287770 - DISTRIBUTION DEVICE, DISTRIBUTION METHOD, AND COMPUTER PROGRAM | 1 |
Tomoka Kamoto | JP | Shikokuchuo-Shi | 2008-10-23 / 20080262459 - Paper Diaper | 1 |
Satoru Kamoto | JP | Gunma-Ken | 2009-06-04 / 20090144761 - Disc drive device | 1 |
Mitsuhiro Kamoto | JP | Kobe | 2009-05-28 / 20090135089 - In-Vehicle Display Apparatus | 2 |
Atsushi Kamoto | JP | Moriya-Shi | 2011-08-18 / 20110198214 - MESOPOROUS SILICA FILM AND PROCESS FOR PRODUCTION THEREOF | 1 |
Takanori Kamoto | JP | Osaka | 2012-04-05 / 20120082927 - TWO-COMPONENT DEVELOPER AND IMAGE FORMING METHOD | 8 |
Oleksandr Kamotskyy | US | Seattle | 2013-03-28 / 20130080333 - ELECTRONIC WALLET USING ALLOCATION OF FUNDS | 1 |
Terumasa Kamouchi | JP | Tokyo | 2016-02-11 / 20160041345 - OPTICAL CONNECTOR CLEANING TOOL | 1 |
Walid Kamoun | US | Arlington | 2016-03-10 / 20160067182 - METHODS AND COMPOSITIONS FOR IMPROVING OUTCOMES OF LIPOSOMAL CHEMOTHERAPY | 2 |
Leila Kamoun | FR | Nanterre | 2011-12-22 / 20110309959 - METHOD FOR IMPROVING THE RESOLUTION AND FOR CORRECTING DISTORTIONS IN A SIGMA-DELTA MODULATOR, AND SIGMA-DELTA MODULATOR IMPLEMENTING SAID METHOD | 1 |
Michel Kamoun | IL | Herzliya | 2016-05-05 / 20160125438 - SYSTEM AND METHOD FOR FAST AND NUANCED SENTIMENT EVALUATION | 1 |
Mohamed Kamoun | FR | Palaiseau | 2010-02-04 / 20100027490 - SYSTEM AND METHOD USING MULTIPLE REQUEST TO SEND (RTS) MESSAGES TO ENHANCE WIRELESS COMMUNICATION RESOURCE ALLOCATION | 2 |
Sophien Kamoun | GB | Norwich | 2013-04-18 / 20130097734 - LATE BLIGHT RESISTANCE GENES | 1 |
Winfried Kamp | DE | Muenchen | 2013-06-06 / 20130141987 - Latch Based Memory Device | 5 |
Nathaniel Royce Kamp | US | Peoria | 2013-08-01 / 20130193083 - FILTRATION SYSTEM | 2 |
Joshua A. Kamp | US | Glastonbury | 2013-12-26 / 20130340862 - HIGH OPENING VELOCITY CHECK VALVE | 1 |
Hartmut Kamp | DE | Heilbronn | 2008-12-18 / 20080307957 - Piston-Pin Bore Dimensions for a Piston of an Internal Combustion Engine | 1 |
Kyle Maxwell Kamp | US | Mundelein | 2010-03-04 / 20100056450 - Method For Reducing Postprandial Blood Glucose Levels With A Whey Protein/Fiber Composition | 1 |
Michael Viktor Kamp | DE | Dusseldorf | 2008-10-23 / 20080257402 - Solar Power System with a Number of Photovoltaic Modules | 1 |
Petrus Johannes Maria Kamp | NL | Rosmalen | 2013-04-11 / 20130088301 - Oscillator circuit and method of providing an oscillator output signal | 2 |
Timothy Joseph Kamp | US | Madison | 2015-05-21 / 20150140658 - LINEAGE REPROGRAMMING TO INDUCED CARDIAC PROGENITOR CELLS (iCPC) BY DEFINED FACTORS | 1 |
Jesse Kamp | US | Castro Valley | 2016-03-24 / 20160085834 - PRIORITIZING REPOPULATION OF IN-MEMORY COMPRESSION UNITS | 17 |
Arjen Kamp | NL | Leiden | 2013-11-28 / 20130312881 - METHOD OF PRODUCING A SHAPED AL ALLOY PANEL FOR AEROSPACE APPLICATIONS | 1 |
Tom Kamp | US | San Jose | 2015-08-20 / 20150235808 - SYSTEMS AND METHODS FOR IMPROVING WAFER ETCH NON-UNIFORMITY WHEN USING TRANSFORMER-COUPLED PLASMA | 4 |
Rolf F. Kamp | US | Shrewsbury | 2016-03-10 / 20160072901 - System and Method To Provide A Network-Based Service | 2 |
Henry Kamp | US | Orland Park | 2010-12-16 / 20100313493 - System for protection of buildings and method for same | 1 |
Jesse Kamp | US | San Leandro | 2012-06-07 / 20120143833 - STRUCTURE OF HIERARCHICAL COMPRESSED DATA STRUCTURE FOR TABULAR DATA | 5 |
Jesse Kamp | US | Castro Valley | 2016-03-24 / 20160085834 - PRIORITIZING REPOPULATION OF IN-MEMORY COMPRESSION UNITS | 17 |
Johannes Leornardus Maria Op Den Kamp | NL | Beek | 2010-12-02 / 20100305217 - HIGH PERFORMANCE MEMBRANE | 1 |
Joshua Adam Kamp | US | Glastonbury | 2015-08-27 / 20150240960 - HIGH OPENING VELOCITY CHECK VALVE | 1 |
Hennicke Kamp | DE | Bischheim | 2015-12-10 / 20150355197 - BIOMARKERS FOR DIAGNOSING LIVER DISEASE | 17 |
Timothy J. Kamp | US | Madison | 2011-06-16 / 20110142935 - CARDIAC DIFFERENTIATION OF HUMAN PLURIPOTENT STEM CELLS UNDER DEFINED CONDITIONS USING MATRIX OVERLAY METHODS | 2 |
Daniel Gary Kamp | US | Barrington | 2010-04-01 / 20100082371 - Patient Document Privacy And Disclosure Engine | 1 |
Johannes Adrianus Kamp | NL | Vlijmen | 2014-01-09 / 20140012043 - METHOD FOR REMOVAL OF THE CYCLIC DIESTER OF A 2-HYDROXY ALKANOIC ACID FROM A VAPOR | 1 |
Gerrit Kamp | US | New York | / - | 1 |
Carl Justin Kamp | SE | Goteborg | 2010-08-12 / 20100200209 - METHODS AND DEVICES FOR DIRECTIONAL RADIATIVE COOLING THERMAL COMPENSATION | 1 |
Margretha Klazina Kamp | NL | Voorburg | 2009-06-25 / 20090162843 - IDENTIFICATION OF HPV16 LINEAGE GROUP | 1 |
Maril Kamp | NL | Ijselmuiden | 2012-04-19 / 20120090727 - METHOD FOR FILLING FOOD CONTAINERS | 2 |
Josh Kamp | US | Gvlastonbury | 2016-05-12 / 20160131262 - CONNECTOR LINK FOR BUTTERFLY VALVE | 1 |
David A. Kamp | US | Monument | 2013-12-05 / 20130320096 - RFID TRANSPONDER CHIP WITH A PROGRAMMABLE WAKE-UP | 7 |
Robert W. Kamp | US | North Bend | 2014-09-11 / 20140251320 - AEROSOL GENERATING AND DELIVERY DEVICE | 2 |
Steffen Kamp | CN | Shenzhen | 2014-04-17 / 20140105298 - INTER-FRAME PREDICTION CODING METHOD, DEVICE AND SYSTEM | 1 |
Petrus Gerardus Hendrikus Kamp | NL | Amersfoort | 2011-11-24 / 20110287705 - Control System for the Climate in a Spatial Environment for Organisms, Spatial Environment Adapted Thereto, Control System and Program Therefor | 1 |
Henrik Ditlev Kamp | DK | Arhus | 2009-01-08 / 20090009346 - Portable Personal Alarm Device | 1 |
Peter Kamp | NL | Rosmalen | 2008-09-25 / 20080232606 - SYNCHRONOUS DETECTION AND CALIBRATION SYSTEM AND METHOD FOR DIFFERENTIAL ACOUSTIC SENSORS | 1 |
Johannes Henricus Antonius Marie Kamp | NL | Etten-Leur | 2011-09-29 / 20110232802 - FILLING PISTOL AND METHOD FOR FILLING AN OBJECT ESSENTIALLY WITH NITROGEN | 1 |
Josh Kamp | US | Glastonbury | 2016-02-11 / 20160040799 - CHECK VALVE HOUSING | 10 |
Bernard Jan Kamp | NL | Oldenzaal | 2013-04-25 / 20130102235 - Seal, Constituent Parts of the Seal, Device and Method for Arranging Such a Seal | 3 |
Bernhard Kamp | DE | Ludwigsburg | 2014-08-28 / 20140238108 - METHOD AND DEVICE FOR REGENERATING A PARTICLE SENSOR | 11 |
David Kamp | US | Corvallis | 2013-08-01 / 20130192993 - ARTICLE WITH CONTROLLED WETTABILITY | 1 |
Timothy Kamp | US | Madison | 2015-06-04 / 20150152389 - GENERATION OF CARDIOMYOCYTES FROM HUMAN PLURIPOTENT STEM CELLS | 2 |
Michael P. Kamp | US | San Ramon | 2013-01-17 / 20130014894 - METHODS AND APPARATUS FOR CONTROLLING POWER DISTRIBUTION IN SUBSTRATE PROCESSING SYSTEMS | 1 |
Josh Kamp | US | Glastonbury | 2016-02-11 / 20160040799 - CHECK VALVE HOUSING | 10 |
Josh Kamp | US | 2012-08-02 / 20120192569 - PITOT TUBE WITH INCREASED PARTICLE SEPARATION EFFICIENCY | 1 | |
Michael E. Kamp | US | Good Field | 2013-10-03 / 20130262497 - Inspection Tool | 1 |
Petrus Cornelis Kamp | NL | Egmond Aan De Hoef | 2015-12-03 / 20150343342 - FILTER DEVICE AND METHOD OF CLEANING A FILTER ELEMENT | 1 |
Achim Kamp | DE | Langenselbold | 2014-01-30 / 20140028014 - PIPE CLAMP, IN PARTICULAR PROFILE CLAMP | 3 |
Johannes Adrianus Kamp | NL | Gorinchem | 2016-03-17 / 20160075461 - Method for storage and/or transport of lactide particles | 1 |
Winfried Kamp | DE | Munchen | 2010-12-09 / 20100308863 - Architecture of Function Blocks and Wirings in a Structured ASIC and Configurable Driver Cell of a Logic Cell Zone | 1 |
Winfried Kamp | DE | Munich | 2010-12-30 / 20100329446 - LOGIC CIRCUIT AND METHOD FOR CALCULATING AN ENCRYPTED RESULT OPERAND | 1 |
Norbert Kamp | DE | Steinheim | 2010-12-30 / 20100326186 - FILL LEVEL DETECTION APPARATUS | 1 |
Hennicke Kamp | DE | Bischheim | 2015-12-10 / 20150355197 - BIOMARKERS FOR DIAGNOSING LIVER DISEASE | 17 |
Peer Kamp | NL | Egmond Aan De Hoef | 2015-05-14 / 20150129503 - ION EXCHANGE PROCESS WITH PLUG FLOW CONDITIONS AND SHORT RESIDENCE TIMES | 1 |
Nathaniel Kamp | US | Chillicothe | 2016-02-25 / 20160055738 - REMOTE WATER IN FUEL INDICATOR UNIT | 1 |
Matthew Kamp | US | Cumming | 2016-01-28 / 20160029157 - ASSISTANCE TECHNIQUES | 2 |
Matthew Phillip Kamp | US | Cumming | 2016-05-05 / 20160125514 - ON-DEMAND ROUTING UPON LOCATION DETECTION | 3 |
Robert Kamp | NL | Hoofddorp | 2012-11-01 / 20120274073 - FLOATING ASSEMBLY FOR GENERATING ENERGY FROM SEA CURRENTS | 1 |
Bernhard Kamp | DE | Tamm | 2016-03-03 / 20160061691 - METHOD AND DEVICE FOR DIAGNOSING THE FUNCTION OF AN EXHAUST GAS SENSOR | 1 |
Nathaniel R. Kamp | US | Peoria | 2014-09-25 / 20140284266 - FILTER ASSEMBLY | 1 |
Gunnar Kamp | DE | Erding | 2013-08-01 / 20130192079 - TENSION ELEMENT POSITION SENSOR | 2 |
Steffen Kamp | DE | Aachen | 2015-06-25 / 20150181219 - METHOD, APPARATUS AND SYSTEM FOR RAPID MOTION SEARCH APPLIED IN TEMPLATE MATCHING | 6 |
Steffen Kamp | DE | Frankfurt | 2015-01-15 / 20150016551 - SYNTAX AND SEMANTICS FOR ADAPTIVE LOOP FILTER AND SAMPLE ADAPTIVE OFFSET | 3 |
Andre Kamp | DE | Aachen | 2014-07-17 / 20140201366 - SMARTPHONE APPS IN A CLOUD | 1 |
Bernhard Kamp | DE | Ludwigsburg | 2014-08-28 / 20140238108 - METHOD AND DEVICE FOR REGENERATING A PARTICLE SENSOR | 11 |
Gunnar Kamp | DE | Poing | 2009-06-18 / 20090152790 - METHOD AND DEVICE FOR PERSONALIZING A MULTIPLICITY OF SECURITY DOCUMENTS | 1 |
Martin Kamp | DE | Gerbrunn | 2013-05-16 / 20130121361 - Interband Cascade Laser Amplifier Medium | 1 |
Timothy Kamp | US | Cincinnati | 2011-03-24 / 20110068644 - INDUCTION MOTOR VENTILATED HEAT SHIELD FOR BEARINGS | 1 |
Tom A. Kamp | US | San Jose | 2011-03-03 / 20110049099 - HARDMASK TRIM METHOD | 1 |
Nicolas Kamp | DE | Bovenden | 2012-06-07 / 20120138481 - ALUMINIUM LITHOGRAPHIC SHEET | 1 |
Marilena Kampa | GR | Heraklion | 2013-08-29 / 20130224285 - ESTROGEN RECEPTOR ALPHA POLYPEPTIDE SEQUENCE, DIAGNOSTIC AND THERAPEUTIC APPLICATIONS THEREOF | 1 |
Marilena Kampa | GR | Heraklion, Crete | 2010-04-08 / 20100086542 - POTENTIATION OF ERYTHROPOIETIN (EPO) ACTION BY MEMBRANE STEROID RECEPTOR AGONISTS | 1 |
Gregory J. Kampa | US | Laguna Nigel | 2014-11-13 / 20140336685 - Steerable ablation device | 1 |
Joel J. Kampa | US | Boerne | 2008-12-04 / 20080299066 - ENERGY-ACTIVATED COMPOSITIONS FOR CONTROLLED SUSTAINED RELEASE OF A GAS | 1 |
Joel J. Kampa | US | Pipe Creek | 2012-05-10 / 20120114926 - ELECTROPHORETIC DEPOSITION OF ADSORBENT MEDIA | 1 |
Nicholas Kampa | US | St. Paul | 2014-10-02 / 20140296788 - INTRODUCER HANDLE NOTCH DESIGN/CONCEPT | 2 |
Greg Kampa | US | Laguna Nigel | 2014-03-20 / 20140081364 - CRIMP TERMINATIONS FOR CONDUCTORS IN IMPLANTABLE MEDICAL LEAD AND METHOD OF MAKING SAME | 9 |
Nick Kampa | US | Saint Paul | 2012-04-12 / 20120089125 - Bi-Directional Catheter Steering Handle | 1 |
Martin Kampa | DE | Mannheim | 2014-04-24 / 20140113182 - Rechargeable Electrochemical Cell | 2 |
Gregory J. Kampa | US | Blaine | 2008-11-13 / 20080281321 - Treatment and diagnostic catheters with hydrogel electrodes | 1 |
Dione Kampa | US | Mountain View | 2008-10-23 / 20080261817 - Methods for Analyzing Global Regulation of Coding and Non-Coding RNA Transcripts Involving Low Molecular Weight RNAs | 1 |
Greg Kampa | US | Castaic | 2012-04-26 / 20120101558 - IMPLANTABLE LEADS HAVING COILED CONDUCTORS TO REDUCE RF-INDUCED CURRENT | 6 |
Gregory J. Kampa | US | Castaic | 2013-09-12 / 20130238005 - Steerable Ablation Device | 1 |
Greg Kampa | US | Blaine | 2008-10-16 / 20080251966 - METHOD OF MAKING A TUBULAR BODY FOR A CATHETHER, SHEATH OR LEAD | 1 |
Rajeswari Kampalli | US | Bethany | 2014-12-04 / 20140357756 - PREMIXED HYBRID GROUT | 1 |
Bancha Kampanatsanyakorn | TH | Bangkok | 2010-01-21 / 20100011677 - INDUSTRIALIZED CONSTRUCTION SYSTEM AND METHOD | 1 |
Philipp Kampas | AT | Wien | 2016-02-11 / 20160038312 - ORTHOPEDIC DEVICE COMPRISING A JOINT AND METHOD FOR CONTROLLING SAID ORTHOPEDIC DEVICE | 10 |
Philipp Kampas | AT | Wien | 2016-02-11 / 20160038312 - ORTHOPEDIC DEVICE COMPRISING A JOINT AND METHOD FOR CONTROLLING SAID ORTHOPEDIC DEVICE | 10 |
Sean Robert Kampas | US | Hanover Park | 2012-05-17 / 20120124211 - SYSTEM AND METHOD FOR CLOUD ENTERPRISE SERVICES | 1 |
Elliot Zachary Kampas | US | Syracuse | 2015-03-12 / 20150069096 - Wearable Beverage Container Holder | 1 |
Sean R. Kampas | US | Hanover Park | 2009-08-27 / 20090217175 - SYSTEM FOR PROVIDING AN INTERFACE FOR COLLABORATIVE INNOVATION | 2 |
Abhinay Kampasi | US | Sammamish | 2011-11-10 / 20110276696 - Provider Connection Framework | 1 |
Philip Kampe | SG | Singapore | 2016-04-28 / 20160115140 - PART-STREAM DISTILLATION | 1 |
Philip Kampe | DE | Lorsch | 2012-06-07 / 20120142950 - PROCESS FOR THE PRODUCTION OF PROPYLENE OXIDE | 3 |
Daniel Kampe | SE | Oerkelljunga | 2015-03-05 / 20150060228 - LUBRICATION IN A TRANSFER CASE WITHOUT A MECHANICAL PUMP | 1 |
Philip Kampe | BE | Antwerpen | 2014-06-19 / 20140171667 - MICROPOWDER AND MOLDING CONTAINING A ZEOLITIC MATERIAL CONTAINING TI AND ZN | 2 |
Anders Kampe | SE | Borlange | 2014-08-07 / 20140218835 - Electrical Insulator And Method Of Production | 2 |
Thorsten Kampe | CA | Kitchener | 2010-10-07 / 20100253106 - VACUUM GRIPPER ASSEMBLY | 1 |
Daniel Kampe | SE | Orkelljunga | 2015-08-27 / 20150240935 - TRANSFER CASE - METHOD OF CONTROLLING LUBRICATION - ECO - MODE OPERATION | 1 |
Ulf Kampe | SE | Kumla | 2015-10-01 / 20150275663 - Drilling Rig And Method Of Manoeuvring A Drilling Rig | 1 |
Stephen Kampe | US | Hancock | 2011-05-19 / 20110117338 - OPEN PORE CERAMIC MATRIX COATED WITH METAL OR METAL ALLOYS AND METHODS OF MAKING SAME | 1 |
Leo Van Kampen | CA | Toronto | 2013-02-07 / 20130033258 - Power Line Proximity Monitoring System and Method | 1 |
Berthold Kampen | DE | Recke | 2014-10-23 / 20140311855 - METHOD FOR CYCLICALLY SCREENING A WORKING CHAMBER OPENING AND A SCREENING DEVICE FOR CARRYING OUT THE METHOD | 2 |
Christian Kampen | DE | Erlangen | 2011-11-24 / 20110284933 - ELECTRIC CONTACTING OF SEMICONDUCTOR COMPONENTS HAVING LOW CONTACT RESISTANCE | 1 |
Gita Camilla Tejlgaard Kampen | DK | Naerum | 2011-12-22 / 20110312949 - PHARMACEUTICAL USE OF SUBSTITUTED AMIDES | 11 |
Gita Kampen | DK | Nærum | 2016-05-05 / 20160120951 - ACYLATED GLUCAGON ANALOGUES | 2 |
Maria-Van Kampen | DE | Dusseldorf | 2010-12-23 / 20100324085 - AZABICYCLIC CARBAMATES AND THEIR USE AS ALPHA-7 NICOTINIC ACETYLCHOLINE RECEPTOR AGONISTS | 1 |
Marja V. Kampen | DE | Neu-Isenburg | 2016-01-28 / 20160022651 - AMIDES OF ACETIC AND PROPIONIC ACIDS | 1 |
Stef Kampen | NL | Alkmaar | 2015-08-27 / 20150244772 - FAST RENDERING OF CONTENT USING A MOBILE USER DEVICE | 1 |
Erik-Jan Van Kampen | NL | Haarlem | 2010-02-11 / 20100034240 - Transmitter-Receiver System | 1 |
William Van Kampen | US | Saline | 2010-07-08 / 20100172477 - ELECTRICAL WHEEL LOCK SYSTEM AND METHOD | 1 |
Christian Kampen | DE | Munich | 2015-07-23 / 20150206975 - FIN-Type Semiconductor Device and Manufacturing Method | 4 |
Sarah Grace Kamper | US | Evanston | 2012-08-16 / 20120207684 - COMPOSITION AND METHODS FOR IMAGING CELLS | 1 |
Derek Kamper | US | Oak Park | 2015-12-31 / 20150374575 - ACTUATED GLOVE ORTHOSIS AND RELATED METHODS | 1 |
Thomas Kamper | DE | Burgwedel | 2014-02-06 / 20140034090 - METHOD FOR DETACHING COATINGS FROM SCRAP | 1 |
Maarten Jan Kamper | ZA | Stellenbosch | 2013-08-22 / 20130214541 - SPLIT PERMANENT MAGNET MACHINE | 2 |
Friedhelm Kamper | DE | Krefeld | 2009-07-02 / 20090166180 - PROCESS FOR PRODUCING ISOCYANATES | 1 |
Susan L. Kamper | US | Waconia | 2012-05-24 / 20120128799 - Soft Dried Marshmallow and Method of Preparation | 4 |
Robert J. Kamper | US | Round Rock | 2014-03-20 / 20140082113 - COLLABORATIVE EMAIL WITH HIERARCHICAL SIGNATURE AUTHORITY | 5 |
Liaz Kamper | IL | Ra'Anana | 2016-05-19 / 20160142307 - REDUCING SHORT-PACKET OVERHEAD IN COMPUTER CLUSTERS | 2 |
James S. Kamperman | US | Endwell | 2008-12-04 / 20080296348 - Heater for select solder machine | 1 |
Franciscus L.a.j. Kamperman | NL | Geldrop | 2014-05-08 / 20140130181 - DRM SYSTEM | 1 |
Stephanus Maria Kamperman | NL | Doesburg | 2013-01-10 / 20130009337 - PROCESS FOR SPINNING GRAPHENE RIBBON FIBERS | 1 |
Franciscus Lucas Antonius Johannes Kamperman | NL | Eindhoven | 2009-11-19 / 20090287927 - SECURE AUTHENTICATED DISTANCE MEASUREMENT | 9 |
Franciscus Lucas Antonius Johannes Kamperman | NL | Geldrop | 2015-03-12 / 20150074822 - SECURE AUTHENTICATED DISTANCE MEASUREMENT | 3 |
Franciscus L.a.j. Kamperman | NL | Eindhoven | 2011-08-18 / 20110200224 - CONTENT ITEM IDENTIFIER | 1 |
Wilhemus Petrus Theodorus Kamperman | NL | Hapert | 2010-06-10 / 20100143725 - AQUEOUS COMPOSITIONS, PRECURSOR SYSTEMS AND APPLICATION SYSTEMS | 1 |
Nicodemus Frederikus Kamperman | NL | Lieshout | 2010-01-28 / 20100021639 - METHOD AND APPARATUS FOR MAKING PARTIALLY COATED PRODUCTS | 1 |
Gerrit Kampers | NL | Puttershoek | 2008-10-16 / 20080251463 - System for Removing Oil From a Water Surface | 1 |
Maren Kampers | DE | Seevetal | 2010-10-07 / 20100252192 - ADHESIVE SHEET FOR SEALING VESSELS AND CHANNELS, PRODUCTION AND USE THEREOF | 4 |
William George Kampert | US | Wilmington | 2011-05-19 / 20110117351 - Impact Resistant Composite Article | 2 |
Francis A. Kampf | US | Jeffersonville | 2009-10-22 / 20090265154 - SIMULATION OF DIGITAL CIRCUITS | 6 |
James Kampf | US | San Diego | 2012-06-21 / 20120156701 - METHODS AND COMPOSITIONS FOR DIAGNOSIS AND PROGNOSIS OF RENAL INJURY AND RENAL FAILURE | 1 |
Gunnar Kampf | DE | Stemwede-Haldem | 2014-09-18 / 20140272374 - PROCESS FOR PRODUCING COMPOSITE ELEMENTS | 20 |
Christopher Gary Kampf | US | Hope Valley | 2014-06-12 / 20140157713 - VACUUM INSULATION PANEL ASSEMBLY | 1 |
James Patrick Kampf | US | San Diego | 2016-04-07 / 20160097779 - METHODS AND COMPOSITIONS FOR DIAGNOSIS AND PROGNOSIS OF RENAL INJURY AND RENAL FAILURE | 41 |
Rudolf Kampf | DE | Haingrundau | 2008-09-25 / 20080230687 - Automatic Analysis Device and Method for Monitoring Polymer Production by Means of Mass Spectroscopy | 1 |
Arieh Kampf | IL | Tel Aviv | 2011-11-17 / 20110278504 - LIQUID COMPOSITION SUITABLE FOR USE AS A CORROSION INHABITOR AND A METHOD FOR ITS PREPARATION | 2 |
Gunnar Kampf | DE | Stemwede-Haldem | 2014-09-18 / 20140272374 - PROCESS FOR PRODUCING COMPOSITE ELEMENTS | 20 |
Thomas W. Kampf | US | Minnetonka | 2011-05-19 / 20110116754 - CABLE EXIT TROUGH WITH INSERT | 2 |
James Patrick Kampf | US | San Diego | 2016-04-07 / 20160097779 - METHODS AND COMPOSITIONS FOR DIAGNOSIS AND PROGNOSIS OF RENAL INJURY AND RENAL FAILURE | 41 |
Marcus Kampf | DE | Berlin | 2014-07-31 / 20140210576 - ELECTROMAGNETIC DRIVE | 4 |
Udo Kampf | DE | Friedrichsdorf | 2009-05-28 / 20090137410 - METHOD FOR COMPUTER-BASED PROCESSING OF BIOLOGICAL DATA | 1 |
Guenter Kampf | DE | Hamburg | 2012-08-16 / 20120208894 - MULTI-PURPOSE HAND DISINFECTANT | 1 |
Lars Verner Kampf | DK | Naestved | 2012-08-30 / 20120219416 - AXIAL FAN AND A METHOD OF MANUFACTURING A BLOWER PIPE THEREFOR | 3 |
Thomas Walter Kampf | US | Minnetonka | 2011-09-22 / 20110229103 - OPTICAL CABLE EXIT TROUGH | 3 |
Thomas W. Kampf | US | Minnsetonka | 2010-01-07 / 20100002998 - Cable exit trough with insert | 1 |
Christopher Kampf | US | Chepachet | 2015-12-03 / 20150345802 - MOISTURE DETECTING AIR CAP INDICATOR FOR EXPANSION TANK FAILURE | 1 |
Christopher Kampf | US | Hope Valley | 2015-11-26 / 20150338011 - PRESSURE ABSORBER FOR A FLUID SYSTEM AND METHOD OF USE | 1 |
Thomas Kampf | DE | Wuerzburg | 2013-06-20 / 20130157256 - Method for Determining the Presence of an Analyte by Means of Small Magnetic Particles, and Corresponding Device | 1 |
Thomas W. Kampf | US | Hopkins | 2013-07-04 / 20130170809 - CABLE EXIT TROUGH WITH INSERT | 4 |
Thomas Walter Kampf | US | Hopkins | 2015-05-21 / 20150139599 - OPTICAL CABLE EXIT TROUGH | 2 |
Oliver Kampfer | DE | Memmingen | 2009-07-09 / 20090174267 - Electromotor or generator | 3 |
Stefan Kampfer | AT | Villach | 2014-08-28 / 20140241414 - SELF SYNCHRONIZING DATA COMMUNICATION METHOD AND DEVICE | 3 |
Sonja Kampfer | DE | Germering | 2016-02-25 / 20160053001 - DIAGNOSTIC ANTIBODY ASSAY | 6 |
Thomas D Kampfer | US | San Diego | 2014-04-17 / 20140105443 - REFLECTIVE CORDS | 1 |
Burkard Kampfmann | DE | Moembris | 2010-11-18 / 20100289166 - DROP PELLETIZING DEVICE AND METHOD FOR THE OPERATION THEREOF | 1 |
Carl J. Kamph | US | Bethlehem | 2015-10-15 / 20150294491 - HANDHELD DIGITAL DRAWING AND PROJECTION DEVICE | 2 |
Jason Kamphaus | SG | Singapore | 2011-12-29 / 20110315401 - SIDE POCKET BARRIER VALVE GAS LIFT AND MANDREL | 1 |
Jason Michael Kamphaus | US | Missouri City | 2014-12-04 / 20140352982 - Side Pocket Barrier Valve Gas Lift and Mandrel | 1 |
Jason M. Kamphaus | US | Missouri City | 2011-02-17 / 20110039980 - Solvent-Promoted Self-Healing Materials | 1 |
Jason Kamphaus | US | Missouri City | 2014-05-22 / 20140138099 - GAS LIFT BARRIER VALVE | 8 |
Jason Kamphaus | US | Missouri City | 2014-05-22 / 20140138099 - GAS LIFT BARRIER VALVE | 8 |
Herb Kamphausen | US | Willits | 2009-03-12 / 20090064611 - FLOOR SYSTEM | 1 |
Oliver Kamphenkel | DE | Hannover | 2013-05-30 / 20130139080 - METHOD AND APPARATUS FOR VISUALIZING A DATA SET | 2 |
Oliver Kamphenkel | DE | Lehrte | 2016-05-05 / 20160124991 - METHOD AND APPARATUS FOR MANAGING METADATA FILES | 8 |
Oliver Kamphenkel | DE | Lehrte | 2016-05-05 / 20160124991 - METHOD AND APPARATUS FOR MANAGING METADATA FILES | 8 |
Bert Jan Kampherbeek | NL | Delft | 2014-01-16 / 20140014852 - PROJECTION LENS ARRANGEMENT | 4 |
Jair Kamphorst | BR | Sorocaba - Sp | 2016-03-24 / 20160081275 - Self Adjustable Rotor for Sugar Cane Harvester | 1 |
Herman M. Kamphorst | NL | Assen | 2010-08-12 / 20100200215 - PIPE RUNNING TOOL | 2 |
Tonny Kamphuis | NL | Lent | 2015-10-22 / 20150303156 - SINGLE INLINE NO-LEAD SEMICONDUCTOR PACKAGE | 11 |
Bert-Jan Kamphuis | BE | Vosselaar | 2011-08-25 / 20110206879 - Cobalt Bearing Polymeric Compositions | 1 |
Aaron M. Kamphuis | US | Rockford | 2014-07-31 / 20140214554 - ELECTRONIC COMMERCE TRANSACTIONS WITHIN A MARKETING SYSTEM THAT MAY CONTAIN A MEMBERSHIP BUYING OPPORTUNITY | 1 |
Patrick Joseph Gerardus Hendrikus Kamphuis | NL | De Bilt | 2014-03-06 / 20140066397 - METHOD FOR TREATING NEUROTRAUMA | 1 |
Patrick Joseph Gerardus Hendrikus Kamphuis | NL | Utrecht | 2016-03-03 / 20160058869 - PALATABLE NUTRITIONAL COMPOSITION COMPRISING A NUCLEOTIDE AND/OR A NUCLEOSIDE AND A TASTE MASKING AGENT | 20 |
Kevin L. Kamphuis | US | Round Rock | 2016-03-03 / 20160062411 - Portable Information Handling System Detachable Support and Attachment Device | 2 |
Frank Kamphuis | DE | Neustadt A. Rbge | 2015-12-10 / 20150353755 - Microstructured Paint | 1 |
Robert Engelbert Hubert Kamphuis | FI | Ojakkala | 2013-12-19 / 20130340092 - SOFTWARE LICENCE CONTROL | 3 |
Martijn Hendrik Kamphuis | NL | Veldhoven | 2011-11-10 / 20110273679 - LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 2 |
Dwain L. Kamphuis | US | West Olive | 2011-01-13 / 20110005309 - Tire Runout Gauge | 2 |
Tonny Kamphuis | NL | Lent | 2015-10-22 / 20150303156 - SINGLE INLINE NO-LEAD SEMICONDUCTOR PACKAGE | 11 |
Tonny Kamphuis | NL | Niijmegen | 2016-01-07 / 20160005653 - FLEXIBLE WAFER-LEVEL CHIP-SCALE PACKAGES WITH IMPROVED BOARD-LEVEL RELIABILITY | 1 |
Tonny Kamphuis | NL | Nlijmegen | 2016-01-07 / 20160005680 - Exposed-Heatsink Quad Flat No-Leads (QFN) Package | 1 |
Dwain Kamphuis | US | West Olive | 2014-12-11 / 20140360453 - VALVE GUIDE INSERT WITH FRICTIONAL PRE-BROACH RETENTION FEATURE | 2 |
Juliane Kamphus | DE | Schwalbach | 2015-11-19 / 20150328358 - AGGLOMERATED SUPERABSORBENT POLYMER PARTICLES | 3 |
Anselm Kampik | DE | Munchen | 2012-02-02 / 20120027812 - INTRAOCULAR LENSES TREATED WITH ALKYLPHOSPHOCHOLINES FOR PHARMACOLOGICAL AFTERCATARACT PROPHYLAXIS | 1 |
Wiecher Ferdinand Kamping | NL | Rosmalen | 2015-09-10 / 20150250932 - BREAST PUMP SYSTEM | 1 |
Ruppert Kamping | DE | Hannover | 2010-12-16 / 20100318256 - DRIVER ASSISTANCE SYSTEM FOR A MOTOR VEHICLE | 1 |
Wiecher Ferdinand Kamping | NL | Eindhoven | 2015-09-24 / 20150265079 - COVER DEVICE FOR A DRINK CONTAINER | 2 |
Jenny Kampka | DE | Merzig | 2011-10-06 / 20110242631 - Method and Composition for Producing Optical Elements Having a Gradient Structure | 1 |
Justin W. Kamplain | US | Bartlesville | 2016-03-17 / 20160075832 - Process for Preventing Thiophenol Formation and/or Accumulation During Production of Poly(Arylene Sulfide) | 4 |
Ville Kampman | FI | Oulu | 2016-04-21 / 20160107031 - COMPUTING WEIGHT CONTROL PROFILE | 6 |
Markus Kampmann | DE | Adernach | 2015-01-29 / 20150032857 - MIXER FOR PROVIDING MEDIA STREAMS TOWARDS A PLURALITY OF ENDPOINTS WHEREBY THE MEDIA STREAMS ORIGINATING FROM ONE OR MORE MEDIA SOURCE AND METHOD THEREFORE | 1 |
Markus Kampmann | DE | Andernach | 2014-11-27 / 20140347451 - Depth Adaptation for Multi-View System | 4 |
Markus Kampmann | DE | Aachen | 2013-05-23 / 20130128052 - Synchronization of Cameras for Multi-View Session Capturing | 17 |
Martin Kampmann | US | San Francisco | 2015-03-12 / 20150072893 - METHODS FOR GENOME-WIDE SCREENING AND CONSTRUCTION OF GENETIC INTERACTION MAPS | 1 |
Markus Kampmann | DE | Andemach | 2013-05-30 / 20130138807 - Pre-Scheduling of Quality of Service Reservation | 1 |
Bernd Kampmann | DE | Velbert | 2010-06-24 / 20100154501 - Autofrettage Process and Autofrettage Apparatus | 1 |
Lutz Kampmann | DE | Moetzing | 2012-04-05 / 20120079792 - Apparatus for the Closure of Containers with Clean Room | 1 |
Hans Henrik Kampmann | DK | Odense S. | 2011-02-03 / 20110030081 - Novel Rucola plants with cyptoplasmic male sterility (CMS) | 1 |
Jens Kampmann | DE | Marburg | 2011-02-10 / 20110035175 - METHOD FOR RATING SOLAR UNITS AND IMPLEMENTING APPARATUS | 1 |
Hans Henrik Kampmann | DK | Odense | 2010-05-13 / 20100122371 - NOVEL RUCOLA PLANTS WITH CYTOPLASMIC MALE STERILITY (CMS) | 1 |
Andreas Kampmann | DE | Ulm | 2011-01-27 / 20110017283 - METHOD AND APPARATUS FOR DEPOSITION OF A LAYER OF AN INDIUM CHALCOGENIDE ONTO A SUBSTRATE | 1 |
Robert Kampmann | DE | Mönchengladbach | 2016-05-19 / 20160138548 - Pole-Niche-Integrated Starting-Current Limiter | 1 |
Martin Kampmann | DE | Babenhausen/hessen | 2013-10-10 / 20130264292 - DEVICE AND METHOD FOR TREATING LIQUIDS BY MEANS OF OZONE | 1 |
Dirk Kampmann | NL | Gp Vaals | 2013-07-25 / 20130190037 - Music Control Signal Dependent Activation of a Voice Activity Detector | 2 |
Markus Kampmann | DE | Aachen | 2013-05-23 / 20130128052 - Synchronization of Cameras for Multi-View Session Capturing | 17 |
Ingo Kampmann | DE | Dortmund | 2016-03-03 / 20160059331 - SAWING MACHINE | 1 |
Markus Kampmann | DE | Bremenberg | 2009-03-05 / 20090064341 - Technique for registering a device with a rights issuer system | 1 |
Dirk Kampmann | NL | Vaal | 2015-10-15 / 20150295975 - Dynamic Transcoder Placement | 1 |
Jürgen Kampmann | DE | Bielefeld | 2013-10-17 / 20130269580 - TEXTILE | 1 |
Jürgen Kampmann | DE | Bielefeld | 2013-10-17 / 20130269580 - TEXTILE | 1 |
Roland Kampmann | DE | Witten | 2016-04-28 / 20160114375 - TWIST APPLICATION DEVICE WITH AN ADJUSTABLE DISTANCE BETWEEN THE CONDUCTOR ENDS | 2 |
Elmar Joerg Kampmann | DE | Senden | 2015-12-17 / 20150362036 - GAP-TYPE, SINGLE TURN, TOOLED WAVE SPRING | 1 |
Dirk Kampmann | NL | Vaals | 2015-11-26 / 20150341253 - CONNECTING A BOOTING SWITCH TO A NETWORK | 25 |
Lutz Kampmann | DE | Neutraubling | 2011-06-09 / 20110131923 - Capper | 1 |
Jurgen Kampmeier | DE | Erlangen | 2009-04-09 / 20090094144 - BUSINESS MODEL OF A BILLING PROCEDURE FOR RENTING MEDICAL EQUIPMENT | 3 |
Florian Kampmeier | DE | Aachen | 2014-01-23 / 20140023592 - SELF COUPLING RECOMBINANT ANTIBODY FUSION PROTEINS | 2 |
Klaus-Dieter Kampmeier | DE | Fischbach | 2013-01-17 / 20130017401 - METAL COMPONENT, METHOD FOR PRODUCING A METAL COMPONENT, AND FITTING, FURNITURE, AND/OR LARGE HOUSEHOLD APPLIANCEAANM Rehage; DanielAACI BielefeldAACO DEAAGP Rehage; Daniel Bielefeld DEAANM Schrubke; LarsAACI KirchlengernAACO DEAAGP Schrubke; Lars Kirchlengern DEAANM Kampmeier; Klaus-DieterAACI FischbachAACO DEAAGP Kampmeier; Klaus-Dieter Fischbach DE | 1 |
Jaclyn Kampmeier | US | Apo | 2012-12-13 / 20120311865 - MINERAL BLADE AND RAZOR FOR USE WITH SAME | 1 |
Samuel D. Kampondeni | MW | Blantyre | 2014-09-18 / 20140265287 - SUPPLEMENTAL RESTRAINT SYSTEM AND ASSOCIATED METHODS | 1 |
Jesper Kampp | DK | Skanderborg | 2016-03-24 / 20160081385 - PROCESS | 4 |
Taina Kamppuri | FI | Tampere | 2012-06-28 / 20120160433 - PROCESS FOR PRODUCING MICROFIBRILLATED CELLULOSE | 4 |
Manja Kamprad | DE | Leipzig | 2008-09-04 / 20080216182 - Animal Model for the Human Immune System, and Method for Producing the Same | 1 |
Axel Kamprath | BE | Wetteren | 2011-12-22 / 20110308677 - Vehicle Tyre | 1 |
David Kamprath | US | Webster | 2009-12-17 / 20090309707 - AUTOMATIC OPTIMIZATION OF HFSI WARNING THRESHOLDS BASED ON ACTUAL SERVICE INTERVAL STATISTICS | 1 |
Richard Alan Kamprath | US | Dallas | 2013-05-02 / 20130105565 - Nutritional Information System | 1 |
David R. Kamprath | US | Webster | 2010-02-04 / 20100028063 - ENHANCING IMAGE PERMANENCE BY FUSING MEDIA MULTIPLE TIMES | 2 |
Robert Kamprath | DE | Bremen | 2008-12-25 / 20080313860 - Apparatus and Method for Feeding Fibers | 1 |
Garrett Kamps | US | San Francisco | 2015-05-28 / 20150150146 - PROVIDING CONTENT ITEMS FROM ALTERNATE SOURCES | 2 |
Jeffrey Joseph Kamps | US | Katy | 2014-06-19 / 20140166270 - SYSTEM AND METHOD FOR POSITIONING EQUIPMENT FOR WELL LOGGING | 1 |
Ricky Kamps | NL | Kerkrade | 2011-01-13 / 20110005341 - FILTERING APPARATUS FOR FILTERING A FLUID | 1 |
Alexander Kamps | DE | Rhede | 2014-02-13 / 20140045644 - PLANETARY CARRIER | 1 |
Jan Henk Kamps | NL | Bergen Op Zoom | 2015-11-26 / 20150338423 - METHODS FOR DETERMINING RELATIVE BINDING ENERGY OF MONOMERS AND METHODS OF USING THE SAME | 14 |
Douglas Kamps | US | Minocqua | 2014-07-31 / 20140209281 - Self-Contained Flameless Heat Transfer Fluid Heating System | 2 |
Joern Kamps | DE | Berlin | 2010-09-30 / 20100251437 - Method and Apparatus for Characterizing a Sample with Two or More Optical Traps | 1 |
Jorn Kamps | DE | Berlin | 2010-09-09 / 20100229262 - APPARATUS AND METHOD FOR EXAMINING A SPECIMEN BY MEANS OF PROBE MICROSCOPY | 1 |
Tommy Kamps | NL | Eindhoven | 2012-06-07 / 20120144376 - EMBEDDED SYSTEM DEVELOPMENT | 1 |
Jan Henk Kamps | NL | Noord Brabant | 2012-03-22 / 20120071606 - POLYCARBONATE GRAFT COPOLYMERS | 1 |
Rolf Kamps | DE | Velbert | 2009-12-10 / 20090302620 - HANDLE CONFIGURATION | 1 |
Tommy Kamps | NL | Den Bosch | 2016-03-10 / 20160070550 - EMBEDDED SYSTEM DEVELOPMENT | 1 |
Douglas R. Kamps | US | Minocqua | 2012-11-29 / 20120298260 - Firewood Processor with Vertically-Displaceable Circular Saw | 1 |
Rainer Kamps | DE | Grub Am Forst | 2012-08-09 / 20120201501 - OPTICAL CABLE AND METHOD FOR PRODUCING AN OPTICAL CABLE | 3 |
Thomas Kamps | DE | Holzwickede | 2015-02-05 / 20150038636 - COMPOSITION, ELASTOMER BODY AND COUPLING | 2 |
Thomas Kamps | DE | Darmstadt | 2009-06-18 / 20090157656 - AUTOMATIC, COMPUTER-BASED SIMILARITY CALCULATION SYSTEM FOR QUANTIFYING THE SIMILARITY OF TEXT EXPRESSIONS | 1 |
Sebastian Kamps | DE | Kleve | 2011-04-28 / 20110094853 - DEVICE FOR SEPARATING PRODUCT GROUPS | 2 |
Bernhard F. Kamps | CA | Dartmouth | 2011-06-16 / 20110145029 - TRACKING AND REPORTING CONSTRUCTION, COMPLETION, AND INSPECTION STATUS | 1 |
Rolf Kamps | DE | Wuppertal | 2011-09-01 / 20110211062 - MODULAR IMAGE DETECTION UNIT | 4 |
Sebastian Kamps | DE | Grub Am Forst | 2011-10-20 / 20110252799 - CONDENSER TUBE HAVING INCREASED HYDROPHOBICITY, PRODUCTION METHOD AND USE THEREOF | 1 |
Mark Kamps | US | Carlsbad | 2009-03-12 / 20090068157 - Derivation of unlimited quantities of neutrophils on monocyte/dendritic cells | 1 |
Jan Henk Kamps | NL | Bergen Op Zoom | 2015-11-26 / 20150338423 - METHODS FOR DETERMINING RELATIVE BINDING ENERGY OF MONOMERS AND METHODS OF USING THE SAME | 14 |
Ricky Kamps | NL | Eindhoven | 2011-10-20 / 20110256640 - ASSAY FOR TROPONIN I USING MAGNETIC LABELS | 1 |
Willem A. Kamps | NL | Winsum | 2010-10-14 / 20100261726 - TREATMENT OF AML | 1 |
Johannes Adrianus Antonius Maria Kamps | NL | Groningen | 2010-08-19 / 20100209494 - Liposomes and Uses Thereof | 1 |
Jan Henk Kamps | NL | Vr Bergen Op Zoom | 2011-03-24 / 20110071261 - TRANSPARENT ARTICLES PREPARED FROM THERMOPLASTIC COMPOSITIONS HAVING LOW BIREFRINGENCE | 1 |
Rolf Kamps | CH | Nuglar | 2016-03-24 / 20160083189 - METHOD AND CONVEYOR DEVICE FOR CONVEYING BULK MATERIAL | 3 |
Vida Kampstra | FR | Voiron | 2008-12-18 / 20080309867 - PROCESS FOR FABRICATING A FLEXIBLE ELECTRONIC DEVICE OF THE SCREEN TYPE, INCLUDING A PLURALITY OF THIN-FILM COMPONENTS | 1 |
Juliane Kampus | DE | Schwalbach | 2013-12-12 / 20130331806 - Absorbent Core For Use In Absorent Articles | 1 |
Abhinav Kamra | US | New York | 2014-06-12 / 20140161206 - METHODS, SYSTEMS, AND MEDIA FOR FORMING LINEAR COMBINATIONS OF DATA | 2 |
Vaibhav Kamra | US | Bellevue | 2013-08-01 / 20130198142 - MIRRORING FILE DATA | 2 |
Vaibhav Kamra | US | Seattle | 2012-02-16 / 20120041928 - MIRRORING DATA CHANGES IN A DATABASE SYSTEM | 3 |
Mike Kamradt | US | Flossmoor | 2016-03-10 / 20160071214 - SYSTEM AND METHOD FOR COMPELLING PHYSICAL DELIVERY OF ITEMS WITHIN A QUALITY RANGE | 1 |
Mike Kamradt | US | Chicago | 2015-04-16 / 20150106255 - FACILITATION OF PAYMENTS BETWEEN COUNTERPARTIES BY A CENTRAL COUNTERPARTY | 4 |
Brian Kamradt | US | Indianapolis | 2016-02-04 / 20160029724 - Energy absorbing and displacing structure for body protective padding | 8 |
Michael Kamradt | US | Flossmoor | 2014-10-16 / 20140310147 - EXCHANGE-TRADED BASIS DERIVATIVE CONTRACTS | 3 |
Michael P. Kamradt | US | Flossmoor | 2013-07-11 / 20130179319 - COMPOUND OVERNIGHT BANK RATE ACCRUAL FUTURES CONTRACT AND COMPUTATION OF VARIATION MARGIN THEREFORE | 2 |
Michael Kamradt | US | Chicago | 2014-09-11 / 20140258074 - Zero Coupon Conversion Factor Calculation | 1 |
Brian E. Kamradt | US | Indianapolis | 2011-12-01 / 20110289663 - ENERGY ABSORBING AND DISPLACING STRUCTURE FOR ATHLETIC PROTECTIVE EQUIPMENT | 1 |
Brian Kamradt | US | Indianapolis | 2016-02-04 / 20160029724 - Energy absorbing and displacing structure for body protective padding | 8 |
Mohamed Kamran | IN | Bangalore | 2011-06-30 / 20110158814 - TURBINE ENGINE ROTOR BLADES AND ROTOR WHEELS | 1 |
Daneshvar Kamran | CN | Fujian | 2016-02-25 / 20160053416 - PREPARATION METHOD OF A JACQUARD SANDWICH FABRIC WITH SURFACE INLAID WITH YARNS IN REVERSE | 2 |
Ravi Kamran | US | Sunnyvale | 2014-07-24 / 20140207566 - DEVICE SESSION IDENTIFICATION SYSTEM | 1 |
Aflatoon Kamran | US | Corona Del Mar | 2011-03-03 / 20110054616 - Open body box form interbody fusion cage | 1 |
Deepak Kamran | SG | Singapore | 2013-07-18 / 20130183628 - ADDITIVE FUEL COMPOSITION, AND METHOD OF USE THEREOF | 2 |
Esko Kamrat | FI | Vantaa | 2015-12-17 / 20150362429 - METHOD AND ARRANGEMENT IN CONNECTION WITH SEPARATE SAMPLE TAKEN FROM PROCESS LIQUID | 4 |
Michael A. Kamrath | US | Aurora | 2014-09-18 / 20140263170 - METHODS OF POLISHING SAPPHIRE SURFACES | 2 |
Sven Kamrath | DE | Linden | 2012-10-11 / 20120255467 - DEVICE FOR MANAGING VALUABLES INCLUDING MONEY | 1 |
Robert F. Kamrath | US | Mahtomedi | 2016-03-24 / 20160087246 - METHODS OF FORMING TRANSFER FILMS | 14 |
Michael Kamrath | US | Aurora | 2014-02-27 / 20140057533 - METHODS OF POLISHING SAPPHIRE SURFACES | 2 |
Robert F. Kamrath | US | Mahtomedi | 2016-03-24 / 20160087246 - METHODS OF FORMING TRANSFER FILMS | 14 |
Michael Z. Kamrath | US | Hutchinson | 2015-05-14 / 20150129761 - USE OF CRYOGENIC ION CHEMISTRY TO ADD A STRUCTURAL CHARACTERIZATION CAPABILITY TO MASS SPECTROMETRY THROUGH LINEAR ACTION SPECTROSCOPY | 2 |
Kurt Kamrud | US | Apex | 2015-06-11 / 20150157703 - METHOD OF RAPIDLY PRODUCING IMPROVED VACCINES FOR ANIMALS | 2 |
Kurt I. Kamrud | US | Apex | 2015-08-27 / 20150240236 - RECOMBINANT RNA PARTICLES AND METHODS OF USE | 5 |
Kurt Iver Kamrud | US | Apex | 2014-12-18 / 20140371295 - METHODS AND COMPOSITIONS TO PROTECT AQUATIC INVERTEBRATES FROM DISEASE | 6 |
Daniel Ryan Kamsler | US | Land O' Lakes | 2015-11-26 / 20150335342 - MINIMALLY INVASIVE LAPAROSCOPIC TISSUE REMOVAL DEVICE | 1 |
Zachary Kamsler | US | Worcester | 2010-01-07 / 20100005424 - VIRTUAL WORLD SYSTEM SUPPORTING A CONSUMER EXPERIENCE | 1 |
Duke Kamstra | US | Thousand Oaks | 2016-04-07 / 20160100278 - VIRTUAL LINKING USING A WIRELESS DEVICE | 2 |
Duke Kamstra | US | Thousands Oaks | 2010-07-22 / 20100183025 - VIRTUAL LINKING USING A WIRELESS DEVICE | 1 |
Lisbeth Kamstrup-Larsen | DK | Copenhagen | 2012-01-19 / 20120016315 - NEEDLE MAGAZINE | 1 |
Govinda Kamtamneni | US | Foothill Ranch | 2016-05-19 / 20160140479 - ADAPTIVE TASK ASSIGNMENT TO IMPROVE SKILL GROWTH AND BALANCE SERVICE LEVEL AGREEMENTS | 2 |
Kiran Kamtekar | GB | Cambridgeshire | 2014-06-26 / 20140175415 - POLYMER AND ORGANIC ELECTRONIC DEVICE | 3 |
Sanjay Kamtekar | US | Highland | 2016-03-03 / 20160066400 - Flat-Panel-Display, Bottom-Side, Electrostatic-Dissipation | 3 |
Kiran Kamtekar | GB | Godmanchester | 2015-12-31 / 20150376495 - POLYMER AND ORGANIC LIGHT-EMITTING DEVICE | 3 |
Satwik Kamtekar | US | Redwood City | 2015-11-19 / 20150330987 - LABELED NUCLEOTIDE ANALOGS HAVING PROTEIN SHIELDS | 7 |
Kiran Timothy Kamtekar | GB | Cambridge | 2015-04-30 / 20150115204 - POLYMER COMPOUND AND LIGHT EMITTING ELEMENT USING SAME | 1 |
Kiran Timothy Kamtekar | GB | Cambridgeshire | 2014-10-16 / 20140306203 - METAL COMPLEX AND LIGHT-EMITTING DEVICE COMPRISING THE METAL COMPLEX | 1 |
Satwik Kamtekar | US | Menlo Park | 2012-02-09 / 20120034602 - Recombinant Polymerases For Improved Single Molecule Sequencing | 1 |
Mohan Kamthan | IN | New Delhi | 2013-09-05 / 20130230557 - RECOMBINANT MICROORGANISMS AND USES THEREOF | 1 |
Supriya Kamthania | IN | Bangalore | 2015-02-05 / 20150039543 - Feature Based Three Stage Neural Network Intrusion Detection | 1 |
Ankur Kamthe | US | Sunnyvale | 2015-04-30 / 20150120930 - PROVISIONING ACCESS POINT BANDWIDTH BASED ON PREDETERMINED EVENTS | 2 |
Nicholas Kamuda | US | Seattle | 2015-11-12 / 20150325054 - INDICATING OUT-OF-VIEW AUGMENTED REALITY IMAGES | 8 |
Nicholas Ferianc Kamuda | US | Seattle | 2015-05-28 / 20150149288 - CONTEXTUAL BASED INFORMATION AGGREGATION SYSTEM | 13 |
Nicholas Kamuda | US | Seattle | 2015-11-12 / 20150325054 - INDICATING OUT-OF-VIEW AUGMENTED REALITY IMAGES | 8 |
Nicholas Ferianc Kamuda | US | Seattle | 2015-05-28 / 20150149288 - CONTEXTUAL BASED INFORMATION AGGREGATION SYSTEM | 13 |
Matthias Kamuf | SE | Lund | 2014-06-26 / 20140177576 - ANCHOR CARRIER SELECTION IN MULTI-CARRIER WIRELESS NETWORK | 18 |
Matthias Kamuf | DE | Munchen | 2014-06-05 / 20140153490 - Device and Method for Controlling Interference | 3 |
Matthias Kamuf | DE | Waghausel | 2013-11-14 / 20130301758 - Method and Apparatus for Turbo Receiver Processing | 4 |
Matthias Kamuf | DE | Waghäusel | 2013-05-09 / 20130114435 - Almost-Blank Subframe Configuration Detection in Heterogeneous Networks | 1 |
Robert Kamuf | DE | Warburg | 2010-11-18 / 20100293417 - Device for centrally monitoring the operation of automated banking machines | 1 |
Vik Kamukula | US | Henrico | 2015-11-12 / 20150320035 - ANTIMICROBIAL SOLID SURFACES AND TREATMENTS AND PROCESSES FOR PREPARING THE SAME | 1 |
Petri Kamula | FI | Laihia | 2011-06-23 / 20110146962 - ARRANGEMENT IN A LIQUID COOLER | 1 |
Hitoshi Kamura | JP | Okazaki-Shi | 2015-10-01 / 20150275790 - FUEL EVAPORATIVE EMISSION CONTROL APPARATUS | 11 |
Shuichi Kamura | JP | Kashiwazaki-Shi, Niigata | 2015-11-19 / 20150330507 - PISTON RING SPRAYED COATING, PISTON RING, AND METHOD FOR PRODUCING PISTON RING SPRAYED COATING | 1 |
Masakazu Kamura | JP | Osaka | 2012-08-09 / 20120199822 - ORGANIC TRANSISTOR | 3 |
Akihito Kamura | JP | Kashiwa-Shi | 2016-03-31 / 20160091824 - DEVELOPER SUPPLY CARTRIDGE | 4 |
Hitoshi Kamura | JP | Shinjuku-Ku | 2015-03-05 / 20150064341 - METHOD OF MANUFACTURING EYEGLASS LENS | 1 |
Keiichiro Kamura | JP | Kobe | 2013-11-28 / 20130313764 - AIR SPRING | 1 |
Hisaya Kamura | JP | Tokyo | 2014-10-16 / 20140305048 - BRACE MEMBER | 1 |
Yuji Kamura | JP | Ota | 2014-11-27 / 20140348464 - WAVELENGTH SELECTIVE SWITCH, VARIABLE DISPERSION COMPENSATOR, OPTICAL TRANSMISSION APPARATUS, AND OPTICAL TRANSMISSION SYSTEM | 1 |
Koichiro Kamura | JP | Fujisawa-Shi | 2011-11-10 / 20110276965 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND ENCRYPTION INFORMATION MANAGEMENT METHOD | 9 |
Teruo Kamura | JP | Chiba | 2014-12-04 / 20140357835 - POLYMERIZABLE COMPOSITION FOR OPTICAL MATERIAL, METHOD FOR PRODUCING SAME, AND METHOD FOR PRODUCING OPTICAL MATERIAL | 1 |
Keiichiro Kamura | JP | Kobe-Shi | 2015-09-10 / 20150251670 - RAILCAR BOGIE INCLUDING AXLE SPRING | 2 |
Hitoshi Kamura | JP | Okazaki-Shi | 2015-10-01 / 20150275790 - FUEL EVAPORATIVE EMISSION CONTROL APPARATUS | 11 |
Hitoshi Kamura | JP | Takamatsu | 2012-03-15 / 20120066540 - INFORMATION CORRECTION SUPPORT SYSTEM AND METHOD | 4 |
Hitoshi Kamura | JP | Kagawa | 2010-09-30 / 20100251387 - TERMINAL APPARATUS, DATA PROVIDING SYSTEM, DATA PROVIDING METHOD, AND COMPUTER PROGRAM | 4 |
Hitoshi Kamura | JP | Tokyo | 2015-09-17 / 20150259509 - TRANSPARENT MOLDED ARTICLE, OPTICAL MEMBER, PLASTIC LENS, AND METHODS OF MANUFACTURING SAME | 6 |
Masakazu Kamura | JP | Osaka-Shi | 2010-09-23 / 20100237337 - ORGANIC TRANSISTOR AND METHOD FOR PRODUCING THE SAME | 1 |
Seiichiro Kamura | JP | Osaka | 2009-04-23 / 20090102172 - METHOD OF ASSEMBLING AIRBAG DEVICE AND AIRBAG DEVICE | 4 |
Mitsumasa Kamura | JP | Kyoto-Shi | 2012-04-19 / 20120094228 - TONER BINDER AND TONER COMPOSITION | 1 |
Teruo Kamura | JP | Tokyo | 2016-05-05 / 20160122461 - COMPOSITION FOR OPTICAL MATERIAL | 3 |
Shigeaki Kamuro | JP | Himeji-Shi | 2015-12-24 / 20150368514 - CURABLE RESIN COMPOSITION AND CURED PRODUCT THEREOF, ENCAPSULANT, AND SEMICONDUCTOR DEVICE | 5 |
Kyoko Kamuro | JP | Kawasaki | 2010-02-11 / 20100034112 - COMMUNICATION MONITORING METHOD, COMMUNICATION MONITORING SYSTEM, MANAGEMENT SYSTEM, AND EXTERNAL DEVICE | 1 |
Maryam Kamvar | US | San Francisco | 2015-09-24 / 20150269252 - NONSTANDARD LOCALITY-BASED TEXT ENTRY | 3 |
Maryam Kamvar | US | Boston | 2014-12-11 / 20140364137 - Overloaded Communication Session | 1 |
Sepandar D. Kamvar | US | San Francisco | 2014-05-08 / 20140129542 - System and Method for Personalized Snippet Generation | 8 |
Sepandar Kamvar | US | San Francisco | 2014-05-22 / 20140143226 - System and Method for Presenting Multiple Sets of Search Results for a Single Query | 1 |
Sepandar D. Kamvar | US | San Francisco | 2014-05-08 / 20140129542 - System and Method for Personalized Snippet Generation | 8 |
Sepandar D. Kamvar | US | Palo Alto | 2011-11-03 / 20110270890 - Method for Detecting Link Spam in Hyperlinked Databases | 5 |
Masaki Kan | JP | Tokyo | 2015-07-23 / 20150207860 - INFORMATION DISTRIBUTION SYSTEM, INFORMATION DISTRIBUTION SERVER, INFORMATION DISTRIBUTION METHOD, AND PROGRAM | 24 |
Jimmy Kan | US | Redmond | 2015-09-24 / 20150271028 - PROVIDING SHARED ACCOUNT SERVICE | 1 |
John Kaluen Kan | US | San Mateo | 2015-10-01 / 20150274615 - PURIFICATION OF ISOPRENE FROM RENEWABLE RESOURCES | 3 |
Pei Kan | TW | Taipei City | 2016-02-04 / 20160030340 - CONTROLLED DRUG RELEASE LIPOSOME COMPOSITION | 2 |
Lin Kan | CN | Nanjing | 2014-12-11 / 20140364576 - SUPPORTED NONMETALLOCENE CATALYSTS, PREPARATION AND USE THEREOF | 2 |
Ittai Kan | US | Mclean | 2014-03-27 / 20140086402 - MATCHING USING AGENT/CALLER SENSITIVITY TO PERFORMANCE | 3 |
Tetsuo Kan | JP | Tokyo | 2016-03-03 / 20160064679 - PHOTODETECTOR | 4 |
Hirofumi Kan | JP | Hamamatsu-Shi | 2016-04-14 / 20160104547 - METHOD OF DETERMINING NUCLEAR FUSION IRRADIATION COORDINATES, DEVICE FOR DETERMINING NUCLEAR FUSION IRRADIATION COORDINATES, AND NUCLEAR FUSION DEVICE | 11 |
Hirofumi Kan | JP | Hamamatsu-Shi | 2016-04-14 / 20160104547 - METHOD OF DETERMINING NUCLEAR FUSION IRRADIATION COORDINATES, DEVICE FOR DETERMINING NUCLEAR FUSION IRRADIATION COORDINATES, AND NUCLEAR FUSION DEVICE | 11 |
Yohei Kan | JP | Aichi-Ken | 2013-06-27 / 20130160730 - CERAMIC HEATER AND MANUFACTURING METHOD THEREFOR, AND HEATING APPARATUS | 1 |
Hiroya Kan | JP | Yokosuka-Shi | 2013-10-31 / 20130285567 - Light-Emitting Device and Luminaire | 2 |
Atsushi Kan | JP | Matsuyama | 2011-11-10 / 20110272360 - METHOD FOR OPERATING ION EXCHANGE EQUIPMENT, AND ION EXCHANGE EQUIPMENT | 1 |
Kobi Kan | IL | Tel-Aviv | 2009-11-19 / 20090284835 - SCANNING MICROSCOPY USING INHOMOGENEOUS POLARIZATION | 1 |
Makiko Kan | JP | Tokyo | 2016-03-31 / 20160094895 - TRANSMITTER AND TRANSMISSION METHOD FOR TRANSMITTING PAYLOAD DATA AND EMERGENCY INFORMATION | 1 |
On Kan | GB | Oxford | 2015-04-30 / 20150118714 - VECTORS FOR TRANSGENE EXPRESSION | 2 |
Uttheng Kan | SG | Singapore | 2010-01-14 / 20100007986 - SETTING WRITER BOUNDARIES FOR MULTIPLE WRITERS | 4 |
Ying Kan | CN | Shanghai | 2013-02-21 / 20130046081 - FULLY HUMAN MONOCLONAL ANTIBODY TO VEGF, PREPARATION METHOD AND USE THEREOF | 5 |
Zheng Kan | CN | Beijing | 2010-06-24 / 20100158173 - CHANNEL ESTIMATING METHOD IN WIRELESS COMMUNICATION SYSTEM AND APPARATUS THEREOF | 1 |
Hirofumi Kan | JP | Tokyo | 2011-01-13 / 20110008704 - FUEL CELL | 7 |
Gil Kan | US | Alpharetta | 2016-03-24 / 20160081702 - Method of Attaching A Mesh to A Coated Loop Member of A Surgical Snare Device | 5 |
Ugur Kan | TR | Istanbul | 2016-04-21 / 20160106298 - A DISHWASHER WITH IMPROVED DRYING PERFORMANCE | 2 |
Jianmin Kan | CA | Calgary | 2014-06-05 / 20140150886 - TWO-STAGE FLOCCULATION OF FLUID FINE TAILINGS | 4 |
Kevin Gar Wah Kan | CA | Richmond | 2010-12-09 / 20100311023 - SYSTEMS AMD METHODS FOR EVALUATING NEUROBEHAVIOURAL PERFORMANCE FROM REACTION TIME TESTS | 1 |
Toshiyuki Kan | JP | Shizuoka-Shi | 2011-09-22 / 20110226622 - NOVEL CLEAR NATIVE ELECTROPHORESIS METHOD UTILIZING AROMATIC SULFONIC ACID COMPOUND | 1 |
Andrew K. Kan | US | San Diego | 2012-04-19 / 20120093269 - APPARATUS AND METHOD FOR TWO-STAGE LINEAR/NONLINEAR INTERFERENCE CANCELLATION | 1 |
Yuta Kan | JP | Tokorozawa-Shi | 2015-09-24 / 20150268575 - ELECTROPHOTOGRAPHIC TONER USING BIOPLASTIC AND METHOD OF PRODUCING THE SAME | 2 |
Issei Kan | US | Los Angeles | 2015-08-06 / 20150216684 - DUAL ROTATIONAL STENT APPARATUS AND METHOD FOR ENDOVASCULAR TREATMENT OF ANEURYSMS | 1 |
Ryuji Kan | JP | Yokohama | 2015-03-26 / 20150089180 - ARITHMETIC PROCESSING DEVICE, INFORMATION PROCESSING DEVICE, CONTROL METHOD FOR INFORMATION PROCESSING DEVICE, AND CONTROL PROGRAM FOR INFORMATION PROCESSING DEVICE | 5 |
Meng-Ping Kan | TW | Hsinchu City | 2015-12-03 / 20150350720 - CHANNEL RECEIVING APPARATUS AND RELATED CHANNEL RECEIVING METHOD | 4 |
Surong Kan | CN | Beijing | 2014-11-27 / 20140346410 - POSITIVE COMPOSITE MATERIAL FOR LITHIUM ION BATTERIES AND PREPARATION METHOD THEREOF | 2 |
Zhang Kan | KR | Suwon-Si | 2016-03-31 / 20160093879 - NEGATIVE ACTIVE MATERIAL, LITHIUM BATTERY INCLUDING THE NEGATIVE ACTIVE MATERIAL, AND METHOD OF PREPARING THE NEGATIVE ACTIVE MATERIAL | 1 |
Yutaro Kan | JP | Niihama-Shi, Ehime | 2015-11-12 / 20150321454 - RESIN FILM AND SLICED VENEER SHEET USING SAME AND AUTOMOBILE INTERIOR MEMBER | 1 |
Shyi-Herng Kan | SG | Singapore | 2013-10-31 / 20130286485 - 3D Mold For Manufacturing Of Sub-Micron 3D Structures Using 2-D Photon Lithography And Nanoimprinting And Process Thereof | 5 |
Wei-Hsin Kan | TW | Hsin-Chu | 2016-02-25 / 20160055371 - SMART GLASSES AND METHOD FOR RECOGNIZING AND PROMPTING FACE USING SMART GLASSES | 2 |
Tsung-Tso Kan | TW | Taipei City | 2016-02-18 / 20160045398 - ELECTRONIC MEDICINE CONTAINING DEVICE, SYSTEM FOR DISPENSING MEDICINES AND METHOD THEREOF | 1 |
Mikhail Kan | RU | Saint Petersburg | 2014-06-19 / 20140169472 - MOTION ESTIMATION ENGINE FOR VIDEO ENCODING | 1 |
Tae-Jung Kan | KR | Goyang | 2011-01-27 / 20110017129 - COATING APPARATUS HAVING COATER CHUCK | 1 |
Limudmila Kan | KR | Chuncheon-Si | 2013-02-28 / 20130055276 - TASK SCHEDULING METHOD AND APPARATUS | 1 |
Lan Kan | US | Sunnyvale | 2013-04-04 / 20130085904 - Mobile Expense Solutions Architecture | 1 |
Edwin Kan | US | Bellevue | 2016-02-18 / 20160049205 - RANK DETERMINATION | 1 |
Takeshi Kan | JP | Kuki-Shi | 2014-06-05 / 20140154570 - POSITIVE ELECTRODE MATERIAL FOR LITHIUM ION SECONDARY CELL AND LITHIUM ION SECONDARY CELL | 1 |
Kevin Gar Wah Kan | US | Philadelphia | 2015-02-12 / 20150045986 - Systems and Methods for Determining Driver Fatigue Level from Lane Variability and Geographic Location | 6 |
Shogo Kan | JP | Suntou-Gun | 2014-01-23 / 20140023413 - IMAGE HEATING APPARATUS | 7 |
Shogo Kan | JP | Yokohama-Shi | 2016-02-25 / 20160054689 - IMAGE FORMING APPARATUS | 4 |
Ko-Li Kan | TW | New Taipei City | 2014-04-10 / 20140101162 - METHOD AND SYSTEM FOR RECOMMENDING SEMANTIC ANNOTATIONS | 2 |
Chih-Hao Kan | TW | Taoyuan City | 2015-12-24 / 20150367745 - CHARGING AND DISCHARGING SYSTEM OF A VEHICLE POWER STORAGE DEVICE | 1 |
Chi Wai Kan | HK | Hong Kong | / - | 1 |
Daniel D. Kan | US | San Jose | 2012-04-19 / 20120092993 - System and Method of Monitoring Packets in Flight for Optimizing Packet Traffic in a Network | 1 |
Ken Kan | TW | Taipei County | 2012-10-25 / 20120270426 - REPLACEABLE CONNECTION FOR PORTABLE ELECTRONIC DEVICES | 3 |
Edwin Kan | US | Ithaca | 2016-04-21 / 20160110130 - SECURE DATA STORAGE BASED ON PHYSICALLY UNCLONABLE FUNCTIONS | 2 |
Nobutatsu Kan | JP | Saitama | 2015-03-19 / 20150075452 - ROTARY VALVE | 1 |
Clarence Kan | US | Bridgewater | 2016-01-28 / 20160028487 - Compensation of Non-Linear Transmitter Impairments in Optical Communication Networks | 2 |
Elaine C. Kan | US | Emeryville | 2011-10-20 / 20110257377 - Covalently linked complexes of HIV TAT and ENV PROTEINS | 1 |
Kin Kan | US | Mountain View | 2015-12-03 / 20150347917 - INFERRED IDENTITY | 1 |
Arvin Kan | US | Pleasanton | 2013-11-21 / 20130311396 - JOB-BASED SUCCESSION PLANS AND A HIERARCHICAL VIEW OF THE SUCCESSION PLAN | 1 |
Hirofumi Kan | JP | Yokohama-Shi | 2011-09-15 / 20110223497 - FUEL CELL | 1 |
Bill Kan | US | Redwood City | 2014-07-24 / 20140208050 - METADATA STORAGE IN UNUSED PORTIONS OF A VIRTUAL DISK FILE | 2 |
Zhengyan Kan | US | Redwood City | 2010-04-15 / 20100092965 - GENETIC VARIATIONS ASSOCIATED WITH TUMORS | 2 |
Hirofumi Kan | JP | Kawasaki-Shi | 2014-05-29 / 20140147761 - FUEL CELL | 5 |
Taro Kan | JP | Tokyo | 2012-11-15 / 20120288052 - NUCLEAR REACTOR | 3 |
Daniel Kan | US | Redwood City | 2013-06-27 / 20130166641 - POWER OUTAGE DETECTION SYSTEM FOR SMART GRID USING FINITE STATE MACHINES | 1 |
Daisuke Kan | US | Silver Spring | 2012-05-17 / 20120119623 - LEAD-FREE PIEZOCERAMIC MATERIALS | 1 |
Edmond Ho Yin Kan | CA | Vancouver | 2014-11-06 / 20140326001 - SYSTEMS AND METHODS FOR CRYOGENIC REFRIGERATION | 1 |
Takahiro Kan | JP | Aichi | 2010-06-03 / 20100133870 - VEHICULAR LOCK DEVICE | 3 |
Kentaro Kan | JP | Tokyo | 2014-11-13 / 20140331712 - AIR-CONDITIONING APPARATUS | 1 |
Kok Hong Kan | SG | Singapore | 2014-04-17 / 20140104661 - Integrated Mobile Printer And Scanner | 1 |
Hirofumi Kan | JP | Shizuoka | 2012-04-19 / 20120091351 - SCINTILLATOR FOR NEUTRON DETECTION AND NEUTRON MEASUREMENT DEVICE | 11 |
Tatsuhiko Kan | JP | Saitama | 2011-08-04 / 20110189343 - NANO-SIZED LACTIC ACID BACTERIA | 1 |
Ryuji Kan | JP | Kawasaki | 2010-04-15 / 20100095156 - INFORMATION PROCESSING APPARATUS AND CONTROL METHOD | 6 |
Yuet W. Kan | US | San Francisco | 2010-06-24 / 20100158868 - Use of Fetal Cells for the Treatment of Genetic Diseases | 2 |
Masaki Kan | JP | Tokyo | 2015-07-23 / 20150207860 - INFORMATION DISTRIBUTION SYSTEM, INFORMATION DISTRIBUTION SERVER, INFORMATION DISTRIBUTION METHOD, AND PROGRAM | 24 |
Chifai Kan | US | Dubline | 2010-09-23 / 20100242049 - Real-Time Page and Flow Compositions | 1 |
Katsushi Kan | JP | Tatsuno-Shi | 2015-06-25 / 20150175800 - EPOXY RESIN COMPOSITION FOR SEMICONDUCTOR ENCAPSULATION AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Kazuma Kan | JP | Kyoto | 2011-09-15 / 20110222126 - INKJET PRINTER AND INKJET PRINTING METHOD | 1 |
Kenhin Kan | JP | Chiyoda-Ku | 2013-11-14 / 20130304242 - MOTION SFC PROGRAM COMPONENT CREATION DEVICE | 1 |
Toshiyuki Kan | JP | Tokyo | 2009-08-06 / 20090198051 - Method For Total Synthesis Of Ecteinascidins And Intermediate Compounds Thereof | 2 |
So Kan | JP | Matsumoto-Shi | 2011-04-07 / 20110081190 - Recording media transportation control method and printer | 1 |
Kin Fai Kan | US | Sunnyvale | 2016-04-28 / 20160117385 - TITLE STANDARDIZATION | 5 |
Reuben Kan | AU | Epping | 2015-07-16 / 20150199374 - METHOD FOR ADDING REAL TIME COLLABORATION TO EXISTING DATA STRUCTURE | 2 |
Cheuk Wai Kan | US | Medford | 2011-03-31 / 20110073477 - Matrix and Dynamic Polymer Systems and Compositions for Microchannel Separation | 1 |
Kuo-Ying Kan | US | Mountainside | 2012-11-15 / 20120285112 - COMBINATION PLATE STRUCTURE | 5 |
George Kan | US | West Hartford | 2016-01-28 / 20160025425 - HEAT EXCHANGER WITH SLOTTED GUARD FIN | 5 |
Keika Kan | JP | Tokyo | 2011-03-24 / 20110071755 - NAVIGATION EQUIPMENT | 2 |
Edwin C. Kan | US | Ithaca | 2016-05-12 / 20160131613 - FLOATING GATE BASED SENSOR APPARATUS AND RELATED FLOATING GATE BASED SENOR APPLICATIONS | 5 |
Cindy Kan | US | New York | 2010-04-01 / 20100081786 - Homogeneous Erythropoietin and Other Peptides and Proteins, Methods and Intermediates for Their Preparation | 1 |
Dao-Ping Kan | CN | Jiashan | 2015-04-02 / 20150089767 - CLEANING DEVICE EMPLOYING AIR UNDER PRESSURE | 2 |
Chak Ming Kan | CN | Hong Kong | 2015-03-12 / 20150071844 - Synthesis of Ultra-Large Graphene Oxide Sheets | 1 |
Igor M. Kan | US | Waterford | 2010-01-14 / 20100006218 - Alignment and Affixment System for Trim Parts | 1 |
Teresa C. Kan | US | Rochester | 2011-12-29 / 20110320367 - Method to Appraise a Patent Asset and a System to Recommend Action to Owner | 4 |
Hing Kan | US | Irvine | 2013-01-03 / 20130002505 - FORTY-FIVE DEGREE DUAL BROAD BAND BASE STATION ANTENNA | 1 |
Julie Kan | US | Smithtown | 2009-08-06 / 20090197862 - 2-AMINOPYRIDINE KINASE INHIBITORS | 2 |
Wan C. Kan | US | Houston | 2016-03-17 / 20160076675 - Flexible Pipe with Corrosion Resistant Layer | 2 |
Michael Yuri Kan | US | Eden Prairie | 2009-06-18 / 20090155118 - High Strength Steel | 1 |
Teresa Chung Kan | US | Rochester | 2009-06-18 / 20090157800 - Dynamically Generating a Proxy Object in a Client for Dynamically Created Object on the Server Using Retrieved Class Metadata of the Object | 1 |
Wei-Kuo Kan | TW | New Taipei City | 2016-04-21 / 20160110019 - TOUCH APPARATUS AND CORRECTION METHOD THEREOF | 3 |
Hiroaki Kan | JP | Shiga | 2013-11-07 / 20130294130 - FUEL CELL SYSTEM | 2 |
Yoshinori Kan | JP | Tokyo | 2009-08-13 / 20090201359 - MOBILE TERMINAL DEVICE | 1 |
Chi Ming Kan | US | Seattle | 2016-02-25 / 20160055129 - MULTI-LEVEL ARCHITECTURE FOR IMAGE DISPLAY | 3 |
Alan C. Kan | US | Diamond Bar | 2013-06-06 / 20130145106 - COMMAND PORTAL FOR SECURELY COMMUNICATING AND EXECUTING NON-STANDARD STORAGE SUBSYSTEM COMMANDS | 1 |
Cheuk Wai Kan | US | Boston | 2013-02-07 / 20130032483 - Plastic Microfluidic Separation and Detection Platforms | 2 |
Nancy Kan | US | Dublin | 2008-11-20 / 20080286247 - METHOD FOR SELECTIVELY TRANSDUCING PATHOLOGIC MAMMALIAN CELLS USING A TUMOR SUPPRESSOR GENE | 1 |
Charles S. Kan | US | Midland | 2014-01-23 / 20140024742 - COATING COMPOSITION, AND A PROCESS FOR PRODUCING THE SAME | 2 |
Sharon Kan | US | Lexington | 2008-10-16 / 20080256066 - BOOK CREATION SYSTEMS AND METHODS | 1 |
Nariyasu Kan | JP | Kyoto-Shi | 2012-08-30 / 20120218571 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 3 |
Masataka Kan | JP | Yokohama | 2011-02-10 / 20110035433 - WEBPAGE DISPLAY METHOD, COMPUTER SYSTEM, AND PROGRAM | 3 |
Yiqun Kan | CN | Maoming | 2014-04-24 / 20140114102 - SELECTIVE HYDROGENATION METHOD FOR PHENYLACETYLENE IN THE PRESENCE OF CRACKING C8 FRACTION | 1 |
Frederick W.k. Kan | CA | Kingston | 2014-04-24 / 20140113928 - METHODS AND COMPOSITIONS FOR ENHANCING FERTILITY AND/OR INHIBITING PREGNANCY FAILURE AND RESTORING GLUCOSE TOLERANCE | 1 |
Takahiro Kan | JP | Kariya-Shi | 2009-07-09 / 20090173006 - VEHICLE DOOR OPENING AND CLOSING SYSTEM | 1 |
Rikiya Kan | JP | Saitama | 2009-05-21 / 20090128437 - Antenna | 1 |
Alexander K. Kan | US | San Francisco | 2015-12-03 / 20150348225 - System And Method For Unified Application Programming Interface And Model | 4 |
Keizo Kan | JP | Osaka | 2010-10-14 / 20100261720 - HETEROCYCLIC COMPOUND AND PHARMACEUTICAL COMPOSITION THEREOF | 1 |
Kazutoshi Kan | JP | Kaiwagoe | 2010-10-07 / 20100255568 - CULTURING APPARATUS | 1 |
Yasumasa Kan | JP | Minato-Ku | 2015-02-19 / 20150050200 - PRODUCTION METHOD FOR HEMATITE FOR IRON PRODUCTION | 2 |
Takaaki Kan | JP | Hyogo | 2015-01-22 / 20150024892 - FRICTION DRIVE BELT AND METHOD FOR PRODUCING SAME | 1 |
George L. Kan | US | West Hartford | 2013-12-26 / 20130340862 - HIGH OPENING VELOCITY CHECK VALVE | 1 |
Cheuk Kan | US | Boston | 2012-11-15 / 20120289428 - ULTRA-SENSITIVE DETECTION OF MOLECULES OR PARTICLES USING BEADS OR OTHER CAPTURE OBJECTS | 4 |
Eriko Kan | JP | Kyoto | 2014-09-25 / 20140285491 - DISPLAY CONTROL DEVICE | 1 |
Yoko Kan | JP | Osaka-Fu | 2010-09-30 / 20100249399 - AMIDE DERIVATIVE AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 2 |
Shoichi Kan | JP | Yokohama-Shi | 2009-02-12 / 20090040267 - INK-JET RECORDING APPARATUS | 1 |
Akihiko Kan | JP | Ibaraki | 2008-12-04 / 20080295259 - METHOD FOR STABILIZING LEUCO-TYPE COLORANT | 1 |
Masaki Kan | JP | Minato-Ku | 2013-02-14 / 20130041875 - DATA ACCESS LOCATION SELECTING SYSTEM, METHOD, AND PROGRAM | 2 |
Gil Kan | US | Atlanta | 2012-08-30 / 20120220895 - ALIGNMENT MECHANISM FOR CARTRIDGE-BASED DEVICES | 4 |
Dmitry I. Kan | KZ | Almaty | 2011-06-02 / 20110131638 - PROCESS OF REMOTE USER AUTHENTICATION IN COMPUTER NETWORKS TO PERFORM THE CELLPHONE-ASSISTED SECURE TRANSACTIONS | 1 |
Yuji Kan | JP | Kasai-Shi | 2010-04-01 / 20100081972 - MASSAGE UNIT AND CHAIR-TYPE MASSAGE MACHINE HAVING THE UNIT | 2 |
Chao Kan | US | Plano | 2009-12-31 / 20090328119 - Packet Recovery Server Based Triggering Mechanism for IPTV Diagnostics | 2 |
Kwok Fung Kan | HK | Hong Kong | 2013-10-17 / 20130273816 - AUTOMATIC POLISHING DEVICE FOR SURFACE FINISHING OF COMPLEX-CURVED-PROFILE PARTS | 1 |
Chi Man Kan | GB | Swindon | 2016-03-10 / 20160066724 - DEVICE AND METHOD FOR MONITORING CONSUMER DINING EXPERIENCE | 4 |
Keizo Kan | JP | Tokushima | 2012-09-20 / 20120238750 - AROMATIC COMPOUND | 2 |
Satoshi Kan | JP | Osaka | 2009-09-24 / 20090236890 - CHAIR | 2 |
Kimiharu Kan | JP | Ibaraki-Shi | 2009-06-25 / 20090161920 - Biometric information acquisition apparatus, image acquisition apparatus, and electronic equipment | 1 |
Chao Kan | US | Frisco | 2011-08-11 / 20110197215 - SYSTEM, METHOD, AND COMPUTER-READABLE MEDIUM FOR SYNCHRONIZING MULTICAST CUSTOMIZED CONTENT TO FACILITATE DSLAM COMPLEXITY REDUCTION | 7 |
Woo Young Kan | KR | Seongnam-Si | 2015-07-02 / 20150189228 - DISPLAY APPARATUS | 6 |
Kazutoshi Kan | JP | Kawagoe | 2009-05-28 / 20090137026 - Cell culture device | 3 |
Koujiro Kan | JP | Sodegaura-Shi | 2009-03-26 / 20090081151 - Olefin wax, silicone-modified olefin wax, silicone-modified room temperature-solidifying composition using the wax, and cosmetics using them | 1 |
Yutaro Kan | JP | Ehime | 2009-02-26 / 20090050402 - NOISE SUPPRESSION STRUCTURE | 1 |
Shoji Kan | JP | Yokohama-Shi | 2008-09-11 / 20080220937 - CONTROL APPARATUS OF DRIVING SYSTEM FOR VEHICLE | 1 |
Amy Kan | US | Houston | 2015-02-19 / 20150050741 - TRANSPORTERS OF OIL SENSORS FOR DOWNHOLE HYDROCARBON DETECTION | 2 |
Tatsunori Kan | JP | Kyoto | 2016-02-11 / 20160044782 - MULTILAYER WIRING SUBSTRATE, MANUFACTURING METHOD THEREFOR, AND SUBSTRATE FOR PROBE CARD | 1 |
Meng-Ping Kan | TW | Chutung Town | 2008-11-20 / 20080284515 - CIRCUITS FOR QUIESCENT CURRENT CONTROL | 1 |
Ping-Hsin Kan | TW | Chiayi City | 2009-01-01 / 20090002232 - GPS DATA RECORDING APPARATUS AND RELATED METHOD THEREOF | 1 |
Tatsuhiko Kan | JP | Sayama-Shi | 2015-12-03 / 20150344841 - LACTOBACILLUS HAVING ABILITY TO INDUCE IL-12 PRODUCTION, AND METHOD FOR CULTURING SAME | 1 |
Shih-Tsung Kan | TW | Chungli City | 2009-02-12 / 20090040200 - Method for driving display and a display driver thereof | 1 |
Jiaxi Kan | US | San Jose | 2013-03-28 / 20130077646 - AUTOMATIC MODULATION CONTROL FOR MAINTAINING CONSTANT EXTINCTION RATIO (ER), OR CONSTANT OPTICAL MODULATION AMPLITUDE (OMA) IN AN OPTICAL TRANSCEIVER | 2 |
Immanuel Kan | US | Concord | 2010-06-10 / 20100145839 - SYSTEM AND METHOD FOR PAYER (BUYER) DEFINED ELECTRONIC INVOICE EXCHANGE | 1 |
Jen-Ho Kan | TW | Hsinchu City | 2009-02-19 / 20090045837 - APPARATUS FOR DYNAMIC DEPLOYMENT OF PIN FUNCTIONS ON A CHIP | 1 |
Alexander King-Chung Kan | US | Mountain View | 2011-06-09 / 20110134147 - Asymmetric Two-Pass Graphics Scaling | 2 |
Tsung Chung Kan | TW | Tu-Cheng | 2009-05-14 / 20090119838 - Support structure with side guards | 1 |
Yasuhiko Kan | JP | Kiryu-Shi | 2015-02-05 / 20150037194 - OIL PUMP | 1 |
Kenji Kan | JP | Saitama | 2012-07-05 / 20120170441 - OPTICAL PICKUP APPARATUS | 2 |
Julie Kan | US | San Diego | 2014-02-27 / 20140057352 - METHODS FOR THE IDENTIFICATION OF AGENTS THAT INHIBIT MESENCHYMAL-LIKE TUMOR CELLS OR THEIR FORMATION | 4 |
Meng-Ping Kan | TW | Hsinchu | 2016-02-04 / 20160036400 - INTEGRATED CIRCUIT CHIP FOR RECEIVER COLLECTING SIGNALS FROM SATELLITES | 4 |
Shihai Kan | US | San Jose | 2012-03-22 / 20120068118 - NANOCRYSTAL DOPED MATRIXES | 3 |
Yuta Kan | JP | Saitama | 2015-12-17 / 20150362851 - ELECTROPHOTOGRAPHIC TONER USING BIOPLASTIC AND PRODUCTION METHOD THEREOF | 1 |
Hidetaka Kan | JP | Chiyoda-Ku | 2013-01-31 / 20130031426 - COMMUNICATION APPARATUS | 1 |
Ching-Neng Kan | TW | Taoyuan County | 2014-10-02 / 20140295699 - WATERPROOF STRUCTURE OF COMMUNICATION CONNECTOR | 6 |
Ping-Hsin Kan | TW | Hsinchu Hsien | 2009-10-22 / 20090262018 - High Accuracy Satellite Receiving Controller and Associated Method | 1 |
Wai-Ming J. Kan | US | San Ramon | 2016-02-25 / 20160055865 - METHOD FOR PROVIDING A MAGNETIC RECORDING TRANSDUCER USING A CHEMICAL BUFFER | 5 |
Ming-Chi Kan | TW | Rende Township | 2013-03-14 / 20130062627 - STRESS REGULATED SEMICONDUCTOR DEVICES AND ASSOCIATED METHODS | 7 |
Alan Chingtao Kan | US | Diamond Bar | 2011-11-17 / 20110283049 - SYSTEM AND METHOD FOR MANAGING GARBAGE COLLECTION IN SOLID-STATE MEMORY | 2 |
Alex Kan | US | Mountain View | 2013-12-26 / 20130346916 - TECHNIQUES FOR MANIPULATING PANORAMAS | 4 |
Wai-Ming Kan | US | San Ramon | 2009-08-20 / 20090209102 - Use of CMP to contact a MTJ structure without forming a via | 2 |
Pei Kan | TW | Hsinchu City | 2012-04-26 / 20120100220 - DRUG COMPOSITION FOR TREATING TUMOR WITH POLYMERIC MICELLE ENCAPSULATING ANTI-NEOPLASTIC | 3 |
Yu Hua Kan | TW | Taoyuan | 2010-02-18 / 20100042695 - MESSAGE PLAYBACK APPARATUS | 2 |
Chia-Chia Kan | TW | Taipei City | 2015-02-12 / 20150041891 - Ultra-High Voltage Laterally-Diffused MOS Devices and Methods of Forming the Same | 1 |
Kevin S. Kan | US | Pasadena | 2015-02-12 / 20150042352 - GENERATION AND ANALYSIS OF CHEMICAL COMPOUND LIBRARIES | 1 |
Shih-Tsung Kan | TW | Tu-Cheng | 2012-08-02 / 20120196549 - COMMUNICATION DEVICE | 4 |
Chien-Chun Kan | TW | Yongkang City | 2010-06-03 / 20100133163 - Magnetic filtering film | 1 |
Shao-Tien Kan | TW | Taipei City | 2010-06-24 / 20100154505 - HANDLER AND METHOD FOR TESTING THE SAME | 2 |
Daniel Kan | US | San Jose | 2015-05-21 / 20150142962 - SYSTEM AND METHOD FOR OBSERVING AND CONTROLLING A PROGRAMMABLE NETWORK USING CROSS NETWORK LEARNING | 5 |
Masato Kan | US | Adairsville | 2015-04-23 / 20150107212 - LIFTING LINK MECHANISM | 1 |
Lien-Ching Kan | TW | Hsinchu Hsien | 2010-12-02 / 20100300925 - MEDICAMENT CONTAINER | 1 |
Ethan Kan | US | Sunnyvale | 2009-11-12 / 20090281989 - Micro-Bucket Testing For Page Optimization | 1 |
Chih-Hao Kan | TW | Taoyuan Hsien | 2011-01-06 / 20110003188 - ENERGY STORAGE DEVICE | 1 |
Ming-Chi Kan | TW | Tainan County | 2011-01-20 / 20110011628 - HIGHLY THERMAL CONDUCTIVE CIRCUIT BOARD | 1 |
Chin-Hsiang Kan | TW | Taoyuan County | 2011-02-17 / 20110040137 - Automated mechanical cleaning method for radioactively contaminated metal | 1 |
Peter Kan | CA | North Vancouver | 2008-12-04 / 20080298058 - Cove Illumination Module and System | 2 |
Kyo Kan | JP | Tokyo | 2011-06-30 / 20110154961 - TORQUE WRENCH | 1 |
Eriko Kan | JP | Kyoto-City | 2013-05-16 / 20130123959 - EXERCISE DETECTION APPARATUS AND CONTROL METHOD FOR EXERCISE DETECTION APPARATUS | 1 |
Dan Kan | US | San Jose | 2010-03-11 / 20100064353 - User Mapping Mechanisms | 1 |
Reuben Kan | AU | New South Wales | 2009-01-01 / 20090006102 - Effective Audio Segmentation and Classification | 1 |
Heng-Chuan Kan | TW | Tainan City | 2013-06-13 / 20130147786 - METHOD AND APPARATUS FOR EXECUTING HIGH PERFORMANCE COMPUTATION TO SOLVE PARTIAL DIFFERENTIAL EQUATIONS AND FOR OUTPUTTING THREE-DIMENSIONAL INTERACTIVE IMAGES IN COLLABORATION WITH GRAPHIC PROCESSING UNIT, COMPUTER READABLE RECORDING MEDIUM, AND COMPUTER PROGRAM PRODUCT | 1 |
Chin-Hsiang Kan | TW | Hsinchu County | 2014-10-30 / 20140322078 - METHOD FOR DECONTAMINATING BRICK OR CONCRETE | 1 |
Yulun Kan | CN | Shenzhen | 2015-10-22 / 20150304480 - Terminal and operation method for controlling terminal | 1 |
Shih-Hsin Kan | US | Riverside | 2009-12-17 / 20090311694 - Splice variants of human IL-23 receptor (IL-23R) mRNA and use of a delta 9 isoform in predicting inflammatory bowel diseases | 1 |
Dexter T. Kan | US | Huntingtown | 2012-09-27 / 20120242361 - SCR Module Dynamic Counter Tester | 1 |
Junhua Kan | CN | Jiangyin City | 2012-07-12 / 20120177940 - OVAL STEEL CORD WITH OVAL WIRES | 1 |
Shih-Hsin Kan | US | Ewing | 2013-08-01 / 20130196333 - SPLICE VARIANTS OF HUMAN IL-23 RECEPTOR (IL-23R) mRNA AND USE OF A DELTA 9 ISOFORM IN PREDICTING INFLAMMATORY BOWEL DISEASES | 3 |
Tae Gyu Kan | KR | Daejeon | 2015-07-02 / 20150183195 - Multilayer Separator With Superior Permeability | 1 |
Kobi Kan | IL | Givatayim | 2009-01-22 / 20090021749 - HIGH THROUGHPUT ACROSS-WAFER-VARIATION MAPPING | 1 |
Jimmy Kan | US | San Diego | 2016-03-10 / 20160072043 - MAGNESIUM OXIDE CAPPING WITH A SHORTED PATH FOR PERPENDICULAR MAGNETIC TUNNEL JUNCTION DEVICES | 2 |
Alan Ho Lun Kan | AU | New South Wales | 2009-02-12 / 20090041254 - SPATIAL AUDIO SIMULATION | 1 |
Chung-Pin Kan | TW | Taoyuan Hsien | 2011-08-18 / 20110199791 - REVERSE ENERGY RECOVERY CIRCUIT | 1 |
Yasumasa Kan | JP | Tokyo | 2016-04-28 / 20160115565 - METHOD FOR PRODUCING HEMATITE FOR IRONMAKING | 3 |
Heng-Chuan Kan | TW | Taipei | 2013-05-23 / 20130126824 - SEMICONDUCTIVE NANOWIRE SOLID STATE OPTICAL DEVICE AND CONTROL METHOD THEREOF | 1 |
Chih-Wei Kan | TW | Tu-Cheng | 2013-11-21 / 20130308267 - SERVER ASSEMBLY | 2 |
Keizo Kan | JP | Osaka-Shi | 2013-05-23 / 20130131045 - BENZAZEPINE COMPOUND | 1 |
Wing-Yiu Kan | HK | Hung Hom | 2015-08-27 / 20150239870 - SYNTHESIS AND USE OF AMINE-CONTAINING FLAVONOIDS AS POTENT ANTI-LEISHMANIAL AGENTS | 1 |
Runtian Kan | CN | Beijing | 2013-05-23 / 20130133030 - PLATFORM AUTHENTICATION STRATEGY MANAGEMENT METHOD AND DEVICE FOR TRUSTED CONNECTION ARCHITECTURE | 1 |
Wan Cai Kan | US | Houston | 2015-07-23 / 20150204156 - MARINE WELL CONTAINMENT SYSTEM AND METHOD | 2 |
Chia-Chao Kan | TW | Taipei | 2011-05-12 / 20110109425 - IP Protection And Control Method Thereof | 1 |
Chen-Hao Kan | TW | Taoyuan | 2013-09-26 / 20130249658 - MAGNETIC KEYSWITCH ASSEMBLY AND KEYBOARD THEREWITH | 1 |
Hung-Chih Kan | TW | Chiayi City | 2013-11-28 / 20130312822 - SOLAR-CELL DEVICE | 1 |
Li-Sheng Kan | TW | Hsinchu County | 2014-01-30 / 20140032993 - METHOD FOR MANAGING DATA STORED IN FLASH MEMORY AND ASSOCIATED MEMORY DEVICE AND CONTROLLER | 1 |
Andrey Kan | KR | Suwon-Si | 2009-02-26 / 20090052542 - VIDEO DECODING METHOD AND APPARATUS | 1 |
Hiroya Kan | JP | Kanagawa-Ken | 2013-04-04 / 20130082623 - LIGHTING SYSTEM AND CONTROL METHOD THEREOF | 3 |
Inna Kan | IL | Rechovot | 2009-10-15 / 20090257987 - Method of generating dopamine-secreting cells | 1 |
Tetsuro Kan | JP | Tokyo | 2011-12-29 / 20110321204 - NEAR-FIELD OPTICAL MICROSCOPE, NEAR-FIELD OPTICAL PROBE, AND SAMPLE OBSERVATION METHOD | 1 |
Che-Wei Kan | TW | New Taipei City | 2013-09-26 / 20130251521 - Diffusion Type Fan Device | 2 |
Kazuhiro Kan | JP | Tokyo | 2013-03-28 / 20130076887 - MICROSCOPE SYSTEM AND MICROSCOPE FRAME | 1 |
Noriko Kan | JP | Tokyo | 2015-07-30 / 20150209301 - PATCH FOR ANTI-DERMATOPHYTOSIS | 1 |
Masahiro Kan | JP | Niihama-Shi | 2013-02-21 / 20130043624 - GREEN COMPACT AND METHOD FOR PRODUCING ALUMINUM TITANATE SINTERED BODY | 4 |
Kentaro Kan | JP | Hamamatsu-Shi | / - | 1 |
Hou Kan | JP | Fujisawa-Shi | 2012-08-02 / 20120193327 - BONDED FLUX AND SOLID WIRE FOR SUBMERGED ARC WELDING, AND METHOD FOR SUBMERGED ARC WELDING OF STEEL FOR LOW TEMPERATURE SERVICE | 1 |
Takeshi Kan | JP | Salt Ama-Ken | 2014-01-30 / 20140029224 - METHOD OF MOUNTING ELECTRONIC COMPONENT, CIRCUIT BOARD, SOLDER JOINT PORTION, PRINTED WIRING BOARD WITH CONNECTING LAYER, AND SHEET-LIKE JOINT MEMBER | 1 |
Daniel Kana | US | Beford | 2014-04-17 / 20140102118 - SYSTEM AND METHOD FOR CREATING A GLASS WITH AN ICE LINING | 1 |
Richard J. Kana | US | Lexington | 2016-04-14 / 20160100954 - FUSION DEVICE AND ASSOCIATED METHODS | 12 |
Richard J. Kana | US | Lexington | 2016-04-14 / 20160100954 - FUSION DEVICE AND ASSOCIATED METHODS | 12 |
Zdenek Kana | CZ | Dubnany | 2015-05-28 / 20150145724 - ARCHITECTURES FOR HIGH INTEGRITY MULTI-CONSTELLATION SOLUTION SEPARATION | 3 |
Richard Kana | US | Lexington | 2012-11-01 / 20120277873 - Interbody fusion device with lipped anterior plate and associated methods | 3 |
Abed Kanaan | US | Harvard | 2009-08-27 / 20090211612 - Super-thin water jetting lance | 1 |
Hani Kanaan | FR | Saint Martin D'Heres | 2016-03-17 / 20160079565 - ORGANIC OPTOELECTRONIC DEVICE AND METHOD FOR THE ENCAPSULATION THEREOF | 2 |
Ziad Kanaan | US | Dearborn | 2013-10-31 / 20130288258 - METHODS AND COMPOSITIONS FOR DETECTING COLORECTAL CANCER USING MICRO RNAs | 1 |
Nicholas M. Kanaan | US | Grand Rapids | 2016-02-04 / 20160031978 - COMPOSITION AND METHOD FOR PREVENTING OR TREATING A TAUOPATHY | 2 |
Roger Kanaan | US | Williamston | 2010-05-13 / 20100116007 - LOCK ASSEMBLY | 1 |
Abed Kanaan | US | Still River | 2015-08-20 / 20150233677 - RPG DEFEAT METHOD AND SYSTEM | 8 |
Abed Kanaan | US | Still River | 2015-08-20 / 20150233677 - RPG DEFEAT METHOD AND SYSTEM | 8 |
Muzaffer Kanaan | US | Watertown | 2011-11-17 / 20110282694 - SYSTEM AND METHOD FOR HEALTHCARE ADVISORY SYSTEM | 4 |
Georges Kanaan | FR | Marca En Baroeul | 2011-09-01 / 20110213044 - Melt Dispersion Process for Making Polymer Polyols | 1 |
Paresh Kanabar | US | Naperville | 2015-12-03 / 20150351066 - SYSTEMS AND METHODS FOR COMMUNICATING WITH NON-TERRESTRIAL ELECTRONIC DEVICES | 10 |
Paresh Kanabar | US | Naperville | 2015-12-03 / 20150351066 - SYSTEMS AND METHODS FOR COMMUNICATING WITH NON-TERRESTRIAL ELECTRONIC DEVICES | 10 |
Akira Kanaboshi | JP | Anpachi-Gun | 2010-06-10 / 20100143058 - INSERT | 1 |
Mitsuharu Kanaboshi | JP | Saitama | 2008-12-04 / 20080300788 - VEHICULAR OPERATION ASSISTING SYSTEM | 1 |
Takayuki Kanaboshi | JP | Nagasaki | 2011-11-03 / 20110270451 - CONTROL DEVICE FOR EXHAUST HEAT RECOVERY SYSTEM | 1 |
Mitsuharu Kanaboshi | JP | Utsunomiya-Shi | 2008-10-30 / 20080264710 - VEHICLE SEAT-BELT DEVICE AND CONTROL METHOD THEREOF | 1 |
Thomas R. Kanaby | US | West Lafayette | 2010-05-13 / 20100119638 - PELLETING DIE AND METHOD FOR SURFACE HARDENING PELLETING DIES | 1 |
Teijirou Kanada | JP | Kanagawa | 2011-03-03 / 20110052459 - Compound container and pouring-out method | 1 |
Kazuma Kanada | JP | Yokkaichi | 2013-05-02 / 20130106250 - STATOR FOR ROTATING MACHINE, HOLDER FOR USE WITH STATOR, ROTATING MACHINE, AND AUTOMOBILE | 1 |
Kenichi Kanada | JP | Aichi | 2011-03-03 / 20110049934 - STRUCTURE FOR FIXING FENDER PANEL IN CAR | 1 |
Teruyuki Kanada | JP | Okayama | 2014-01-02 / 20140005144 - NOVEL LEUKOTRIENE RECEPTOR ANTAGONIST | 3 |
Mizuki Kanada | JP | Kanagawa | 2015-01-29 / 20150030038 - SYNCHRONIZATION PROCESSING DEVICE, SYNCHRONIZATION PROCESSING METHOD, AND PROGRAM | 4 |
Shoji Kanada | JP | Ashigarakami-Gun | 2015-01-15 / 20150019246 - MEDICAL CARE INFORMATION DISPLAY CONTROL APPARATUS, METHOD, AND PROGRAM | 4 |
Masaki Kanada | JP | Hitachi | 2013-07-11 / 20130177122 - Reactor Water-Level/Temperature Measurement Apparatus | 1 |
Hideki Kanada | JP | Toyohashi-Shi, Aichi-Ken | 2016-01-28 / 20160024658 - FILM-FORMING DEVICE | 1 |
Motoki Kanada | JP | Kariya-Shi | 2014-10-02 / 20140292231 - CONTROL UNIT FOR ROBOTS | 1 |
Toshiki Kanada | JP | Toyota-Shi | 2015-11-19 / 20150328974 - POWER TRANSMISSION UNIT FOR VEHICLE | 1 |
Mitsuru Kanada | JP | Tokyo | 2014-05-22 / 20140143537 - COMMUNICATION APPARATUS AND COMMUNICATION METHOD | 1 |
Kazunori Kanada | JP | Fukuoka | 2015-11-05 / 20150318175 - LASER ANNEALING DEVICE, AND METHOD OF PRODUCING SEMICONDUCTOR DEVICE | 1 |
Keiu Kanada | JP | Miyoshi-Shi | 2013-12-19 / 20130335180 - RARE EARTH MAGNET AND PROCESS FOR PRODUCING SAME | 3 |
Naoaki Kanada | JP | Sagamihara-Shi | 2013-06-20 / 20130155547 - DAMPING MATERIAL TO INCREASE A DAMPING RATIO | 1 |
Satoshi Kanada | JP | Ehime | 2015-04-09 / 20150099136 - SILVER POWDER AND METHOD FOR PRODUCING SAME | 1 |
Hisataka Kanada | JP | Osaka | 2012-01-19 / 20120015504 - SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SAME | 4 |
Tokio Kanada | JP | Kanagawa | 2008-11-13 / 20080282279 - DISC CARTRIDGE | 1 |
Masaki Kanada | JP | Tokyo | 2016-03-17 / 20160077654 - Touch Panel-Type Operation Panel and Control Method Therefor | 2 |
Shoji Kanada | JP | Kanagawa | 2016-02-25 / 20160055394 - SIMILAR IMAGE RETRIEVAL DEVICE, METHOD OF OPERATING SIMILAR IMAGE RETRIEVAL DEVICE, AND SIMILAR IMAGE RETRIEVAL PROGRAM | 4 |
Hidemasa Kanada | JP | Matsumoto | 2014-06-26 / 20140178116 - RECORDING DEVICE, TAKE-UP DEVICE, AND METHOD FOR TAKING UP RECORDING MEDIUM | 2 |
Yasufumi Kanada | JP | Izumisano-Shi | 2013-03-07 / 20130059060 - CHOCOLATE-LIKE FOOD AND PROCESS FOR PRODUCING THE SAME | 1 |
Mitsuhiro Kanada | JP | Ibaraki-Shi | 2014-06-19 / 20140170406 - RESIN FOAM AND PROCESS FOR PRODUCING THE SAME | 5 |
Regina Mikie Kanada | JP | Tsukuba | 2010-08-12 / 20100204490 - PROCESS FOR TOTAL SYNTHESIS OF PLADIENOLIDE B AND PLADIENOLIDE D | 1 |
Shoji Kanada | JP | Tokyo | 2013-12-05 / 20130325497 - CLINICAL INFORMATION DISPLAY APPARATUS, CLINICAL INFORMATION DISPLAY METHOD, AND CLINICAL INFORMATION DISPLAY PROGRAM | 1 |
Morito Kanada | JP | Tokushima-Shi | 2013-08-01 / 20130193460 - LIGHT EMITTING DEVICE | 1 |
Soun Kanada | JP | Nagoya-Shi | 2016-03-03 / 20160062263 - Developing Cartridge and Process Cartridge | 7 |
Isao Kanada | JP | Tokyo | 2010-08-05 / 20100197478 - CERAMIC SUBSTRATE, PROCESS FOR PRODUCING THE SAME, AND DIELECTRIC-PORCELAIN COMPOSITION | 3 |
Kentaro Kanada | JP | Ina-Shi | 2011-09-08 / 20110216348 - DEVICE CONTROL APPARATUS, DEVICE CONTROL PROGRAM, AND SERVER | 1 |
Morito Kanada | JP | Tokushima | 2011-07-21 / 20110175127 - LIGHT EMITTING DEVICE | 1 |
Shigeji Kanada | JP | Kanagawa-Ken | 2015-02-26 / 20150054905 - IMAGE FORMING APPARATUS AND IMAGE PROCESSING METHOD | 1 |
Yoshihiro Kanada | JP | Niigata-Ken | 2009-02-19 / 20090047473 - NI-CONTAINING PLATING FILM AND METHOD OF MANUFACTURING THE SAME | 1 |
Kentaro Kanada | JP | Ina | 2015-03-19 / 20150081050 - SETTING PROGRAM, DEVICE CONTROL APPARATUS, AND SETTING METHOD | 1 |
Hisataka Kanada | JP | Katano-Shi | 2008-08-21 / 20080196834 - LIQUID PHASE ETCHING METHOD AND LIQUID PHASE ETCHING APPARATUS | 1 |
Regina Mikie Kanada | JP | Ibaraki | 2008-11-06 / 20080275059 - NOVEL PHYSIOLOGICALLY ACTIVE SUBSTANCES | 2 |
Yoriaki Kanada | JP | Kanagawa | 2015-08-06 / 20150220708 - INFORMATION SERVICE METHOD, INFORMATION SERVICE UNIT, RECORDING OR REPRODUCING CONTROLLING METHOD, AND RECORDING AND/OR REPRODUCING UNIT | 2 |
Toshiki Kanada | JP | Anjo-Shi | 2015-09-10 / 20150251530 - HYBRID VEHICLE DRIVING APPARATUS | 1 |
Kentaro Kanada | JP | Suwa-Shi | 2010-07-08 / 20100175074 - HOST APPARATUS, METHOD OF CONTROLLING HOST APPARATUS, IMAGE FORMING SYSTEM, AND COMPUTER PROGRAM | 1 |
Hidemasa Kanada | JP | Matsumoto-Shi | 2016-04-14 / 20160101636 - Liquid Ejecting Apparatus and Liquid Ejecting Method | 5 |
Hidehiro Kanada | JP | Kunitomi | 2009-06-25 / 20090159309 - Flat cable and plasma display device | 1 |
Hidemasa Kanada | JP | Nagano | 2010-05-06 / 20100109235 - SHEET TRANSPORT APPARATUS | 1 |
Ryo Kanada | JP | Toyohashi-Shi | 2015-12-24 / 20150369867 - BATTERY MANAGEMENT TERMINAL AND BATTERY MANAGEMENT SYSTEM | 2 |
Ken Kanada | JP | Nagano | 2009-12-17 / 20090311321 - ORAL DISINTEGRATING TABLET HAVING MASKED BITTER TASTE AND METHOD FOR PRODUCTION THEREOF | 1 |
Masaki Kanada | JP | Mito | 2010-05-06 / 20100114457 - CONTROL SYSTEM FOR CONTROL SUBJECT HAVING COMBUSTION UNIT AND CONTROL SYSTEM FOR PLANT HAVING BOILER | 1 |
Takayuki Kanada | JP | Tokyo | 2009-10-29 / 20090267239 - POSITIVE PHOTOSENSITIVE RESIN COMPOSITION | 1 |
Hideki Kanada | JP | Toyohashi-Shi | 2015-06-25 / 20150174605 - FILM FORMING DEVICE AND FILM FORMING METHOD | 1 |
Teijirou Kanada | JP | Kanagawa-Prefecture | 2010-09-16 / 20100233582 - FUEL CARTRIDGE FOR FUEL CELLS | 1 |
Keiu Kanada | JP | Aichi-Ken | 2010-09-30 / 20100244608 - PERMANENT MAGNET, MANUFACTURING METHOD THEREOF, AND ROTOR AND IPM MOTOR | 1 |
Shinji Kanada | JP | Tokyo | 2009-03-26 / 20090079698 - INPUT DEVICE AND ELECTRONIC APPARATUS | 1 |
Shigeji Kanada | JP | Kawasaki Kanagawa | 2016-02-11 / 20160041797 - PRINT JOB MANAGEMENT APPARATUS | 1 |
Keiu Kanada | JP | Aichin-Ken | 2010-09-02 / 20100219712 - ROTOR OF ROTARY ELECTRIC MACHINE, AND PRODUCTION METHOD THEREFOR | 1 |
Naoya Kanada | JP | Nishikamo-Gun | 2011-10-13 / 20110251744 - Hybrid vehicle controller | 3 |
Yasusi Kanada | JP | Tokyo | 2015-12-24 / 20150367571 - 3D printing method that enables arraying horizontal filaments without support | 11 |
Shoji Kanada | JP | Kanagawa-Ken | 2014-04-03 / 20140092118 - GRAPH DISPLAY CONTROL DEVICE, GRAPH DISPLAY CONTROL METHOD AND GRAPH DISPLAY CONTROL PROGRAM | 2 |
Naoya Kanada | JP | Miyoshi-Shi | 2013-02-28 / 20130049663 - CONTROL APPARATUS AND CONTROL METHOD FOR VEHICLE | 1 |
Yasushi Kanada | JP | Tokyo | 2012-08-02 / 20120198091 - NETWORK SYSTEM, CONTROL APPARATUS AND NETWORK APPARATUS | 1 |
Yoji Kanada | JP | Aichi | 2010-07-15 / 20100175649 - VALVE OPENING/CLOSING TIMING CONTROL APPARATUS | 2 |
Kensaku Kanada | JP | Ogaki | 2012-03-29 / 20120074796 - LINEAR OSCILLATORY ACTUATOR | 1 |
Yasusi Kanada | JP | Tokyo | 2015-12-24 / 20150367571 - 3D printing method that enables arraying horizontal filaments without support | 11 |
Tsuyoshi Kanada | JP | Komaki-Shi | 2009-07-02 / 20090167214 - ELECTRICAL ACTUATOR | 1 |
Mitsuhiro Kanada | JP | Osaka | 2013-03-28 / 20130075958 - PROCESS FOR PRODUCING THERMOPLASTIC RESIN FOAM | 4 |
Teruhisa Kanadani | JP | Okayama | 2010-05-20 / 20100124663 - RESIN COMPOSITION AND SHEET USING RESIN COMPOSITION | 1 |
Nilesh Satish Kanade | IN | Maharashtra | 2016-03-03 / 20160063190 - COMPUTER IMPLEMENTED PERSONALIZED HEALTH RECORD SYSTEM AND A METHOD THEREOF | 1 |
Abhijat A. Kanade | US | Bellevue | 2009-06-18 / 20090158384 - DISTRIBUTION OF INFORMATION PROTECTION POLICIES TO CLIENT MACHINES | 1 |
Manuwela Kanade | IN | Pune | 2016-04-21 / 20160112297 - Auto Management of a Virtual Device Context Enabled Network Infrastructure | 1 |
Ravindra K. Kanade | US | Austin | 2009-06-25 / 20090164704 - HIGH PERFORMANCE FLASH CHANNEL INTERFACE | 3 |
Takeo Kanade | US | Pittsburgh | 2014-06-12 / 20140161344 - IMAGE RECOGNITION METHOD | 6 |
Abhijat Kanade | US | Bellevue | 2009-12-03 / 20090300706 - CENTRALLY ACCESSIBLE POLICY REPOSITORY | 1 |
Rupa Nilesh Kanade | IN | Maharashtra | 2016-03-03 / 20160063190 - COMPUTER IMPLEMENTED PERSONALIZED HEALTH RECORD SYSTEM AND A METHOD THEREOF | 1 |
Parag M. Kanade | US | San Diego | 2016-02-25 / 20160057700 - APPARATUS AND METHODS FOR LOW POWER SENSING OF WIRELESS ACCESS TECHNOLOGIES | 5 |
Parag Kanade | US | San Diego | 2010-09-30 / 20100246420 - Enhanced Channel Detection | 1 |
Manuwela Kanade | US | Milpitas | 2014-09-18 / 20140269432 - vPC AUTO CONFIGURATION | 2 |
Udayan Kanade | IN | Pune | 2016-02-18 / 20160046089 - Method of Manufacturing of Sheets with Varying Concentrations of Particles from Corrugated Sheets | 39 |
Parag Mohan Kanade | US | San Diego | 2011-01-06 / 20110003593 - Apparatus and Method for a Centrally Managed Handoff Between Two Technologies | 8 |
Abhijat Kanade | US | Redmond | 2013-12-19 / 20130339288 - DETERMINING DOCUMENT CLASSIFICATION PROBABILISTICALLY THROUGH CLASSIFICATION RULE ANALYSIS | 1 |
Edward C. Kanady | US | Tulsa | 2013-01-24 / 20130022480 - Mechanical-Hydraulic Pumping System | 2 |
Masaaki Kanae | JP | Kyoto | 2014-11-20 / 20140339956 - ELECTRONIC COMPONENT AND ELECTRONIC COMPONENT MODULE | 1 |
Masaaki Kanae | JP | Nagaokakyo-Shi | 2014-01-16 / 20140015373 - METHOD FOR MANUFACTURING ELECTRONIC COMPONENT MODULE AND ELECTRONIC COMPONENT MODULE | 2 |
Tatsutoshi Kanae | JP | Miyazaki | 2009-09-17 / 20090233512 - Method For Producing Barrier Rib Substrate For Plasma Display Panel | 2 |
Kentarou Kanae | JP | Tokyo | 2011-02-24 / 20110046290 - THERMOPLASTIC ELASTOMER COMPOSITION | 4 |
Kentarou Kanae | JP | Minato-Ku | 2015-07-23 / 20150203734 - HEAT STORAGE MATERIAL COMPOSITION | 1 |
Kentarou Kanae | JP | Mie | 2009-07-02 / 20090171032 - IONOMER, METHOD FOR PRODUCING SAME AND MOLDED ARTICLE | 3 |
Takeshi Kanae | JP | Wako | 2013-09-12 / 20130234845 - INFORMATION DISPLAY SYSTEM FOR VEHICLE, INFORMATION DISPLAY METHOD FOR VEHICLE, VEHICLE AND MOUNTING MEMBER | 1 |
Andrey V. Kanaev | US | Lorton | 2015-11-19 / 20150332434 - Demosaicking System and Method for Color array Based Multi-Spectral Sensors | 4 |
Fadi Kanafani | CA | Richmond | 2016-05-19 / 20160138510 - ENGINE CONTROL APPARATUS | 2 |
Fadi S. Kanafani | CA | Windsor | 2013-08-01 / 20130197788 - METHOD OF SETTING A CONTROL PARAMETER FOR EMISSIONS ROBUSTNESS | 5 |
Hanny Kanafani | US | Plano | 2015-04-09 / 20150099040 - PRE-COATED FOOD FLAKES FOR A FOOD PRODUCT AND A METHOD OF MAKING A FOOD PRODUCT | 3 |
Hideto Kanafusa | JP | Yokohama-Shi | 2011-09-22 / 20110229802 - MANUFACTURE OF FUEL CELL | 4 |
Hidekazu Kanagae | JP | Kumamoto | 2009-05-14 / 20090121443 - Sealing Device | 3 |
Sameer Kanagala | US | San Jose | 2015-10-08 / 20150286800 - CLOUD-BASED SERVER FOR FACILITATING HEALTH AND FITNESS PROGRAMS FOR A PLURALITY OF USERS | 4 |
Sameer Kanagala | US | San Carlos | 2011-05-12 / 20110109452 - METHODS AND APPARATUS FOR A PERVASIVE LOCATIONING AND PRESENCE-DETECTION SYSTEM | 2 |
Masaji Kanagami | JP | Utsunomiya | 2016-01-28 / 20160029468 - ROTATION ANODE X-RAY TUBE UNIT AND ROTATION ANODE X-RAY TUBE ASSEMBLY | 2 |
Rangappan Kanagaraj | IN | Tamil Nadu | 2010-11-11 / 20100284164 - Instrument Cluster and Methods Thereof | 1 |
Naveen Kumar Kanagaraj | IN | Bangalore | 2015-07-30 / 20150215161 - NEAR FIELD COMMUNICATION BASED BOOTSTRAPPING | 1 |
Subramani Kanagaraj | IN | Assam | 2016-03-31 / 20160089243 - INJECTION MOULDABLE POLYMERIC COMPOSITE BASED PASSIVE POLYCENTRIC KNEE JOINT | 1 |
Thangaprakash Kanagaraj | IN | Chennai | 2016-03-24 / 20160086127 - METHOD AND SYSTEM FOR GENERATING INTERACTION DIAGRAMS FOR A PROCESS | 1 |
Annapoorani Kanagaraj | IN | Tamilnadu | 2014-07-10 / 20140192086 - CAMERA-BASED DEVICE AND METHOD OF AUGMENTING DATA DISPLAYED ON A DISPLAY DEVICE USING THE CAMERA-BASED DEVICE | 1 |
Sureshkumar Kanagaraj | IN | Tamil Nadu | 2012-02-09 / 20120035357 - PROCESS FOR THE PREPARATION OF CARBAPENEM ANTIBIOTIC | 1 |
Sureshkumar Kanagaraj | IN | Chennai | 2012-10-04 / 20120253066 - PROCESS FOR THE PREPARATION OF CILASTATIN SODIUM | 3 |
R. Kanagaraj | IN | Coimbatore | 2010-07-22 / 20100183368 - DIAL LOCKING SYSTEM USING SNAP FIT AND A METHOD THEREOF | 1 |
Sureshkumar Kanagaraj | IN | Sozhanganallur | 2015-02-05 / 20150038726 - PROCESS FOR THE PREPARATION OF CARBAPENEM ANTIBIOTIC | 1 |
Prapakaran Kanagaratnam | GB | London | 2010-11-04 / 20100280399 - METHOD OF AND APPARATUS FOR GENERATING A MODEL OF A CARDIAC SURFACE HAVING A PLURALITY OF IMAGES REPRESENTING ELECTROGRAM VOLTAGES | 1 |
Prapa Kanagaratnam | GB | London, Greater London | 2016-03-17 / 20160073913 - IMAGE DISPLAY INTERFACES | 1 |
Senthilkumaran Kanagaratnam | US | San Jose | 2016-04-28 / 20160117715 - PAYMENT DATA SYSTEMS AND METHODS | 1 |
Rajasekar Kanagasabai | IN | Chennai | 2016-04-07 / 20160098596 - METHODS AND SYSTEMS FOR PROCESSING DOCUMENTS | 2 |
B. Kanagasabapathi | IN | Surampatti | 2011-11-03 / 20110270837 - METHOD AND SYSTEM FOR LOGICAL DATA MASKING | 1 |
Balasubramanian Kanagasabapathi | IN | Erode | 2015-06-25 / 20150178303 - METHOD AND SYSTEM FOR MINING FREQUENT AND IN-FREQUENT ITEMS FROM A LARGE TRANSACTION DATABASE | 4 |
Subbareddy Kanagasabapathy | US | Lexington | 2012-06-07 / 20120142814 - HYDROPHOBIC COATING COMPOSITIONS FOR DRAG REDUCTION | 9 |
Venkatesh Kanagasabapathy | US | San Jose | 2014-06-05 / 20140153473 - Managing Router Advertisement Messages To Support Roaming Of Wireless Mobile Client Devices | 3 |
Subbareddy Kanagasabapathy | US | Lexington | 2012-06-07 / 20120142814 - HYDROPHOBIC COATING COMPOSITIONS FOR DRAG REDUCTION | 9 |
Selvaraj Kanagasalam | IN | Tamil Nadu | 2008-09-25 / 20080234479 - Novel Process For Preparation of a Pharmaceutically Pure Polymorphic Form I of Olanzapine | 1 |
Senthil Kanagavel | US | Roswell | 2014-06-05 / 20140153167 - CONDUCTIVE COMPOSITIONS AND METHODS OF USING THEM | 2 |
Tatsuya Kanagawa | JP | Osaka | 2014-08-28 / 20140242392 - ACRYLIC RESIN COMPOSITION AND MOLDED PRODUCT USING THE SAME | 5 |
Takahiro Kanagawa | JP | Ibaraki | 2009-09-17 / 20090233308 - NOVEL METHOD OF ASSAYING NUCLEIC ACID USING LABELED NUCLEOTIDE | 1 |
Yoshinori Kanagawa | JP | Osaka | 2015-04-09 / 20150099125 - MOISTURE-CURABLE POLYURETHANE HOT-MELT RESIN COMPOSITION, ADHESIVE, AND ARTICLE | 9 |
Naoaki Kanagawa | JP | Kanagawa | 2014-06-26 / 20140177351 - SEMICONDUCTOR DEVICE | 1 |
Takeshi Kanagawa | JP | Owariasahi | 2013-06-06 / 20130140133 - BILL HANDLING APPARATUS | 4 |
Eiichi Kanagawa | JP | Kanagawa | 2011-03-31 / 20110075910 - X-ray imaging system, imaging method and computer readable media including imaging program | 3 |
Norifumi Kanagawa | JP | Nagasaki | 2012-09-27 / 20120242525 - DIFFERENTIAL AMPLIFIER AND ANALOG/DIGITAL CONVERTER | 3 |
Eiichi Kanagawa | JP | Ashigarakami-Gun | 2011-09-15 / 20110222758 - RADIOGRAPHIC IMAGE CAPTURING SYSTEM AND METHOD OF DISPLAYING RADIOGRAPHIC IMAGES | 1 |
Koji Kanagawa | JP | Makinohara | 2016-04-14 / 20160102703 - DUST COVER FOR BALL JOINT | 2 |
Kenji Kanagawa | JP | Osaka | 2008-11-27 / 20080293818 - Regulator of Physiological Function of Ghrelin and Use Thereof | 1 |
Masahiro Kanagawa | JP | Fukuoka | 2008-10-02 / 20080244455 - OPERATING APPARATUS AND CONTROL METHOD OF THE SAME | 1 |
Eiichi Kanagawa | JP | Kanagawa-Ken | 2012-12-20 / 20120318986 - RADIOGRAPHIC IMAGING APPARATUS AND METHOD | 2 |
Kyoichi Kanagawa | JP | Kumamoto | 2015-11-12 / 20150326803 - AD CONVERTER, SIGNAL PROCESSING METHOD, SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS | 2 |
Shuichi Kanagawa | JP | Yokkaichi | 2016-03-03 / 20160064907 - CONDUCTIVE PATH | 4 |
Shunsuke Kanagawa | JP | Osaka | 2015-10-22 / 20150300535 - PIPE | 3 |
Masahiro Kanagawa | JP | Mishima-Shi | 2015-01-15 / 20150015082 - POWER TRANSMISSION APPARATUS, POWER TRANSMISSION DEVICE AND POWER RECEPTION DEVICE FOR POWER TRANSMISSION APPARATUS | 2 |
Akiko Kanagawa | JP | Kanagawa | 2012-10-04 / 20120249534 - STEREOSCOPIC DISPLAY APPARATUS | 4 |
Takaaki Kanagawa | JP | Tokyo | 2015-01-15 / 20150014230 - ORGANIC-WASTE-PROCESSING APPARATUS, PROCESSING METHOD, AND CONTROL APPARATUS | 1 |
Kenichi Kanagawa | JP | Ota | 2013-02-14 / 20130041679 - ORDER DISPLAY APPARATUS, COMPUTER READABLE STORAGE MEDIUM, AND ORDER DISPLAY METHOD | 1 |
Norifimi Kanagawa | JP | Nagasaki | 2011-01-06 / 20110001648 - FOLDING CIRCUIT AND ANALOG-TO-DIGITAL CONVERTER | 1 |
Kei Kanagawa | JP | Tokyo | 2010-12-30 / 20100329339 - TRANSMISSION APPARARUS, RECEPTION APPARATUS, FRAME RATE CONVERSION SYSTEM, AND FRAME RATE CONVERSION METHOD | 1 |
Koji Kanagawa | JP | Aichi-Ken | 2010-05-27 / 20100129662 - METHOD FOR FORMING MULTILAYER COATING FILM | 1 |
Naoaki Kanagawa | JP | Yokohama-Shi | 2015-03-12 / 20150071001 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Hitoki Kanagawa | JP | Osaka | 2016-05-12 / 20160135296 - WIRED CIRCUIT BOARD AND PRODUCING METHOD THEREOF, AND WIRED CIRCUIT BOARD ASSEMBLY AND PRODUCING METHOD THEREOF | 21 |
Masahiro Kanagawa | JP | Mishima | 2016-05-05 / 20160126747 - NON-CONTACT POWER TRANSMISSION APPARATUS AND POWER TRANSMISSION DEVICE | 1 |
Tatsuya Kanagawa | JP | Ibaraki | 2009-12-10 / 20090304977 - Polycarbonate Resin and Optical Material Comprising the Same | 1 |
Hiroaki Kanagawa | JP | Yokosuka-Shi | 2015-10-01 / 20150279653 - Incandescent Lamp | 1 |
Takahiro Kanagawa | JP | Tsukuba-Shi | 2013-03-14 / 20130065237 - NOVEL MIXTURES FOR ASSAYING NUCLEIC ACID, NOVEL METHOD OF ASSAYING NUCLEIC ACID WITH THE USE OF THE SAME AND NUCLEIC ACID PROBE TO BE USED THEREFOR | 3 |
Yoshinori Kanagawa | JP | Osaka | 2015-04-09 / 20150099125 - MOISTURE-CURABLE POLYURETHANE HOT-MELT RESIN COMPOSITION, ADHESIVE, AND ARTICLE | 9 |
Kinji Kanagawa | JP | Honjo-Shi | 2010-06-10 / 20100141056 - RECIPROCATING ENGINE | 1 |
Takeshi Kanagawa | JP | Tokyo | 2016-03-03 / 20160063793 - PAPER MONEY HANDLING APPARATUS | 3 |
Norifumi Kanagawa | JP | Kanagawa | 2016-03-17 / 20160080616 - SOLID-STATE IMAGING DEVICE, DRIVE METHOD THEREOF AND CAMERA SYSTEM | 2 |
Akiko Kanagawa | JP | Ashigara-Kami-Gun | 2011-10-06 / 20110240872 - RADIOGRAPHIC IMAGING SYSTEM | 1 |
Naoki Kanagawa | JP | Yokkaichi-Shi | 2009-10-22 / 20090261484 - LIQUID RESIN COMPOSITION, SEMI-CONDUCTOR DEVICE, AND PROCESS OF FABRICATING THE SAME | 1 |
Kinji Kanagawa | JP | Honjyo-Shi | 2010-06-10 / 20100141055 - OUTER STATOR OF RECIPROCATING ENGINE AND RECIPROCATING ENGINE | 1 |
Eiichi Kanagawa | JP | Minami-Ashigara-Shi | 2010-08-05 / 20100195789 - Radiographic image capturing system and radiographic image capturing method | 8 |
Hirokazu Kanahara | JP | Shioya-Gun | 2012-08-02 / 20120194125 - CONTACTLESS POWER TRANSMISSION DEVICE | 1 |
Masaaki Kanahara | JP | Kurume-Shi | 2009-06-04 / 20090139326 - FLOAT AND LIQUID CONTAINER USING THE FLOAT, METHOD FOR PREVENTING MALFUNCTION OF PROBE IN AUTOMATIC ANALYZER, AND EXAMINATION METHOD USING AUTOMATIC ANALYZER | 1 |
Hirokazu Kanahara | JP | Wako-Shi | 2016-05-05 / 20160126778 - NON-CONTACT CHARGING SYSTEM | 1 |
Hirokazu Kanahara | JP | Haga-Gun | 2015-12-31 / 20150380975 - WIRELESS CHARGING SYSTEM | 4 |
Yoshihiro Kanahashi | JP | Himeji-Shi | 2015-08-06 / 20150221841 - LIGHT SOURCE UNIT | 1 |
Yusuke Kanahashi | JP | Tokyo | 2010-02-04 / 20100027598 - SOFTWARE RADIO TRANSCEIVER | 1 |
Yusuke Kanahashi | JP | Hamura-Shi | 2009-09-17 / 20090235286 - Software radio device | 2 |
Yoshihiro Kanahashi | JP | Hyogo | 2015-09-17 / 20150260368 - LIGHT EMITTING MODULE AND LIGHT IRRADIATING APPARATUS | 4 |
Hidetaka Kanahashi | JP | Hyogo | 2016-04-28 / 20160114385 - CONTINUOUS CASTING APPARATUS FOR INGOTS OBTAINED FROM TITANIUM OR TITANIUM ALLOY | 2 |
Hidetaka Kanahashi | JP | Takasago-Shi | 2015-12-03 / 20150343521 - TITANIUM CONTINUOUS CASTING DEVICE | 6 |
Hidetaka Kanahashi | JP | Kobe-Shi | 2010-06-03 / 20100134227 - Electromagnetic pipe expanding inductor and method for manufacturing the same | 1 |
Kazunori Kanai | JP | Yamanashi | 2015-10-22 / 20150305215 - TAPE FEEDER AND TAPE FEEDER CABINET | 16 |
Taeko Kanai | JP | Tochigi | 2010-09-30 / 20100249740 - SHAPED SHEET AND ABSORBENT ARTICLE USING THE SAME | 2 |
Eri Kanai | JP | Kawasaki-Shi | 2013-01-17 / 20130016954 - INFORMATION PROCESSING DEVICE INFORMATION PROCESSING METHOD AND PROGRAM STORAGE MEDIUMAANM Watanabe; KazuhiroAACI TokyoAACO JPAAGP Watanabe; Kazuhiro Tokyo JPAANM Kaku; WataruAACI Yokohama-shiAACO JPAAGP Kaku; Wataru Yokohama-shi JPAANM Nagasaki; DaijirouAACI Kamakura-shiAACO JPAAGP Nagasaki; Daijirou Kamakura-shi JPAANM Oshimoto; NobuoAACI Kawasaki-shiAACO JPAAGP Oshimoto; Nobuo Kawasaki-shi JPAANM Oya; SusumuAACI Kamakura-shiAACO JPAAGP Oya; Susumu Kamakura-shi JPAANM Hokari; YusukeAACI TokyoAACO JPAAGP Hokari; Yusuke Tokyo JPAANM Kanai; EriAACI Kawasaki-shiAACO JPAAGP Kanai; Eri Kawasaki-shi JP | 4 |
Tatsunori Kanai | JP | Kanagawa | 2016-03-10 / 20160070333 - CONTROL DEVICE, SYSTEM, AND COMPUTER PROGRAM PRODUCT | 24 |
Satoshi Kanai | JP | Osaka | 2015-09-17 / 20150261307 - BIOLOGICAL POTENTIAL INPUT INTERFACE SYSTEM, SENSOR DEVICE, AND METHOD | 1 |
Masahiro Kanai | JP | Suwa-Shi | 2012-07-19 / 20120183016 - TEMPERATURE DETECTION CIRCUIT AND SENSOR DEVICE | 10 |
Yae Kanai | JP | Chuo-Ku | 2015-04-30 / 20150118681 - METHOD FOR PREDICTING PROGNOSIS OF RENAL CELL CARCINOMA | 2 |
Naoya Kanai | US | Palo Alto | 2014-11-06 / 20140330906 - SYSTEMS AND METHODS OF NETWORK PROCESSING/CREATION INVOLVING ENGAGEMENT TOOLS, POLLING, MESSAGING, FEEDBACK AND/OR OTHER FEATURES | 1 |
Kenji Kanai | JP | Kanagawa | 2013-12-05 / 20130322900 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 3 |
Ryuichi Kanai | US | Brookline | 2011-07-21 / 20110177032 - USE ON ONCOLYTIC HERPES VIRUSES FOR KILLING CANCER STEM CELLS | 1 |
Takashi Kanai | JP | Chiba | 2012-09-06 / 20120224701 - ACOUSTIC APPARATUS, ACOUSTIC ADJUSTMENT METHOD AND PROGRAM | 1 |
Gen Kanai | JP | Mie | 2013-07-04 / 20130171389 - PROPYLENE RESIN MULTI-LAYER SHEET, AND PACKAGING BODY FOR HEAT TREATMENT USING SAME | 2 |
Toshimasa Kanai | JP | Otsu-Shi | 2015-08-13 / 20150226882 - ANTI-GLARE/ANTIREFLECTION MEMBER AND METHOD FOR PRODUCING SAME | 3 |
Toshimasa Kanai | JP | Shiga | 2010-05-27 / 20100127611 - TRANSPARENT ELECTRODE | 2 |
Suetsugu Kanai | JP | Ibaraki-Shi | 2013-06-20 / 20130157110 - STACKED BATTERY | 1 |
Kengo Kanai | JP | Nagano | 2016-02-11 / 20160038723 - CATHETER FOR TREATMENT OF SINUSITIS | 1 |
Naoki Kanai | JP | Nagano | 2013-05-23 / 20130127277 - FLAT MOTOR | 1 |
Tomonori Kanai | JP | Hitachinaka | 2016-03-10 / 20160069962 - BATTERY SYSTEM | 8 |
Takao Kanai | JP | Kosai-Shi | 2014-11-06 / 20140330537 - WIRE HARNESS ANALYZING DEVICE, WIRE HARNESS ANALYZING METHOD AND COMPUTER READABLE MEDIUM | 2 |
Nobuo Kanai | JP | Tokyo | 2015-10-08 / 20150283364 - SHEET SHAPED THERAPEUTIC SUBSTANCE TRANSFER APPARATUS AND METHOD OF AFFIXING SHEET SHAPED THERAPEUTIC SUBSTANCE | 1 |
Hideki Kanai | JP | Takatsuki-Shi | 2014-10-02 / 20140295669 - PATTERN FORMING METHOD | 1 |
Makoto Kanai | JP | Tokyo | 2014-07-24 / 20140202358 - Seawater-Mixed Concrete, Concrete Structure Constructed with the Same, and Design Method of Concrete Structure Constructed with Seawater-Mixed Concrete | 15 |
Makoto Kanai | JP | Saitama | 2008-12-25 / 20080317531 - IMAGE FORMING APPARATUS | 1 |
Masaki Kanai | JP | Hitachinaka | 2014-04-03 / 20140095037 - ENGAGEMENT POSITION STORAGE DEVICE AND BRAKE SYSTEM INCLUDING THE SAME | 1 |
Kunio Kanai | JP | Adachi-Ku | 2014-03-27 / 20140086702 - NUT | 1 |
Masaki Kanai | JP | Nakagyo-Ku | 2014-03-20 / 20140079603 - DISPENSING DEVICE | 2 |
Jun Kanai | JP | Fuchu-Shi | 2014-10-02 / 20140298375 - INFORMATION OUTPUT DEVICE, INFORMATION MANIPULATION DEVICE, AND COMPUTER PROGRAM PRODUCT | 4 |
Yuya Kanai | JP | Yokohama-Shi | 2015-10-22 / 20150298580 - VEHICLE SEAT SLIDE DEVICE | 2 |
Jun Kanai | JP | Tokyo | 2015-04-30 / 20150121027 - ELECTRONIC APPARATUS AND METHOD | 8 |
Masaki Kanai | JP | Nara-Shi | 2014-10-02 / 20140290491 - COLUMN UNIT AND GAS CHROMATOGRAPHY DEVICE PROVIDED WITH THE SAME | 2 |
Youichi Kanai | JP | Tokyo | 2011-07-21 / 20110174652 - DISPLAY SYSTEM, DISPLAY DEVICE, CONTAINER DISPLAY SYSTEM, CONTAINER, FILM, ARTICLE AND MOUNTING MEMBER | 1 |
Masashi Kanai | JP | Azumino | 2015-11-26 / 20150339558 - PRINTING APPARATUS AND PRINTING METHOD | 4 |
Yuji Kanai | JP | Saitama-Shi | 2015-10-08 / 20150285703 - PRESSURE SENSOR, AND SENSOR UNIT PROVIDED WITH SAME | 1 |
Hideo Kanai | JP | Kariya-Shi | 2013-05-23 / 20130129551 - COMPRESSOR FOR VEHICLE | 1 |
Susumu Kanai | JP | Kitaibaraki-Shi | 2013-01-03 / 20130001645 - SEMICONDUCTOR EPITAXIAL SUBSTRATE | 1 |
Toshinobu Kanai | JP | Kanagawa-Ken | 2008-12-04 / 20080296056 - Printed circuit board, production method therefor, electronic-component carrier board using printed circuit board, and production method therefor | 1 |
Toshinobu Kanai | JP | Kodaira | 2011-04-28 / 20110096667 - PACKET COMMUNICATION DEVICE, PACKET COMMUNICATION SYSTEM, PACKET COMMUNICATION MODULE, DATA PROCESSOR, AND DATA TRANSFER SYSTEM | 2 |
Masashi Kanai | JP | Azumino-Shi | 2015-01-22 / 20150025340 - CALIBRATION CURVE CREATING METHOD AND APPARATUS FOR THE SAME, AND BLOOD COMPONENT CALIBRATION APPARATUS | 12 |
Takayuki Kanai | JP | Tokyo | 2012-08-16 / 20120206086 - SECONDARY BATTERY CHARGING DEVICE AND SECONDARY BATTERY CHARGING SYSTEM | 1 |
Tsuyoshi Kanai | JP | Tokyo | 2014-02-20 / 20140049688 - IMAGING APPARATUS | 2 |
Katsumasa Kanai | JP | Toyama | 2010-05-27 / 20100126707 - COOLING/HEATING PANEL | 1 |
Toshimi Kanai | JP | Tokyo | 2015-10-22 / 20150299214 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUND | 2 |
Fumiyuki Kanai | JP | Nishitokyo | 2010-09-09 / 20100227474 - FABRICATION METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Masaki Kanai | JP | Kyoto | 2015-10-08 / 20150285769 - GAS CHROMATOGRAPHY EQUIPMENT | 11 |
Takayuki Kanai | JP | Kanagawa | 2011-05-19 / 20110119005 - BATTERY-STATE MONITORING APPARATUS | 2 |
Akihiko Kanai | JP | Kanagawa | 2012-09-06 / 20120223461 - IMPRINTING DEVICE AND IMPRINTING METHOD | 2 |
Fujio Kanai | JP | Kanagawa | 2010-06-17 / 20100150545 - CAMERA MODULE | 3 |
Junichi Kanai | JP | Kanagawa | 2014-03-20 / 20140078357 - IMAGING DEVICE CAMERA SYSTEM AND DRIVING METHOD OF THE SAME | 6 |
Yoichi Kanai | JP | Kanagawa | 2014-08-21 / 20140233433 - TRANSMISSION SYSTEM, PARTICIPATION FEE MANAGEMENT METHOD, COMPUTER PROGRAM PRODUCT, AND MAINTENANCE SYSTEM | 5 |
Nobuhiro Kanai | JP | Kanagawa | 2009-10-15 / 20090258413 - REACTOR | 1 |
Takeshi Kanai | JP | Kanagawa | 2012-03-15 / 20120064381 - FILM-COVERED ELECTRIC DEVICE AND METHOD OF MANUFACTURING SAME | 3 |
Misuzu Kanai | JP | Kanagawa | 2008-11-06 / 20080273274 - Magnetic detection element and manufacturing method thereof | 1 |
Hideki Kanai | JP | Kanagawa | 2009-01-08 / 20090009775 - Reticle, apparatus for monitoring optical system, method for monitoring optical system, and method for manufacturing reticle | 1 |
Tomoaki Kanai | JP | Kanagawa | 2008-11-27 / 20080291588 - Motor control microcomputer and control method for the same | 1 |
Tatsunori Kanai | JP | Tokyo | 2015-10-22 / 20150301892 - MEMORY SYSTEM | 2 |
Satoru Kanai | JP | Nagano-Ken | 2011-08-11 / 20110194798 - Crossed roller bearing retainer and crossed roller bearing | 1 |
Yasuyuki Kanai | JP | Chiyoda-Ku | 2013-07-04 / 20130173947 - DEVICE AND METHOD FOR CALCULATING BATTERY USABLE TIME PERIOD FOR MOBILE STATION | 1 |
Shinichirou Kanai | JP | Mie | 2009-06-11 / 20090149602 - Release resin composition, molded compact thereof, and laminate product | 1 |
Masaki Kanai | JP | Hitachinaka-Shi | 2015-08-06 / 20150221222 - VEHICLE TRAFFIC CONTROL SYSTEM | 1 |
Izumi Kanai | JP | Machida-Shi | 2015-05-28 / 20150146096 - IMAGE-PROCESSING DEVICE AND CONTROL METHOD THEREOF | 6 |
Tomoyuki Kanai | JP | Shibukawa-City | 2013-04-04 / 20130081752 - METHOD OF MANUFACTURING TRANSLUCENT RIGID SUBSTRATE LAMINATE | 1 |
Saburo Kanai | JP | Hikari-Shi | 2010-06-10 / 20100140224 - Plasma Processing Apparatus And Plasma Processing Method | 2 |
Osamu Kanai | JP | Aichi-Ken | 2012-01-12 / 20120010785 - CONTROL APPARATUS FOR VEHICLE AND METHOD FOR CONTROLLING VEHICLE | 1 |
Yoshikatsu Kanai | JP | Tokyo-To | 2014-02-06 / 20140037636 - ANTI-CD98 ANTIBODY PROCESSES | 3 |
Hitoshi Kanai | JP | Kawasaki | 2009-05-21 / 20090128962 - READ-HEAD, MAGNETIC HEAD AND MAGNETIC STORAGE APPARATUS | 1 |
Ryo Kanai | JP | Kawasaki | 2014-03-20 / 20140077834 - PRINTED WIRING BOARD, CRACK PREDICTION DEVICE, AND CRACK PREDICTION METHOD | 6 |
Dai Kanai | JP | Kawasaki | 2010-02-04 / 20100031208 - METHOD OF DESIGNING SEMICONDUCTOR DEVICE | 1 |
Naotsugu Kanai | JP | Matsumoto-Shi | 2015-07-30 / 20150211463 - DIESEL ENGINE | 2 |
Masahiro Kanai | JP | Suwa-Shi | 2012-07-19 / 20120183016 - TEMPERATURE DETECTION CIRCUIT AND SENSOR DEVICE | 10 |
Masahiro Kanai | JP | Tokyo | 2009-07-02 / 20090170393 - ORGANIC ELECTROLUMINESCENCE DEVICE | 1 |
Saburou Kanai | JP | Hikari | 2010-01-28 / 20100018649 - Plasma Processing Apparatus And Method | 2 |
Masaki Kanai | JP | Tokyo | 2015-08-27 / 20150239436 - AUTONOMOUS MOVING APPARATUS AND AUTONOMOUS MOVEMENT SYSTEM | 2 |
Masahiro Kanai | JP | Kyoto | 2009-06-11 / 20090145555 - PROCESSING APPARATUS, EXHAUST PROCESSING PROCESS AND PLASMA PROCESSING PROCESS | 4 |
Akinobu Kanai | JP | Kariya-Shi | 2010-01-28 / 20100018386 - COMPRESSOR | 3 |
Hiroshi Kanai | JP | Tomi-City | 2009-02-26 / 20090055560 - DATA TRANSFER APPARATUS, METHOD FOR MANUFACTURING THE DATA TRANSFER APPARATUS, METHOD FOR CONDUCTING CONNECTION TEST, AND METHOD FOR TESTING CONNECTION IN THE DATA TRANSFER APPARATUS | 1 |
Hiroshi Kanai | JP | Kanagawa-Ken | 2009-08-27 / 20090214898 - MAGNETIC RECORDING MEDIUM AND MANUFACTURING METHOD THEREOF | 4 |
Masashi Kanai | JP | Kobe-Shi | 2011-06-30 / 20110156429 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 1 |
Hiroshi Kanai | JP | Susono-Shi | 2015-10-01 / 20150274153 - CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 7 |
Hiroshi Kanai | JP | Miyagi | 2010-01-21 / 20100016721 - ULTRASONOGRAPHIC DEVICE | 4 |
Hiroshi Kanai | JP | Sendai-Shi | 2013-10-03 / 20130261508 - ULTRASOUND TREATMENT DEVICE AND CONTROL METHOD THEREOF | 2 |
Hiroki Kanai | JP | Odawara | 2013-03-28 / 20130080796 - STORAGE SYSTEM AND ITS CONTROL METHOD | 8 |
Naoyuki Kanai | JP | Tokyo | 2013-02-14 / 20130038205 - COLOR CONVERSION FILTER AND MANUFACTURING METHOD OF THE ORGANIC EL DISPLAY | 2 |
Hiroshi Kanai | JP | Chisagata-Gun | 2012-07-12 / 20120176439 - INKJET PRINTER | 2 |
Kenichi Kanai | US | Palo Alto | 2015-02-19 / 20150049411 - WAFER GROUNDING AND BIASING METHOD, APPARATUS, ANDAPPLICATION | 6 |
Anthony J. Kanai | US | Pittsburgh | 2015-10-22 / 20150297578 - Selective Targeting Agents for Mitochondria | 3 |
Hideki Kanai | US | Wappingers Falls | 2009-08-13 / 20090202924 - METHOD OF EVALUATING A PHOTO MASK AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 1 |
Yuki Kanai | JP | Saitama | 2010-06-03 / 20100134270 - TIRE INFLATION PRESSURE DETECTING DEVICE | 1 |
Yuichi Kanai | JP | Ichinomiya-City | 2012-09-20 / 20120236746 - BASE STATION APPARATUS AND TERMINAL APPARATUS FOR TRANSMITTING OR RECEIVING A SIGNAL INCLUDING PREDETERMINED INFORMATION | 2 |
Masahide Kanai | JP | Chigasaki | 2012-01-26 / 20120019756 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 4 |
Yuichi Kanai | JP | Ichinomiya-Shi | 2013-06-20 / 20130156017 - TERMINAL APPARATUS FOR TRANSMITTING OR RECEIVING A SIGNAL INCLUDING PREDETERMINED INFORMATION | 2 |
Toshio Kanai | JP | Osaka | 2016-03-17 / 20160075697 - HETEROCYCLIC SUBSTITUTED-3-HETEROARYLIDENYL-2-INDOLINONE DERIVATIVE | 3 |
Tatsunori Kanai | JP | Yokohama-Shi | 2015-02-26 / 20150058588 - SEMICONDUCTOR DEVICE AND MEMORY PROTECTION METHOD | 16 |
Yoshio Kanai | JP | Utsunomiya-Shi | 2010-05-06 / 20100114350 - METHOD OF DETERMINING MESH DATA AND METHOD OF CORRECTING MODEL DATA | 1 |
Yoshio Kanai | JP | Tochigi | 2008-09-04 / 20080215174 - METHOD OF CORRECTING DIE MODEL DATA | 1 |
Yasushi Kanai | JP | Niigata | 2011-04-14 / 20110085266 - PERPENDICULAR MAGNETIC RECORDING HEAD | 1 |
Wataru Kanai | JP | Gunma-Ken | 2009-05-28 / 20090135587 - SHOWCASE | 1 |
Toshio Kanai | JP | Osaka-Fu | 2011-02-10 / 20110034466 - NOVEL FIVE-MEMBERED RING COMPOUND | 2 |
Tomoyuki Kanai | JP | Gunma | 2010-01-21 / 20100012263 - CURABLE COMPOSITION AND METHOD FOR TEMPORAL FIXATION OF STRUCTURAL MEMBER USING THE SAME | 4 |
Sonoko Kanai | CH | Basel | 2016-04-14 / 20160101145 - PEPTIDOMIMETIC MACROCYCLES AND FORMULATIONS THEREOF | 1 |
Takeo Kanai | JP | Kodaira | 2009-08-27 / 20090213649 - Semiconductor processing device and IC card | 1 |
Takashi Kanai | JP | Saitama | 2008-09-18 / 20080226472 - Air Blower | 1 |
Takao Kanai | JP | Chiba | 2009-11-05 / 20090274929 - Surface treated stainless steel sheet for automobile fuel tank excellent in corrosion resistance under salt corrosive environment | 1 |
Shoji Kanai | JP | Ueda-Shi | 2009-03-19 / 20090071001 - METHOD FOR PRODUCING PISTON FOR INTERNAL-COMBUSTION ENGINE | 2 |
Yusuke Kanai | JP | Kanagawa | 2012-07-19 / 20120183338 - DEBURRING DEVICE, RECORDING-MEDIUM SUPPLY DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Shoji Kanai | JP | Nagano | 2014-04-17 / 20140102905 - PLATED ALUMINUM PRODUCT | 2 |
Shinji Kanai | JP | Maebashi-Shi | 2009-05-14 / 20090120714 - Electric Power Steering Apparatus | 1 |
Shinji Kanai | JP | Gunma | 2009-03-19 / 20090071745 - CONTROL UNIT FOR ELECTRIC POWER STEERING APPARATUS | 1 |
Seiichi Kanai | JP | Ibaraki | 2009-06-11 / 20090149345 - MICROCHANNEL ARRAY AND METHOD FOR PRODUCING THE SAME, AND BLOOD MEASURING METHOD EMPLOYING IT | 2 |
Satoshi Kanai | JP | Mizuho-City | 2011-02-03 / 20110026382 - OPTICAL PICKUP DEVICE, OPTICAL DISC DEVICE AND FOCUS ADJUSTING METHOD | 1 |
Yuji Kanai | JP | Ashikaga-Shi | 2015-10-15 / 20150291373 - WORKPIECES STACKING APPARATUS | 1 |
Satoshi Kanai | JP | Hokkaido | 2010-06-24 / 20100156903 - TETRAHEDRAL MESH GENERATING METHOD FOR FINITE-ELEMENT ANALYSIS AND FINITE-ELEMENT ANALYZING SYSTEM USING ITS METHOD | 2 |
Satoru Kanai | JP | Azumino-Shi | 2013-12-05 / 20130319174 - WAVE GEAR DEVICE AND FLEXIBLE EXTERNALLY TOOTHED GEAR | 6 |
Nobuo Kanai | JP | Toyota-Shi | 2010-03-04 / 20100055530 - POLYMER ELECTROLYTE FUEL CELL | 1 |
Naoyuki Kanai | JP | Nagano | 2012-02-09 / 20120032151 - COLOR CONVERSION FILM AND MULTICOLOR-EMITTING, ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING THE COLOR CONVERSION FILM | 3 |
Naoyuki Kanai | JP | Matsumoto City | 2014-01-16 / 20140014941 - COLOR CONVERSION FILM AND MULTICOLOR-EMITTING, ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING THE COLOR CONVERSION FILM | 3 |
Naoki Kanai | JP | Ueda-Shi | 2016-05-19 / 20160141935 - VIBRATION MOTOR | 5 |
Maseo Kanai | JP | Yokohama-Chi | 2008-09-11 / 20080216405 - CARBONIZATION AND GASIFICATION OF BIOMASS AND POWER GENERATION SYSTEM | 1 |
Hiroshi Kanai | JP | Chiba | 2015-02-12 / 20150044498 - SURFACE-COATED ALUMINUM AND ZINC PLATED STEEL SHEET AND METHOD OF PREPARING SAME | 4 |
Hiroshi Kanai | JP | Tokyo | 2014-05-01 / 20140120320 - SURFACE-TREATED METAL AND METHOD FOR PRODUCING SAME | 5 |
Yu Kanai | JP | Saitama | 2011-08-18 / 20110198183 - CLUTCH RELEASE MECHANISM AND CLUTCH ASSEMBLY INCLUDING SAME | 1 |
Toshiyuki Kanai | JP | Sakura-Shi | 2014-02-27 / 20140054933 - SUNROOF APPARATUS | 7 |
Masashi Kanai | JP | Matsumoto-Shi | 2012-03-08 / 20120056895 - IMAGE PROCESSING DEVICE, COLOR CORRECTION TABLE GENERATION DEVICE, DISPLAY DEVICE, IMAGE PROCESSING METHOD, COLOR CORRECTION TABLE GENERATION METHOD, COLOR ADJUSTMENT METHOD FOR DISPLAY DEVICE, AND IMAGE PROCESSING PROGRAM | 2 |
Hideo Kanai | JP | Tokyo | 2016-03-03 / 20160062219 - COOLING DEVICE, COOLING STRUCTURE, IMAGE PROJECTION DEVICE, AND ELECTRONIC DEVICE | 40 |
Hiroki Kanai | JP | Tokyo | 2015-10-15 / 20150293714 - STORAGE SYSTEM AND DATA BACKUP METHOD | 1 |
Masanori Kanai | JP | Ibi-Gun | 2014-12-04 / 20140352870 - METHOD OF MANUFACTURING HONEYCOMB STRUCTURED BODY | 4 |
Masaharu Kanai | JP | Tokushima | 2009-08-27 / 20090212060 - Packaging Container | 1 |
Yuki Kanai | JP | Wako-Shi | 2012-11-01 / 20120274057 - FUEL TANK FOR SADDLE-RIDE TYPE VEHICLE | 1 |
Makoto Kanai | JP | Tokyo | 2014-07-24 / 20140202358 - Seawater-Mixed Concrete, Concrete Structure Constructed with the Same, and Design Method of Concrete Structure Constructed with Seawater-Mixed Concrete | 15 |
Hisaaki Kanai | JP | Tokyo | 2016-03-03 / 20160064182 - CHARGED PARTICLE BEAM APPARATUS AND IMAGE GENERATION METHOD | 1 |
Kiyoshi Kanai | JP | Tokyo | 2016-02-25 / 20160055566 - PRODUCT DISPLAY RACK SYSTEM, PRODUCT DISPLAY RACK METHOD, AND PRODUCT DISPLAY RACK PROGRAM | 1 |
Motomu Kanai | JP | Bunkyo-Ku | 2016-05-19 / 20160137706 - OXIDIZED A BETA PEPTIDE | 2 |
Kunihiko Kanai | JP | Nagano | 2009-07-02 / 20090167931 - IMAGING DEVICE | 2 |
Ayako Kanai | JP | Ome-Shi | 2012-11-01 / 20120278718 - VIDEO DISPLAY APPARATUS, VIDEO DISPLAY MANAGEMENT APPARATUS, VIDEO DISPLAY METHOD AND VIDEO DISPLAY MANAGEMENT METHOD | 2 |
Shigeki Kanai | JP | Matsumoto | 2011-09-22 / 20110227983 - LIQUID EJECTING APPARATUS | 1 |
Takeo Kanai | US | Mission Viejo | 2016-02-04 / 20160036509 - TRANSMISSION CONTROL APPARATUS AND COMPUTER READABLE MEDIUM | 2 |
Kiyoshi Kanai | JP | Kodaira-Shi | 2009-06-18 / 20090153328 - Product display rack system and purchasing behavior analysis program | 1 |
Shoji Kanai | JP | Tokyo | 2016-04-07 / 20160099225 - Die Bonder and Bonding Method | 1 |
Keiko Kanai | JP | Tokyo-To | 2010-08-26 / 20100216127 - PRIMER SET FOR USE IN DETECTION OF YEAST OF GENUS SACCHAROMYCES | 1 |
Kazuhiro Kanai | JP | Osaka | 2012-11-08 / 20120281029 - METHOD FOR DRIVING PLASMA DISPLAY DEVICE | 1 |
Chisato Kanai | JP | Kyoto | 2015-10-29 / 20150310162 - Compound Design Device, Compound Design Method, And Computer Program | 1 |
Kazunori Kanai | JP | Yamanashi | 2015-10-22 / 20150305215 - TAPE FEEDER AND TAPE FEEDER CABINET | 16 |
Tomio Kanai | JP | Tokyo | 2015-11-05 / 20150313443 - ADHESIVE ROLLER-TYPE CLEANING TOOL | 1 |
Ryotaro Kanai | JP | Tokyo | 2012-07-12 / 20120175889 - GAS TURBINE COMBINED CYCLE POWER PLANT AND METHOD THEREOF | 1 |
Kazunori Kanai | JP | Fukuoka | 2009-03-05 / 20090056114 - NOZZLE MECHANISM, MOUNTING HEAD AND ELECTRONIC COMPONENT MOUNTING APPARATUS | 1 |
Kazuhiro Kanai | JP | Chuo-Shi | 2012-08-09 / 20120200543 - DRIVING METHOD OF PLASMA DISPLAY PANEL | 2 |
Kenji Kanai | JP | Ebina-Shi | 2012-02-09 / 20120033266 - DRIVING MECHANISM, FIXING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Hiroyuki Kanai | JP | Shizuoka | 2009-06-25 / 20090163632 - CYCLOOLEFIN COPOLYMER | 1 |
Hiroki Kanai | JP | Odawara | 2013-03-28 / 20130080796 - STORAGE SYSTEM AND ITS CONTROL METHOD | 8 |
Hirofumi Kanai | JP | Fukaya-Shi | 2014-11-27 / 20140351847 - ELECTRONIC DEVICE, AND METHOD AND STORAGE MEDIUM | 5 |
Akio Kanai | JP | Ibaraki | 2008-10-23 / 20080262201 - Method and a system for predicting protein functional site, a method for improving protein function, and a function-modified protein | 1 |
Toshimi Kanai | JP | Shizuoka | 2015-12-10 / 20150352106 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUND HAVING INHIBITORY EFFECT ON PRODUCTION OF KYNURENINE | 2 |
Shimon Kanai | JP | Ibaraki | 2013-10-31 / 20130286655 - POLYAMIDE COMPOSITION FOR REFLECTOR, REFLECTOR, LIGHT EMITTING DEVICE INCLUDING THE REFLECTOR, AND LIGHTING DEVICE AND IMAGE DISPLAY DEVICE EACH INCLUDING THE LIGHT EMITTING DEVICE | 3 |
Michiharu Kanai | JP | Isesaki-Shi | 2009-06-25 / 20090162742 - PORTABLE APPARATUS | 1 |
Toshinobu Kanai | JP | Osaka | 2013-07-04 / 20130168148 - MULTILAYER PRINTED WIRING BOARD AND METHOD OF MANUFACTURING SAME | 2 |
Naoyuki Kanai | JP | Matsumoto-Shi, Nagano | 2015-11-05 / 20150318502 - TRANSPARENT ORGANIC THIN-FILM TRANSISTOR AND METHOD FOR MANUFACTURING SAME | 1 |
Hiroshi Kanai | JP | Sendai | 2014-12-04 / 20140352437 - ULTRASONIC MEASURING DEVICE, ULTRASONIC IMAGE DEVICE, AND METHOD FOR PROCESSING ULTRASONIC IMAGE | 1 |
Kazuhiro Kanai | JP | Chiba | 2012-11-15 / 20120287105 - METHOD FOR DRIVING PLASMA DISPLAY PANEL AND PLASMA DISPLAY DEVICE | 1 |
Tomonori Kanai | JP | Ibaraki-Shi | 2011-11-10 / 20110273658 - POLARIZATION SPLIT ELEMENT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Tatsunori Kanai | JP | Kanagawa | 2016-03-10 / 20160070333 - CONTROL DEVICE, SYSTEM, AND COMPUTER PROGRAM PRODUCT | 24 |
Shungo Kanai | JP | Oumihachiman-Shi | 2009-08-27 / 20090214362 - PIEZOELECTRIC PUMP | 2 |
Tatsunori Kanai | JP | Yokohama-Shi | 2015-02-26 / 20150058588 - SEMICONDUCTOR DEVICE AND MEMORY PROTECTION METHOD | 16 |
Shungo Kanai | JP | Omihachiman-Shi | 2009-10-01 / 20090242813 - Piezoelectric Valve | 4 |
Takao Kanai | JP | Tokyo | 2014-05-01 / 20140120320 - SURFACE-TREATED METAL AND METHOD FOR PRODUCING SAME | 2 |
Shungo Kanai | JP | Nagaokakyo-Shi | 2013-12-19 / 20130334933 - PIEZOELECTRIC ELEMENT AND PIEZOELECTRIC DEVICE USING THE SAME | 3 |
Takashi Kanai | JP | Iruma-Shi | 2016-03-10 / 20160069449 - THIN-TYPE GEAR MOTOR AND MUSCLE FORCE ASSISTING DEVICE USING THIN-TYPE GEAR MOTOR | 2 |
Hisaaki Kanai | JP | Yokohama | 2009-04-02 / 20090085688 - Semiconductor Circuit, and Computing Device and Communications Device Using the Same | 3 |
Akinori Kanai | JP | Yokohama-Shi | 2013-02-28 / 20130053099 - HUMAN BODY DETECTING SYSTEM | 1 |
Yasuto Kanai | JP | Matsumoto-Shi | 2009-05-14 / 20090122125 - LIQUID EJECTING HEAD, METHOD FOR MAKING THE SAME, AND LIQUID EJECTING APPARATUS | 1 |
Kenji Kanai | JP | Ebina | 2011-09-29 / 20110236092 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 2 |
Norifumi Kanai | JP | Osaka | 2015-12-03 / 20150346462 - OPTICAL IMAGING SYSTEM | 3 |
Jun Kanai | JP | Inagi-Shi | 2014-12-18 / 20140372651 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Toshihito Kanai | SE | Stockholm | 2015-04-09 / 20150098425 - SECONDARY CELLS IN OVERLAPPING BANDS | 1 |
Tomomi Kanai | JP | Hamamatsu-Shi | 2014-09-25 / 20140286856 - Hydrogen Storage Method | 4 |
Hisaaki Kanai | JP | Yokohama-Shi | 2013-08-08 / 20130200256 - Mass Spectroscope and its Adjusting Method | 1 |
Toshiki Kanai | JP | Osaka | 2010-02-25 / 20100043379 - Mower Unit | 4 |
Yasuhiro Kanai | JP | Osaka | 2009-10-15 / 20090255504 - Method of Hardening Surface of Metallic Part, Piston, Cylinder Head, and Cylinder Block Each Produced Using the Surface-Hardening Method, and Process for Producing the Same | 1 |
Junichi Kanai | JP | Tokyo | 2014-12-25 / 20140376182 - EXTERNAL STRUCTURE AND ELECTRONIC APPARATUS | 1 |
Norio Kanai | JP | Osaka | 2011-12-08 / 20110297974 - LED ASSEMBLY WITH COLOR TEMPERATURE CORRECTION CAPABILITY | 2 |
Kumiko Kanai | JP | Osaka | 2010-01-21 / 20100015532 - NEGATIVE ELECTRODE AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING THE SAME | 3 |
Ryosuke Kanai | JP | Numazu-Shi | 2009-10-08 / 20090252529 - PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 4 |
Yusuke Kanai | JP | Ebina | 2011-06-16 / 20110142517 - IMAGE FORMING DEVICE | 1 |
Koji Kanai | JP | Tokyo | 2015-11-19 / 20150334448 - Information Processing Apparatus, Tuner, And Information Processing Method | 3 |
Akira Kanai | JP | Tokyo | 2013-04-25 / 20130102617 - METHOD OF TREATING DIABETES, METABOLIC SYNDROME AND OBESITY USING PHENYLACETAMIDE DERIVATIVE | 2 |
Kunio Kanai | JP | Tokyo | 2015-04-23 / 20150107183 - COLUMN BASE JOINT STRUCTURE | 3 |
Yasuyuki Kanai | JP | Tokyo | 2012-08-16 / 20120206086 - SECONDARY BATTERY CHARGING DEVICE AND SECONDARY BATTERY CHARGING SYSTEM | 5 |
Kensuke Kanai | JP | Tokyo | 2010-05-27 / 20100130806 - Method of treating asbestos-containing waste material | 2 |
Naoki Kanai | JP | Tokyo | 2011-05-05 / 20110102837 - PRINT SYSTEM | 3 |
Yoichi Kanai | JP | Tokyo | 2010-12-23 / 20100321727 - DOCUMENT RETRIEVING/PRINTING SYSTEM, DIGITAL MULTI-FUNCTION MACHINE, DOCUMENT RETRIEVING/PRINTING METHOD, AND PROGRAM | 1 |
Michio Kanai | JP | Tokyo | 2013-05-30 / 20130133938 - Dicing Sheet and a Production Method of a Semiconductor Chip | 2 |
Miyuki Kanai | JP | Tokyo | 2010-03-25 / 20100073039 - H-Bridge circuit | 1 |
Hideo Kanai | JP | Tokyo | 2016-03-03 / 20160062219 - COOLING DEVICE, COOLING STRUCTURE, IMAGE PROJECTION DEVICE, AND ELECTRONIC DEVICE | 40 |
Tomoyuki Kanai | JP | Shibukawa-Shi | 2012-10-04 / 20120246913 - CURABLE RESIN COMPOSITION, SURFACE PROTECTION METHOD, TEMPORARY FIXATION METHOD, AND SEPARATION METHOD | 3 |
Yuriko Kanai | JP | Tokyo | 2010-03-18 / 20100071034 - SYSTEM FOR PREVENTING UNAUTHORIZED ACQUISITION OF INFORMATION AND METHOD THEREOF | 1 |
Misuzu Kanai | JP | Odawara-Shi | 2013-09-19 / 20130242431 - MAGNETIC RECORDING HEAD WITH NON-CONFORMAL SIDE SHIELD GAP | 1 |
Suguru Kanai | JP | Kumagaya-City | 2012-03-15 / 20120062858 - CLEANING METHOD, DEVICE MANUFACTURING METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING SYSTEM | 1 |
Yasushi Kanai | JP | Wako-Shi | 2011-02-10 / 20110035070 - POWER SUPPLY SYSTEM | 5 |
Kazunori Kanai | JP | Wako-Shi | 2009-03-05 / 20090062981 - VEHICULAR BEHAVIOR DETERMINATION DEVICE AND VEHICULAR BEHAVIOR DETERMINATION METHOD | 2 |
Mitsuyoshi Kanai | JP | Wako-Shi | 2008-10-23 / 20080257322 - Capacitor-discharge ignition system for internal combustion engine | 1 |
Chisato Kanai | JP | Tokyo | 2010-01-14 / 20100009972 - Pyridyl Non-Aromatic Nitrogen-Containing Heterocyclic-1-Carboxylate Compound | 3 |
Yasunori Kanai | JP | Tokyo | 2014-09-04 / 20140247458 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND STORAGE MEDIUM | 6 |
Dai Kanai | JP | Akiruno | 2016-03-17 / 20160079234 - SEMICONDUCTOR DEVICE | 2 |
Ryuichi Kanai | JP | Tokyo | 2009-05-07 / 20090117644 - RECOMBINANT HSV USEFUL FOR TREATMENT OF HUMAN GLIOMA | 1 |
Toshihito Kanai | JP | Nagano-Ken | 2009-04-09 / 20090091825 - Plastic Polarized Lens | 1 |
Junichi Kanai | JP | Nagano | 2015-01-29 / 20150029679 - CIRCUIT BOARD, PRODUCTION METHOD OF CIRCUIT BOARD, AND ELECTRONIC EQUIPMENT | 1 |
Masao Kanai | JP | Kanagawa | 2015-06-04 / 20150153103 - DRYING APPARATUS | 1 |
Takeshi Kanai | JP | Kiryu-Shi | 2015-06-25 / 20150180314 - MOTOR WITH SPEED REDUCTION MECHANISM | 3 |
Ryuichi Kanai | JP | Yokohama-Shi | 2015-05-28 / 20150147816 - METHOD OF EVALUATING RESIN | 1 |
Masaki Kanai | JP | Gyoda City | 2012-03-22 / 20120069578 - ILLUMINATING DEVICE | 1 |
Yoshikatsu Kanai | JP | Tokyo | 2010-08-26 / 20100216976 - Sodium-independent transporter carrying acidic amino acid and its gene | 2 |
Takeo Kanai | JP | Tokyo | 2009-11-05 / 20090274141 - IP TELEPHONE SYSTEM AND IP TELEPHONE METHOD | 2 |
Junichi Kanai | JP | Niigata-Shi | 2015-12-10 / 20150357822 - INVERTER ELECTRIC GENERATOR SYSTEM AND INVERTER ELECTRIC GENERATOR THEREOF | 6 |
Ryoji Kanai | JP | Tokyo | 2012-06-07 / 20120138836 - DIAPHRAGM VALVE | 1 |
Kazuo Kanai | JP | Tokyo | 2009-10-29 / 20090270637 - PROCESS FOR PREPARATION OF TETRASUBSTITUTED 5-AZASPIRO[2.4]- HEPTANE DERIVATIVES AND OPTICALLY ACTIVE INTERMEDIATES THEREOF | 3 |
Itaru Kanai | JP | Tokyo | 2009-02-12 / 20090039519 - SEMICONDUCTOR DEVICE, PHOTOMASK, SEMICONDUCTOR DEVICE PRODUCTION METHOD, AND PATTERN LAYOUT METHOD | 1 |
Masatomi Kanai | JP | Kawagoe-Shi | 2015-07-02 / 20150184049 - AZEOTROPIC MIXTURE-LIKE COMPOSITION, HEAT TRANSFER COMPOSITION, CLEANER, HIGH-TEMPERATURE HEAT PUMP DEVICE, AND HEAT TRANSFER METHOD | 3 |
Masaki Kanai | JP | Kyoto | 2015-10-08 / 20150285769 - GAS CHROMATOGRAPHY EQUIPMENT | 11 |
Etsuko Kanai | JP | Kyoto | 2011-11-24 / 20110288424 - HUMAN FATIGUE ASSESSMENT DEVICE AND HUMAN FATIGUE ASSESSMENT METHOD | 5 |
Motomu Kanai | JP | Tokyo | 2016-05-19 / 20160137704 - MODIFIED BIOTIN, MUTANT STREPTAVIDIN, AND USE THEREOF | 3 |
Izumi Kanai | JP | Tokyo | 2009-01-08 / 20090009438 - IMAGE DISPLAY APPARATUS | 2 |
Tomoaki Kanai | JP | Kawasaki | 2015-10-08 / 20150286583 - PROCESSOR SYSTEM AND CONTROL METHOD THEREOF | 3 |
Hitoshi Kanai | JP | Tokyo | 2008-12-11 / 20080305170 - Method for producing calcium component powder containing oil-soluble substance | 1 |
Yukiyo Kanai | JP | Shinagawa-Ku | 2013-10-10 / 20130268525 - RETRIEVAL DEVICE, RETRIEVAL SYSTEM, RETRIEVAL METHOD, RETRIEVAL PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM STORING RETRIEVAL PROGRAM | 1 |
Hirofumi Kanai | JP | Tokyo | 2013-05-16 / 20130124884 - INFORMATION PROCESSING SYSTEM AND INFORMATION PROCESSING METHOD | 1 |
Ryosuke Kanai | JP | Kawasaki-Shi | 2015-11-26 / 20150338770 - DEVELOPING DEVICE, PROCESS CARTRIDGE, AND IMAGE FORMING DEVICE | 8 |
Jun Kanai | JP | Inagi | 2016-02-25 / 20160055030 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 6 |
Kunihiko Kanai | TW | Taichung City | 2016-01-28 / 20160028997 - INFORMATION-PROCESSING DEVICE, INFORMATION-PROCESSING METHOD AND PROGRAM | 3 |
Tomomi Kanai | JP | Shizuoka | 2015-01-29 / 20150030765 - PARTICULATE FILM LAMINATING SYSTEM AND PARTICULATE FILM LAMINATING METHOD USING SAME | 2 |
Tsuyoshi Kanai | JP | Kawasaki | 2014-07-03 / 20140188547 - BUSINESS FLOW PROCESSING METHOD AND APPARATUS | 7 |
Toshio Kanai | JP | Osaka-Shi | 2011-10-27 / 20110263550 - THERAPEUTIC AGENT FOR CHRONIC OBSTRUCTIVE PULMONARY DISEASE | 1 |
Dai Kanai | JP | Abiko-Shi | 2015-04-30 / 20150117902 - IMAGE FORMING APPARATUS | 9 |
Tatsunori Kanai | JP | Kanagawa-Ken | 2015-05-28 / 20150149711 - CACHE DECICE AND MEMORY SYSTEM | 7 |
Yoshikatsu Kanai | JP | Suita-Shi, Osaka | 2015-11-26 / 20150336876 - PHENOXYALKYLAMINE COMPOUND | 1 |
Hirofumi Kanai | JP | Fukuoka | 2014-05-29 / 20140148216 - CORDLESS TELEPHONE SET | 2 |
Masahiro Kanai | JP | Akita-Shi | 2013-05-16 / 20130122278 - POLYCRYSTALLINE SILICON INGOT MANUFACTURING APPARATUS, POLYCRYSTALLINE SILICON INGOT MANUFACTURING METHOD, AND POLYCRYSTALLINE SILICON INGOT | 4 |
Kenichi Kanai | JP | Tokyo | 2016-04-21 / 20160112715 - DECODING DEVICE AND DECODING METHOD, AND CODING DEVICE AND CODING METHOD | 5 |
Tomoni Kanai | JP | Shizuoka | 2015-03-05 / 20150064352 - SYSTEM FOR FORMING MULTINARY NANOPARTICLE FILM AND METHOD FOR FORMING NANOPARTICLE FILM USING SAME | 1 |
Toshitaka Kanai | JP | Chiba | 2012-08-16 / 20120208422 - SPUN-BONDED NONWOVEN FABRIC AND FIBER PRODUCT | 4 |
Takashi Kanai | JP | Ota-Shi | 2014-08-28 / 20140239672 - METHOD OF MANUFACTURING VEHICLE BODY SIDE STRUCTURE AND VEHICLE BODY SIDE STRUCTURE | 1 |
Hiroaki Kanai | JP | Otsu-Shi | 2015-07-02 / 20150182916 - HOLLOW FIBER MEMBRANE MODULE | 2 |
Osamu Kanai | JP | Toyota-Shi | 2012-12-06 / 20120309590 - VEHICULAR SHIFT CONTROL APPARATUS | 3 |
Yoshiharu Kanai | JP | Kanagawa | 2014-08-21 / 20140235918 - LASER ION SOURCE AND HEAVY PARTICLE BEAM THERAPY EQUIPMENT | 1 |
Toshiyuki Kanai | JP | Tokyo | 2011-10-27 / 20110262247 - PENETRATION LOAD REDUCED STAPLE | 1 |
Shimon Kanai | JP | Tsukuba-Shi | 2016-05-12 / 20160130422 - POLYAMIDE RESIN COMPOSITION AND MOLDED ARTICLE PRODUCED THEREFROM | 2 |
Keiji Kanai | JP | Tokyo | 2012-03-29 / 20120076587 - MOVABLE BREAKWATER AND METHOD OF OPERATING MOVABLE BREAKWATER | 1 |
Hiroshi Kanai | SG | Singapore | 2016-01-07 / 20160002753 - ALUMINUM-ZINC PLATED STEEL SHEET AND METHOD FOR PRODUCING THE SAME | 1 |
Hideki Kanai | JP | Tokyo | 2016-01-07 / 20160002894 - METHOD OF TAKING WATER AND WATER INTAKE SYSTEM | 1 |
Shigekazu Kanai | JP | Ota-Ku | 2009-01-15 / 20090013839 - WATER JET PROCESSING METHOD | 2 |
Moriyasu Kanai | JP | Saitama | 2015-04-30 / 20150116823 - FINDER OPTICAL SYSTEM | 4 |
Yasumori Kanai | JP | Yokohama-Shi | 2014-04-17 / 20140101966 - APPARATUS FOR HEAT-TREATING POWDER PARTICLES AND METHOD OF PRODUCING TONER | 1 |
Toshimitsu Kanai | JP | Ibaraki | 2011-05-05 / 20110105656 - COLLOIDAL CRYSTAL GEL, ITS PRODUCTION PROCESS, AND OPTICAL DEVICES USING THE SAME | 1 |
Mitsuyoshi Kanai | JP | Saitama | 2009-12-10 / 20090302610 - Multipurpose Engine | 1 |
Ryosuke Kanai | JP | Kawasaki-Shi | 2015-11-26 / 20150338770 - DEVELOPING DEVICE, PROCESS CARTRIDGE, AND IMAGE FORMING DEVICE | 8 |
Takeshi Kanai | JP | Gunma | 2016-02-25 / 20160056686 - BRUSHLESS WIPER MOTOR | 2 |
Takahiko Kanai | JP | Tokyo | 2012-05-24 / 20120129006 - WELDING STRUCTURAL PART AND WELDING METHOD OF THE SAME | 2 |
Jun Kanai | JP | Tokyo | 2015-04-30 / 20150121027 - ELECTRONIC APPARATUS AND METHOD | 8 |
Satoru Kanai | JP | Nagano | 2014-06-05 / 20140150586 - INTERNALLY-TOOTHED GEAR UNIT WITH COMPOSITE ROLLER BEARING, AND WAVE GEAR DEVICE | 1 |
Toshitaka Kanai | JP | Sodegaura-Shi, Chiba | 2015-12-31 / 20150376358 - BIAXIALLY-STRETCHED FILM AND ETHYLENE POLYMER COMPOSITION | 1 |
Yoshikatsu Kanai | JP | Osaka | 2016-02-11 / 20160038620 - AROMATIC AMINO ACID DERIVATIVE AND POSITRON EMISSION TOPOGRAPHY (PET) PROBE USING THE SAME | 3 |
Etsuko Kanai | JP | Osaka | 2014-06-26 / 20140180145 - HUMAN FATIGUE ASSESSMENT DEVICE AND HUMAN FATIGUE ASSESSMENT METHOD | 1 |
Makoto Kanai | JP | Shimotsuke-Shi, Tochigi-Ken | 2016-02-18 / 20160048811 - DIAGNOSTIC INQUIRY DEVICE AND DIAGNOSTIC INQUIRY METHOD | 1 |
Makoto Kanai | JP | Yokohama-Shi | 2016-05-05 / 20160124352 - DESTATICIZING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Mariko Kanai | JP | Toyota-Shi | 2013-12-12 / 20130332020 - CONTROL DEVICE OF HYBRID VEHICLE | 1 |
Tomonori Kanai | JP | Hitachinaka | 2016-03-10 / 20160069962 - BATTERY SYSTEM | 8 |
Fumihiko Kanai | JP | Sunto-Gun | 2009-03-26 / 20090082348 - INDAZOLE DERIVATIVES | 1 |
Youichi Kanai | JP | Minato-Ku | 2012-12-20 / 20120318637 - CONVEYANCE SYSTEM, CONVEYANCE DEVICE, ARTICLE, AND INSPECTION SYSTEM | 1 |
Shun Kanai | JP | Sendai | 2014-07-24 / 20140205862 - Magnetoresistance Effect Element and Magnetic Memory | 4 |
Dai Kanai | JP | Abiko-Shi | 2015-04-30 / 20150117902 - IMAGE FORMING APPARATUS | 9 |
Masahiro Kanai | JP | Suwa | 2015-05-07 / 20150122035 - DETECTION DEVICE, SENSOR, ELECTRONIC APPARATUS, AND MOVING OBJECT | 1 |
Shouta Kanai | JP | Kanagawa | 2016-05-05 / 20160126495 - DEVICE STRUCTURE AND METHOD OF PRODUCING THE SAME | 1 |
Kazuya Kanai | JP | Saitama | 2014-07-03 / 20140189397 - STATE CONTROL DEVICE, STATE CONTROL METHOD AND PROGRAM | 1 |
Hideyuki Kanai | JP | Kawasaki | 2016-02-11 / 20160041779 - STORAGE CONTROL APPARATUS AND COPY CONTROL METHOD | 1 |
Koichi Kanai | JP | Yokohama-Shi | 2011-01-06 / 20110000085 - CYLINDRICAL INTERNAL SURFACE PROCESSING METHOD | 2 |
Masao Kanai | JP | Yokohama-Shi | 2010-09-09 / 20100223802 - CONTINUOUS DRYING APPARATUS | 1 |
Takayuki Kanai | JP | Yokohama-Shi | 2009-06-25 / 20090160403 - BATTERY TESTING DEVICE AND BATTERY TESTING METHOD | 2 |
Hideki Kanai | JP | Yokohama-Shi | 2008-11-27 / 20080292992 - Photomask correcting method and manufacturing method of semiconductor device | 1 |
Taku Kanai | JP | Yokohama-Shi | 2015-06-25 / 20150177653 - IMAGE FORMING APPARATUS | 4 |
Tatsunori Kanai | JP | Yokohama | 2016-03-24 / 20160085292 - ELECTRONIC DEVICE | 9 |
Yutaka Kanai | JP | Ebina-Shi | 2009-04-02 / 20090087219 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 1 |
Yasuaki Kanai | JP | Yokohama-Shi | 2009-07-23 / 20090187901 - Communication System, Communication Device, and Management Server Device | 1 |
Chiaki Kanai | JP | Kanagawa | 2013-11-07 / 20130293534 - DISPLAY UNIT AND ELECTRONIC APPARATUS | 3 |
Taiki Kanai | JP | Tokyo | 2011-10-06 / 20110244813 - COMMUNICATION SYSTEM | 1 |
Tatsunori Kanai | JP | Yokohama | 2016-03-24 / 20160085292 - ELECTRONIC DEVICE | 9 |
Minako Kanai | JP | Okinawa | 2016-03-24 / 20160086200 - PRODUCT CODE ANALYSIS SYSTEM AND PRODUCT CODE ANALYSIS PROGRAM | 1 |
Hiroya Kanai | JP | Tokyo | 2012-12-27 / 20120331136 - COMMUNICATION DEVICE, COMMUNICATION SYSTEM, SETTING METHOD, SETTING PROGRAM, AND SETTING CIRCUIT | 1 |
Akinobu Kanai | JP | Kyoto | 2014-01-23 / 20140021565 - SENSOR PACKAGE | 1 |
Takahiko Kanai | JP | Kanagawa | 2015-10-29 / 20150306696 - WELDING EQUIPMENT FOR METALLIC MATERIALS AND METHOD FOR WELDING METALLIC MATERIALS | 2 |
Shoichi Kanai | JP | Kyoto-Shi | 2014-10-30 / 20140319311 - PLATE-LIKE MEMBER MOUNTING DEVICE | 1 |
Kiran Kanakadandi | US | Morrisville | 2010-05-06 / 20100115126 - AUTOMATED CONVERSION OF VERSIONED DATA COLLECTIONS | 1 |
Raghunath Kanakala | US | Alfred | 2012-07-12 / 20120177556 - COMBUSTION SYNTHESIS METHOD AND BORON-CONTAINING MATERIALS PRODUCED THEREFROM | 1 |
Senaka Krishna Kanakamedala | US | Milpitas | 2016-03-03 / 20160064532 - MONOLITHIC THREE DIMENSIONAL NAND STRINGS AND METHODS OF FABRICATION THEREOF | 3 |
Senaka Kanakamedala | US | San Jose | 2015-12-31 / 20150380422 - Vertical Floating Gate NAND with Selectively Deposited ALD Metal Films | 1 |
Vijaya S. Kanakamedala | US | Canton | 2015-10-01 / 20150273639 - ROTARY NEST FIXTURE | 1 |
Senaka Kanakamedala | US | Boise | 2016-04-28 / 20160118397 - NAND MEMORY STRINGS AND METHODS OF FABRICATION THEREOF | 1 |
Lakshminarayana Kanakamedala | US | Glenville | 2016-03-24 / 20160087493 - COMPRESSION BAND SHIM PACK FOR STATOR CORE, RELATED STATOR AND GENERATOR | 4 |
Senaka Krishna Kanakamedala | US | San Jose | 2016-02-04 / 20160035742 - SPACER PASSIVATION FOR HIGH-ASPECT RATIO OPENING FILM REMOVAL AND CLEANING | 3 |
Vijay Kanakamedala | US | Canton | 2014-09-25 / 20140287085 - IN-MOLD GRAIN APPLICATION | 1 |
Sudhakar Kanakaraj | IN | Tamilnadu | 2012-02-02 / 20120030181 - CONTENT ARCHIVAL AND RETRIEVAL | 2 |
Manoranjan Kanakaraj | IN | Chennai | 2013-05-02 / 20130106162 - SELF-ADJUSTING HEAD RESTRAINT | 1 |
Shivapirakasan Kanakaraj | IN | Bangalore | 2012-01-12 / 20120008053 - METHOD AND SYSTEM FOR FAST CHANNEL CHANGE BETWEEN PROGRAMS UTILIZING A SINGLE DECODER TO CONCURRENTLY DECODE MULTIPLE PROGRAMS | 1 |
Paulraj Kanakaraj | IN | Hyderabad | 2014-02-06 / 20140040527 - OPTIMIZED MULTI-ROOT INPUT OUTPUT VIRTUALIZATION AWARE SWITCH | 2 |
Palanisamy Kanakaraj | US | Germantown | 2014-10-23 / 20140315250 - HUMANIZED ANTIBODIES AGAINST TL1A | 5 |
Palanisamy Kanakaraj | US | Rockville | 2012-12-06 / 20120308480 - HUMANIZED ANTIBODIES AGAINST TL1A | 2 |
Sudhakar Kanakaraj | IN | Tamil Nadu | 2014-12-18 / 20140372872 - CONTENT MIGRATION TOOL AND METHOD ASSOCIATED THEREWITH | 2 |
Kuppusamy Kanakarajan | US | Dublin | 2014-04-17 / 20140103265 - COLORED POLYIMIDE FILMS AND METHODS RELATING THERETO | 8 |
Karthikeyan Kanakarajan | US | Dublin | 2009-10-01 / 20090242823 - PROCESS FOR PREPARING POLYIMIDE BASED COMPOSITIONS USEFUL IN HIGH FREQUENCY CIRCUITRY APPLICATIONS | 1 |
Kuppusamy Kanakarajan | US | 2010-12-09 / 20100311901 - ARAMID FILLED POLYIMIDES HAVING ADVANTAGEOUS THERMAL EXPANSION PROPERTIES, AND METHODS RELATING THERETO | 1 | |
Kuppusamy Kanakarajan | US | Dublin | 2014-04-17 / 20140103265 - COLORED POLYIMIDE FILMS AND METHODS RELATING THERETO | 8 |
Nikolaos Kanakaris | GR | Athens | 2013-01-31 / 20130029613 - Method and System For Coexistence In A Multiband, Multistandard Communication System Utilizing A Plurality of Phase Locked Loops | 5 |
Viswanathan Kanakasabai | IN | Bangalore | 2015-09-17 / 20150263567 - REDUNDANT UNINTERRUPTIBLE POWER SUPPLY SYSTEMS | 9 |
Viswanathan Kanakasabai | IN | Bangalore | 2015-09-17 / 20150263567 - REDUNDANT UNINTERRUPTIBLE POWER SUPPLY SYSTEMS | 9 |
Murali Kanakasabai | US | Chicago | 2013-05-23 / 20130132304 - METHOD AND SYSTEM FOR PROVIDING SUSTAINABLE PERFORMANCE INSTRUMENTS (SPI) | 2 |
Siva Kanakasabapathy | US | Hopewell Junction | 2008-09-18 / 20080224238 - ADVANCED HIGH-k GATE STACK PATTERNING AND STRUCTURE CONTAINING A PATTERNED HIGH-k GATE STACK | 1 |
Sivananda Kanakasabapathy | US | Albany | 2014-03-06 / 20140061815 - HIGH PERFORMANCE NON-PLANAR SEMICONDUCTOR DEVICES WITH METAL FILLED INTER-FIN GAPS | 5 |
Sivananda K. Kanakasabapathy | US | Albany | 2011-04-07 / 20110081754 - METHODS FOR OBTAINING GATE STACKS WITH TUNABLE THRESHOLD VOLTAGE AND SCALING | 2 |
Sivananda K. Kanakasabapathy | US | Hopewell Junction | 2008-09-11 / 20080220374 - METHOD AND STRUCTURE FOR IMPROVED ALIGNMENT IN MRAM INTEGRATION | 1 |
Sivananda K. Kanakasabapathy | US | Niskayuna | 2016-03-10 / 20160071771 - SELF-ALIGNED QUADRUPLE PATTERNING PROCESS | 49 |
Sivananda Kanakasabapathy | US | Niskayuna | 2014-02-06 / 20140038382 - Structure And Method To Realize Conformal Doping In Deep Trench Applications | 11 |
Sivananda Kanakasabapathy | US | Hopewell Junction | 2009-11-12 / 20090279354 - Stacked Magnetic Devices | 4 |
Sivananda K. Kanakasabapathy | US | Niskayuna | 2016-03-10 / 20160071771 - SELF-ALIGNED QUADRUPLE PATTERNING PROCESS | 49 |
Sivananda Kanakasabapathy | US | Niskayuna | 2014-02-06 / 20140038382 - Structure And Method To Realize Conformal Doping In Deep Trench Applications | 11 |
Sivanandha K. Kanakasabapathy | US | Niskayuna | 2015-12-31 / 20150380514 - JUNCTION OVERLAP CONTROL IN A SEMICONDUCTOR DEVICE USING A SACRIFICIAL SPACER LAYER | 1 |
Sivananda Kanakasabapathy | US | Armonk | 2012-12-27 / 20120329268 - METHOD OF MAKING A SEMICONDUCTOR DEVICE | 1 |
Nobuyasu Kanakawa | JP | Hitachi | 2012-12-27 / 20120327260 - PARALLEL OPERATION HISTOGRAMMING DEVICE AND MICROCOMPUTER | 1 |
Tatsuro Kanaki | JP | Shiraoka-Shi | 2014-04-17 / 20140106348 - CULTURE MEDIUM COMPOSITION AND METHOD OF CULTURING CELL OR TISSUE USING THEREOF | 1 |
Atsushi Kanaki | JP | Saitama-Shi | 2010-07-01 / 20100163531 - METHOD FOR THERMAL WELDING OF JACKET MEMBER OF ENDOSCOPE FLEXIBLE TUBE | 1 |
Tatsuro Kanaki | JP | Shiraoka-Shi, Saitama | 2016-05-12 / 20160129176 - BLOOD FILTER AND METHOD FOR MANUFACTURING THE SAME | 2 |
Santosh B. Kanakkanatt | US | Akron | 2014-09-18 / 20140275333 - Long-Term Indicator For Rubber Articles | 7 |
Sebastian V. Kanakkanatt | US | Akron | 2014-09-18 / 20140275333 - Long-Term Indicator For Rubber Articles | 7 |
Tomochika Kanakogi | JP | Tokyo | 2015-09-17 / 20150262385 - IMAGE DECODER, GRAPHICS PROCESSOR, IMAGE DECODING METHOD, AND GRAPHICS PROCESSING METHOD | 1 |
Tomochika Kanakogi | US | Austin | 2011-04-14 / 20110087909 - Power Consumption Reduction In A Multiprocessor System | 1 |
Yukio Kanakubo | JP | Yokohama-Shi | 2014-06-05 / 20140153028 - PRINTING APPARATUS, METHOD FOR CONTROLLING PRINTING APPARATUS, AND STORAGE MEDIUM | 6 |
Yoshihide Kanakubo | JP | Osaka | 2016-03-24 / 20160087420 - CURRENT CONTROLLER AND PROTECTION CIRCUIT | 5 |
Tetsuya Kanakubo | JP | Tokyo | 2015-12-31 / 20150375105 - GAME SYSTEM | 1 |
Noriko Kanakubo | JP | Koga-Shi | 2012-05-10 / 20120116073 - P2X4 RECEPTOR ANTAGONIST | 1 |
Katsuya Kanakubo | JP | Tokyo | 2013-10-17 / 20130271872 - WAFER FOR MAGNETIC HEAD AND METHOD FOR MANUFACTURING THE SAME | 2 |
Yoshihide Kanakubo | JP | Yokohama | 2009-03-05 / 20090059626 - SWITCHING POWER SUPPLY DEVICE AND CONTROL DEVICE THEREOF | 1 |
Yoshihide Kanakubo | JP | Yokohama-Shi | 2009-03-05 / 20090058388 - SWITCHING POWER SUPPLY DEVICE | 1 |
Masaru Kanakubo | JP | Ota-Shi | 2012-01-26 / 20120018906 - CIRCUIT DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Yoshihide Kanakubo | JP | Kanagawa | 2010-04-15 / 20100093294 - CIRCUIT DEVICE FOR DETECTION AND MOBILE APPARATUS | 1 |
Noriko Kanakubo | JP | Saitama | 2011-04-21 / 20110092703 - P2X4 RECEPTOR ANTAGONIST | 1 |
Mitsuhiro Kanakubo | JP | Sendai-City | 2012-10-11 / 20120258030 - GAS SEPARATION AND RECOVERY APPARATUS AND GAS SEPARATION AND RECOVERY METHOD | 1 |
Yoshihida Kanakubo | JP | Kanagawa | 2012-02-16 / 20120039005 - CURRENT CONTROLLER AND PROTECTION CIRCUIT | 1 |
Yuzuru Kanakura | JP | Osaka | 2013-05-02 / 20130109092 - Method for obtaining pancreatic endocrine cells from adipose tissue-origin cells | 2 |
Pamela Kanal | US | Oakton | 2015-03-19 / 20150079959 - Smart Microphone | 1 |
Vamsi Kanamaluru | US | Kirkland | 2016-04-07 / 20160099995 - AGGREGATING CONTENT FROM DIFFERENT CONTENT SOURCES AT A CLOUD SERVICE | 2 |
Sitaramanjaneyulu Kanamarlapudi | IN | Hyderabad | 2008-12-18 / 20080310313 - PROTOCOL DATA UNIT RECOVERY | 1 |
Ramanaiah C. Kanamarlapudi | US | Bridgewater | 2015-12-31 / 20150376665 - PROCESS FOR PREPARING AN ENANTIOMERICALLY ENRICHED, DEUTERATED SECONDARY ALCOHOL FROM A CORRESPONDING KETONE WITHOUT REDUCING DEUTERIUM INCORPORATION | 9 |
Sitaramanjaneyulu Kanamarlapudi | US | San Diego | 2016-05-12 / 20160135114 - THROTTLING PACKET-SWITCHED CALL ESTABLISHMENT IN WIRELESS COMMUNICATIONS | 64 |
Sitaramanjaneyulu Kanamarlapudi | US | San Diego | 2016-05-12 / 20160135114 - THROTTLING PACKET-SWITCHED CALL ESTABLISHMENT IN WIRELESS COMMUNICATIONS | 64 |
Ramanaiah Kanamarlapudi | US | Bridgewater | 2011-06-02 / 20110130564 - COMPOUNDS USEFUL IN THE PREPARATION OF TRYPTOPHAN HYDRROXYLASE INHIBITORS | 2 |
Anuradha Kanamarlapudi | IN | Bangalore | 2010-07-29 / 20100191546 - METHODS AND APPARATUS TO AUTOMATICALLY GENERATE SUBSCRIPTIONS FOR HEALTHCARE EVENT TRACKING AND ALERTING SYSTEMS | 1 |
Ramanaiah C. Kanamarlapudi | US | Bridgewater | 2015-12-31 / 20150376665 - PROCESS FOR PREPARING AN ENANTIOMERICALLY ENRICHED, DEUTERATED SECONDARY ALCOHOL FROM A CORRESPONDING KETONE WITHOUT REDUCING DEUTERIUM INCORPORATION | 9 |
Sitaramanjaneyulu Mohan Kanamarlapudi | US | San Diego | 2014-02-06 / 20140036798 - METHOD AND APPARATUS FOR ENHANCING DATA RETRANSMISSION TO IMPROVE CALL PERFORMANCE | 1 |
Yusuke Kanamaru | JP | Osaka-Shi | 2013-12-19 / 20130333826 - FORMING METHOD OF ANNULAR RUBBER MEMBER AND FORMING EQUIPMENT OF ANNULAR RUBBER MEMBER | 1 |
Masayoshi Kanamaru | JP | Ehime | 2014-01-16 / 20140013786 - STEAM GENERATION SYSTEM | 1 |
Yoshihiro Kanamaru | JP | Saitama | 2012-06-07 / 20120143426 - DRIVE CONTROLLER FOR VEHICLE | 1 |
Shigeki Kanamaru | JP | Chiyoda-Ku | 2008-10-09 / 20080245423 - FUEL SUPPLY DEVICE | 1 |
Hiroki Kanamaru | JP | Kobe-Shi | 2013-12-26 / 20130342944 - ELECTRONIC CIRCUIT | 2 |
Jiro Kanamaru | JP | Kanagawa | 2008-10-23 / 20080258702 - OUTPUT CIRCUIT | 1 |
Takeshi Kanamaru | JP | Ashigarakami-Gun | 2008-11-06 / 20080275547 - INTRAVASCULAR, INDWELLING INSTRUMENT | 1 |
Tatsuya Kanamaru | JP | Karuizawa-Machi | 2013-07-11 / 20130175485 - ELECTROCONDUCTIVE LIQUID RESIN COMPOSITION AND AN ELECTRONIC PART | 2 |
Mamiko Kanamaru | JP | Hitachi-Shi | 2014-12-11 / 20140363973 - CMP POLISHING LIQUID AND POLISHING METHOD | 1 |
Hiroshi Kanamaru | JP | Osaka | 2009-02-19 / 20090047655 - Method Of Screening Candidate Drug | 1 |
Atsushi Kanamaru | JP | Kanagawa | 2009-03-26 / 20090080100 - Disk drive device and method for determining data track format of the disk drive device | 1 |
Masami Kanamaru | JP | Ichihara-Shi Chiba | 2009-05-14 / 20090124771 - POLYMERIZATION CATALYST AND METHOD FOR PRODUCING POLY-ALPHA-OLEFIN USING THE CATALYST | 1 |
Masami Kanamaru | JP | Ichiharashi | 2009-08-27 / 20090215973 - PROPYLENE POLYMER AND COMPOSITION CONTAINING THE SAME, MOLDED OBJECT AND LAMINATE COMPRISING THESE, AND PROCESSES FOR PRODUCING PROPYLENE POLYMER AND COMPOSITION CONTAINING THE SAME | 1 |
Ryoji Kanamaru | JP | Takaoka-Shi | 2009-11-05 / 20090275428 - BASEBALL BAT | 1 |
Moriyoshi Kanamaru | JP | Hyogo | 2015-09-03 / 20150248996 - OXIDE SINTERED BODY AND SPUTTERING TARGET, AND METHOD FOR PRODUCING SAME | 2 |
Masahiro Kanamaru | JP | Kanagawa-Ken | 2011-09-22 / 20110228663 - HOLOGRAPHIC RECORDING METHOD | 3 |
Masahiro Kanamaru | JP | Kanagawa | 2013-10-03 / 20130259417 - LIGHT DEFLECTING ELEMENT | 4 |
Masahiro Kanamaru | JP | Fuchu-Shi | 2009-09-17 / 20090231979 - OPTICAL RECORDING MEDIUM | 6 |
Masahiro Kanamaru | JP | Shizuoka-Ken | 2009-05-07 / 20090115296 - Electrical Junction Box | 4 |
Masami Kanamaru | JP | Chiba-Shi | 2015-10-22 / 20150299527 - ADHESIVE COMPOSITION AND ADHESIVE TAPE USING SAME | 3 |
Kenji Kanamaru | JP | Kanagawa | 2015-03-05 / 20150061748 - SWITCH CIRCUIT | 1 |
Masahiro Kanamaru | JP | Tokyo | 2012-10-04 / 20120251927 - HOLOGRAM-RECORDING MEDIUM | 2 |
Masatoshi Kanamaru | JP | Inashiki | 2013-01-10 / 20130009488 - NON-CONTACT POWER TRANSMISSION DEVICE AND NEAR-FIELD ANTENNA FOR SAME | 1 |
Hiroshi Kanamaru | JP | Hiroshima | 2015-07-23 / 20150204049 - UPPER SLEWING BODY FOR CONSTRUCTION MACHINE | 3 |
Keisuke Kanamaru | JP | Tokyo | 2009-07-02 / 20090172612 - STATIC HAZARD DETECTION DEVICE, STATIC HAZARD DETECTION METHOD, AND RECORDING MEDIUM | 1 |
Ryosuke Kanamaru | JP | Kakogawa-Shi | 2015-12-10 / 20150357219 - END EFFECTOR DEVICE | 1 |
Masami Kanamaru | JP | Ichihara-Shi | 2013-11-28 / 20130317166 - ALPHA-OLEFIN POLYMER AND METHOD FOR PRODUCING THE SAME | 1 |
Toshifumi Kanamaru | JP | Tokyo | 2008-10-23 / 20080261195 - Written examination system and written examination device | 1 |
Kenji Kanamaru | JP | Yokohama Kanagawa | 2015-09-17 / 20150263705 - SIGNAL TRANSMISSION CIRCUIT AND CLOCK BUFFER | 1 |
Moriyoshi Kanamaru | JP | C | 2015-12-31 / 20150376773 - SINTERED BODY COMPRISING LiCoO2, SPUTTERING TARGET, AND PRODUCTION METHOD FOR SINTERED BODY COMPRISING LiCoO2 | 1 |
Shinobu Kanamaru | JP | Tokyo | 2010-01-07 / 20100004111 - Antimicrobial Glass and Method of Producing Antimicrobial Glass | 2 |
Taro Kanamaru | JP | Tokyo | 2015-12-24 / 20150366810 - SUSTAINED-RELEASE SOLID PREPARATION FOR ORAL USE | 6 |
Shigeki Kanamaru | JP | Tokyo | 2011-02-24 / 20110043799 - OPTICAL FIBER SENSOR AND FUEL SUPPLY APPARATUS HAVING THE SAME | 5 |
Hiroo Kanamaru | JP | Tokyo | 2015-08-06 / 20150220378 - SAFETY COMPUTING DEVICE, SAFETY INPUT DEVICE, SAFETY OUTPUT DEVICE, AND SAFETY CONTROLLER | 2 |
Nobuya Kanamaru | JP | Tokyo | 2010-06-03 / 20100136378 - FUEL REFORMER BURNER OF FUEL CELL SYSTEM | 1 |
Masatoshi Kanamaru | JP | Tokyo | 2016-01-07 / 20160003650 - Structure of Physical Sensor | 2 |
Akihiro Kanamaru | JP | Saitama | 2015-01-22 / 20150022060 - SURFACE MOUNT TYPE QUARTZ CRYSTAL DEVICE | 1 |
Tsutomu Kanamaru | JP | Osaka | 2015-10-29 / 20150311953 - CYCLOCOMPUTER | 1 |
Atsushi Kanamaru | JP | Sagamihara-Shi | 2010-01-14 / 20100011149 - Data Storage Devices Accepting Queued Commands Having Deadlines | 1 |
Takeshi Kanamaru | JP | Kanagawa | 2010-01-21 / 20100016875 - Intravascular foreign matter removing wire and medical implement | 1 |
Masahiro Kanamaru | JP | Kawasaki Kanagawa | 2015-10-15 / 20150294690 - MAGNETIC DISK APPARATUS AND DATA RECORDING METHOD | 1 |
Kazuhiro Kanamaru | JP | Osaka | 2015-12-17 / 20150363679 - INFORMATION PROCESSING APPARATUS AND COMPUTER-READABLE NON-TRANSITORY RECORDING MEDIUM WITH IMAGE PROCESSING PROGRAM STORED THEREON | 1 |
Kensuke Kanamaru | JP | Tokyo | 2014-04-24 / 20140115536 - DISPLAY PROGRAM AND DISPLAY DEVICE | 1 |
Hiroyuki Kanamaru | JP | Hyogo | 2011-11-17 / 20110281309 - NOVEL AMINO ACID DEHYDROGENASE, AND PROCESS FOR PRODUCING L-AMINO ACID, 2-OXO ACID OR D-AMINO ACID | 2 |
Masahiro Kanamaru | JP | Kawasaki-Shi | 2014-12-25 / 20140374380 - STAMPER AND METHOD OF MANUFACTURING BIT PATTERNED MEDIUM USING STAMPER | 3 |
Tatsuya Kanamaru | JP | Kitasaku-Gun | 2012-08-02 / 20120193817 - EPOXY RESIN COMPOSITION, DIE ATTACH METHOD USING SAME, AND SEMICONDUCTOR DEVICE CONTAINING CURED PRODUCT THEREOF | 1 |
Masami Kanamaru | JP | Chiba | 2013-04-25 / 20130102745 - CATALYST COMPOSITION AND PROCESS FOR PRODUCTION OF OLEFIN POLYMERS USING THE CATALYST COMPOSITION | 7 |
Moriyoshi Kanamaru | JP | Takasago-Shi | 2016-03-03 / 20160064200 - LI-CONTAINING OXIDE TARGET ASSEMBLY | 5 |
Tomokazu Kanamaru | JP | Osaka | 2012-11-22 / 20120294585 - RECORDING MEDIUM, PLAYBACK APPARATUS, RECORDING APPARATUS, PLAYBACK METHOD, RECORDING METHOD, AND PROGRAM | 14 |
Yusuke Kanamaru | JP | Osaka | 2012-08-30 / 20120218465 - ILLUMINATION ADJUSTMENT CIRCUIT FOR FLASH, FLASH DEVICE AND IMAGE CAPTURE DEVICE USING SAME | 1 |
Kiyotaka Kanamaru | JP | Sapporo-Shi | 2011-12-29 / 20110318794 - METHOD FOR PRODUCING D-LACTIC ACID, AND METHOD FOR INCREASING OPTICAL PURITY OF D-LACTIC ACID OR YIELD OF D-LACTIC ACID RELATIVE TO SUGAR IN LACTIC ACID | 1 |
Kenji Kanamaru | JP | Kanagawa-Ken | 2014-03-20 / 20140077780 - VOLTAGE REGULATOR | 2 |
Yasuhiro Kanamaru | JP | Hitachinaka | 2010-08-12 / 20100202205 - SEMICONDUCTOR DEVICE | 2 |
Hiroshi Kanamaru | JP | Hiroshima-Shi | 2013-04-18 / 20130094895 - CONSTRUCTION MACHINE WITH UPPER SLEWING BODY | 2 |
Takashi Kanamaru | JP | Kyoto-Shi | 2012-01-12 / 20120006425 - FLUID SYSTEM | 1 |
Yoshihiro Kanamaru | JP | Gifu | 2012-04-26 / 20120100224 - Compositions Against Rotavirus Infection and Processes For Producing The Same | 1 |
Tetsuya Kanamaru | JP | Minamitsuru-Gun | 2013-07-04 / 20130172162 - TOOL EXCHANGER, TOOL MAGAZINE, AND MACHINE TOOL | 1 |
Masatoshi Kanamaru | JP | Inashiki-Gun | 2010-09-30 / 20100248166 - Deflector Array, Exposure Apparatus, and Device Manufacturing Method | 1 |
Mika Kanamaru | JP | Osaka | 2010-12-02 / 20100304135 - Adhesive composition, adhesive optical film and image display device | 1 |
Kunio Kanamaru | JP | Okazaki-Shi | 2011-10-06 / 20110239445 - METHOD FOR REUSING SECONDARY BATTERY | 3 |
Akiko Kanamaru | JP | Yokohama-Shi | 2011-01-27 / 20110021619 - EXTERNAL PREPARATION FOR SKIN CONTAINING FLAVANONE DERIVATIVE | 2 |
Mamiko Kanamaru | JP | Ibaraki | 2012-04-19 / 20120094491 - CMP POLISHING LIQUID AND POLISHING METHOD | 2 |
Tomokazu Kanamaru | JP | Hiroshima | 2011-03-17 / 20110063512 - SYNTHESIS DEVICE AND SYNTHESIS METHOD | 1 |
Hiroyuki Kanamaru | JP | Takasago-Shi | 2014-11-13 / 20140335575 - NOVEL AMIDASE | 2 |
Ravi Kumar Kanamatareddy | IN | Hyderabad | 2011-05-05 / 20110106275 - GLOBAL MOBILITY INFRASTRUCTURE FOR USER DEVICES | 1 |
Srinivasa Reddy Kanamata Reddy | US | Austin | 2015-12-31 / 20150374662 - INHIBITORS OF BETA-CATENIN IN TREATMENT OF COLORECTAL CANCER | 1 |
Yousuke Kaname | JP | Obu-Shi | 2013-09-12 / 20130234553 - MAGNETIC MODULATION MOTOR AND ELECTRIC TRANSMISSION | 1 |
Shinya Kaname | JP | Tokyo | 2012-03-29 / 20120076836 - POLYION COMPLEX OF DOUBLE-STRANDED RIBONUCLEIC ACID | 1 |
Mizokami Kaname | JP | Kyoto | 2010-08-12 / 20100203792 - METHOD FOR MANUFACTURING PLASMA DISPLAY PANEL | 1 |
Yousuke Kaname | JP | Kariya-Shi | 2015-03-19 / 20150076948 - POWER TRANSMISSION APPARATUS | 2 |
Yuuya Kaname | JP | Tokyo | 2015-12-10 / 20150357115 - COIL COMPONENT | 5 |
Yousuke Kaname | JP | Kariya-City | 2011-11-24 / 20110285238 - DOUBLE-STATOR MOTOR | 1 |
Soushi Kanameda | JP | Hiroshima | / - | 1 |
Hideharu Kanamitsu | JP | Fukuroi-Shi | 2014-10-02 / 20140292219 - POWER-SUPPLY DEVICE AND ILLUMINATION DEVICE | 1 |
Shingo Kanamitsu | JP | Kanagawa-Ken | 2015-04-02 / 20150092045 - Surface State Evaluation Apparatus and Surface State Evaluation Method | 8 |
Shingo Kanamitsu | JP | Kanagawa | 2013-09-19 / 20130244141 - PHOTOMASK AND PATTERN FORMING METHOD | 1 |
Shingo Kanamitsu | JP | Kawasaki | 2015-09-17 / 20150258226 - SUBSTRATE STORING CASE, SUBSTRATE CLEANING APPARATUS AND SUBSTRATE STORING CASE CLEANING APPARATUS | 1 |
Kenji Kanamitsu | JP | Tokyo | 2016-05-12 / 20160133487 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 3 |
Shingo Kanamitsu | JP | Kanagawa-Ken | 2015-04-02 / 20150092045 - Surface State Evaluation Apparatus and Surface State Evaluation Method | 8 |
Hideharu Kanamitsu | JP | Nagano | 2013-06-27 / 20130162157 - Switching Power Supply Device and Light-Emitting Diode Lighting Device | 2 |
Yasuji Kanamitsu | JP | Nara | 2010-04-01 / 20100080979 - POLYPROPYLENE RESIN COMPOSITION EXPANSION-MOLDED ARTICLE USING THE RESIN COMPOSITION, AND PROCESS FOR PRODUCTION OF THE EXPANSION-MOLDED ARTICLE | 1 |
Hiromoto Kanamitsu | JP | Funabashi-Shi | 2014-04-17 / 20140104711 - PIEZOELECTRIC ACTUATOR AND LENS BARREL | 2 |
Michitaro Kanamitsu | JP | Ome | 2008-10-30 / 20080266937 - SEMICONDUCTOR DEVICE | 1 |
Shingo Kanamitsu | JP | Kawasaki-Shi | 2014-06-19 / 20140170565 - PATTERN FORMING METHOD AND METHOD FOR MANUFACTURING TEMPLATE FOR IMPRINT | 3 |
Kenji Kanamitsu | JP | Kanagawa | 2012-01-26 / 20120017948 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Kenji Kanamitsu | JP | Hitachinaka | 2009-01-29 / 20090029524 - METHOD OF MANUFACTURING A SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE HAVING A TRENCH | 2 |
Norimasa Kanamitsu | JP | Takarazuka-Shi | 2009-07-02 / 20090170835 - ISOINDOLINE DERIVATIVES | 1 |
Takashi Kanamori | US | San Jose | 2016-03-17 / 20160079847 - APPARATUS AND SYSTEM FOR NOISE CANCELLATION OF POWER CONVERTERS | 8 |
Satoko Kanamori | JP | Shiga | 2013-12-12 / 20130330787 - METHOD FOR PRODUCING CHEMICAL BY CONTINUOUS FERMENTATION | 1 |
Teruki Kanamori | JP | Osaka | 2013-12-12 / 20130329158 - ACTIVE MATRIX SUBSTRATE, DISPLAY DEVICE, AND SHORT CIRCUIT DEFECT CORRECTION METHOD FOR ACTIVE MATRIX SUBSTRATE | 1 |
Iori Kanamori | JP | Wako-Shi | 2013-12-05 / 20130325237 - CONTROL UNIT FOR VEHICLE DRIVING SYSTEM | 1 |
Yuki Kanamori | JP | Kamakura | 2016-03-10 / 20160071603 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Kohji Kanamori | KR | Seoul | 2015-12-31 / 20150380431 - SEMICONDUCTOR DEVICE HAVING VERTICAL CHANNEL AND AIR GAP, AND METHOD OF MANUFACTURING THEREOF | 5 |
Jiro Kanamori | JP | Ibaraki | 2014-04-24 / 20140113866 - NOVEL APPLICATION OF REDUCED-FAT SOYBEAN PROTEIN MATERIAL TO SOYBEAN-DERIVED RAW MATERIAL-CONTAINING FOOD OR BEVERAGE | 3 |
Takashi Kanamori | JP | Tokyo | 2015-02-26 / 20150057085 - NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM, AND INFORMATION PROCESSING DEVICE | 2 |
Satoko Kanamori | JP | Kamakura-Shi | 2013-10-31 / 20130288277 - IMMUNOASSAY OF COFILIN 1 PROTEIN | 1 |
Satoko Kanamori | JP | Otsu-Shi | 2015-02-26 / 20150056665 - METHOD OF PRODUCING CHEMICAL BY CONTINUOUS FERMENTATION AND CONTINUOUS FERMENTATION APPARATUS | 3 |
Satoko Kanamori | JP | Otsu | 2013-11-14 / 20130302882 - METHOD FOR STERILIZING SEPARATION MEMBRANE MODULES, STERILIZATION DEVICE, AND APPARATUS FOR PRODUCING CHEMICALS | 2 |
Shigeo Kanamori | JP | Kobe-Shi | 2013-06-06 / 20130139616 - SAMPLE PROCESSING APPARATUS MANAGEMENT SYSTEM, SAMPLE PROCESSING APPARATUS AND MANAGEMENT APPARATUS, AND MANAGEMENT METHOD | 1 |
Yuki Kanamori | JP | Urayasu-Shi | 2014-03-06 / 20140068149 - MEMORY SYSTEM | 1 |
Tetsuo Kanamori | JP | Nagaokakyo-Shi | 2014-05-01 / 20140118977 - WIRING BOARD | 5 |
Tetso Kanamori | JP | Nagaokakyo-Shi | 2014-01-09 / 20140009899 - WIRING SUBSTRATE | 1 |
Hiroyasu Kanamori | JP | Nagoya-City | 2013-07-04 / 20130168581 - PRESSURE CONTROL APPARATUS | 1 |
Kouji Kanamori | JP | Kanagawa | 2013-01-24 / 20130024046 - POWER TRANSMISSION CONTROLLER, POWER RECEPTION CONTROLLER, POWER TRANSMISSION SYSTEM, AND DATA COMMUNICATION METHOD OF POWER TRANSMISSION SYSTEM | 1 |
Akitaka Kanamori | JP | Shizuoka-Shi | 2013-07-18 / 20130181798 - POWER SUPPLY APPARATUS AND ITS MANUFACTURING METHOD | 2 |
Yuta Kanamori | JP | Tokyo | 2016-05-05 / 20160126122 - SUBSTRATE STORING CONTAINER | 2 |
Naoya Kanamori | JP | Tokyo | 2014-11-20 / 20140341242 - RESIN COMPOSITION, SEMICONDUCTOR DEVICE USING SAME, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Takashi Kanamori | JP | Chiba | 2013-06-06 / 20130143773 - HIGH-SPEED MATURATION METHOD FOR AN OLIGONUCLEOTIDE LIBRARY FOR THE PURPOSE OF PREPARING A PROTEIN LIBRARY | 1 |
Iwao Kanamori | JP | Tokyo | 2011-03-03 / 20110052017 - Processor for Pathologic Diagnosis and Processing System for Pathologic Diagnosis | 1 |
Masayuki Kanamori | JP | Tokyo | 2009-02-26 / 20090053386 - DELICIOUS SHRIMPS AND METHOD OF PRODUCING THE SAME | 1 |
Yasushi Kanamori | JP | Tokyo | 2011-06-16 / 20110140503 - SEAT BELT RETRACTOR | 4 |
Yosuke Kanamori | JP | Tokyo | 2011-09-15 / 20110223079 - STERILIZATION CONFIRMATION TESTER AND TEST PACK | 2 |
Shunichi Kanamori | JP | Tokyo | 2011-01-27 / 20110017606 - ELECTROLYSIS METHOD | 1 |
Tarou Kanamori | JP | Tokyo | 2011-03-31 / 20110077364 - COMPOSITION CONTAINING SILICON-CONTAINING POLYMER, CURED PRODUCT OF THE COMPOSITION, SILICON-CONTAINING POLYMER, AND METHOD OF PRODUCING THE SILICON-CONTAINING POLYMER | 4 |
Atsushi Kanamori | JP | Osaka | 2013-03-28 / 20130076248 - LED DRIVE CIRCUIT AND LED ILLUMINATION APPARATUS USING THE SAME | 8 |
Ryohei Kanamori | JP | Utsunomiya-Shi | 2013-03-21 / 20130073118 - YAW RATE DETECTION APPARATUS | 1 |
Shirou Kanamori | JP | Kanazawa-Shi | 2014-06-26 / 20140175833 - WHEEL LOADER | 1 |
Junichirou Kanamori | JP | Nisshin-City | 2014-04-03 / 20140094981 - AVAILABILITY PREDICTION APPARATUS FOR ELECTRIC POWER STORAGE DEVICE | 2 |
Toshiyuki Kanamori | JP | Tsukuba-Shi | 2013-01-24 / 20130023025 - METHOD FOR SEPARATING CELLS, CELL CULTURE SUBSTRATE, AND DEVICE FOR SEPARATING CELLS | 1 |
Hiroo Kanamori | JP | Yokohama-Shi | 2014-03-13 / 20140071511 - WAVELENGTH SELECTIVE SWITCH | 3 |
Iori Kanamori | JP | Utsunomiya-Shi | 2014-05-15 / 20140136036 - HYBRID VEHICLE | 1 |
Katsuhiro Kanamori | JP | Miyoshi-Shi | 2015-07-09 / 20150192217 - ELECTROMAGNETIC VALVE | 2 |
Shirou Kanamori | JP | Nonoichi-Shi | 2015-07-23 / 20150204050 - WHEEL LOADER | 2 |
Shinitirou Kanamori | JP | Sagamihara-Shi | 2014-10-09 / 20140299566 - BOTTLE-SHAPED CAN MANUFACTURING METHOD AND BOTTLE-SHAPED CAN | 1 |
Takashi Kanamori | JP | Nagoya-City | 2014-09-11 / 20140253034 - CHARGE SYSTEM FOR ELECTRIC VEHICLES | 7 |
Iori Kanamori | JP | Saitama | 2012-09-27 / 20120245781 - HYBRID VEHICLE | 1 |
Takashi Kanamori | JP | Kashiwa-Shi | 2013-10-31 / 20130288930 - EFFICIENT METHOD FOR DISPLAYING PROTEIN MULTIMER | 2 |
Yoshiharu Kanamori | JP | Osaka | 2010-12-23 / 20100319264 - SLIDING DOOR DEVICE | 1 |
Takeo Kanamori | JP | Osaka | 2015-05-07 / 20150124997 - SOUND PROCESSING DEVICE, AND SOUND PROCESSING METHOD | 20 |
Atsushi Kanamori | JP | Osaka | 2013-03-28 / 20130076248 - LED DRIVE CIRCUIT AND LED ILLUMINATION APPARATUS USING THE SAME | 8 |
Masaru Kanamori | JP | Osaka | 2014-07-24 / 20140206487 - TOOTHED BELT | 5 |
Masaki Kanamori | JP | Osaka | 2010-03-25 / 20100075380 - Novel Lipase | 1 |
Takeshi Kanamori | JP | Osaka | 2009-12-17 / 20090311680 - Method for Identifying Useful Proteins of Brewery Yeast | 1 |
Takeshi Kanamori | JP | Tokyo | 2012-07-19 / 20120182385 - STEREOPHONIC SOUND GENERATING APPARATUS AND STEREOPHONIC SOUND GENERATING METHOD | 1 |
Toshihide Kanamori | JP | Ikeda-Shi | 2015-05-14 / 20150133365 - Polypeptide Having Antibacterial Activity and Angiogenesis-Inducing Activity and Wound-Healing Drug Containing Said Polypeptide | 2 |
Takashi Kanamori | US | San Jose | 2016-03-17 / 20160079847 - APPARATUS AND SYSTEM FOR NOISE CANCELLATION OF POWER CONVERTERS | 8 |
Takahiko Kanamori | JP | Fukuoka | 2015-01-29 / 20150027262 - ROBOT AND MANUFACTURING METHOD OF THE SAME | 3 |
Takanori Kanamori | JP | Toyota-Shi | 2012-06-14 / 20120148903 - ELECTRIC STORAGE APPARATUS | 1 |
Takahiko Kanamori | JP | Kitakyushu-Shi | 2015-02-05 / 20150034698 - ROBOT | 1 |
Takanori Kanamori | JP | Kakegawa-Shi | 2015-05-14 / 20150129723 - WIRE HOLDER | 3 |
Toshihide Kanamori | JP | Osaka | 2012-05-17 / 20120122766 - Novel Polypeptide Having Angiogenesis-Inducing Activity And Antibacterial Activity, And Use Thereof For Medical Purposes | 1 |
Yuki Kanamori | JP | Kamakura-Shi | 2015-03-12 / 20150074298 - COMMAND PROCESSING DEVICE AND DATA STORAGE DEVICE | 1 |
Akitaka Kanamori | JP | Shizuoka | 2012-06-21 / 20120155101 - LIGHT-EMITTING APPARATUS AND AUTOMOTIVE HEADLAMPS | 2 |
Keiji Kanamori | JP | Aichi | 2015-06-11 / 20150159243 - ALUMINUM ALLOY PLATE FOR BATTERY CASES, WHICH HAS EXCELLENT MOLDABILITY AND WELDABILITY | 1 |
Shoji Kanamori | CA | Port Coquitlam | 2015-07-02 / 20150189792 - Apparatus and Methods for Processing Exfoliated Graphite Materials | 1 |
Keiji Kanamori | JP | Akishima | 2015-07-09 / 20150194099 - Information Processing Apparatus and Information Processing Method | 1 |
Takeo Kanamori | JP | Osaka | 2015-05-07 / 20150124997 - SOUND PROCESSING DEVICE, AND SOUND PROCESSING METHOD | 20 |
Hajime Kanamori | JP | Ageo-Shi | 2015-09-10 / 20150252070 - COMPOUND, NUCLEIC ACID, LABELING SUBSTANCE, AND DETECTION METHOD | 1 |
Keiko Kanamori | JP | Shizuoka-Ken | 2015-07-30 / 20150213429 - POS TERMINAL APPARATUS AND COMMODITY SPECIFICATION METHOD | 2 |
Satoko Kanamori | JP | Kanagawa | 2013-01-10 / 20130011865 - MARKER FOR DETECTING GASTRIC CANCER AND METHOD FOR DETECTING GASTRIC CANCER | 3 |
Yoshikazu Kanamori | JP | Kanagawa | 2015-10-15 / 20150291724 - POLYCARBONATE DIOL AND POLYURETHANE USING SAME | 1 |
Akihito Kanamori | JP | Fukuoka | 2016-02-04 / 20160029601 - COMPUTER PRODUCT, VEGETATION ASSESSING APPARATUS, AND VEGETATION ASSESSING METHOD | 1 |
Keiji Kanamori | JP | Tokyo | 2016-01-28 / 20160028057 - BATTERY CASE | 1 |
Katsuhiro Kanamori | JP | Nara | 2015-09-10 / 20150256733 - POLARIZATION IMAGE PROCESSING APPARATUS | 39 |
Hirokazu Kanamori | JP | Osaka-Shi | 2015-12-10 / 20150355779 - INFORMATION PROCESSING DEVICE | 1 |
Miho Kanamori | JP | Saitama-Shi, Saitama | 2015-12-10 / 20150358798 - Telematics Controller, Vehicle, and Telematics Control Method | 1 |
Takuya Kanamori | JP | Aichi | 2015-12-10 / 20150352619 - Bending System | 1 |
Keiko Kanamori | JP | Shizuoka | 2011-10-20 / 20110255109 - IMAGE FORMING APPARATUS AND CALIBRATION METHOD FOR IMAGE FORMING APPARATUS | 2 |
Katsuhiko Kanamori | JP | Nukata-Gun | 2012-05-03 / 20120107994 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 2 |
Naohiro Kanamori | JP | Kanagawa | 2011-09-15 / 20110222606 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 2 |
Shino Kanamori | JP | Miyagi | 2011-01-20 / 20110012995 - STEREOSCOPIC IMAGE RECORDING APPARATUS AND METHOD, STEREOSCOPIC IMAGE OUTPUTTING APPARATUS AND METHOD, AND STEREOSCOPIC IMAGE RECORDING OUTPUTTING SYSTEM | 1 |
Keiji Kanamori | JP | Akishima-Shi | 2010-12-02 / 20100306561 - INFORMATION PROCESSING APPARATUS AND POWER CONTROL METHOD | 1 |
Hajime Kanamori | JP | Yokohama-Shi | 2015-07-23 / 20150203902 - NUCLEIC ACID PROBE, METHOD FOR DESIGNING NUCLEIC ACID PROBE, AND METHOD FOR DETECTING TARGET SEQUENCE | 3 |
Takeshi Kanamori | JP | Aichi | 2012-03-08 / 20120059132 - POLYLACTIC ACID-BASED RESIN COMPOSITION AND METHOD FOR MANUFACTURING THE SAME | 2 |
Jiro Kanamori | JP | Tsukubamirai-Shi | 2013-12-05 / 20130323401 - FAT-REDUCED SOYBEAN PROTEIN MATERIAL AND SOYBEAN EMULSION COMPOSITION, AND PROCESSES FOR PRODUCTION THEREOF | 5 |
Kenji Kanamori | JP | Yokohama-Shi | 2015-02-05 / 20150037706 - SINGLE FUEL CELL, FUEL CELL STACK, AND METHOD OF MANUFACTURING FUEL CELL STACK | 4 |
Masayoshi Kanamori | JP | Yamanashi | 2010-02-25 / 20100046220 - LED UNIT AND LED LIGHTING LAMP USING THE LED UNIT | 2 |
Naohito Kanamori | JP | Yokkaichi-City | 2015-09-24 / 20150268466 - VEHICULAR DISPLAY APPARATUS | 4 |
Iwao Kanamori | JP | Kanagawa | 2011-04-07 / 20110081060 - Pathological-Diagnosis Support Device and Pathological-Diagnosis Support System | 2 |
Yoshiaki Kanamori | JP | Hyogo | 2013-01-31 / 20130026340 - ELECTRONIC DEVICE EMPLOYING A BUTTON PAD | 2 |
Hiroyuki Kanamori | JP | Tsukuba-Shi | 2012-06-21 / 20120151987 - METHOD AND ROTARY ENCODER FOR ESTIMATION OF ECCENTRIC VALUE | 2 |
Fumio Kanamori | JP | Shiga | 2011-02-10 / 20110034696 - PROCESS FOR PRODUCING ANTHRANILAMIDE COMPOUND | 2 |
Hideyuki Kanamori | JP | Kawasaki-Shi | 2015-06-25 / 20150178031 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 5 |
Hisayuki Kanamori | JP | Toda-Shi | 2011-02-03 / 20110026361 - STIRRING BLADE AND SEALED STIRRING APPARATUS | 1 |
Akihiko Kanamori | JP | Aichi | 2009-04-16 / 20090096463 - HYBRID VEHICLE TESTING SYSTEM AND METHOD | 2 |
Masaoki Kanamori | JP | Kanagawa-Ken | 2010-07-01 / 20100169295 - DOCUMENT SEARCH SYSTEM WHICH REFLECTS THE SITUATION OF USING DOCUMENTS IN THE SEARCH RESULTS | 1 |
Kazunori Kanamori | JP | Sodegaura-Shi | 2010-01-28 / 20100022735 - PROCESS FOR PRODUCING POLYOLEFIN RESIN COMPOSITION AND FILTER DEVICE FOR USE IN THIS PROCESS | 1 |
Hiromitsu Kanamori | JP | Otsu-Shi | 2010-01-07 / 20100000935 - MEMBRANE ELEMENT, MEMBRANE UNIT, AND MULTI-DECK MEMBRANE UNIT | 1 |
Akihito Kanamori | JP | Yokohama-Shi | 2012-05-17 / 20120122049 - HEATING APPARATUS | 4 |
Fumio Kanamori | JP | Kusatsu-Shi | 2014-04-17 / 20140107138 - FUNGICIDAL COMPOSITION AND METHOD FOR CONTROLLING PLANT DISEASES | 4 |
Hiroaki Kanamori | JP | Suwa-Shi | 2014-09-25 / 20140285479 - CONTROL APPARATUS, ELECTRO-OPTIC APPARATUS, ELECTRONIC DEVICE, AND CONTROL METHOD | 7 |
Keiko Kanamori | JP | Numazu-Shi | 2009-09-24 / 20090237691 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD AND IMAGE FORMING APPARATUS | 2 |
Kohji Kanamori | JP | Kanagawa | 2009-08-27 / 20090212440 - Semiconductor device | 1 |
Tarou Kanamori | JP | Chuo-Ku | 2009-07-30 / 20090189510 - METAL-COATING MATERIAL, METHOD FOR PROTECTING METAL, AND LIGHT EMITTING DEVICE | 1 |
Motoki Kanamori | JP | Tachikawa | 2009-07-23 / 20090187703 - MEMORY CARD AND ITS INITIAL SETTING METHOD | 1 |
Kazuyoshi Kanamori | JP | Kyoto | 2014-03-20 / 20140076070 - MONOLITHIC SILICONE AND METHOD OF SEPARATION, PURIFICATION AND CONCENTRATION THEREWITH | 3 |
Atsushi Kanamori | JP | Nukata-Gun | 2009-07-02 / 20090167220 - Motor control apparatus, vehicle fan drive apparatus, and motor control method | 4 |
Yasushi Kanamori | JP | Ibaraki | 2009-04-30 / 20090111176 - Trehalose transporter gene and method of introducing trehalose into cells | 1 |
Nobuhiro Kanamori | JP | Moriguchi City | 2009-04-30 / 20090112069 - TREND PREDICTION DEVICE | 1 |
Keiji Kanamori | JP | Ome-Shi | 2009-04-30 / 20090112884 - INFORMATION PROCESSING APPARATUS AND CONTROL METHOD | 1 |
Jun Kanamori | JP | Yokosuka-Shi | 2009-04-23 / 20090102244 - STORAGE STRUCTURE FOR A COVER MEMBER OF A SLIDING ROOF TYPE VEHICLE AND A VEHICLE PROVIDED WITH THE SAME | 1 |
Jiro Kanamori | JP | Nara | 2009-02-26 / 20090051282 - luminous body | 2 |
Hideo Kanamori | JP | Chiba | 2009-01-29 / 20090028210 - METAL SURFACE TEMPERATURE MEASURING INSTRUMENT | 1 |
Jun Kanamori | JP | Susono-Shi | 2009-01-15 / 20090015049 - AUTOMOBILE | 1 |
Motoki Kanamori | JP | Kariya | 2009-01-15 / 20090019210 - NONVOLATILE MEMORY APPARATUS | 1 |
Jun Kanamori | JP | Shizuoka-Ken | 2008-11-13 / 20080277888 - Automobile | 2 |
Masaki Kanamori | JP | Mishima-Gun | 2011-09-08 / 20110217768 - NOVEL LIPASE | 1 |
Motoki Kanamori | JP | Takahama-City | 2013-04-11 / 20130091323 - IN-VEHICLE APPARATUS | 3 |
Takayuki Kanamori | JP | Hyogo | 2016-01-07 / 20160001362 - FLAKE-LIKE FINE PARTICLES | 1 |
Kazunori Kanamori | JP | Chiba | 2011-07-28 / 20110184122 - PROCESS FOR PRODUCING POLYOLEFIN RESIN COMPOSITION | 1 |
Minoru Kanamori | US | Rowland Heights | 2010-05-27 / 20100131131 - Personal Watercraft | 1 |
Atsushi Kanamori | US | Redmond | 2015-10-01 / 20150277881 - SUPPORTING DYNAMIC BEHAVIOR IN STATICALLY COMPILED PROGRAMS | 4 |
Shoji Kanamori | CA | Vancouver | 2009-01-01 / 20090000314 - Apparatus and method for rapidly freezing small objects | 1 |
Atsushi Kanamori | JP | Osaka-Shi | 2013-05-30 / 20130134895 - LED DRIVE CIRCUIT AND LED ILLUMINATION UNIT | 3 |
Hiromitsu Kanamori | JP | Shiga | 2011-05-19 / 20110114551 - SUBMERGED HOLLOW FIBER MEMBRANE MODULE | 1 |
Katsuhiro Kanamorl | JP | Nara | 2009-11-12 / 20090279807 - SYSTEM, METHOD AND APPARATUS FOR IMAGE PROCESSING AND IMAGE FORMAT | 1 |
Miki Kanamoto | JP | Atsugi | 2016-04-21 / 20160108006 - Synthesis Method of Organometallic Complex, Synthesis Method of Pyrazine Derivative, 5,6-Diaryl-2-Pyrazyl Triflate, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 9 |
Koichi Kanamoto | JP | Inagi | 2011-09-22 / 20110229037 - CHARACTER RECOGNITION APPARATUS AND CHARACTER RECOGNITION METHOD | 1 |
Kazuaki Kanamoto | JP | Kanagawa | 2015-05-14 / 20150133879 - BLOOD-FLOW-PATH SWITCHING DEVICE AND BLOOD-BAG SYSTEM | 2 |
Junji Kanamoto | JP | Yokohama-Shi | 2013-11-14 / 20130300897 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 11 |
Yoshiji Kanamoto | JP | Tokyo | 2015-12-03 / 20150347878 - CONTROL APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 14 |
Junji Kanamoto | JP | Hadano-Shi | 2013-09-05 / 20130229301 - ELECTRONIC SCANNING TYPE RADAR DEVICE, ESTIMATION METHOD OF DIRECTION OF RECEPTION WAVE, AND PROGRAM ESTIMATING DIRECTION OF RECEPTION WAVE | 5 |
Kyozo Kanamoto | JP | Tokyo | 2012-06-28 / 20120160298 - PHOTOELECTRIC CONVERTER AND MANUFACTURING METHOD THEREOF, AND PHOTOELECTRIC CONVERSION MODULE | 11 |
Toshiki Kanamoto | JP | Kanagawa | 2013-06-13 / 20130151226 - CIRCUIT SIMULATION METHOD | 1 |
Miki Kanamoto | JP | Atsugi | 2016-04-21 / 20160108006 - Synthesis Method of Organometallic Complex, Synthesis Method of Pyrazine Derivative, 5,6-Diaryl-2-Pyrazyl Triflate, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 9 |
Shuji Kanamoto | JP | Utsunomiya-Shi | 2009-08-27 / 20090211694 - VIBRATION WELDING METHOD AND VIBRATION WELDING APPARATUS | 1 |
Yoshiji Kanamoto | JP | Abiko-Shi | 2015-12-03 / 20150350469 - INFORMATION PROCESSING APPARATUS, AND METHOD OF CONTROLLING INFORMATION PROCESSING APPARATUS | 1 |
Takahiro Kanamoto | JP | Mie | 2015-10-29 / 20150308507 - BEARING SEAL AND ROLLING BEARING WITH SEAL | 2 |
Junji Kanamoto | JP | Yokohama-Shi | 2013-11-14 / 20130300897 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 11 |
Yoshiji Kanamoto | JP | Tokyo | 2015-12-03 / 20150347878 - CONTROL APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 14 |
Toshiki Kanamoto | JP | Tokyo | 2009-01-08 / 20090011568 - SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURE THEREOF AND SEMICONDUCTOR INTEGRATED CIRCUIT | 2 |
Norio Kanamoto | JP | Chiba | 2010-10-21 / 20100266441 - High carbo hot-rolled steel sheet | 3 |
Kouichi Kanamoto | JP | Inagi | 2010-01-14 / 20100008578 - FORM RECOGNITION APPARATUS, METHOD, DATABASE GENERATION APPARATUS, METHOD, AND STORAGE MEDIUM | 2 |
Shuji Kanamoto | JP | Tokyo | 2012-07-05 / 20120168057 - METHOD OF VIBRATION WELDING | 1 |
Shohei Kanamura | JP | Yokohama-Shi | 2013-01-03 / 20130001096 - PROCESS FOR PRODUCING RARE METAL | 2 |
Masahito Kanamura | JP | Kawasaki | 2015-10-01 / 20150279956 - SEMICONDUCTOR DEVICE | 21 |
Kiyoshi Kanamura | JP | Hachioji-Shi | 2014-12-04 / 20140353555 - METHOD OF MANUFACTURING A MULTICOMPONENT SYSTEM LITHIUM PHOSPHATE COMPOUND PARTICLE HAVING AN OLIVINE STRUCTURE | 6 |
Kiyoshi Kanamura | JP | Hachiouji-Shi | 2009-08-27 / 20090216175 - Transdermal Administration Device and Method of Controlling the Same | 1 |
Kiyoshi Kanamura | JP | Hachioji-City | 2014-03-06 / 20140065481 - Positive-Electrode Active Material, Manufacturing Method Of The Same, And Nonaqueous Electrolyte Rechargeable Battery Having The Same | 1 |
Masahito Kanamura | JP | Isehara | 2015-06-11 / 20150162413 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 7 |
Kiyoshi Kanamura | JP | Kiyoshi | 2015-04-09 / 20150099176 - POSITIVE ELECTRODE ACTIVE MATERIAL, NONAQUEOUS ELECTROLYTE BATTERY, AND BATTERY PACK | 1 |
Toshiaki Kanamura | JP | Tokyo | 2014-03-20 / 20140079318 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND COMPUTER READABLE STORAGE MEDIUM | 5 |
Ryuichi Kanamura | JP | Kumamoto | 2015-09-24 / 20150270307 - SEMICONDUCTOR DEVICE, SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS | 1 |
Toshiaki Kanamura | JP | Ome-Shi | 2014-03-20 / 20140076488 - METHOD OF LABEL FORMATION | 4 |
Masahito Kanamura | JP | Kawasaki | 2015-10-01 / 20150279956 - SEMICONDUCTOR DEVICE | 21 |
Shohei Kanamura | JP | Kawasaki | 2015-08-13 / 20150228367 - RADIOACTIVE MATERIAL PROCESSING METHOD | 1 |
Kiyoshi Kanamura | JP | Tokyo | 2016-04-21 / 20160111695 - METHOD FOR MANUFACTURING SECONDARY BATTERY SEPARATOR AND METHOD FOR MANUFACTURING LITHIUM SECONDARY BATTERY | 16 |
Matthew W. Kanan | US | Cambridge | 2011-08-04 / 20110190141 - Evolving New Molecular Function | 1 |
Matthew William Kanan | US | Palo Alto | 2015-08-13 / 20150226702 - RAPID SMALL VOLUME DETECTION OF BLOOD AMMONIA | 2 |
Matthew W. Kanan | US | Palo Alto | 2015-05-21 / 20150136613 - CATALYSTS FOR LOW TEMPERATURE ELECTROLYTIC CO REDUCTION | 7 |
Jyrki Kananen | FI | Oulu | 2015-03-19 / 20150075235 - ELECTROMECHANICAL LOCK | 1 |
Daniel C. Kananen | US | Trout Creek | 2012-07-05 / 20120167735 - Sheet Material Dispenser | 2 |
Daniel C. Kananen | US | Green Bay | 2008-09-11 / 20080217350 - Sheet material dispenser | 1 |
Atte Kananen | FI | Kuusamo | 2009-07-02 / 20090170587 - Method of Arranging Lottery Games, Game Server, Data Transmission System and Computer Program Product | 1 |
Jyrki Kananen | FI | Kempele | 2010-07-22 / 20100185331 - ELECTROMECHANICAL LOCK | 1 |
Maya Kanan-Hanum | IL | Rehovot | 2014-03-06 / 20140066490 - SYSTEM AND METHOD FOR MODIFYING DEOXYRIBOZYMES | 1 |
Hirenkumar Nathalal Kanani | IN | Surat | 2014-08-07 / 20140222621 - METHOD OF A WEB BASED PRODUCT CRAWLER FOR PRODUCTS OFFERING | 1 |
Rahil Kanani | IN | Jamnagar | 2016-05-12 / 20160132648 - Data Processing System and Method for Computer-Assisted Coding of Natural Language Medical Text | 1 |
Dharmeshkumar M. Kanani | CA | Hamilton | 2012-11-29 / 20120298582 - Layered Tubular Membranes for Chromatography, and Methods of Use Thereof | 1 |
Shigeki Kanao | JP | Hyogo | 2015-11-12 / 20150320208 - LIGHTWEIGHT DECORATIVE PANEL HAVING OPENING AND PRODUCTION METHOD THEREFOR | 5 |
Masaaki Kanao | JP | Tokyo | 2011-02-24 / 20110045287 - SEALING RESIN SHEET | 1 |
Masayasu Kanao | JP | Yokohama-Shi | 2013-09-12 / 20130235362 - EXPOSURE APPARATUS | 1 |
Shinzo Kanao | JP | Tokyo | 2014-04-24 / 20140112972 - ANTI-ADHESION MEDICAL MATERIAL AND METHOD FOR PRODUCING SAME | 1 |
Masaaki Kanao | JP | Toyota-Shi | 2009-10-08 / 20090253010 - UNIT CELL ASSEMBLY, FUEL CELL, AND METHOD FOR MANUFACTURING UNIT CELL ASSEMBLY | 1 |
Shinichi Kanao | JP | Tokyo | 2014-08-28 / 20140238558 - NON-ORIENTED ELECTRICAL STEEL SHEET AND MANUFACTURING METHOD THEREOF | 3 |
Masamichi Kanao | JP | Shiga | 2008-09-25 / 20080234118 - Sheet folding apparatus | 1 |
Kent Kanao | JP | Tokyo | 2009-10-29 / 20090269335 - THERAPEUTIC AGENT FOR PROSTATE CANCER | 1 |
Keiichiro Kanao | JP | Chiba | 2015-07-30 / 20150214548 - ANODE ACTIVE MATERIAL FOR SECONDARY BATTERY AND METHOD FOR PRODUCING THE SAME, ANODE AND LITHIUM ION BATTERY USING THE SAME | 1 |
Keiji Kanao | JP | Chita-Gun | 2012-11-15 / 20120289115 - SPARK PLUG FOR INTERNAL COMBUSTION ENGINE AND MANUFACTURING METHOD THEREOF | 1 |
Yukihisa Kanao | JP | Saimata-Ken | 2009-02-19 / 20090047554 - Fuel cell system and method of operating the fuel cell system | 1 |
Yoshinori Kanao | JP | Kanagawa | 2009-11-12 / 20090277798 - CATALYST ATTACHMENT-ENHANCING AGENT | 1 |
Yukihisa Kanao | JP | Saitama-Ken | 2009-04-23 / 20090104486 - Fuel cell system | 1 |
Masayasu Kanao | JP | Kanagawa | 2016-01-07 / 20160006518 - OPTICAL INTERCONNECTION DEVICE | 5 |
Takashi Kanao | JP | Tokyo | 2013-10-17 / 20130272684 - NETWORK SYSTEM, CONTROLLER, RECORDING DEVICE, SERVICE SERVER, METHOD OF ACQUIRING RESOURCE STATUS OF RECORDING DEVICE, AND COMPUTER PROGRAM | 15 |
Shigeki Kanao | JP | Nishinomiya-Shi | 2015-12-17 / 20150360443 - FLOOR PANEL | 9 |
Yukihisa Kanao | JP | Asaka-Shi | 2010-09-02 / 20100221634 - FUEL CELL AND FUEL CELL STACK | 2 |
Akihiro Kanao | JP | Tokyo | 2014-02-20 / 20140050450 - OPTICAL FIBER PREFORM, METHOD OF MANUFACTURING OPTICAL FIBER PREFORM, AND METHOD OF MANUFACTURING OPTICAL FIBER | 2 |
Norikazu Kanao | JP | Toyama | 2013-12-12 / 20130328398 - DISTRIBUTED POWER SUPPLY SYSTEM | 1 |
Shigeki Kanao | JP | Nishinomiya-Shi | 2015-12-17 / 20150360443 - FLOOR PANEL | 9 |
Keiji Kanao | JP | Aichi-Ken | 2010-10-28 / 20100269568 - GAS SENSOR AND METHOD OF MANUFACTURING SAME | 2 |
Masaru Kanaoka | JP | Chiyoda-Ku | 2010-07-29 / 20100187209 - LASER MACHINING NOZZLE | 2 |
Shokyoku Kanaoka | JP | Osaka | 2009-05-14 / 20090120879 - Material For Transfer Of Substance In Liquid Comprising Polymer Blend | 1 |
Taku Kanaoka | JP | Tokyo | 2009-05-07 / 20090117730 - MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED DEVICE | 1 |
Yosuke Kanaoka | JP | Tsukuba-Shi | 2013-11-07 / 20130292838 - PACKAGE-ON-PACKAGE INTERCONNECT STIFFENER | 1 |
Yosuke Kanaoka | JP | Tsukuba | 2015-07-09 / 20150194401 - FORMING SACRIFICIAL COMPOSITE MATERIALS FOR PACKAGE-ON-PACKAGE ARCHITECTURES AND STRUCTURES FORMED THEREBY | 2 |
Hideaki Kanaoka | JP | Sorachi-Gun | 2016-01-07 / 20160002772 - SURFACE-COATED CUTTING TOOL AND PROCESS FOR PRODUCING SAME | 1 |
Masashi Kanaoka | JP | Shimogyo-Ku | 2012-02-16 / 20120037593 - METHOD AND SYSTEM FOR REMOVAL OF FILMS FROM PERIPHERAL PORTIONS OF A SUBSTRATE | 2 |
Yosuke Kanaoka | JP | Ibaraki | 2010-09-09 / 20100224993 - Forming sacrificial composite materials for package-on-package architectures and structures formed thereby | 1 |
Taku Kanaoka | JP | Hitachinaka | 2014-06-12 / 20140159245 - SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME | 3 |
Yoji Kanaoka | JP | Wako | 2014-01-09 / 20140009953 - LIGHTING DEVICE FOR VEHICLE | 1 |
Yosuke Kanaoka | JP | Tsukuba-Ibaraki | 2010-10-14 / 20100258927 - Package-on-package interconnect stiffener | 1 |
Masashi Kanaoka | JP | Kyoto-Shi | 2015-12-10 / 20150352591 - SUBSTRATE TREATING METHOD | 3 |
Toshikazu Kanaoka | JP | Kawasaki | 2009-12-10 / 20090307561 - Decoding device, decoding method, and recording and reproducing device | 8 |
Shigeru Kanaoka | JP | Hamamatsu-Shi | 2013-04-11 / 20130090258 - METHOD FOR DETECTING COLORECTAL TUMOR | 4 |
Nagayuki Kanaoka | JP | Utsunomiya-Shi | 2011-03-24 / 20110070516 - SOLID POLYMER ELECTROLYTE FUEL CELL | 1 |
Masashi Kanaoka | JP | Kamigyo-Ku | 2010-11-11 / 20100285225 - SUBSTRATE PROCESSING APPARATUS | 2 |
Nobuaki Kanaoka | JP | Toyonaka-Shi | 2010-04-08 / 20100087297 - DUMBBELL | 1 |
Shinichiro Kanaoka | JP | Hyogo | 2013-02-28 / 20130051027 - LINEAR LIGHT SOURCE APPARATUS | 1 |
Taku Kanaoka | JP | Kanagawa | 2015-07-23 / 20150206767 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Shigeru Kanaoka | JP | Shizuoka | 2009-11-26 / 20090291447 - Method of detecting colon cancer marker | 2 |
Yukio Kanaoka | JP | Osaka | 2015-01-22 / 20150022613 - IMAGE FORMING APPARATUS | 2 |
Yoshitomo Kanaoka | JP | Kashiwa-Shi | 2011-09-15 / 20110223287 - METHOD FOR PRODUCING YEAST WITH HIGH GLUTAMIC ACID CONTENT | 1 |
Katsuya Kanaoka | JP | Shiga | 2015-04-23 / 20150108282 - FLOATING MOBILE OBJECT AND FLOATING MOBILE SYSTEM USING THE SAME | 2 |
Akihiro Kanaoka | JP | Kanagawa | 2009-01-08 / 20090010630 - Camera System and Method of Correcting Camera Fitting Errors | 1 |
Shoji Kanaoka | JP | Osaka | / - | 1 |
Yukio Kanaoka | JP | Nara-Shi | 2009-06-04 / 20090141952 - Electronic seal apparatus, electronic seal system, and method for controlling the electronic seal apparatus | 1 |
Kohei Kanaoka | JP | Tokyo | 2015-12-10 / 20150352666 - MACHINING DEVICE AND MACHINING METHOD | 1 |
Nagayuki Kanaoka | JP | Wako | 2014-06-05 / 20140154606 - FUEL CELL | 1 |
Yoji Kanaoka | JP | Wako-Shi | 2016-02-04 / 20160031307 - BATTERY HOLDING STRUCTURE FOR VEHICLE | 1 |
Masaru Kanaoka | JP | Tokyo | 2014-09-18 / 20140277455 - LASER PROCESSING APPARATUS, OSSEOINTEGRATION METHOD, IMPLANT MATERIAL, AND IMPLANT-MATERIAL FABRICATION METHOD | 2 |
Akihiro Kanaoka | JP | Hadano-Shi | 2009-05-21 / 20090128630 - VEHICLE IMAGE DISPLAY SYSTEM AND IMAGE DISPLAY METHOD | 2 |
Hideaki Kanaoka | JP | Itami-Shi | 2015-12-03 / 20150345013 - SURFACE COATED MEMBER AND METHOD FOR MANUFACTURING SAME | 15 |
Hideaki Kanaoka | JP | Itami-Shi | 2015-12-03 / 20150345013 - SURFACE COATED MEMBER AND METHOD FOR MANUFACTURING SAME | 15 |
Masashi Kanaoka | JP | Kyoto | 2014-05-01 / 20140120477 - SUBSTRATE PROCESSING APPARATUS | 9 |
Yumi Kanaoka | JP | Tokyo | 2013-05-02 / 20130109273 - TOY VEHICLE | 1 |
Nagayuki Kanaoka | JP | Saitama | 2010-07-01 / 20100167161 - ELECTRODE ELECTROLYTE FOR POLYMER-TYPE FUEL CELL, AND USE THEREOF | 3 |
Nagayuki Kanaoka | JP | Wako-Shi | 2012-02-16 / 20120040271 - ELECTRODE ELECTROLYTE FOR SOLID POLYMER-TYPE FUEL CELL | 2 |
Ramesh R. Kanaparthi | SG | Maysprings | 2010-02-25 / 20100048936 - PROCESS FOR THE PREPARATION OF NITROGEN-CONTAINING COMPOUNDS | 1 |
Gautam Kanaparthi | US | Mountain View | 2015-05-28 / 20150149768 - SYSTEM AND METHOD FOR AUTOMATED CUSTOMER VERIFICATION | 1 |
Shrikant Kanaparti | IN | Karnataka | 2009-10-08 / 20090254980 - METHOD OF PROVIDING ACCESS RIGHTS BASED ON DEVICE PROXIMITY AND CENTRAL ACCESS DEVICE USED FOR THE METHOD | 1 |
Pradeep Kanapathipillai | US | Santa Clara | 2015-12-17 / 20150362978 - HIERARCHICAL CLOCK CONTROL USING HYSTERISIS AND THRESHOLD MANAGEMENT | 11 |
Pradeep Kanapathipillai | US | Santa Clara | 2015-12-17 / 20150362978 - HIERARCHICAL CLOCK CONTROL USING HYSTERISIS AND THRESHOLD MANAGEMENT | 11 |
Joseph Daniel Kanapka | US | Cambridge | 2013-09-19 / 20130246025 - SYSTEM AND METHOD OF GENERATING EQUATION-LEVEL DIAGNOSTIC ERROR MESSAGES FOR USE IN CIRCUIT SIMULATION | 2 |
Brian Keith Kanapkey | US | Chapel Hill | 2012-08-09 / 20120203058 - MOTION ACTIVATED ELECTRONIC THERAPEUTIC CUE DEVICE AND METHOD | 1 |
Rajesh Kanapur | US | Ypsilanti | 2011-03-17 / 20110066503 - System and Method for Transferring Digital Media | 2 |
Jordan Kanarck | US | San Francisco | 2011-04-21 / 20110090402 - METHOD AND SYSTEM TO NAVIGATE VIEWABLE CONTENT | 1 |
Howard M. Kanare | US | Wilmette | 2009-04-23 / 20090100926 - Moisture Vapor Probe | 1 |
Jordan Kanarek | US | Brookline | 2014-07-17 / 20140201791 - ON-SCREEN PROGRAM GUIDE WITH INTERACTIVE PROGRAMMING RECOMMENDATIONS | 3 |
Naama Kanarek | US | Brighton | 2015-05-14 / 20150133315 - CELL-BASED GENOMIC RECORDED ACCUMULATIVE MEMORY | 1 |
Jordan Kanarek | US | San Francisco | 2014-08-28 / 20140245357 - METHOD AND SYSTEM TO NAVIGATE VIEWABLE CONTENT | 2 |
Alexey Dmitrievich Kanareykin | RU | Saint-Petersburg | 2010-05-13 / 20100120606 - LOW DIELECTRIC LOSS CERAMIC FERROELECTRIC COMPOSITE MATERIAL | 1 |
Masao Kanari | JP | Kawasaki-Shi | 2015-03-19 / 20150075710 - LIQUID OPTICALLY CLEAR PHOTO-CURABLE ADHESIVE | 2 |
Hiroyuki Kanari | JP | Fukushima-Ken | 2011-08-04 / 20110188918 - Mechanical pencil | 1 |
Kenji Kanari | JP | Numazu-Shi | 2015-02-12 / 20150043935 - IMAGE FORMING APPARATUS | 16 |
Yasuhiko Kanari | JP | Kasumigaura-Shi | 2016-01-07 / 20160002882 - Device and Method for Calculating Basic Information for Area Limiting Excavation Control, and Construction Machinery | 1 |
Yasuaki Kanari | JP | Shizuoka | 2015-07-09 / 20150195101 - COMMUNICATION METHOD AND COMMUNICATION APPARATUS | 1 |
Itamar Kanari | IL | Kefar Sava | 2009-02-19 / 20090048317 - Formulations of candesartan | 3 |
Takashi Kanari | JP | Iwaki | 2013-05-23 / 20130126263 - ON-VEHICLE ACOUSTIC DEVICE AND METHOD OF ASSEMBLING THE SAME | 1 |
Katsunao Kanari | JP | Kawasaki | 2012-10-04 / 20120249181 - LATCH CIRCUIT AND CLOCK CONTROL CIRCUIT | 5 |
Kenji Kanari | JP | Numazu-Shi | 2015-02-12 / 20150043935 - IMAGE FORMING APPARATUS | 16 |
Yuzo Kanari | JP | Aichi | 2012-09-20 / 20120236237 - DISPLAY DEVICE AND LIGHT BARRIER ELEMENT | 1 |
Keren Jacobs Kanarik | US | Folsom | 2016-04-07 / 20160099133 - INERT-DOMINANT PULSING IN PLASMA PROCESSING SYSTEMS | 2 |
Keren Jacobs Kanarik | US | Los Altos | 2013-07-04 / 20130168354 - MIXED MODE PULSING ETCHING IN PLASMA PROCESSING SYSTEMS | 3 |
Keren Jacobs Kanarik | US | Sunnyvale | 2015-01-22 / 20150020971 - MIXED MODE PULSING ETCHING IN PLASMA PROCESSING SYSTEMS | 3 |
Keren J. Kanarik | US | Folsom | 2010-01-28 / 20100022033 - PROCESS FOR WAFER TEMPERATURE VERIFICATION IN ETCH TOOLS | 1 |
Alexander D. Kanaris | CA | Richmond Hill | 2010-11-25 / 20100294627 - CONVEYOR DRIVE ROLLER | 2 |
Alexander Kanaris | CA | Richmond Hill | 2011-05-12 / 20110111127 - METHOD FOR BONDING A COATING ON A ROLLER | 1 |
Alexander Kanaris | US | San Gabriel | 2010-12-30 / 20100332723 - Memory Device and Method for Embedding Host-Identification Information into Content | 1 |
Alex Kanaris | US | San Jose | 2011-08-25 / 20110205382 - SYSTEMS AND METHODS FOR EFFICIENTLY CODING AND PROCESSING IMAGE DATA | 1 |
Alexander D. Kanaris | CA | Brampton | 2015-12-17 / 20150360879 - Conveyor Drive Roller With Cooling Means | 1 |
Alexander Kanaris | US | San Jose | 2016-03-03 / 20160058367 - CONTEXT-AWARE HEART RATE ESTIMATION | 4 |
Viktor Kanarov | US | Bellmore | 2016-03-10 / 20160071708 - METHOD AND APPARATUS FOR SURFACE PROCESSING OF A SUBSTRATE USING AN ENERGETIC PARTICLE BEAM | 5 |
Maxim Kanarsky | US | Solon | 2009-10-08 / 20090254155 - THERAPEUTIC EMITTER RETAINING DEVICE | 1 |
Albert Vladimirovich Kanarsky | RU | Voljzsk | 2010-07-29 / 20100189856 - Compositions and methods for decontamination of animal feed containing mycotoxins typical for both Northern and Southern climates | 1 |
Thomas Safron Kanarsky | US | Hopewell Junction | 2011-12-29 / 20110316081 - finFETS AND METHODS OF MAKING SAME | 3 |
Albert Vladimirovich Kanarsky | RU | Volzhsk | 2012-03-22 / 20120070516 - Mycotoxin binding food and feed additives and processing aids, fungistatic and bacteriostatic plant protecting agents and methods of utilizing the same | 2 |
Thomas S. Kanarsky | US | Hopewell Junction | 2011-07-28 / 20110183486 - TRANSISTOR HAVING V-SHAPED EMBEDDED STRESSOR | 5 |
Takeshi Kanasaki | JP | Kanagawa-Ken | 2010-07-01 / 20100168497 - CHEMICAL DECONTAMINATION APPARATUS AND DECONTAMINATION METHOD THEREIN | 2 |
Hiroshi Kanasaki | JP | Hyogo | 2008-12-18 / 20080308198 - Austenitic Stainless Steel, Manufacturing Method for the Same, and Structure Using the Same | 1 |
Yoshihiro Kanasaki | JP | Miyagi | 2011-09-29 / 20110234462 - PORTABLE RADIO APPARATUS | 1 |
Katsumi Kanasaki | JP | Tokyo | 2010-10-21 / 20100268770 - SERVICE PROVIDING METHOD, SERVICE PROVIDER APPARATUS, INFORMATION PROCESSING METHOD AND APPARATUS AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Ryuichi Kanasaki | JP | Tokyo | 2011-01-27 / 20110020872 - MICROORGANISM PRODUCING CYCLIC COMPOUND | 2 |
Seiji Kanasaki | JP | Tokyo | 2013-10-24 / 20130276530 - WATER LEVEL MEASURING SYSTEM AND NON-CONDENSABLE GAS DISCHARGE DEVICE FOR SAME | 1 |
Hiroshi Kanasaki | JP | Kawasaki | 2016-04-07 / 20160099128 - X-RAY TUBE | 1 |
Hiroshi Kanasaki | JP | Tokyo | 2015-06-04 / 20150151405 - METHOD OF PRE-EVALUATING WATER JET PEENING, RECORDING MEDIUM HAVING PROGRAM RECORDED THEREON TO EXECUTE THE METHOD, DEVICE FOR EXECUTING THE METHOD, AND WATER JET PEENING METHOD | 2 |
Hironori Kanasaki | JP | Toyota-Shi | 2014-01-02 / 20140005896 - GRILLE SHUTTER CONTROL DEVICE | 2 |
Yoshihiro Kanasaki | JP | Ishikawa | 2014-11-06 / 20140329569 - PORTABLE WIRELESS DEVICE | 5 |
Katsumi Kanasaki | JP | Kawasaki | 2009-10-01 / 20090244852 - HEAT RADIATOR | 2 |
Yoshihiro Kanasaki | JP | Sendai-Shi | 2010-09-09 / 20100227657 - WIRELESS COMMUNICATION DEVICE | 2 |
Tadashi Kanasaku | JP | Ome-Shi | 2013-07-04 / 20130167923 - SOLAR CELL ELEMENT AND METHOD FOR MANUFACTURING SAME | 1 |
Tadashi Kanasaku | JP | Tosu-Shi | / - | 1 |
Tadashi Kanasaku | JP | Tokyo | 2015-05-07 / 20150122326 - SOLAR CELL DEVICE AND MANUFACTURING METHOD THEREFOR | 4 |
Harumi Kanashiki | JP | Tokyo | 2011-04-14 / 20110086820 - ANALGESIC AGENT COMPRISING CYCLIC PHOSPHATIDIC ACID DERIVATIVE | 2 |
Motohito Kanashima | JP | Ibaraki | 2008-12-25 / 20080318226 - Signal Amplification Method | 1 |
Motohito Kanashima | JP | Kanagawa | 2011-07-14 / 20110171639 - POLYMER FOR DETECTION OF TARGET SUBSTANCE, AND METHOD FOR DETECTION OF TARGET SUBSTANCE | 1 |
Mangesh Kanaskar | US | Mableton | 2009-01-29 / 20090031307 - MANAGING A VIRTUAL MACHINE | 1 |
Yuichiro Kanasugi | JP | Tokyo | 2015-12-10 / 20150352836 - IMAGE-RECORDING METHOD | 11 |
Katsumi Kanasugi | JP | Haga-Gun | / - | 1 |
Satoshi Kanasugi | JP | Tokyo | 2012-05-10 / 20120114901 - COVER GLASS FOR FLAT PANEL DISPLAYS AND METHOD FOR PRODUCING THE SAME | 1 |
Katsumi Kanasugi | JP | Wako-Shi | 2014-12-04 / 20140357212 - FREQUENCY CONTROL APPARATUS | 2 |
Katsumi Kanasugi | JP | Kawaguchi-Shi | 2012-08-02 / 20120194125 - CONTACTLESS POWER TRANSMISSION DEVICE | 1 |
Yuichiro Kanasugi | JP | Kawasaki-Shi | 2010-04-15 / 20100094024 - ACTIVE ENERGY RAY CURABLE LIQUID COMPOSITION AND LIQUID CARTRIDGE | 2 |
Hiroshi Kanasugi | JP | Tokyo | 2010-02-18 / 20100042878 - TEST APPARATUS AND TEST METHOD | 1 |
Hideaki Kanasugi | JP | Toyota-Shi | 2016-05-12 / 20160129772 - VEHICLE PRESS DOOR STRUCTURE AND DOOR GLASS RUN | 1 |
Masami Kanasugi | JP | Kawasaki | 2008-09-18 / 20080229135 - SEMICONDUCTOR INTEGRATED CIRCUIT, MEMORY SYSTEM, MEMORY CONTROLLER AND MEMORY CONTROL METHOD | 1 |
Tadahisa Kanasugi | JP | Tokyo | 2016-03-03 / 20160060164 - CHEMICALLY TEMPERED GLASS PLATE | 1 |
Yuichiro Kanasugi | JP | Tokyo | 2015-12-10 / 20150352836 - IMAGE-RECORDING METHOD | 11 |
Ashley Anne Kanata | US | San Mateo | 2013-06-27 / 20130164260 - ANTIVIRAL COMPOUNDS | 1 |
Mitsuhisa Kanata | JP | Sakai-Shi | 2013-09-19 / 20130240066 - Device for Supplying Fuel to Engine | 1 |
Atsushi Kanata | JP | Osaka | 2008-10-02 / 20080240637 - Rolling bearing device | 1 |
Yoshio Kanata | JP | Nara | 2011-11-03 / 20110269002 - POWER SUPPLY APPARATUS | 3 |
Hiroyuki Kanata | JP | Kawasaki | 2008-08-28 / 20080206948 - Semiconductor device and method of fabricating the same | 2 |
Ryosuke Kanata | JP | Kyoto-Shi | 2009-06-25 / 20090163282 - COMPUTER-READABLE STORAGE MEDIUM STORING GAME PROGRAM, AND GAME APPARATUS | 1 |
Norikazu Kanatake | JP | Okazaki-City | 2010-03-11 / 20100060254 - DC-DC converter | 1 |
Yusuke Kanatake | JP | Tokyo | 2015-03-26 / 20150085631 - TRACKING CONTROL METHOD, TRACKING CONTROL DEVICE, AND OPTICAL DISC DEVICE | 5 |
Mitsuhito Kanatake | JP | Kanagawa | 2012-05-03 / 20120104636 - METHOD OF MANUFACTURING AN OPTICALLY COUPLED DEVICE | 2 |
Yasuhiro Kanatani | JP | Saitama | 2010-04-15 / 20100093660 - ANTI-TUMOR COMPOSITION COMPRISING TISSUE-ACCUMULATING CHITOSAN GEL | 2 |
Yohei Kanatani | JP | Toyama | 2013-06-06 / 20130140184 - ANODIZED MEMBER AND METHOD FOR SEALING ANODIC OXIDE COATING | 1 |
Minoru Kanatani | JP | Ibaraki-Shi | 2014-05-22 / 20140141237 - TRANSPARENT CONDUCTIVE FILM | 2 |
Akio Kanatani | JP | Ushiku-Shi | 2010-08-19 / 20100210637 - HETEROARYLOXY NITROGENOUS SATURATED HETEROCYCLIC DERIVATIVE | 3 |
Akio Kanatani | JP | Ibaraki | 2010-07-22 / 20100184648 - Therapeutic agent for non-alcoholic fatty liver disease, and screening method for drug candidate compound for treatment or prevention of non-alcoholic fatty liver disease | 6 |
Akio Kanatani | JP | Tsukuba-Shi | 2010-02-25 / 20100048600 - NOVEL BENZIMIDAZOLE DERIVATIVES | 2 |
Shinobu Kanatani | JP | Atsugi-Shi | 2011-10-06 / 20110242321 - IMAGING APPARATUS | 2 |
Ryogo Kanatani | JP | Tokyo | 2013-06-20 / 20130159326 - SOLUTION MONITORING SYSTEM | 1 |
Hideyuki Kanatani | JP | Fukui | 2015-08-06 / 20150219922 - SPECTACLE FRAME | 1 |
Hiroyuki Kanatani | JP | Ibaraki | 2015-10-22 / 20150296809 - PIZZA DOUGH AND METHOD FOR PRODUCING THE SAME | 2 |
Yohei Kanatani | JP | Chiryu-Shi | 2015-06-04 / 20150151699 - SHOCK ABSORBING MEMBER | 1 |
Masaki Kanatani | JP | Okazaki-Shi | 2010-06-17 / 20100152970 - Height controlling apparatus | 1 |
Hiroyuki Kanatani | JP | Tsukubamirai-Shi | 2009-12-17 / 20090311411 - MANNOOLIGOSACCHARIDE-CONTAINING FOOD COMPOSITIONS | 1 |
Kunimichi Kanatani | JP | Osaka | 2011-05-05 / 20110101857 - ORGANIC EL DISPLAY AND METHOD OF MANUFACTURING THE SAME | 1 |
Shinobu Kanatani | JP | Kanagawa | 2010-10-14 / 20100259616 - Image Pickup Apparatus, On-Vehicle Image Pickup Apparatus, Method And Apparatus For Manufacturing Image Pickup Apparatus | 1 |
Shuji Kanatani | JP | Osaka | 2010-09-02 / 20100221197 - ANTIMICROBIAL AGENT AND EXTERNAL PREPARATION FOR SKIN CONTAINING THE SAME | 1 |
Yasukazu Kanatani | JP | Tokyo | 2009-12-24 / 20090316379 - Terminal Sealing Apparatus | 1 |
Tadayuki Kanatani | JP | Kyoto | 2014-06-05 / 20140152075 - WHEEL UNIT | 2 |
Shoji Kanatani | JP | Toyohashi-Shi | 2009-01-29 / 20090029616 - REVERSIBLY BREATHABLE WOVEN FABRIC AND PROCESS FOR PRODUCTION THEREOF | 1 |
Ryogo Kanatani | US | Redmond | 2016-03-10 / 20160070687 - CREATING AN ANNOTATION PANE FOR A DOCUMENT BY AUGMENTING THE DOCUMENT | 1 |
Kazushi Kanatani | JP | Gunma | 2008-12-25 / 20080318258 - Method for Measuring Cholesterol in Remnant-Like Lipoprotein | 1 |
Shouji Kanatani | JP | Osaka-Shi | 2008-10-30 / 20080268217 - CLOTH HAVING PARTIALLY DIFFERENT CLOTH-STRETCHABILITY AND METHOD OF MANUFACTURING THEREOF | 1 |
Toshiki Kanatani | JP | Osaka-Shi | 2015-07-02 / 20150186037 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING DEVICE CONTROL METHOD, CONTROL PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Ryo Kanatsu | JP | Tokyo | 2010-07-22 / 20100184328 - Microphone Output Connector | 1 |
Tomotoshi Kanatsu | JP | Tokyo | 2014-01-23 / 20140023272 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND STORAGE MEDIUM | 15 |
Tomotoshi Kanatsu | JP | Tokyo | 2014-01-23 / 20140023272 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND STORAGE MEDIUM | 15 |
Yasuaki Kanatsugu | JP | Tokyo | 2014-10-09 / 20140304732 - BROADCAST EQUIPMENT | 6 |
Yasuaki Kanatsugu | JP | Setagaya-Ku | 2014-03-27 / 20140090005 - INTEGRATED BROADCASTING COMMUNICATIONS RECEIVER | 3 |
Mercouri G. Kanatzidis | US | Wilmette | 2016-04-14 / 20160102248 - PHOTOLUMINESCENT COMPOUNDS | 22 |
Mercouri Kanatzidis | US | Wilmette | 2014-10-16 / 20140306108 - METHOD OF COLLECTING AND PROCESSING ELECTRON DIFFRACTION DATA | 2 |
Mercouri G. Kanatzidis | US | Wilmette | 2016-04-14 / 20160102248 - PHOTOLUMINESCENT COMPOUNDS | 22 |
Tomohiro Kanauchi | JP | Tokyo | 2014-04-17 / 20140106679 - WIRELESS COMMUNICATION SYSTEM, RECEIVER | 2 |
Masashi Kanauchi | JP | Kanagawa | 2012-04-19 / 20120094667 - MOBILE COMMUNICATION METHOD, MOBILE STATION, AND SWITCHING CENTER | 17 |
Masashi Kanauchi | JP | Tokyo | 2015-11-05 / 20150319736 - USER EQUIPMENT WITH TIMER CONTROLLER | 3 |
Masashi Kanauchi | JP | Chiyoda-Ku | 2015-06-25 / 20150181510 - RADIO ACCESS SYSTEM AND PORTABLE TERMINAL DEVICE | 7 |
Osamu Kanauchi | JP | Gunma | 2012-06-07 / 20120141455 - INSOLUBLE DIETARY FIBER-CONTAINING MATERIALS DERIVED FROM CEREAL SEEDS | 1 |
Masashi Kanauchi | JP | Kanagawa | 2012-04-19 / 20120094667 - MOBILE COMMUNICATION METHOD, MOBILE STATION, AND SWITCHING CENTER | 17 |
Shigeru Kanauchi | JP | Tokyo | 2015-12-10 / 20150352457 - COMBINABLE TRANSFORMABLE TOY | 1 |
Katsuhiro Kanauchi | JP | Yonezawa-Shi | 2015-09-24 / 20150270316 - LIGHT EMITTING APPARATUS | 5 |
Masashi Kanauchi | JP | Yokosuka-Shi | 2012-10-04 / 20120250626 - MOBILE STATION | 6 |
Osamu Kanauchi | JP | Yokohama-Shi | 2011-10-27 / 20110262571 - IRRITABLE BOWEL SYNDROME INHIBITING SUBSTANCE CONTAINING INSOLUBLE DIETARY FIBER FROM SEED OF GRAIN PLANT | 1 |
Shizu Kanauchi | JP | Kanagawa | 2014-03-27 / 20140089656 - DATA PROCESSING APPARATUS AND COMPUTER-READABLE RECORDING MEDIUM | 5 |
Katsuhiro Kanauchi | JP | Yamagata | 2010-01-28 / 20100020061 - DISPLAY DEVICE AND METHOD OF DRIVING THE DISPLAY DEVICE | 1 |
Shizu Kanauchi | JP | Yokohama-Shi | 2009-08-27 / 20090217360 - Data Search System, data serach method, and recording medium storing data search program | 1 |
Atul Kanaujia | US | South San Francisco | 2015-10-01 / 20150279182 - COMPLEX EVENT RECOGNITION IN A SENSOR NETWORK | 1 |
Anil Kanaujia | IN | Uttar Pradesh | 2010-05-13 / 20100120902 - STANDARIZED BIOACTIVE HERBAL EXTRACTS | 1 |
Atul Kanaujia | US | Piscataway | 2013-01-24 / 20130022263 - System and Method for Detecting and Tracking Features in Images | 1 |
Ganga Kanaujia | US | Bradenton | 2009-11-26 / 20090289201 - COMBINED VISUAL/FLUORESCENCE ANALYTE DETECTION TEST | 1 |
Atul Kanaujia | US | Herndon | 2015-06-25 / 20150178554 - SYSTEM AND METHOD FOR IDENTIFYING FACES IN UNCONSTRAINED MEDIA | 2 |
Ganga K. Kanaujia | US | San Antonio | 2013-07-25 / 20130189709 - Multiplanar Lateral Flow Assay with Sample Compressor | 1 |
Ganga V. Kanaujia | US | Bradenton | 2011-06-09 / 20110136258 - Multiplanar Lateral Flow Assay with Sample Compressor | 1 |
Vishal Kanaujia | IN | Bangalore | 2015-09-17 / 20150261465 - SYSTEMS AND METHODS FOR STORAGE AGGREGATES AND INFINITE STORAGE VOLUMES | 1 |
Anil Kanaujia | IN | Kanpur Nagar | 2012-05-03 / 20120107424 - ANTI DENGUE ACTIVITY OF CISSAMPELOS PAREIRA EXTRACTS | 1 |
Ganga V. Kanaujia | US | San Antonio | 2014-07-17 / 20140199710 - MULTIPLANAR LATERAL FLOW ASSAY WITH SAMPLE COMPRESSOR | 1 |
Parijat Kanaujia | IN | Bangalore | 2010-10-07 / 20100255131 - STABLE HYDROPHOBIC TOPICAL HERBAL FORMULATION | 1 |
Tomoyuki Kanaumi | JP | Tokyo | 2009-04-23 / 20090102497 - PUSHER, PUSHER UNIT AND SEMICONDUCTOR TESTING APPARATUS | 1 |
Yoshihiko Kanaumi | JP | Tokyo | 2016-02-18 / 20160050654 - CONTROL APPARATUS, COMMUNICATION SYSTEM, CONTROL INFORMATION TRANSMISSION METHOD AND PROGRAM | 1 |
Eiichi Kanaumi | JP | Chikushino-Shi, Fukuoka | 2016-03-10 / 20160072026 - LIGHT EMITTING DEVICE UTILIZING SEMICONDUCTOR AND MANUFACTURING METHOD OF THE SAME | 1 |
Stanley Chester Kanavage | US | Bogart | 2008-08-28 / 20080201828 - Protective garment for sporting activities | 1 |
Stanley C. Kanavage | US | Gogart | 2010-09-23 / 20100235958 - Protective wrist guard for sporting activities | 1 |
Stanley C. Kanavage | US | Bogart | 2010-11-18 / 20100287690 - Compression garment combined with a customer fitted protective athletic shield | 1 |
Dinesh Kanawade | US | Sunnyvale | 2015-05-14 / 20150131698 - LOW TEMPERATURE RTP CONTROL USING IR CAMERA | 8 |
Sandeep T. Kanawade | IN | Pune/maharastra | 2010-05-06 / 20100113783 - PROCESS FOR THE PREPARATION OF CRYSTALS OF PRULIFLOXACIN | 1 |
Sandeep Thakaji Kanawade | IN | Ahmednagar | 2014-11-27 / 20140350023 - AMORPHOUS FORM OF SITAGLIPTIN SALTS | 1 |
Dinesh Kanawade | US | San Jose | 2014-09-18 / 20140271055 - SUBSTRATE DEPOSITION SYSTEMS, ROBOT TRANSFER APPARATUS, AND METHODS FOR ELECTRONIC DEVICE MANUFACTURING | 1 |
Dinesh Kanawade | US | Sunnyvale | 2015-05-14 / 20150131698 - LOW TEMPERATURE RTP CONTROL USING IR CAMERA | 8 |
Masahito Kanaya | JP | Ota-Shi | 2014-01-09 / 20140010384 - MICROPHONE AMPLIFIER CIRCUIT | 3 |
Fumiya Kanaya | JP | Tokyo | 2013-03-14 / 20130064094 - DATA COMMUNICATION DEVICE | 1 |
Minharu Kanaya | JP | Azumino-Shi | 2015-04-09 / 20150097893 - BLACK INK COMPOSITION | 1 |
Munehide Kanaya | JP | Nagano-Ken | 2011-05-05 / 20110100118 - Detector of liquid consumption condition | 3 |
Koichi Kanaya | JP | Fukushima | 2009-10-08 / 20090252942 - Method for Manufacturing Epitaxial Wafer and Epitaxial Wafer | 2 |
Hideharu Kanaya | JP | Kawasaki | 2010-09-09 / 20100229034 - CLOCK SUPPLY METHOD AND INFORMATION PROCESSING APPARATUS | 1 |
Tadashi Kanaya | JP | Osaka | 2013-04-11 / 20130089531 - METHOD FOR INHIBITION OF BLOOD PHOSPHORUS LEVEL ELEVATION | 4 |
Atsushi Kanaya | JP | Kanagawa | 2014-06-26 / 20140177012 - IMAGE READING APPARATUS AND IMAGE FORMING APPARATUS | 8 |
Munehide Kanaya | JP | Nagano | 2015-07-30 / 20150210081 - LIQUID SUPPLYING APPARATUS, LIQUID EJECTING APPARATUS, AND LIQUID CONTAINER UNIT | 2 |
Kohei Kanaya | JP | Utsunomiya-Shi | 2010-07-08 / 20100170879 - SEAM WELDING METHOD AND SEAM WELDING APPARATUS | 1 |
Atsushi Kanaya | JP | Kanagawa | 2014-06-26 / 20140177012 - IMAGE READING APPARATUS AND IMAGE FORMING APPARATUS | 8 |
Yasuhiko Kanaya | JP | Ebina-Shi | 2012-02-09 / 20120031147 - Method and Apparatus for Machining Thin-Film Layer of Workpiece | 3 |
Yohsuke Kanaya | JP | Niigata | 2010-07-01 / 20100167904 - POLARIZED GLASS AND METHOD FOR MANUFACTURING THE POLARIZED GLASS | 1 |
Miharu Kanaya | JP | Nagano-Ken | 2013-09-12 / 20130235117 - Ink Composition, Recording Method Using the Same, and Recorded Matter | 4 |
Tutomu Kanaya | JP | Osaka | 2014-01-09 / 20140008260 - Case and Protective Film for Mobile Electronic Device | 1 |
Yasuo Kanaya | JP | Machida-Shi | 2012-12-27 / 20120324993 - Transducer Assembly For A Downhole Tools | 2 |
Munehide Kanaya | JP | Suwa-Shi | 2009-01-08 / 20090008817 - Liquid-supplying member, liquid-ejecting apparatus, attaching method, liquid delivery tube, and liquid delivery tube production method | 2 |
Kento Kanaya | JP | Takasago-Shi | 2014-06-26 / 20140179657 - METHOD FOR PRODUCING CAROTENOID COMPOSITION | 5 |
Kentaro Kanaya | JP | Hitachinaka-Shi | 2010-09-23 / 20100236082 - TWO-STROKE ENGINE AND MOTORIZED IMPLEMENT EQUIPPED WITH THE TWO-STROKE ENGINE | 1 |
Atsushi Kanaya | JP | Yokohama-Shi | 2010-09-09 / 20100225045 - Document feeding device, image forming apparatus including same, and control method for the document feeding device | 1 |
Hideaki Kanaya | JP | Tokyo | 2015-08-20 / 20150234316 - IMAGE FORMING APPARATUS INCORPORATING CONTROLLER FOR DETERMINING EXPOSURE USED FOR IMAGE FORMATION AND IMAGE FORMING METHOD FOR DETERMINING EXPOSURE USED FOR IMAGE FORMATION | 4 |
Munehide Kanaya | JP | Azumino-Shi | 2015-10-08 / 20150283816 - RECORDING APPARATUS | 13 |
Kazuhisa Kanaya | JP | Kanagawa | 2016-04-07 / 20160099123 - SWITCHGEAR OPERATING MECHANISM | 1 |
Hiroyuki Kanaya | KR | Seoul | 2016-03-10 / 20160072045 - MAGNETIC MEMORY AND METHOD FOR MANUFACTURING THE SAME | 5 |
Munehide Kanaya | JP | Azumino, Nagano | 2016-02-25 / 20160052286 - LIQUID CONTAINER, LIQUID CONTAINER UNIT, LIQUID EJECTION SYSTEM AND LIQUID EJECTION APPARATUS | 3 |
Hiroyuki Kanaya | JP | Kanagawa-Ken | 2014-04-10 / 20140097477 - MAGNETIC RANDOM ACCESS MEMORY AND A METHOD OF FABRICATING THE SAME | 6 |
Kentarou Kanaya | JP | Ibaraki | 2013-06-27 / 20130160740 - ENGINE AND ENGINE OPERATING MACHINE INCLUDING THE SAME | 1 |
Shingo Kanaya | JP | Kahoku-Shi | 2014-03-20 / 20140079460 - MEDIUM CONVEYANCE APPARATUS | 2 |
Hitoshi Kanaya | JP | Tokyo | 2013-07-11 / 20130177169 - SPEAKER SYSTEM | 1 |
Miharu Kanaya | JP | Nagano | 2010-07-29 / 20100186625 - Ink set, and recording method and recorded matter using the same | 1 |
Hiroki Kanaya | JP | Fukuoka | 2011-07-07 / 20110164350 - CONTROL UNIT SIDE BY SIDE | 1 |
Hidekazu Kanaya | JP | Kanagawa | 2010-05-13 / 20100122006 - INTERRUPT DETECTION APPARATUS AND INFORMATION PROCESSING SYSTEM | 1 |
Etsumi Kanaya | JP | Tokyo | 2011-10-13 / 20110252379 - MOBILE TERMINAL DEVICE AND PROGRAM SELECTION METHOD | 2 |
Hiroaki Kanaya | JP | Tokyo | 2015-09-24 / 20150269925 - VEHICLE APPROACH NOTIFICATION APPARATUS | 2 |
Hiroki Kanaya | JP | Tochigi | 2010-07-29 / 20100187001 - NOVEL POLYIMIDE RESIN AND PHOTOSENSITIVE POLYIMIDE RESIN COMPOSITION | 1 |
Shigenori Kanaya | JP | Suita-Shi | 2011-06-16 / 20110143421 - NOVEL PROTEASE AND USE THEREOF | 1 |
Manabu Kanaya | JP | Yokohama | 2013-12-19 / 20130339718 - INFORMATION PROCESSING DEVICE AND METHOD FOR CONTROLLING INFORMATION PROCESSING DEVICE | 1 |
Masanobu Kanaya | JP | Kanagawa | 2014-03-13 / 20140070765 - CHARGING APPARATUS | 1 |
Yuichi Kanaya | JP | Tokyo | 2016-03-24 / 20160081891 - OIL-IN-WATER EMULSION COSMETIC AND METHOD FOR PRODUCING SAME | 1 |
Nobuhiro Kanaya | JP | Matsumoto-Shi | 2014-06-19 / 20140170322 - TEXTILE PRINTING APPARATUS AND TEXTILE PRINTING METHOD | 3 |
Daizo Kanaya | JP | Toyokawa-Shi | 2012-12-20 / 20120318164 - BOGIE FRAME FOR RAILROAD VEHICLE | 1 |
Takayasu Kanaya | JP | Tokyo | 2014-10-02 / 20140293473 - THIN FILM MAGNETIC HEAD WITH SIDE LAYERS UNDER COMPRESSION STRESS | 18 |
Tomoko Kanaya | JP | Shiga | 2009-01-29 / 20090027590 - White film and backlight using same | 1 |
Tomohiro Kanaya | JP | Komaki-Shi | 2013-10-24 / 20130277900 - LIQUID-FILLED VIBRATION DAMPING DEVICE | 1 |
Munehide Kanaya | JP | Azumino | 2015-04-23 / 20150109388 - LIQUID EJECTING SYSTEM | 2 |
Mitsuhiro Kanaya | JP | Tokyo | 2014-05-15 / 20140132705 - IMAGE GENERATION METHOD, IMAGE DISPLAY METHOD, STORAGE MEDIUM STORING IMAGE GENERATION PROGRAM, IMAGE GENERATION SYSTEM, AND IMAGE DISPLAY DEVICE | 1 |
Miharu Kanaya | JP | Azumino-Shi | 2015-12-17 / 20150360471 - LIQUID EJECTING APPARATUS, CONTROL METHOD OF LIQUID EJECTING HEAD, AND CONTROL METHOD OF LIQUID EJECTING APPARATUS | 13 |
Yasuhiro Kanaya | JP | Tokyo | 2016-03-10 / 20160071884 - DISPLAY DEVICE | 11 |
Osamu Kanaya | JP | Tokyo | 2012-04-26 / 20120097748 - AIR-CONDITIONING APPARATUS | 1 |
Ko Kanaya | JP | Tokyo | 2015-11-26 / 20150341000 - LINEARIZER | 14 |
Kazuhiro Kanaya | JP | Kurokawa-Gun | 2012-10-04 / 20120248067 - PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Hiroyuki Kanaya | JP | Yokohama-Shi | 2013-01-17 / 20130015541 - SEMICONDUCTOR STORAGE DEVICE AND MANUFACTURING METHOD THEREOFAANM KANAYA; HiroyukiAACI Yokohama-shiAACO JPAAGP KANAYA; Hiroyuki Yokohama-shi JP | 14 |
Kasuhisa Kanaya | JP | Yokohama | 2015-07-23 / 20150206683 - SWITCHGEAR | 1 |
Hitoshi Kanaya | JP | Yokohama-Shi | 2013-06-06 / 20130142373 - ARRAY SPEAKER SYSTEM | 1 |
Hiroko Kanaya | JP | Niihama-Shi | 2009-12-03 / 20090296024 - LIGHT DIFFUSER PLATE WITH PRIMER LAYER, PROCESS FOR PRODUCING THE SAME, LAMINATED OPTICAL MEMBER, SURFACE LIGHT SOURCE APPARATUS AND LIQUID CRYSTAL DISPLAY | 3 |
Shigehiko Kanaya | JP | Ikoma-Shi | 2012-07-05 / 20120174256 - RECOMBINANT DNA MOLECULE ENCODING 5' UTR CAPABLE OF PREVENTING INHIBITION OF TRANSLATION UNDER ENVIRONMENTAL STRESSES | 1 |
Toshiyuki Kanaya | JP | Kyoto | 2015-04-16 / 20150103580 - DATA HOLDING DEVICE AND LOGIC OPERATION CIRCUIT USING THE SAME | 3 |
Haruichi Kanaya | JP | Fukuoka | 2012-02-23 / 20120044117 - PLANAR ANTENNA APPARATUS | 3 |
Hirotaka Kanaya | JP | Chiba-Shi | 2015-02-26 / 20150056548 - PROPYLENE/ALPHA-OLEFIN COPOLYMER AND USES THEREOF | 2 |
Miharu Kanaya | JP | Azumino | 2016-05-19 / 20160137859 - INK COMPOSITION AND INK SET | 2 |
Akihiro Kanaya | JP | Fukuoka | 2010-01-21 / 20100012627 - Material Piece Scooping Device | 1 |
Mitsuhisa Kanaya | JP | Tokyo | 2015-09-03 / 20150249749 - TERMINAL APPARATUS, INFORMATION PROCESSING SYSTEM, AND INFORMATION TRANSMISSION METHOD | 4 |
Koichi Kanaya | JP | Tokyo | 2008-10-09 / 20080248728 - Method for manufacturing polishing pad, polishing pad, and method for polishing wafer | 1 |
Tomohiro Kanaya | JP | Kasugai-Shi | 2015-01-29 / 20150028530 - FLUID-FILLED VIBRATION DAMPING DEVICE | 12 |
Kaoru Kanaya | JP | Tokyo | 2009-02-05 / 20090032048 - HAIR IRON | 1 |
Miharu Kanaya | JP | Azamino-Shi | 2011-09-29 / 20110236649 - INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER | 1 |
Yuho Kanaya | JP | Kumagaya-Shi | 2015-08-13 / 20150227058 - EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE | 26 |
Shingo Kanaya | JP | Ishikawa | 2015-11-05 / 20150319324 - IMAGE-READING APPARATUS | 5 |
Shinichi Kanaya | JP | Kanagawa | 2013-08-29 / 20130223894 - ROTATION SHAFT COUPLING STRUCTURE, INTERMEDIATE TRANSFER UNIT INCLUDING THE SAME, AND IMAGE FORMING APPARATUS | 2 |
Manabu Kanaya | JP | Kawasaki | 2012-01-12 / 20120011288 - Specific identification information management device, information processing device, and specific identification information setting method | 1 |
Takakuni Kanaya | JP | Anjo-Shi | 2011-01-20 / 20110012389 - IMPACT ABSORBING MEMBER | 1 |
Hirotaka Kanaya | JP | Chiba-Shi, Chiba | 2016-04-28 / 20160115334 - OLEFIN-BASED PAINT CONTAINING PROPYLENE/a-OLEFIN COPOLYMER | 1 |
Noriaki Kanaya | JP | Tokyo | 2010-04-08 / 20100087944 - SEMICONDUCTOR MANUFACTURING APPARATUS, METHOD OF MANAGING APPARATUS OPERATION PARAMETERS, AND PROGRAM | 1 |
Midori Kanaya | JP | Tokyo | 2011-07-07 / 20110164488 - OPTICAL PICKUP AND OPTICAL DISC APPARATUS | 2 |
Takayasu Kanaya | JP | Chuo-Ku | 2008-10-02 / 20080239582 - Magnetoresistive Effect Element Having Bias Layer With Internal Stress Controlled | 1 |
Miyuki Kanaya | JP | Ibaraki | 2014-04-24 / 20140113013 - NOVEL APPLICATION OF SOYBEAN EMULSION COMPOSITION TO SOYBEAN-DERIVED RAW MATERIAL-CONTAINING FOOD OR BEVERAGE | 1 |
Kou Kanaya | JP | Tokyo | 2012-02-09 / 20120032296 - SEMICONDUCTOR DEVICE, SEMICONDUCTOR CIRCUIT SUBSTRATE, AND METHOD OF MANUFACTURING SEMICONDUCTOR CIRCUIT SUBSTRATE | 3 |
Michiko Kanaya | JP | Tokyo | 2010-05-27 / 20100128293 - DOCUMENT PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND COMPUTER PROGRAM | 1 |
Munehide Kanaya | JP | Azumino-Shi | 2015-10-08 / 20150283816 - RECORDING APPARATUS | 13 |
Wataru Kanaya | JP | Tokyo | 2010-05-27 / 20100128293 - DOCUMENT PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND COMPUTER PROGRAM | 1 |
Nobuyuki Kanaya | JP | Kawasaki | 2014-02-27 / 20140059661 - MANAGEMENT DEVICE, COMPUTER-READABLE RECORDING MEDIUM, AND MANAGEMENT METHOD | 4 |
Hiroyuki Kanaya | JP | Kanagawa | 2010-06-10 / 20100144062 - FABRICATING METHOD OF NONVOLATILE SEMICONDUCTOR STORAGE APPARATUS | 1 |
Hiroshi Kanaya | JP | Kawasaki | 2010-04-15 / 20100095190 - STORAGE DEVICE AND DATA READING METHOD THEREOF | 1 |
Akihide Kanaya | JP | Nagano | 2010-04-08 / 20100083800 - NUMERICALLY CONTROLLED LATHE WITH GUIDE BUSH, AND METHOD OF PROCESSING WORKPIECE BY USING THE NUMERICALLY CONTROLLED LATHE | 1 |
Atsushi Kanaya | JP | Yokohama-City | 2010-02-04 / 20100027081 - Image reader, auto document feeder, and image forming apparatus capable of generating shading data | 1 |
Mototaka Kanaya | JP | Sano-Shi | 2015-08-13 / 20150226881 - ANTIREFLECTION MULTILAYER FILM | 2 |
Kento Kanaya | JP | Hyogo | 2013-08-29 / 20130225868 - METHOD FOR MANUFACTURING A FAT-SOLUBLE BIOACTIVE SUBSTANCE | 2 |
Masaki Kanaya | JP | Ogaki-Shi | 2012-06-28 / 20120159914 - MAT, METHOD OF MANUFACTURING MAT, AND EXHAUST GAS PURIFICATION APPARATUS | 1 |
Ko Kanaya | JP | Tokyo | 2015-11-26 / 20150341000 - LINEARIZER | 14 |
Tomoko Kanaya | JP | Otsu | 2012-02-09 / 20120033154 - WHITE FILM AND BACKLIGHT USING SAME | 1 |
Mototakta Kanaya | JP | Sano-Shi | 2011-12-08 / 20110299161 - RETARDATION COMPENSATION ELEMENT AND MANUFACTURING METHOD OF THE SAME | 2 |
Tomohiro Kanaya | JP | Kasugai-Shi | 2015-01-29 / 20150028530 - FLUID-FILLED VIBRATION DAMPING DEVICE | 12 |
Hiroo Kanaya | JP | Kobe-Shi | 2011-04-28 / 20110094323 - METHOD AND APPARATUS FOR STARTING ENGINE | 1 |
Daisuke Kanaya | JP | Nagoya-Shi | 2011-02-10 / 20110035044 - NUMERICAL CONTROL METHOD AND APPARATUS THEREFOR | 1 |
Isamu Kanaya | JP | Ageo-Shi | 2010-10-28 / 20100269490 - APPARATUS FOR AND METHOD OF PURIFYING EXHAUST GAS | 1 |
Shinya Kanayama | JP | Nagakute-Shi, Aichi | 2016-05-12 / 20160128915 - HAIR COSMETIC MATERIAL COMPOSITION AND OXIDIZING AGENT-CONTAINING COMPOSITION THEREOF, HAIR COSMETIC MATERIAL, AND HAIR COSMETIC PRODUCT | 1 |
Yukihiko Kanayama | JP | Toyokawa-Shi | 2015-10-29 / 20150308511 - TORQUE LIMITER, VARIABLE TRANSMISSION RATIO DEVICE, AND TOLERANCE RING | 2 |
Shigehiro Kanayama | JP | Saitama | 2014-10-23 / 20140313600 - LENS DEVICE AND IMAGING DEVICE MOUNTED WITH THE LENS DEVICE | 2 |
Shoichi Kanayama | JP | Otawara-Shi | 2014-07-03 / 20140186234 - AUTOMATIC ANALYSIS APPARATUS | 10 |
Naohira Kanayama | JP | Higashi-Ku | 2012-10-04 / 20120251453 - Methods and Compositions Related to Annexin 1-Binding Compounds | 1 |
Mitsuhiro Kanayama | JP | Takahama-City | 2015-07-30 / 20150210172 - CHARGE DEVICE AND IN-VEHICLE APPARATUS | 9 |
Yuko Kanayama | JP | Nasushiobara-Shi | 2015-03-19 / 20150080730 - ULTRASONIC DIAGNOSTIC APPARATUS, MEDICAL IMAGE PROCESSING APPARATUS, AND MEDICAL IMAGE PROCESSING METHOD | 7 |
Yuko Kanayama | JP | Nasushiobara | 2015-10-22 / 20150297175 - ULTRASONOGRAPHY APPARATUS AND CONTROL METHOD | 4 |
Tokatoshi Kanayama | JP | Tokyo | 2011-07-14 / 20110172230 - UREA COMPOUND OR SALT THEREOF | 1 |
Tomoyuki Kanayama | JP | Kawasaki | 2016-03-17 / 20160080111 - RECEIVER, TRANSMITTER AND DATA TRANSMISSION SYSTEM | 4 |
Masao Kanayama | JP | Tokyo | 2015-02-26 / 20150053311 - NITRIDED STEEL MEMBER AND MANUFACTURING METHOD THEREOF | 2 |
Yotaro Kanayama | JP | Hyogo | 2015-02-05 / 20150033446 - LOWER WEAR WITH SUSPENDER | 2 |
Takeshi Kanayama | US | 2015-09-17 / 20150258976 - CONTROL DEVICE FOR HYBRID VEHICLE | 1 | |
Michio Kanayama | JP | Tokyo | 2012-09-27 / 20120245770 - TRAIN CONTROL DEVICE HAVING A TARGET SPEED CALCULATION FUNCTION | 1 |
Masahiro Kanayama | JP | Joetsu-Shi | 2013-04-25 / 20130101936 - POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS | 1 |
Zen Kanayama | JP | Yokohama-Shi | 2013-07-11 / 20130176358 - PRINTED SEAMLESS CAN AND METHOD OF PRODUCING THE SAME | 1 |
Kazumasa Kanayama | JP | Tokyo | 2011-02-24 / 20110042071 - CLEAN FLUID SAMPLE FOR DOWNHOLE MEASUREMENTS | 1 |
Yunoshin Kanayama | JP | Tokyo | 2013-08-15 / 20130210604 - OPTICAL GLASS | 4 |
Takatoshi Kanayama | JP | Tokyo | 2015-07-23 / 20150203505 - INDOLE CARBOXAMIDE DERIVATIVE | 7 |
Kuniki Kanayama | JP | Tokyo | 2016-03-31 / 20160090930 - ENGINE CONTROLLER | 2 |
Yuichi Kanayama | JP | Tokyo | 2009-12-17 / 20090309590 - MAGNETIC SENSOR AND METHOD OF MANUFACTURING THEREOF | 1 |
Yuuzoh Kanayama | JP | Tokyo | 2015-05-28 / 20150143676 - SWAGE FASTENING STRUCTURE, FASTENING PART STRUCTURE, AND IMAGE FORMING APPARATUS | 1 |
Fujio Kanayama | JP | Tokyo | 2009-12-10 / 20090302450 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hiroki Kanayama | JP | Tokyo | 2009-06-25 / 20090162170 - TANDEM TYPE SEMICONDUCTOR-PROCESSING APPARATUS | 1 |
Fumiaki Kanayama | JP | Kanagawa | 2016-05-05 / 20160124400 - POWER ELECTRONICS DEVICE, CONTROL METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Zen Kanayama | JP | Kanagawa | 2011-10-06 / 20110244158 - DECORATIVE CAN BODY AND PROCESS FOR PRODUCING THE SAME | 1 |
Hiroshi Kanayama | JP | Odawara | 2014-04-17 / 20140104967 - INTER-MEMORY DATA TRANSFER CONTROL UNIT | 2 |
Koutatsu Kanayama | JP | Higashiosaka-Shi | 2015-02-05 / 20150036864 - ELECTRONIC DEVICE | 1 |
Akira Kanayama | JP | Aichi | 2011-10-13 / 20110249873 - FINGER/PALM-PRINT IMAGE PROCESSING APPARATUS, FINGER/PALM-PRINT IMAGE PROCESSING METHOD, AND RECORDING MEDIUM IN WHICH FINGER/PALM-PRINT IMAGE PROCESSING PROGRAM HAS BEEN RECORDED | 1 |
Yoshihiko Kanayama | JP | Hyogo | 2016-03-03 / 20160061402 - LIGHTING APPARATUS AND MOTOR VEHICLE | 12 |
Michio Kanayama | JP | Fuchu-Shi | 2013-08-15 / 20130210478 - WIRELESS COMMUNICATION SYSTEM AND WIRELESS COMMUNICATION APPARATUS, BOTH FOR USE IN BROADCAST WIRELESS TRANSMISSION | 1 |
Tomoya Kanayama | JP | Ibaraki | 2013-06-06 / 20130139709 - NUMBERING AND IMPRINTING MACHINE | 1 |
Takashi Kanayama | JP | Nagaokakyo-Shi | 2016-02-11 / 20160042871 - CERAMIC ELECTRONIC COMPONENT AND METHOD FOR PRODUCING THE SAME | 4 |
Yasutaka Kanayama | JP | Inagi | 2013-11-21 / 20130307597 - SEMICONDUCTOR DEVICE AND INFORMATION PROCESSING APPARATUS | 5 |
Kozo Kanayama | JP | Nagoya-Shi | 2016-01-28 / 20160023385 - FORMED LIGNEOUS BODY AND METHOD OF PRODUCING THE SAME | 3 |
Hiroshi Kanayama | JP | Kanagawa-Ken | 2013-10-31 / 20130289978 - METHOD FOR CLASSIFYING PIECES OF TEXT ON BASIS OF EVALUATION POLARITY, COMPUTER PROGRAM PRODUCT, AND COMPUTER | 2 |
Masahiro Kanayama | JP | Jyoetsu | 2013-10-24 / 20130280912 - SILICON COMPOUND, SILICON-CONTAINING COMPOUND, COMPOSITION FOR FORMING RESIST UNDERLAYER FILM CONTAINING THE SAME AND PATTERNING PROCESS | 1 |
Yotaro Kanayama | JP | Kobe | 2014-01-02 / 20140004332 - LAMINATE OF CLOTHS, CLOTHING, AND BEDDING | 3 |
Shoichi Kanayama | JP | Koshigaya-Shi | 2011-03-17 / 20110066023 - NON-INVASIVE SUBJECT-INFORMATION IMAGING METHOD AND APPARATUS | 1 |
Kazumasa Kanayama | JP | Kanagawa-Ken | 2009-12-31 / 20090321072 - METHODS AND APPARATUS OF DOWNHOLE FLUIDS ANALYSIS | 2 |
Tomozumi Kanayama | US | New York | 2013-12-26 / 20130346547 - SYSTEM AND METHOD FOR MESSAGE PROCESSING AND ROUTING | 10 |
Hideyuki Kanayama | JP | Uji-City | 2012-01-19 / 20120013559 - MULTIPLE VISUAL DISPLAY DEVICE AND VEHICLE-MOUNTED NAVIGATION SYSTEM | 6 |
Yutaka Kanayama | US | Monterey | 2014-10-09 / 20140303869 - SENSOR-BASED VEHICLE CONTROL METHODS | 2 |
Junya Kanayama | JP | Tokyo | 2013-05-09 / 20130115359 - DRIED NOODLE AND PROCESS FOR PRODUCING THE SAME | 1 |
Norio Kanayama | JP | Osaka | / - | 1 |
Naohiro Kanayama | JP | Shizuoka | 2014-10-23 / 20140315227 - THERAPEUTIC AGENT FOR PRETERM DELIVERY OR ABORTION USING PLASMINOGEN ACTIVATOR INHIBITOR-1 | 1 |
Fumiaki Kanayama | JP | Kawasaki-Shi | 2014-09-25 / 20140288719 - POWER ELECTRONICS DEVICE, POWER CONNECTION INSPECTION METHOD AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 2 |
Naoki Kanayama | JP | Nagano | 2011-05-19 / 20110116962 - GEARED MOTOR ASSEMBLY | 3 |
Tetsuya Kanayama | JP | Osaka | 2015-10-22 / 20150297961 - MULTI-TRACK ADJUSTABLE GOLF CLUB | 7 |
Toshihiko Kanayama | JP | Ibaraki | 2011-01-06 / 20110002833 - THIN FILM OF METAL-SILICON COMPOUND AND PROCESS FOR PRODUCING THE THIN FILM OF THE METAL-SILICON COMPOUND | 3 |
Yasutaka Kanayama | JP | Kawasaki | 2012-06-21 / 20120153988 - SEMICONDUCTOR DEVICE, CIRCUIT BOARD DEVICE, AND INFORMATION PROCESSING DEVICE | 4 |
Takehiro Kanayama | JP | Shiga | 2010-12-09 / 20100310388 - SEALING STRUCTURE AND COMPRSSOR | 5 |
Yoshihiro Kanayama | JP | Echizen-Shi | 2009-01-22 / 20090022882 - PHOTOGRAVURE PRESS AND METHOD FOR MANUFACTURING MULTILAYER CERAMIC ELECTRONIC COMPONENT | 1 |
Shoichi Kanayama | JP | Otawara | 2016-02-11 / 20160041160 - SPECIMEN MEASUREMENT APPARATUS AND SPECIMEN MEASUREMENT METHOD | 4 |
Manabu Kanayama | JP | Anjo-Shi, Aichi | 2016-04-07 / 20160099442 - Thermal Insulating Cover and Method for Producing the Same | 1 |
Hiroshi Kanayama | JP | Chiba | 2010-12-02 / 20100305294 - POLYURETHANE RESIN COMPOSITION FOR REACTION INJECTION MOLDING AND MOLDED ARTICLE | 1 |
Yozo Kanayama | JP | Tokyo | 2012-06-21 / 20120155525 - HD RADIO RECEIVER AND AUTOSTORE CONTROL METHOD | 1 |
Takeshi Kanayama | JP | Toyota-Shi | 2015-12-17 / 20150360681 - CONTROL APPARATUS FOR A HYBRID VEHICLE DRIVE SYSTEM | 21 |
Mitsuhiro Kanayama | JP | Takahama-City | 2015-07-30 / 20150210172 - CHARGE DEVICE AND IN-VEHICLE APPARATUS | 9 |
Shinji Kanayama | JP | Toyama-Shi | 2016-02-25 / 20160053309 - SET OF PRIMERS AND PROBES TO BE USED FOR IDENTIFICATION OF GENE POLYMORPHISM AND USE THEREOF | 1 |
Takehiro Kanayama | JP | Kusatsu-Shi | 2014-08-21 / 20140234147 - COMPRESSOR | 3 |
Kazumasa Kanayama | JP | Hachioji-Shi | 2015-05-21 / 20150138557 - Image-Based Measurement of A Fluid | 4 |
Hiroyuki Kanayama | JP | Okazaki | 2010-10-14 / 20100262327 - Driving support systems, methods, and programs | 1 |
Yuko Kanayama | JP | Otawara-Shi | 2011-07-14 / 20110172531 - ULTRASONIC DIAGNOSIS APPARATUS, MEDICAL IMAGE PROCESSING APPARATUS, AND MEDICAL IMAGE DIAGNOSIS APPARATUS | 2 |
Hideyuki Kanayama | JP | Uji-Shi | 2011-08-04 / 20110188004 - PROJECTION-TYPE IMAGE DISPLAY APPARATUS | 2 |
Atsushi Kanayama | JP | Hokkaido | 2010-09-16 / 20100230582 - PHOTOELECTRIC CONVERSION MODULE | 1 |
Yuji Kanayama | JP | Aichi-Ken | 2010-09-09 / 20100224342 - METAL MOLD CASTING MACHINE OF A CASTING APPARATUS | 1 |
Shoichi Kanayama | JP | Otawara-Shi | 2014-07-03 / 20140186234 - AUTOMATIC ANALYSIS APPARATUS | 10 |
Shoichi Kanayama | JP | Tochigi-Ken | 2012-08-30 / 20120216610 - AUTOMATIC ANALYSIS APPARATUS | 4 |
Yasuto Kanayama | JP | Nagoya-Shi | 2013-07-04 / 20130170388 - COMMUNICATION APPARATUS AND DELAY DETECTING METHOD | 2 |
Hiroki Kanayama | JP | Nagaoka-Shi | 2013-01-17 / 20130014697 - Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing ChambersAANM Kanayama; HirokiAACI Nagaoka-shiAACO JPAAGP Kanayama; Hiroki Nagaoka-shi JP | 2 |
Naoki Kanayama | JP | Wako-Shi | 2010-06-03 / 20100137512 - Polyethylene glycol/polycation block copolymers | 1 |
Yousuke Kanayama | JP | Wako-Shi | 2010-04-29 / 20100102240 - SEMICONDUCTOR RADIATION DETECTION APPARATUS | 1 |
Toshihiko Kanayama | JP | Tsukuba-Shi | 2010-04-29 / 20100102292 - SEMICONDUCTOR DEVICE USING GRAPHENE AND METHOD OF MANUFACTURING THE SAME | 2 |
Shuji Kanayama | JP | Minami-Ashigara-Shi | 2008-08-28 / 20080203357 - Liquid Crystalline Composition, Optically Anisotropic Film, Optical Film, and Polarizer and Liquid-Crystal Display Device Using Them | 1 |
Yuuichi Kanayama | JP | Chuo-Ku | 2010-03-25 / 20100071840 - Laminate having chromatic color and metallic luster, and process for producing the same | 1 |
Ryuichi Kanayama | JP | Hyogo | 2009-07-16 / 20090182015 - MICROCAPSULE FORMULATIONS | 1 |
Tadafumi Kanayama | JP | Chita-Shi | 2013-08-29 / 20130221069 - FRICTION STIR WELDING APPARATUS | 1 |
Yoshitaka Kanayama | JP | Sendai-Shi | 2010-01-21 / 20100015664 - PROTEASE, DNA ENCODING THE SAME, AND METHOD FOR MANUFACTURING PROTEASE | 1 |
Fujio Kanayama | JP | Kanagawa | 2015-01-29 / 20150030338 - OPTICAL COMMUNICATION FIBER, OPTICAL COMMUNICATION MODULE, AND OPTICAL COMMUNICATION SYSTEM | 4 |
Yoshihiko Kanayama | JP | Hyogo | 2016-03-03 / 20160061402 - LIGHTING APPARATUS AND MOTOR VEHICLE | 12 |
Naoki Kanayama | JP | Okayama | 2013-09-19 / 20130244907 - METHOD FOR PREPARING B CELL WHICH PRODUCES HUMAN-TYPE ANTIBODY | 3 |
Naoki Kanayama | JP | Nagano-Ken | 2008-10-02 / 20080236311 - Concentric double axis mechanism having bevel gears | 2 |
Hirokazu Kanayama | JP | Kawasaki | 2009-12-10 / 20090303959 - Base Station And Frequency Changing Method In Mobile Communication System | 1 |
Naoki Kanayama | JP | Saitama-Ken | 2008-10-09 / 20080249049 - Polycationically Charged Polymer and the Use of the Same as a Carrier for Nucleic Acid | 1 |
Kenji Kanayama | JP | Toyama-Shi | 2015-09-17 / 20150259795 - SUBSTRATE PROCESSING APPARATUS | 6 |
Masashi Kanayama | JP | Hokkaido | 2009-10-08 / 20090252734 - Antihuman alpha 9 Integrin Antibody and use of the Same | 1 |
Jun Kanayama | JP | Tokyo | 2011-06-09 / 20110135943 - ANTICORROSIVE COATING COMPOSITION AND ANTICORROSIVE COATING STRUCTURE USING SAME | 1 |
Naohiro Kanayama | JP | Hamamatsu-Shi | 2014-02-06 / 20140039284 - Optical Measuring Device | 1 |
Tomozumi Kanayama | US | New York | 2013-12-26 / 20130346547 - SYSTEM AND METHOD FOR MESSAGE PROCESSING AND ROUTING | 10 |
Horoshi Kanayama | JP | Odawara | 2009-10-08 / 20090254507 - Storage Controller and Duplicated Data Detection Method Using Storage Controller | 1 |
Osamu Kanayama | JP | Toyota-Shi | / - | 1 |
Junya Kanayama | JP | Sagamihara-Shi | 2009-10-01 / 20090246325 - INSTANT NODDLES AND METHOD FOR PRODUCING INSTANT NODDLES | 1 |
Mitsuhiro Kanayama | JP | Takahama-Shi | 2012-06-28 / 20120161822 - ELECTRICAL LOAD DRIVING APPARATUS | 6 |
Masaomi Kanayama | JP | Saitama-Shi | 2013-11-28 / 20130314808 - IMAGE PICKUP SYSTEM | 7 |
Yutaka Kanayama | JP | Minato-Ku | 2013-08-22 / 20130216766 - COMPOSITE MATERIAL STRUCTURE AND AIRCRAFT WING PROVIDED THEREWITH | 1 |
Yousuke Kanayama | JP | Kobe-Shi | 2012-07-12 / 20120177571 - PANCREATIC ENDOCRINE CELL INDICATING AGENT AND UTILIZATION OF SAME | 1 |
Hiroshi Kanayama | JP | Yokohama-Shi | 2008-10-23 / 20080262927 - SYSTEM, METHOD, AND PROGRAM FOR SELECTING ADVERTISEMENTS | 1 |
Yotaro Kanayama | JP | Kobe-Shi | 2014-09-04 / 20140248468 - LONG FIBER NONWOVEN FABRIC AND LAMINATE OF FABRICS HAVING LONG FIBER NONWOVEN FABRIC | 1 |
Nobutaka Kanayama | JP | Osaka | 2011-09-29 / 20110234764 - IMAGING DEVICE | 1 |
Yoshiki Kanayama | JP | Saitama | 2011-09-29 / 20110237309 - ANTENNA DEVICE AND MOBILE DEVICE | 1 |
Shutetsu Kanayama | JP | Osaka | 2011-06-23 / 20110147947 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Masaomi Kanayama | JP | Utsunomiya-Shi | 2014-03-20 / 20140079379 - LENS APPARATUS, SUPPORT APPARATUS THEREFOR, AND IMAGE CAPTURING SYSTEM | 2 |
Atsushi Kanayama | JP | Saitama-Shi | 2009-09-24 / 20090238550 - AUTOFOCUS SYSTEM | 5 |
Takeshi Kanayama | JP | Toyota-Shi | 2015-12-17 / 20150360681 - CONTROL APPARATUS FOR A HYBRID VEHICLE DRIVE SYSTEM | 21 |
Noboru Kanayama | JP | Kanagawa | 2012-01-12 / 20120010790 - FUEL CONSUMPTION SAVING CONTROL DEVICE FOR WORK VEHICLE AND FUEL CONSUMPTION SAVING METHOD FOR WORK VEHICLE | 4 |
Tomoki Kanayama | JP | Kanagawa | 2010-09-09 / 20100226231 - Breakage Prevention Structure of Ramp | 1 |
Shuuji Kanayama | JP | Kanagawa | 2013-05-16 / 20130120839 - CELLULOSE ACYLATE FILM, PROTECTIVE FILM FOR POLARIZING PLATE, POLARIZING PLATE, AND LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Nobuo Kanayama | JP | Kanagawa | 2009-03-26 / 20090078679 - ETCHING SOLUTION AND METHOD FOR REGENERATING WASTE LIQUID THEREOF, AND METHOD FOR RECOVERING VALUABLE METALS FROM WASTE LIQUID | 1 |
Masaya Kanayama | JP | Kanagawa | 2008-12-11 / 20080307470 - CONTROL METHOD FOR AN INFORMATION PROCESSING DEVICE | 1 |
Shuji Kanayama | JP | Kanagawa | 2011-08-11 / 20110192451 - METAL SUBSTRATE WITH INSULATION LAYER AND METHOD FOR MANUFACTURING THE SAME, SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME, AND SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 2 |
Shinsuke Kanayama | JP | Kanagawa | 2008-11-13 / 20080278747 - Thermal dye sublimation printer and ink ribbon cassette therefor | 1 |
Yutaka Kanayama | JP | Tokyo | 2013-09-12 / 20130236692 - COMPOSITE MATERIAL STRUCTURE, AND AIRCRAFT WING AND AIRCRAFT FUSELAGE PROVIDED THEREWITH | 1 |
Fumiaki Kanayama | JP | Kawasaki | 2016-03-17 / 20160077142 - POWER ELECTRONICS DEVICE | 4 |
Naohiro Kanayama | US | 2016-03-31 / 20160089067 - NEAR INFRARED OXYGEN CONCENTRATION SENSOR FOR PALPATION | 1 | |
Koichiro Kanayama | JP | Kitakatsushika-Gun | 2009-02-12 / 20090042110 - Reflection type photomask blank, manufacturing method thereof, reflection type photomask, and manufacturing method of semiconductor device | 1 |
Naoki Kanayama | JP | Azumino-Shi | 2009-09-03 / 20090218181 - SERVO MOTOR BRAKE DEVICE | 1 |
Norihiro Kanayama | JP | Tochigi | 2015-03-05 / 20150064232 - TRANSDERMAL ABSORPTION PREPARATION | 1 |
Masaya Kanayama | JP | Yokohama-Shi | 2013-12-05 / 20130324563 - METHOD OF INTENSIFYING THE PHYSIOLOGICAL ACTION OF CAFFEINE | 1 |
Fumiaki Kanayama | JP | Kawasaki Kanagawa | 2015-03-26 / 20150084416 - POWER ELECTRONICS DEVICE, DEVICE DETECTION METHOD, AND PROGRAM | 1 |
Hiroaki Kanayama | JP | Osaka | 2013-12-05 / 20130323373 - METHOD FOR PROCESSING MARINE NATURAL PRODUCT EXTRACT, MARINE NATURAL PRODUCT EXTRACT AND FOOD OR DRINK PRODUCT | 1 |
Emi Kanayama | JP | Hamamatsu-Shi | 2014-09-18 / 20140260921 - ELECTRONIC PERCUSSION INSTRUMENT | 5 |
Nobumichi Kanayamaya | JP | Kumagaya-Shi | 2011-08-25 / 20110205519 - POLARIZATION CONVERTING UNIT, ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD | 1 |
Tessy Kanayinkal | US | Brooklyn Park | 2014-09-18 / 20140273249 - High Range Activated Clotting Time Assay Formulation | 4 |
Kengo Kanazaki | JP | Yokohama-Shi | 2014-01-23 / 20140023584 - CONTRAST AGENT FOR PHOTOACOUSTIC IMAGING AND PHOTOACOUSTIC IMAGING METHOD USING THE SAME | 8 |
Kengo Kanazaki | JP | Yokohama-Shi | 2014-01-23 / 20140023584 - CONTRAST AGENT FOR PHOTOACOUSTIC IMAGING AND PHOTOACOUSTIC IMAGING METHOD USING THE SAME | 8 |
Saori Kanazaki | JP | Osaka | 2016-03-17 / 20160081185 - WIRED CIRCUIT BOARD AND PRODUCING METHOD THEREOF | 2 |
Yasuo Kanazashi | JP | Tokyo | 2013-05-02 / 20130107365 - ZOOM LENS SYSTEM | 4 |
Mikimoto Kanazashi | JP | Yokohama-Shi | 2010-09-09 / 20100227820 - Effect of Porcine Sheath Proteins on the Regeneration Activity of Periodontal Ligament | 1 |
Yasuhiro Kanazashi | JP | Numazu-Shi | 2013-09-05 / 20130229137 - POSITION CONTROL DEVICE FOR ELECTRIC MOTOR | 1 |
Manabu Kanazawa | JP | Bunkyo-Ku | 2013-04-25 / 20130101778 - BLOCK BODY FOR PRODUCING DENTURE BASE | 1 |
Ritsuko Kanazawa | JP | Kamakura | 2014-09-11 / 20140255008 - CONTENT-RECEIVING DEVICE | 2 |
Naoki Kanazawa | JP | Osaka | 2009-08-13 / 20090199598 - DRUM TYPE WASHING MACHINE | 1 |
Yukiya Kanazawa | JP | Osaka | 2015-04-09 / 20150098230 - ILLUMINATION DEVICE | 10 |
Takeshi Kanazawa | JP | Osaka | 2011-02-24 / 20110044290 - COMMUNICATION TERMINAL APPARATUS AND HANDOVER METHOD | 2 |
Rie Kanazawa | JP | Osaka | 2015-12-17 / 20150363059 - MOBILE TERMINAL APPARATUS AND CONTROL METHOD FOR MOBILE TERMINAL APPARATUS | 1 |
Hideo Kanazawa | JP | Osaka | 2011-01-27 / 20110021795 - PRODUCION METHOD OF PROPYLENE OXIDE | 1 |
Yoshihiko Kanazawa | JP | Osaka | 2010-02-25 / 20100046273 - RESISTANCE CHANGE NONVOLATILE MEMORY DEVICE | 1 |
Shinichi Kanazawa | JP | Osaka | 2015-08-13 / 20150224426 - LIQUID FILTERING DEVICE AND BALLAST WATER TREATMENT APPARATUS | 7 |
Tomoko Kanazawa | JP | Osaka | 2015-06-11 / 20150160572 - COATING SOLUTION FOR FORMING CHARGE TRANSPORT LAYER, ELECTROPHOTOGRAPHIC PHOTORECEPTOR PREPARED THEREWITH AND IMAGE FORMING APPARATUS COMPRISING THE SAME | 3 |
Sadayoshi Kanazawa | JP | Osaka | 2009-05-07 / 20090115897 - TRANSMISSION DEVICE | 1 |
Atsushi Kanazawa | JP | Osaka | 2008-12-18 / 20080310020 - Polarizing plate | 2 |
Yukiya Kanazawa | JP | Osaka | 2015-04-09 / 20150098230 - ILLUMINATION DEVICE | 10 |
Takuma Kanazawa | JP | Wako-Shi | 2013-01-31 / 20130026406 - VALVE DEVICE | 1 |
Nobuhiro Kanazawa | JP | Hashima-Shi | 2015-12-03 / 20150345024 - METHOD FOR REGENERATING PLATING SOLUTION | 3 |
Shigeo Kanazawa | JP | Nakano-Shi | 2014-07-31 / 20140211163 - SLIT LAMP MICROSCOPE | 1 |
Takuro Kanazawa | JP | Tokyo | 2016-03-10 / 20160072423 - Power Conversion Apparatus and Electric Power Steering Device | 4 |
Yuichiro Kanazawa | JP | Aichi | 2015-12-03 / 20150342455 - TARGET PRESENTING APPARATUS | 3 |
Ikuko Kanazawa | JP | Kunitachi-Shi | 2014-09-18 / 20140282017 - OBJECT DISPLAY APPARTUS, OPERATION CONTROL METHOD AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 2 |
Shunsuke Kanazawa | JP | Kudamatsu | 2014-05-29 / 20140148016 - PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Yuji Kanazawa | JP | Shiojiri-Shi | 2014-07-03 / 20140184699 - FILM MEMBER AND LIQUID EJECTING APPARATUS | 1 |
Keiji Kanazawa | US | Seattle | 2012-09-06 / 20120227098 - SHARING USER ID BETWEEN OPERATING SYSTEM AND APPLICATION | 4 |
Takamitsu Kanazawa | JP | Kanagawa | 2015-09-10 / 20150255455 - SEMICONDUCTOR DEVICE AND SYSTEM USING THE SAME | 4 |
Manabu Kanazawa | JP | Kanagawa | 2011-09-29 / 20110236856 - REMOVABLE DENTURE AND METHOD OF PRODUCING THE SAME | 1 |
Izumi Kanazawa | JP | Saitama | 2011-09-29 / 20110233247 - SADDLE BAG AND MOTORCYCLE HAVING THE SAME | 1 |
Takashi Kanazawa | JP | Tochigi | 2009-04-02 / 20090088497 - PAVING MATERIAL AND METHOD FOR CONSTRUCTION OF PAVED BODY USING THE SAME | 1 |
Hiroshi Kanazawa | JP | Saitama | 2015-04-30 / 20150116850 - LENS AND OPTICAL PICKUP DEVICE | 3 |
Chikashi Kanazawa | JP | Tokyo | 2015-12-31 / 20150376174 - AZOLE BENZENE DERIVATIVE | 1 |
Yoshie Kanazawa | JP | Saijo-Shi | 2015-03-12 / 20150068129 - POLISHING PAD AND METHOD FOR PRODUCING POLISHING PAD | 1 |
Kiyoshi Kanazawa | JP | Aichi | 2012-12-13 / 20120316197 - USE OF EP4 RECEPTOR ANTAGONISTS IN THE TREATMENT OF IL-23 MEDIATED DISEASES | 1 |
Shouji Kanazawa | JP | Aomori | 2010-05-20 / 20100125430 - ANALOG ANGLE SENSOR ACCURACY CORRECTION PROGRAM CORRECTION METHOD RECORDING MEDIUM AND SERVO DRIVER | 1 |
Jyunya Kanazawa | JP | Tokyo | 2012-03-29 / 20120074543 - PACKAGE APPARATUS OF POWER SEMICONDUCTOR DEVICE | 1 |
Hidenori Kanazawa | JP | Tokyo | 2011-09-01 / 20110213069 - AQUEOUS COATING COMPOSITION | 1 |
Chie Kanazawa | JP | Higashiomi-Shi | 2014-03-27 / 20140085880 - LIGHTING APPARATUS | 1 |
Takayuki Kanazawa | JP | Yokohama-Shi | 2016-05-12 / 20160131990 - IMAGE FORMING APPARATUS | 5 |
Hiroaki Kanazawa | JP | Tokyo | 2015-02-26 / 20150052666 - Button Mounting Apparatus and Button Mounting Method | 1 |
Masashi Kanazawa | JP | Yokkaichi-City | 2012-05-31 / 20120135619 - ELECTRICAL JUNCTION BOX | 1 |
Yuzi Kanazawa | JP | Kawasaki | 2012-08-09 / 20120204063 - Non-transitory computer-readable recording medium in which a failure analyzing program is recorded, failure analyzing apparatus, and method for analyzing failure | 6 |
Masaru Kanazawa | JP | Kawasaki | 2014-01-16 / 20140015715 - PORTABLE TERMINAL DEVICE AND WIRELESS COMMUNICATION METHOD | 9 |
Makoto Kanazawa | JP | Kawasaki | 2010-04-29 / 20100106830 - METHOD AND APPARATUS FOR COLLECTING AND DELIVERING STATISTICAL DATA | 1 |
Masaki Kanazawa | JP | Kawasaki | 2010-03-04 / 20100054185 - Wireless Access Network, Communication Quality Management Apparatus, and Wireless Base Station | 1 |
Yoshikazu Kanazawa | JP | Kawasaki | 2014-10-16 / 20140306944 - METHOD OF DRIVING A PLASMA DISPLAY APPARATUS | 9 |
Itaru Kanazawa | JP | Kanagawa | 2015-02-12 / 20150046029 - DISPLAY APPARATUS FOR VEHICLE | 1 |
Yuzi Kanazawa | JP | Setagaya | 2013-04-25 / 20130104009 - PROCESSING UNIT | 2 |
Takakiyo Kanazawa | JP | Kanagawa | 2012-03-22 / 20120069304 - DISPLAY DEVICE | 1 |
Masayoshi Kanazawa | JP | Kanagawa | 2014-02-13 / 20140042578 - SOLID-STATE IMAGING APPARATUS AND CAMERA USING THE SAME | 2 |
Hiroyuki Kanazawa | JP | Hitachi | 2015-05-21 / 20150136555 - PANTOGRAPH DEVICE OF TROLLEY TRUCK | 2 |
Jyun Kanazawa | JP | Odawara-Shi | 2012-09-06 / 20120225895 - 1-HETERODIENE DERIVATIVE AND PEST CONTROL AGENT | 2 |
Satoshi Kanazawa | JP | Osaka | 2013-11-07 / 20130295254 - INSTRUMENT FOR PRODUCING KAKIAGE AND METHOD FOR PRODUCING KAKIAGE | 1 |
Takaaki Kanazawa | JP | Toyota-Shi | 2016-01-07 / 20160006042 - SUPPORTED CATALYST FOR FUEL CELL, METHOD OF MANUFACTURING THEREOF, AND FUEL CELL | 10 |
Takaaki Kanazawa | JP | Aichi | 2012-04-12 / 20120085469 - METHOD FOR MANUFACTURING PROJECTION MATERIALS FOR A SHOT PEENING | 3 |
Takaaki Kanazawa | JP | Aichi-Ken | 2010-06-03 / 20100137127 - PRODUCTION PROCESS FOR NOx ADSORPTION MATERIAL AND NOx ADSORPTION MATERIAL | 7 |
Takaaki Kanazawa | JP | Nisshin-Shi | 2016-01-28 / 20160024636 - MANUFACTURING METHOD OF STEEL IN WHICH AN ELEMENT OF TREATMENT GAS IS DISSOLVED AND DIFFUSED | 3 |
Shuichi Kanazawa | JP | Osaka-Shi | 2015-04-02 / 20150090053 - APPARATUS FOR ENVIRONMENTAL TEST | 1 |
Chikao Kanazawa | JP | Wakayama | 2013-10-31 / 20130289204 - POLYIMIDE POWDER, POLYIMIDE SOLUTION, AND METHOD FOR PRODUCING POLYIMIDE POWDER | 1 |
Takuro Kanazawa | JP | Hitachinaka | 2012-01-19 / 20120012978 - SEMICONDUCTOR DEVICE | 5 |
Takuro Kanazawa | JP | Hitachinaka-Shi | 2013-11-28 / 20130314013 - Motor Driving Control Apparatus | 2 |
Keizen Kanazawa | JP | Osaka | 2016-03-10 / 20160072978 - IMAGE FORMING APPARATUS THAT CONTINUES WITHOUT HALT TO PERFORM PRINT JOB INCLUDING SIGN WHERE GLYPH IS INVALID DATA, AND RECORDING MEDIUM | 2 |
Futoshi Kanazawa | JP | Saitama | 2010-09-30 / 20100249258 - STRETCHED THERMOPLASTIC RESIN FOAM SHEET AND PROCESS FOR PRODUCTION OF THE SAME | 1 |
Shintaro Kanazawa | JP | Tochigi | 2011-03-31 / 20110077427 - METHOD FOR PRODUCING OPTICALLY ACTIVE AMINOALCOHOL DERIVATIVE | 1 |
Hitoshi Kanazawa | JP | Tochigi | 2012-11-29 / 20120302871 - DETERMINING VELOCITY OF CEREBROSPINAL FLUID BY MAGNETIC RESONANCE IMAGING | 1 |
Hiroshi Kanazawa | JP | Hitachiota | 2014-03-27 / 20140084734 - Rotating Electrical Machine, Method for Manufacturing Magnetic Pole Piece | 10 |
Kimihiko Kanazawa | JP | Numata-Shi, Gunma | 2016-04-07 / 20160095382 - INSOLE FOR SHOE | 1 |
Koji Kanazawa | JP | Oume-Shi | 2011-08-25 / 20110209226 - AV COMMUNICATION CONTROL CIRCUIT FOR REALIZING COPYRIGHT PROTECTION WITH RESPECT TO RADIO LAN | 1 |
Satoshi Kanazawa | JP | Kanagawa | 2014-01-30 / 20140030460 - ETHYLENE-BASED POLYMER, MANUFACTURING METHOD OF ETHYLENE-BASED POLYMER AND MANUFACTURING METHOD OF CATALYST FOR POLYMERIZATION, AND MOLDED ARTICLE OF HOLLOW PLASTICS CONTAINING ETHYLENE-BASED POLYMER AND USE THEREOF | 3 |
Seitaro Kanazawa | JP | Yokohama-Shi | 2016-01-07 / 20160002809 - METHOD OF PRODUCING SURFACE-TREATED STEEL SHEET | 6 |
Satoshi Kanazawa | JP | Wako | 2011-08-25 / 20110208397 - STARTING-CLUTCH CONTROL APPARATUS | 1 |
Jyun Kanazawa | JP | Kanagawa | 2011-06-16 / 20110144374 - OXIME ETHER DERIVATIVE AND FUNGICIDE FOR AGRICULTURE AND HORTICULTURE | 1 |
Takanori Kanazawa | JP | Tokyo | 2011-10-27 / 20110263560 - Organic Compounds | 1 |
Tomohiro Kanazawa | JP | Osaka | 2011-06-23 / 20110148135 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 1 |
Takamitsu Kanazawa | JP | Takasaki | 2010-08-26 / 20100213510 - BIDIRECTIONAL SWITCH MODULE | 3 |
Masaki Kanazawa | JP | Yokohama | 2015-03-26 / 20150089050 - MOBILE NETWORK SYSTEM | 1 |
Yuko Kanazawa | JP | Tokyo | 2015-02-12 / 20150042433 - CHOKE COIL | 3 |
Yuji Kanazawa | JP | Shirojiri-Shi | 2014-12-11 / 20140362139 - MAINTENANCE UNIT AND LIQUID EJECTING APPARATUS | 1 |
Noriaki Kanazawa | JP | Tachikawa-Shi | 2012-11-22 / 20120296159 - SUPPORTING APPARATUS FOR MEDICAL DEVICE | 1 |
Takayuki Kanazawa | JP | Suntou-Gun | 2014-09-25 / 20140286667 - IMAGE FORMING APPARATUS | 7 |
Nobuaki Kanazawa | JP | Aichi | 2013-03-07 / 20130058889 - ANTIFOULING PAINT COMPOSITION, PRODUCTION METHOD OF ANTIFOULING PAINT FILM, AND ANTIFOULING PAINT FILM | 1 |
Yoshikazu Kanazawa | JP | Kawasaki-Shi | 2014-10-09 / 20140300590 - METHOD FOR DRIVING PLASMA DISPLAY PANEL | 3 |
Yuuya Kanazawa | JP | Nagoya-Shi | 2012-12-20 / 20120323145 - GUIDEWIRE | 3 |
Takaaki Kanazawa | JP | Toyota-Shi | 2016-01-07 / 20160006042 - SUPPORTED CATALYST FOR FUEL CELL, METHOD OF MANUFACTURING THEREOF, AND FUEL CELL | 10 |
Mitsuo Kanazawa | JP | Tokyo | 2011-01-20 / 20110011013 - FLOOR-PANEL AND FLOOR-PANEL ASSEMBLIES | 1 |
Takamitsu Kanazawa | JP | Tokyo | 2016-03-31 / 20160093594 - SEMICONDUCTOR DEVICE | 4 |
Hirotaka Kanazawa | JP | Tokyo | 2010-07-29 / 20100187292 - METHOD AND APPARATUS FOR POSITIONING PLATE MEMBERS TO BE BUTT-WELDED | 2 |
Hideaki Kanazawa | JP | Tokyo | 2010-04-08 / 20100087064 - METHOD AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Hidehiro Kanazawa | JP | Tokyo | 2009-10-08 / 20090252977 - MULTILAYER FILM REFLECTOR | 2 |
Hashime Kanazawa | JP | Tokyo | 2009-10-08 / 20090253729 - Analgesic Agent | 1 |
Hiroyuki Kanazawa | JP | Tokyo | 2014-07-17 / 20140197260 - VERTICAL MILL | 3 |
Masayuki Kanazawa | JP | Tokyo | 2009-10-01 / 20090246955 - WAFER PROCESSING METHOD AND WAFER PROCESSING APPARATUS | 1 |
Masaki Kanazawa | JP | Tokyo | 2009-07-30 / 20090191796 - WAFER PROCESSING METHOD FOR PROCESSING WAFER HAVING BUMPS FORMED THEREON | 3 |
Hideo Kanazawa | JP | Tokyo | 2009-05-28 / 20090136443 - Drug Carrier | 1 |
Masaru Kanazawa | JP | Tokyo | 2009-05-07 / 20090116085 - Optical system and projection display device | 1 |
Noriaki Kanazawa | JP | Tokyo | 2009-03-26 / 20090082621 - Electric Bending Endoscope Apparatus | 1 |
Hiromichi Kanazawa | JP | Tokyo | 2008-10-16 / 20080254323 - MAGNETIC RECORDING MEDIUM | 1 |
Yukihiko Kanazawa | JP | Kanagawa-Ken | 2010-06-17 / 20100149567 - Image forming apparatus and image forming method | 1 |
Shin-Ichi Kanazawa | JP | Osaka | 2009-04-02 / 20090085260 - BIODEGRADABLE MATERIAL AND PROCESS FOR PRODUCING THE SAME | 1 |
Tomohiro Kanazawa | JP | Osaka-Shi | 2011-06-30 / 20110156441 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 1 |
Masao Kanazawa | JP | Wako-Shi | 2013-01-24 / 20130020980 - APPARATUS AND METHOD FOR DETERMINING DEFORMATION SPEED OF ELASTIC MEMBER, AND ACTUATOR | 2 |
Akiyoshi Kanazawa | JP | Shizuoka | 2014-06-12 / 20140159482 - POWER SUPPLY UNIT | 2 |
Seitaro Kanazawa | JP | Kanagawa | 2012-01-26 / 20120018341 - RESIN-COATED METAL SHEET AND SEAMLESS CAN MADE THEREFROM | 1 |
Seiko Kanazawa | JP | Chiyoda-Ku | 2010-03-25 / 20100075940 - DENDRITIC POLYAMIDOAMINE PHTHALOCYANINE DERIVATIVE | 1 |
Yuji Kanazawa | JP | Tokyo | 2015-07-23 / 20150207388 - Rotating Electric Machine | 1 |
Katsuyuki Kanazawa | JP | Tokyo | 2014-11-13 / 20140334654 - SOUND GENERATION SYSTEM, SOUND RECORDING SYSTEM, SOUND GENERATION METHOD, SOUND RECORDING METHOD, SOUND ADJUSTING METHOD, SOUND ADJUSTING PROGRAM, SOUND FIELD ADJUSTING SYSTEM, SPEAKER STAND, FURNITURE, SPEAKER CABINET, AND SPEAKER DEVICE | 2 |
Ikuko Kanazawa | JP | Tokyo | 2014-12-25 / 20140375578 - INFORMATION DISPLAY APPARATUS, NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM AND DISPLAY CONTROL METHOD | 7 |
Yoshikazu Kanazawa | JP | Miyazaki | 2009-09-17 / 20090231320 - Plasma Display Device | 3 |
Yoshikazu Kanazawa | JP | Kawasaki | 2014-10-16 / 20140306944 - METHOD OF DRIVING A PLASMA DISPLAY APPARATUS | 9 |
Tsuneaki Kanazawa | JP | Kanagawa | 2012-08-02 / 20120198292 - TEST APPARATUS AND TEST METHOD | 1 |
Hiroyuki Kanazawa | JP | Saitama | 2013-03-21 / 20130069425 - CONTROL APPARATUS FOR VEHICLE | 1 |
Aiko Kanazawa | JP | Kanagawa | 2015-11-05 / 20150318542 - ACTIVE MATERIAL, METHOD OF MANUFACTURING ACTIVE MATERIAL, ELECTRODE, AND SECONDARY BATTERY | 1 |
Masayuki Kanazawa | JP | Nagano-Ken | 2014-02-13 / 20140043408 - Liquid Container, Liquid Consuming Apparatus, Liquid Supply System and Liquid Container Unit | 1 |
Yoshinori Kanazawa | JP | Ashigarakami-Gun | 2015-07-02 / 20150188193 - NON-AQUEOUS LIQUID ELECTROLYTE FOR SECONDARY BATTERY AND SECONDARY BATTERY | 3 |
Akira Kanazawa | JP | Yokkaichi-Shi | 2009-10-22 / 20090262000 - Electric control system and electric control unit | 1 |
Atsushi Kanazawa | JP | Takaishi-Shi | 2011-06-30 / 20110161045 - DEVICE AND METHOD FOR DETECTING STOPPED STATE OF VEHICLE, AND ALIGNMENT ADJUSTING DEVICE | 2 |
Eiji Kanazawa | JP | Chiyoda-Ku | 2009-11-26 / 20090292452 - CONTROL APPARATUS FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Hajime Kanazawa | JP | Utsunomiya-Shi | 2013-10-24 / 20130278113 - OSCILLATORY WAVE MOTOR | 4 |
Hidenori Kanazawa | JP | Mishima-Shi | 2016-05-05 / 20160124336 - IMAGE FORMING APPARATUS AND IMAGE PROCESSING APPARATUS THAT SPECIFY PIXELS TO BE SUBJECTED TO CORRECTION, AND CORRECT EXPOSURE AMOUNT | 3 |
Yuuya Kanazawa | JP | Seto-Shi | 2014-07-03 / 20140188004 - GUIDEWIRE | 2 |
Yuko Kanazawa | JP | Aomori | 2013-12-05 / 20130321016 - ELECTRICAL TEST PROBE | 1 |
Hiroshi Kanazawa | JP | Hitachinaka | 2016-05-19 / 20160141930 - Rotor for Electric Power Steering Motor, Electric Power Steering Motor with This, and Manufacturing Therefor | 5 |
Mariko Kanazawa | JP | Kyoto-Shi | 2014-03-27 / 20140087624 - GARMENT HAVING CUP PART | 1 |
Hideo Kanazawa | JP | Toyonaka-Shi | 2013-03-28 / 20130079534 - METHOD FOR PRODUCING OLEFIN OXIDE | 3 |
Junji Kanazawa | JP | Ube-Shi | 2013-11-07 / 20130295045 - MEDICAMENT COMPRISING RECOMBINANT ANTIBODY AGAINST CHEMOKINE RECEPTOR CCR4 | 1 |
Masao Kanazawa | JP | Saitama | 2016-04-21 / 20160107310 - CONTROLLER FOR MOBILE ROBOT | 2 |
Hirofumi Kanazawa | JP | Aichi-Ken | 2010-03-18 / 20100068603 - HOLLOW FIBER MEMBRANE MODULE AND FUEL CELL SYSTEM | 2 |
Yoshihiro Kanazawa | JP | Obu-Shi | 2013-05-30 / 20130133267 - DOOR MOLDING | 1 |
Hiroyuki Kanazawa | JP | Utsunomiya-Shi | 2013-07-11 / 20130175858 - ELECTRIC VEHICLE | 1 |
Shigeki Kanazawa | JP | Yokohama-Shi | 2014-04-24 / 20140111505 - CALIBRATION METHOD FOR IMAGE PROCESSING DEVICE, CALIBRATION DEVICE, IMAGE PROCESSING DEVICE, AND DISPLAY MODULE | 1 |
Hirofumi Kanazawa | JP | Toyota-Shi | 2014-10-02 / 20140294631 - WATER PUMP | 2 |
Hironori Kanazawa | JP | Nara | 2009-12-24 / 20090314614 - BELT RETENTION GUIDE | 1 |
Hiroshi Kanazawa | JP | Hitachiota-Shi | 2014-01-30 / 20140028143 - Rotating Electrical Machine | 4 |
Hiroshi Kanazawa | JP | Ichihara-Shi | 2010-01-21 / 20100014191 - PERPENDICULAR MAGNETIC RECORDING MEDIUM AND MAGNETIC RECORDING/REPRODUCTION APPARATUS | 2 |
Hiroshi Kanazawa | JP | Hitachiota | 2014-03-27 / 20140084734 - Rotating Electrical Machine, Method for Manufacturing Magnetic Pole Piece | 10 |
Hiroyuki Kanazawa | JP | Hyogo | 2009-01-29 / 20090025990 - Work Vehicle | 1 |
Hitoshi Kanazawa | JP | Utsunomiya-Shi | 2013-05-23 / 20130127467 - MAGNETIC RESONANCE IMAGING APPARATUS AND MAGNETIC RESONANCE IMAGING METHOD | 6 |
Hoko Kanazawa | JP | Ibaraki | 2010-10-21 / 20100265685 - WIRING-CONNECTING MATERIAL AND WIRING-CONNECTED BOARD PRODUCTION PROCESS USING THE SAME | 1 |
Hoko Kanazawa | JP | Tsukuba-Shi | 2010-11-25 / 20100294551 - ADHESIVE FOR CIRCUIT CONNECTION, CIRCUIT CONNECTION METHOD USING THE SAME, AND CIRCUIT CONNECTED STRUCTURE | 1 |
Junji Kanazawa | JP | Sunto--Gun | 2009-09-10 / 20090226399 - MEDICAMENTS COMPRISING GENE RECOMBINANT ANTIBODY AGAINST GANGLIOSIDE GD3 | 1 |
Yasunori Kanazawa | JP | Osaka | 2011-05-26 / 20110123184 - CAMERA FLASH, A CAMERA, AND A METHOD OF GENERATING A FLASH | 1 |
Katsuhiko Kanazawa | JP | Ashigarakami-Gun | 2012-10-11 / 20120258153 - METHOD FOR PRODUCING HAIR COSMETIC PRODUCT | 3 |
Takaya Kanazawa | JP | Kosai-Shi | 2009-10-01 / 20090243326 - ELECTRICALLY OPERATED SEAT SLIDE APPARATUS | 1 |
Katsuhiko Kanazawa | JP | Kanagawa | 2009-11-05 / 20090274639 - COMPOSITION FOR HAIR | 2 |
Katsunori Kanazawa | JP | Osaka-Fu | 2009-01-15 / 20090018111 - Novel Antimicrobial Medicament | 1 |
Kazuhisa Kanazawa | JP | Fujisawa-Shi | 2011-12-22 / 20110310667 - SEMICONDUCTOR MEMORY DEVICE | 3 |
Keiichi Kanazawa | JP | Ome | 2013-12-19 / 20130333748 - LOW SOFTENING POINT GLASS COMPOSITION, BONDING MATERIAL USING SAME ADN ELECTRONIC PARTS | 7 |
Koji Kanazawa | JP | Tochigi | 2009-12-17 / 20090308524 - Stretch nonwoven fabric and process of producing the same | 3 |
Kunihiko Kanazawa | JP | Muko-Shi | 2008-09-25 / 20080233899 - High-frequency circuit device | 1 |
Manabu Kanazawa | JP | Yokohama-Shi | 2013-04-25 / 20130101329 - SHEET CUTTING DEVICE AND PRINTER | 7 |
Masaaki Kanazawa | JP | Kanagawa | 2016-05-12 / 20160133715 - SEMICONDUCTOR DEVICE | 3 |
Masaki Kanazawa | JP | Saitama | 2011-06-09 / 20110133561 - SEMICONDUCTOR DEVICE | 2 |
Masaki Kanazawa | JP | Niiza-Shi | 2009-10-22 / 20090262557 - BI-DIRECTIONAL DC-DC CONVERTER | 2 |
Masashi Kanazawa | JP | Yokkaichi-Shi | 2009-01-22 / 20090023326 - Electric junction box | 1 |
Hashime Kanazawa | JP | Kanagawa | 2011-01-27 / 20110020455 - SOLID DISPERSION AND PHARMACEUTICAL COMPOSITION OF THE SAME, AND PRODUCTION PROCESSES THEREOF | 1 |
Hashime Kanazawa | JP | Hamura | 2010-04-15 / 20100093782 - Pyrazolonaphthyridine derivatives | 1 |
Hashime Kanazawa | JP | Hamura-Shi | 2013-04-04 / 20130085127 - HETEROCYCLIC COMPOUND AND H1 RECEPTOR ANTAGONIST | 4 |
Masayuki Kanazawa | JP | Kodaira | 2010-12-30 / 20100329233 - POLLING METHOD AND VEHICLE SEARCH METHOD IN DIGITAL RADIO COMMUNICATION SYSTEM | 1 |
Nobuaki Kanazawa | JP | Hyogo | 2012-01-12 / 20120008035 - INFORMATION DISPLAY DEVICE | 2 |
Toshihiko Kanazawa | JP | Mie | 2012-01-05 / 20120000070 - METHOD FOR MANUFACTURING PASTE-TYPE ELECTRODE OF LEAD-ACID BATTERY AND APPARATUS THEREFOR | 1 |
Noriaki Kanazawa | JP | Kokubunji-Shi | 2009-11-19 / 20090283647 - SUPPORTING APPARATUS FOR MEDICAL INSTRUMENT | 1 |
Osamu Kanazawa | JP | Warabi-Shi | 2010-11-04 / 20100276073 - Surface Substrate Film for Motor Vehicle Brade Disc Antirust Film | 1 |
Satoru Kanazawa | JP | Gyoda-Shi | 2009-10-01 / 20090241577 - Chiller unit, refrigeration system having chiller unit and air conditioner having chiller unit | 1 |
Satoshi Kanazawa | JP | Tsukuba-Shi | 2010-09-23 / 20100240599 - ANTIOXIDANT CONSTITUENTS | 1 |
Seiji Kanazawa | JP | Aomori | 2010-07-01 / 20100164520 - METHOD AND APPARATUS FOR TESTING INTEGRATED CIRCUIT | 1 |
Seitarou Kanazawa | JP | Kanagawa | 2009-09-03 / 20090220714 - RESIN-COATED SEAMLESS ALUMINUM CAN AND RESIN-COATED ALUMINUM ALLOY LID | 1 |
Shigeo Kanazawa | JP | Ishikawa | 2009-07-09 / 20090174304 - HOT-MELT TYPE MEMBER AND ORGANIC EL DISPLAY PANEL | 1 |
Shigeru Kanazawa | JP | Saitama-Ken | 2011-01-06 / 20110003834 - PRODUCTION METHOD AND PRODUCTION APPARATUS FOR A HIGH THEOBROMINE-CONTAINING COMPOSITION | 1 |
Takanori Kanazawa | JP | Tsukuba-Shi | 2008-11-06 / 20080275127 - Arylsulfonamido-substituted hydroxamic acid derivatives | 1 |
Takanori Kanazawa | JP | Tsukuba | 2011-08-18 / 20110201606 - PYRIMIDINE DERIVATIVES | 2 |
Izumi Kanazawa | JP | Wako-Shi | 2012-05-03 / 20120103706 - ELECTRIC VEHICLE | 1 |
Takanori Kanazawa | JP | Ibaraki | 2011-04-28 / 20110098280 - 2,4-PYRIMIDINEDIAMINES USEFUL IN THE TREATMENT OF NEOPLASTIC DISEASES, INFLAMMATORY AND IMMUNE SYSTEM DISORDERS | 5 |
Satoshi Kanazawa | JP | Saitama | 2012-10-11 / 20120259522 - START CLUTCH CONTROL DEVICE | 2 |
Tomohiro Kanazawa | JP | Muroran-Shi | 2009-03-12 / 20090064902 - GROUTING MATERIAL | 1 |
Shusuke Kanazawa | JP | Ueda-Shi | 2010-04-29 / 20100102238 - LUMINESCENCE QUANTUM EFFICIENCY MEASURING INSTRUMENT | 1 |
Akari Kanazawa | JP | Saitama | 2016-02-11 / 20160041382 - Re-Imaging Optical System | 1 |
Yasunori Kanazawa | JP | Nara | 2009-01-08 / 20090010122 - Aberration Detector and Optical Pickup With Same | 1 |
Yasushi Kanazawa | JP | Yokohama-Shi | 2008-08-28 / 20080205578 - APPARATUS AND METHOD FOR REINFORCING JET PUMP RISER | 1 |
Ikuko Kanazawa | JP | Hino-Shi | 2011-06-16 / 20110143024 - SOLID LUBRICANT-COATING DEVICE AND IMAGE-FORMING APPARATUS | 2 |
Takuya Kanazawa | JP | Tokyo | 2015-06-11 / 20150158982 - SILICON-CONTAINING CURING COMPOSITION AND CURED PRODUCT THEREOF | 2 |
Yuichiro Kanazawa | JP | Okazaki-Shi | 2011-03-31 / 20110075099 - OPTOMETRIC APPARATUS | 6 |
Yukio Kanazawa | JP | Konan-Ku | 2010-12-30 / 20100326959 - GAS INSULATED SWITCHGEAR AND METHOD FOR DETECTING ARC DAMAGE IN A GAS INSULATED SWITCHGEAR PART | 2 |
Shoko Kanazawa | JP | Tokyo | 2013-03-28 / 20130080088 - SEMICONDUCTOR CHIP TESTING METHOD AND SEMICONDUCTOR CHIP TESTING DEVICE | 1 |
Houko Kanazawa | JP | Ibaraki-Ken | 2011-05-19 / 20110114893 - CIRCUIT-CONNECTING MATERIAL AND CIRCUIT TERMINAL CONNECTED STRUCTURE AND CONNECTING METHOD | 1 |
Shuhei Kanazawa | JP | Soraku-Gun | 2008-12-11 / 20080304523 - Method of increasing the contrast of a high-intensity laser | 1 |
Chikashi Kanazawa | JP | Hino-Shi | 2016-02-11 / 20160039784 - PYRAZOLE DERIVATIVE | 1 |
Masaaki Kanazawa | JP | Kawasaki-Shi | 2015-02-12 / 20150041821 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Koji Kanazawa | JP | Tokyo | 2013-03-28 / 20130077934 - AV INFORMATION REPRODUCING SYSTEM AND A REPRODUCING METHOD APPLIED TO THE SAME SYSTEM | 1 |
Masaru Kanazawa | JP | Kawasaki | 2014-01-16 / 20140015715 - PORTABLE TERMINAL DEVICE AND WIRELESS COMMUNICATION METHOD | 9 |
Akiyoshi Kanazawa | JP | Susono-Shi | 2015-10-15 / 20150291128 - ELECTRONIC KEY SYSTEM | 8 |
Ritsuko Kanazawa | JP | Yokohama | 2013-06-06 / 20130144981 - CONTENT RECEIVING DEVICE, AND CONTENT DISTRIBUTION SYSTEM | 2 |
Yoshihiro Kanazawa | JP | Yamatsuri | 2011-11-24 / 20110289639 - VARIETY OF ANEMONE PLANT NAMED 'PRETTY LADY SUSAN' | 3 |
Nori Kanazawa | US | San Rafael | 2010-04-01 / 20100080447 - Methods and Apparatus for Dot Marker Matching | 1 |
Sachiyo Kanazawa | JP | Osaka | 2015-05-21 / 20150138378 - IMAGE OUTPUT DEVICE AND METHOD OF OUTPUTTING IMAGE | 2 |
Yusuke Kanazawa | JP | Osaka-Shi | 2016-05-12 / 20160132149 - TOUCH PANEL CONTROLLER, INTEGRATED CIRCUIT, TOUCH PANEL DEVICE, AND ELECTRONIC DEVICE | 6 |
Tomoko Kanazawa | JP | Osaka-Shi | 2015-12-03 / 20150346615 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR AND IMAGE FORMING APPARATUS PROVIDED WITH THE SAME | 1 |
Shinichi Kanazawa | JP | Osaka-Shi | 2016-04-28 / 20160114269 - FILTERING DEVICE, BALLAST WATER TREATMENT METHOD, AND BALLAST WATER TREATMENT APPARATUS USING THE SAME | 3 |
Kenji Kanazawa | JP | Tsukuba-Shi | 2014-09-25 / 20140283597 - Sensor Device Having Plural Resistance Change Type Sensors and Method of Using the Same | 1 |
Yoshinori Kanazawa | JP | Kanagawa | 2016-05-05 / 20160122486 - OPTICAL FILM, AND POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY DEVICE EMPLOYING SAME | 3 |
Ai Kanazawa | JP | Kyoto | 2014-09-25 / 20140285491 - DISPLAY CONTROL DEVICE | 1 |
Yasuo Kanazawa | JP | Tokyo | 2011-12-15 / 20110305196 - COMMUNICATION SYSTEM, FEMTO-CELL BASE STATION, AUTHENTICATION DEVICE, COMMUNICATION METHOD, AND MEMORY MEDIUM | 1 |
Hiroshi Kanazawa | SG | Singapore | 2014-08-28 / 20140242419 - PERPENDICULAR RECORDING MEDIUM FOR HARD DISK DRIVES | 2 |
Hideko Kanazawa | JP | Sagamihara-Shi | 2014-05-08 / 20140124445 - TEMPERATURE-RESPONSIVE MONOLITHIC POROUS BODY, METHOD FOR PRODUCING SAME, AND TEMPERATURE-RESPONSIVE CHROMATOGRAPHY METHOD USING SAME | 2 |
Takeshi Kanazawa | JP | Kanagawa | 2016-02-25 / 20160057281 - BASE STATION DEVICE, GATEWAY DEVICE, CALL CONNECTING METHOD, AND WIRELESS COMMUNICATION SYSTEM | 19 |
Atsushi Kanazawa | JP | Takahagi-Shi | 2014-10-23 / 20140316342 - TWO-CHAMBER TYPE COMBINED CONTAINER SYRINGE | 1 |
Yukako Kanazawa | JP | Sakai-Shi | 2015-12-17 / 20150362199 - AIR CONDITIONING APPARATUS | 4 |
Manabu Kanazawa | JP | Tokyo | 2014-10-23 / 20140316303 - COLOR SCALE FOR XYLITOL GUM FOR ASSESSING MASTICATORY FORCE | 1 |
Ryoichi Kanazawa | JP | Tokyo | 2013-10-03 / 20130255303 - COOLING DEVICE FOR USE IN SPACE ENVIRONMENT | 1 |
Miyuki Kanazawa | JP | Tokyo | 2014-01-16 / 20140017156 - METHOD FOR PRODUCING SILICON USING MICROWAVE, AND MICROWAVE REDUCTION FURNACE | 1 |
Hiroshi Kanazawa | JP | Tokyo | 2014-03-27 / 20140084755 - ON-VEHICLE ROTARY ELECTRIC MACHINE | 2 |
Akari Kanazawa | JP | Tokyo | 2014-07-17 / 20140198398 - Endoscope Objective Optical System | 2 |
Yukimasa Kanazawa | JP | Osaka-Shi | 2015-04-23 / 20150108363 - AIR BLOWING DEVICE | 2 |
Takuma Kanazawa | JP | Saitama | 2012-10-04 / 20120251900 - FUEL CELL SYSTEM | 1 |
Akiyoshi Kanazawa | JP | Susono-Shi | 2015-10-15 / 20150291128 - ELECTRONIC KEY SYSTEM | 8 |
National University Corporation Kanazawa University | US | 2013-10-03 / 20130259271 - EARPHONE AND EAR-WORN BONE CONDUCTION DEVICE | 1 | |
National University Corporation Kanazawa University | JP | Ishikawa | 2013-06-06 / 20130140919 - POWER GENERATION ELEMENT AND POWER GENERATION APPARATUS INCLUDING POWER GENERATION ELEMENT | 1 |
Vladislav I. Kanazirev | US | Arlington Heights | 2015-08-06 / 20150217271 - PROTECTED ADSORBENTS FOR MERCURY REMOVAL AND METHOD OF MAKING AND USING SAME | 18 |
Naruto Kanazu | JP | Aichi-Ken | 2011-02-17 / 20110039173 - FUEL CELL SYSTEM | 1 |
Motoki Kanba | JP | Okazaki-Shi | 2011-05-26 / 20110121992 - WRONG-WAY-TRAVEL WARNING DEVICE AND WRONG-WAY-TRAVEL WARNING METHOD | 4 |
Motoki Kanba | JP | Okazaki | 2011-02-24 / 20110044543 - FEATURE EXTRACTION METHOD, AND IMAGE RECOGNITION METHOD AND FEATURE DATABASE CREATION METHOD USING THE SAME | 6 |
Satoshi Kanba | JP | Tokushima | 2012-12-06 / 20120308039 - SOUND SOURCE SEPARATION SYSTEM, SOUND SOURCE SEPARATION METHOD, AND ACOUSTIC SIGNAL ACQUISITION DEVICE | 2 |
Koji Kanba | JP | Kangawa | 2009-03-26 / 20090083595 - Scan test circuit | 1 |
Noboru Kanba | JP | Gunma | 2011-10-06 / 20110240401 - CARBONACEOUS ACOUSTIC DIAPHRAGM AND METHOD FOR MANUFACTURING THE SAME | 2 |
Misao Kanba | JP | Mie | 2012-12-06 / 20120306063 - HIGH-FREQUENCY MODULE MANUFACTURING METHOD | 2 |
Ichiro Kanba | JP | Hiroshima-Shi | 2008-08-28 / 20080203272 - PRESSING MOLD AND METHOD FOR PRODUCING THE SAME | 1 |
Motoki Kanba | JP | Aichi-Ken | 2010-11-18 / 20100292895 - DRIVING SUPPORT DEVICE | 2 |
Motoki Kanba | JP | Osaka | 2012-03-15 / 20120065811 - MAP DATA UPDATE SYSTEM, MAP DATA UPDATE METHOD, COMPUTER-READABLE STORAGE MEDIUM STORING MAP DATA UPDATE PROGRAM | 1 |
Chika Kanba | JP | Susono-Shi | 2013-07-25 / 20130186074 - INTERNAL COMBUSTION ENGINE | 4 |
Koji Kanba | JP | Kawasaki | 2009-05-21 / 20090132883 - TEST CIRCUIT | 1 |
Motoki Kanba | JP | Anjo-Shi | 2011-03-10 / 20110060524 - DEVICE, METHOD, AND PROGRAM FOR SPECIFYING RELIABILITY OF INFORMATION USED IN DRIVING SUPPORT | 2 |
Noboru Kanba | JP | Fujioka-Shi | 2012-05-17 / 20120118872 - FIXING HEATER AND MANUFACTURING METHOD THEREOF | 1 |
Chika Kanba | JP | Mishima-Shi | 2013-02-14 / 20130036725 - INTERNAL COMBUSTION ENGINE | 1 |
Seiji Kanbara | JP | Osaka-Shi | 2013-04-11 / 20130087555 - MICROWAVE OVEN | 1 |
Toshimasa Kanbara | JP | Kashiwada-City | 2012-01-12 / 20120008095 - PROJECTION DISPLAY DEVICE AND SPEAKER DEVICE | 1 |
Teruhisa Kanbara | JP | Osaka | 2013-08-22 / 20130216939 - SEPARATOR FOR POLYMER ELECTROLYTE FUEL CELL, AND POLYMER ELECTROLYTE FUEL CELL | 1 |
Tadashi Kanbara | JP | Osaka | 2015-09-10 / 20150251995 - MULTIFUNCTIONAL NITRILEOXIDE COMPOUND | 1 |
Nobuhiko Kanbara | JP | Tokyo | 2015-09-17 / 20150260646 - LASER GAS ANALYZER | 3 |
Hisashige Kanbara | JP | Oyama-Shi, Tochigi | 2015-11-05 / 20150316457 - CANCER CELL ISOLATION DEVICE AND CANCER CELL ISOLATION METHOD | 1 |
Seiji Kanbara | JP | Kobe-Shi | 2014-03-20 / 20140076257 - INTERNAL COMBUSTION ENGINE | 1 |
Takeshi Kanbara | JP | Yamaguchi | 2009-07-23 / 20090184312 - BENZOFLUORENE COMPOUND AND USE THEREOF | 1 |
Takashi Kanbara | JP | Ibaraki | 2014-11-13 / 20140333590 - DIGITAL PEN | 1 |
Masayoshi Kanbara | JP | Osaka-Shi | 2015-01-29 / 20150033263 - CONTENT DISPLAY DEVICE, TELEVISION RECEIVER, MENU SCREEN GENERATION METHOD, AND RECORDING MEDIUM | 2 |
Toshihiro Kanbara | JP | Shiga | 2010-05-13 / 20100121465 - GROUP MANAGEMENT APPARATUS AND GROUP MANAGEMENT PROGRAM | 2 |
Toshimasa Kanbara | JP | Kishiwada-City | 2011-11-17 / 20110279792 - PROJECTION DISPLAY DEVICE | 6 |
Kenji Kanbara | JP | Osaka-Shi | 2016-03-31 / 20160093749 - SILICON CARBIDE SEMICONDUCTOR DEVICE | 3 |
Motoya Kanbara | JP | Nishio-City | 2016-04-28 / 20160115927 - FUEL INJECTION VALVE | 3 |
Kousuke Kanbara | JP | Tokyo | 2012-03-29 / 20120078112 - ULTRASONIC DIAGNOSTIC APPARATUS AND ULTRASONIC PROBE USED IN SAME | 1 |
Satoru Kanbara | JP | Toyota-Shi, Aichi | 2016-02-11 / 20160040591 - LUBRICANT FEED MECHANISM FOR TURBOCHARGER | 1 |
Eiji Kanbara | JP | Kawasaki-Shi | 2009-11-05 / 20090275696 - Vapor Grown Carbon Fiber, and Production Method and Use Thereof | 1 |
Takashi Kanbara | JP | Ikeda-Shi | 2011-03-17 / 20110062870 - POWER SUPPLY DEVICE, LAMP FITTING, AND VEHICLE | 1 |
Tatsuji Kanbara | JP | Higashiomi-Shi | 2011-01-13 / 20110005581 - Photovoltaic Power Generating System | 1 |
Toshimasa Kanbara | JP | Kishiwada-Shi | 2010-06-10 / 20100141900 - LIGHT SOURCE DEVICE AND PROJECTOR | 4 |
Hidekazu Kanbara | JP | Hyogo | 2009-11-12 / 20090277911 - PACKAGING METHOD AND PACKAGING CONTAINER | 1 |
Hisashige Kanbara | JP | Tochigi-Ken | 2010-09-30 / 20100247940 - Optical resin composition, optical resin material using the same, optical filter for image display device, and image display device | 2 |
Takaaki Kanbara | JP | Tomi-City | 2009-06-25 / 20090160903 - INK RECEIVING UNIT AND INKJET PRINTER INCLUDING THE SAME | 3 |
Yutaka Kanbara | JP | Niigata | 2013-11-14 / 20130303775 - PROCESS FOR PRODUCTION OF AROMATIC ALCOHOL OR HETEROCYCLIC AROMATIC ALCOHOL | 2 |
Masayoshi Kanbara | JP | Osaka | 2010-09-09 / 20100229078 - CONTENT DISPLAY CONTROL APPARATUS, CONTENT DISPLAY CONTROL METHOD, PROGRAM, AND STORAGE MEDIUM | 1 |
Tatsuji Kanbara | JP | Ebina-Shi | 2014-06-26 / 20140174510 - ATTACHMENT MEMBER AND SOLAR CELL ARRAY USING SAME | 2 |
Osamu Kanbara | JP | Osaka | 2014-01-23 / 20140025207 - COOLING SYSTEM CONTROL APPARATUS | 2 |
Fumiyoshi Kanbara | JP | Wako-Shi | 2015-02-05 / 20150033592 - AUGER SNOW BLOWER | 3 |
Seiji Kanbara | JP | Iwata-Shi | 2012-05-10 / 20120111141 - CAM FOLLOWER AND METHOD FOR PRODUCING CAM FOLLOWER | 1 |
Seiji Kanbara | JP | Nishinomiya-Shi | 2009-07-30 / 20090188912 - COOKING DEVICE | 1 |
Seiji Kanbara | JP | Nishinomiya | 2009-08-20 / 20090206076 - COOKING DEVICE | 1 |
Takaaki Kanbara | JP | Nagano | 2015-07-23 / 20150202888 - RETAINING JIG, PRINT DEVICE AND PRINT METHOD | 1 |
Masahiro Kanbara | JP | Tokyo | 2009-02-26 / 20090051228 - ACTUATOR UNIT | 1 |
Masayuki Kanbara | JP | Tokyo | 2009-07-02 / 20090169133 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING SYSTEM, IMAGE PROCESSING METHOD AND IMAGE PROCESSING PROGRAM | 1 |
Toshimasa Kanbara | JP | Osaka | 2011-11-17 / 20110279785 - PROJECTION DISPLAY DEVICE | 2 |
Hisashige Kanbara | JP | Oyama-Shi | 2015-04-23 / 20150111293 - CANCER CELL-TRAPPING METAL FILTER, CANCER CELL-TRAPPING METAL FILTER SHEET, CANCER CELL-TRAPPING DEVICE, AND MANUFACTURING METHODS THEREFOR | 4 |
Tadashi Kanbara | JP | Settsu-Shi, Osaka | 2016-01-07 / 20160002153 - FLUORINE-CONTAINING NITRILE-OXIDE COMPOUND | 1 |
Keita Kanbara | JP | Miyagi | 2014-07-24 / 20140202631 - METHOD OF AFFIXING HEAT TRANSFER SHEET | 1 |
Toshiyuki Kanbara | JP | Tokyo | 2016-05-19 / 20160142645 - ELECTRONIC APPARATUS, METHOD FOR CONTROLLING ELECTRONIC APPARATUS, AND CONTROL PROGRAM | 1 |
Satoru Kanbara | JP | Toyota-Shi | 2015-04-02 / 20150093239 - TURBOCHARGER BEARING HOUSING | 4 |
Hironori Kanbara | JP | Osaka-Shi | 2013-05-16 / 20130124000 - POWER CONTROL NETWORK SYSTEM, POWER CONTROL METHOD, AND POWER CONTROLLER | 1 |
Yasuo Kanbara | JP | Tokushima | 2008-12-11 / 20080303032 - Bulk Mono-Crystalline Gallium-Containing Nitride and Its Application | 1 |
Hiroshi Kanbara | JP | Kamisu-Shi | 2016-02-25 / 20160053097 - RUBBER COMPOSITION, VULCANIZED RUBBER, AND TIRE | 1 |
Takaki Kanbara | JP | Tsukuba-Shi | 2012-12-27 / 20120329978 - POLYMER DYE | 1 |
Hiroshi Kanbara | JP | Annaka-Shi | 2014-10-02 / 20140296380 - METHOD FOR MANUFACTURING MICRO-STRUCTURE | 2 |
Eiji Kanbara | JP | Tokyo | 2015-01-15 / 20150017087 - CARBON FIBER AND CATALYST FOR MANUFACTURE OF CARBON FIBER | 1 |
Takahito Kanbara | JP | Settsu-Shi | 2010-06-10 / 20100143641 - WATER- AND OIL-REPELLENT TREATMENT OF TEXTILE | 1 |
Tadaaki Kanbara | JP | Tokyo | 2008-08-28 / 20080208058 - ULTRASOUND DIAGNOSTIC APPARATUS | 1 |
Takayuki Kanbara | JP | Kanagawa | 2009-09-03 / 20090218049 - Method and Apparatus for Bonding Polarizing Plate | 1 |
Akihiro Kanbara | JP | Kakogawa | / - | 1 |
Toshihiro Kanbara | JP | Kusatsu-Shi | 2012-12-27 / 20120330469 - ENERGY MANAGEMENT SYSTEM | 1 |
Seiji Kanbara | JP | Shizuoka | 2015-01-15 / 20150013420 - CAM FOLLOWER AND METHOD FOR PRODUCING CAM FOLLOWER | 1 |
Yasuo Kanbara | JP | Anan-Shi | 2009-12-24 / 20090315012 - LIGHT EMITTING DEVICE STRUCTURE HAVING NITRIDE BULK SINGLE CRYSTAL LAYER | 2 |
Takashi Kanbayashi | JP | Tokyo | 2010-12-02 / 20100300837 - OBJECT MOVING APPARATUS | 1 |
Ryo Kanbayashi | JP | Huchu | 2016-04-21 / 20160112506 - COMPUTER SYSTEM, PROCESSING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM HAVING JOB PROCESSING PROGRAM | 1 |
Tatsuaki Kanbayashi | JP | Shiga | 2014-05-29 / 20140148537 - ELASTIC POLYURETHANE THREAD AND MANUFACTURING METHOD THEREOF | 3 |
Satoshi Kanbayashi | JP | Hagagun, Tochigi | 2015-12-03 / 20150343578 - METHOD AND DEVICE FOR MOUNTING PISTON | 1 |
Ryo Kanbayashi | JP | Osaka | 2016-03-31 / 20160094736 - INFORMATION PROCESSING APPARATUS, COMMUNICATION DEVICE, AND INFORMATION PROCESSING SYSTEM | 2 |
Shigehisa Kanbayashi | JP | Shiga | 2011-02-10 / 20110034696 - PROCESS FOR PRODUCING ANTHRANILAMIDE COMPOUND | 1 |
Nobumasa Kanbayashi | JP | Nagano | 2013-02-28 / 20130050567 - Zoom Lens System and Image Pickup Apparatus Using the Same | 1 |
Yuuichi Kanbayashi | JP | Osaka-Shi | 2016-03-24 / 20160084451 - LIGHT EMITTING DEVICE | 5 |
Shizuo Kanbayashi | JP | Iwaki-City | 2014-11-27 / 20140347288 - ELECTRONIC DEVICE AND OPERATION INPUT METHOD | 1 |
Shigehisa Kanbayashi | JP | Kusatsu-Shi | 2014-04-17 / 20140107138 - FUNGICIDAL COMPOSITION AND METHOD FOR CONTROLLING PLANT DISEASES | 5 |
Hatsuki Kanbayashi | JP | Higashitagawagun-Mikawamachi | 2008-10-02 / 20080239642 - ELECTRONIC APPARATUS, MOISTURE-PROOF STRUCTURE OF ELECTRONIC APPARATUS, AND METHOD FOR PRODUCING ELECTRONIC APPARATUS | 1 |
Yayoi Kanbayashi | US | 2011-09-29 / 20110237533 - NOVEL POLYPHENOL COMPOUND | 1 | |
Tadaaki Kanbayashi | JP | Niigata | 2015-03-26 / 20150086410 - HIGH RIGID SPHEROIDAL GRAPHITE CAST IRON | 1 |
Masahiro Kanbayashi | JP | Ibaraki-Shi | 2008-10-02 / 20080242832 - Semi-conductive polyimide film | 1 |
Satoshi Kanbayashi | JP | Kawasaki | 2014-08-28 / 20140238980 - WATERPROOFING CASE AND METHOD OF MANUFACTURING WATERPROOFING CASE | 6 |
Atsushi Kanbayashi | JP | Tokyo | 2014-12-25 / 20140378517 - FORMULATIONS OF ENZALUTAMIDE | 4 |
Yuichi Kanbayashi | JP | Osaka | 2015-10-15 / 20150293409 - SPATIAL LIGHT MODULATOR COMPRISING A LIQUID CRYSTAL DEVICE HAVING REDUCED STRAY LIGHT | 2 |
Yuuichi Kanbayashi | JP | Osaka | 2015-10-01 / 20150277169 - ACTIVE LIQUID CRYSTAL DIFFRACTION ELEMENT AND PHASE-MODULATING HOLOGRAPHIC DISPLAY | 5 |
Hideaki Kanbayashi | JP | Kanagawa | 2011-11-10 / 20110273141 - HYBRID WORKING MACHINE AND ELECTRIC POWER ACCUMULATION CONTROLLER | 4 |
Shinichi Kanbayashi | JP | Yokohama-Shi | 2011-11-10 / 20110275001 - FUEL CELL | 2 |
Satoshi Kanbayashi | JP | Tochigi | 2012-03-22 / 20120071074 - GRINDING STONE, MANUFACTURING METHOD OF GRINDING STONE, AND MANUFACTURING APPARATUS OF GRINDING STONE | 2 |
Satoshi Kanbayashi | JP | Hagagun | 2013-03-14 / 20130065496 - GRINDSTONE, GRINDSTONE MANUFACTURING METHOD, BORING TOOL, ABRASIVE GRAIN POSITIONING JIG, AND RELIEF SURFACE FORMING METHOD | 1 |
Hatsuki Kanbayashi | JP | Yamagata-Ken | 2010-09-16 / 20100231579 - Electrophoretic Display Device, Electronic Device, and Drive Method for an Electrophoretic Display Panel | 2 |
Nobumasa Kanbayashi | JP | Suwa-Shi | 2011-04-07 / 20110080649 - Zoom lens and image pickup apparatus equipped with same | 2 |
Tadashi Kanbayashi | JP | Omihachiman | 2010-03-25 / 20100076327 - BLOOD PRESSURE METER | 1 |
Yuichi Kanbayashi | JP | Osaka-Shi | 2012-07-05 / 20120169962 - OPTICAL SENSOR AND DISPLAY DEVICE | 1 |
Tatsuaki Kanbayashi | JP | Otsu-Shi | 2009-03-05 / 20090061716 - Elastic Polyurethane Yarn and Method of Manufacturing the Same | 1 |
Katsuhisa Kanbayashi | JP | Kanagawa | 2013-08-22 / 20130217581 - OXIDE SUPERCONDUCTIVITY WIRE MATERIAL AND METHOD OF MANUFACTURING THEREOF | 1 |
Takaaki Kanbayashi | JP | Tokyo | 2015-06-11 / 20150158982 - SILICON-CONTAINING CURING COMPOSITION AND CURED PRODUCT THEREOF | 1 |
Shinobu Kanbe | JP | Komaki-Shi | 2013-03-21 / 20130068336 - VEHICLE-MOUNTED HEAT-RESISTANT HOSE | 1 |
Koji Kanbe | JP | Kawasaki-Shi | 2014-10-23 / 20140311601 - STEAM TURBINE PIPE AND PIPE | 1 |
Yuki Kanbe | JP | Ome Tokyo | 2016-04-28 / 20160117140 - ELECTRONIC APPARATUS, PROCESSING METHOD, AND STORAGE MEDIUM | 2 |
Masaki Kanbe | JP | Gifu | 2009-09-17 / 20090231489 - MOBILE COMMUNICATION TERMINAL HAVING BROADCAST RECEPTION FUNCTION | 1 |
Yuki Kanbe | JP | Ome-Shi | 2014-12-04 / 20140359532 - ELECTRONIC DEVICE, DISPLAY CONTROL METHOD AND STORAGE MEDIUM | 5 |
Shigehiro Kanbe | JP | Maeba-Shi | 2009-06-11 / 20090145263 - ENGINE STARTER | 1 |
Sadao Kanbe | JP | Nagano | 2009-05-21 / 20090127728 - Microcapsule composition for electrophoretic displays | 1 |
Motomichi Kanbe | JP | Osaka | 2008-09-11 / 20080220308 - Source blank for stainless steel three-ply clad sheet, production methods of clad steel plate and sheet for solid polymer type fuel cell separator, and solid polymer type fuel cell separator | 1 |
Sei Kanbe | JP | Owariasahi-City | 2013-08-29 / 20130224499 - CUT-OUT SINTERED CERAMIC SHEET AND METHOD OF MANUFACTURING THE SAME | 3 |
Takahiro Kanbe | JP | Inuyama-Shi | 2014-07-24 / 20140205399 - AUTOMATIC STORAGE RACK | 1 |
Michio Kanbe | JP | Higashimurayama-Shi | 2012-11-01 / 20120276055 - COMPOSITION CONTAINING BACTERIUM CAPABLE OF PRODUCING PROPIONIC ACID BACTERIUM, AND USE THEREOF | 2 |
Makoto Kanbe | JP | Sakurai-Shi | 2011-02-17 / 20110037914 - Liquid crystal display device | 2 |
Tetsuya Kanbe | JP | Chiba-Shi | 2012-11-29 / 20120300600 - THERMALLY ASSISTED MAGNETIC RECORDING MEDIUM AND MAGNETIC RECORDING AND REPRODUCING DEVICE | 2 |
Akihiro Kanbe | JP | Gunma | 2011-02-10 / 20110031953 - ENVELOPE TRACKING POWER SUPPLY CIRCUIT AND HIGH-FREQUENCY AMPLIFIER INCLUDING ENVELOPE TRACKING POWER SUPPLY CIRCUIT | 1 |
Kiichiro Kanbe | JP | Shiojiri-Shi | 2012-03-22 / 20120068400 - TRANSPORT DEVICE AND RECORDING APPARATUS | 1 |
Hideyoshi Kanbe | JP | Chiba | 2010-12-23 / 20100323025 - TIMED-RELEASE PHARMACEUTICAL PREPARATION | 1 |
Tomohiro Kanbe | JP | Nagoya-Shi | 2016-04-07 / 20160096376 - INK CONTAINING DEVICE AND INK SUPPLY DEVICE | 61 |
Makoto Kanbe | JP | Osaka | 2011-08-25 / 20110206832 - METHOD FOR EJECTING DROPLET OF ALIGNMENT MATERIAL AND DEVICE FOR THE SAME | 1 |
Satoshi Kanbe | JP | Chiba | 2010-12-09 / 20100308261 - SYSTEM AND METHOD FOR PRODUCING IODINE COMPOUND | 3 |
Fuyuki Kanbe | JP | Oume-Shi | 2014-09-18 / 20140265639 - BATTERY MODULE | 1 |
Koji Kanbe | JP | Kawasaki | 2015-03-12 / 20150068207 - STEAM TURBINE FACILITY AND METHOD OF OPERATING THE SAME | 1 |
Makoto Kanbe | JP | Osaka-Shi | 2016-02-18 / 20160048045 - DISPLAY COMPONENT, DISPLAY DEVICE, AND METHOD OF PRODUCING DISPLAY COMPONENT | 4 |
Takao Kanbe | JP | Saitama | 2014-01-23 / 20140022654 - LENS APPARATUS | 1 |
Takahiro Kanbe | JP | Tokyo | 2014-03-06 / 20140067089 - MAINTENANCE SUPPORT SYSTEM, MAINTENANCE SUPPORT APPARATUS AND MAINTENANCE SUPPORT METHOD | 3 |
Shigehiro Kanbe | JP | Maebasi-Shi | 2010-11-25 / 20100294078 - ENGINE STARTER | 1 |
Hideo Kanbe | JP | Kanagawa | 2015-05-07 / 20150122995 - SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS | 21 |
Tetsuya Kanbe | JP | Ichihara-Shi | 2015-03-26 / 20150085628 - MAGNETIC RECORDING MEDIUM AND MAGNETIC RECORDING AND REPRODUCING APPARATUS | 10 |
Makoto Kanbe | JP | Fussa-Shi | 2012-02-02 / 20120027179 - INDUSTRIAL X-RAY GENERATOR | 2 |
Yuki Kanbe | JP | Nagaokakyo-Shi | 2015-06-11 / 20150162126 - COMMON MODE CHOKE COIL AND MANUFACTURING METHOD THEREOF | 2 |
Shigehiro Kanbe | JP | Kiryu-Shi | 2015-02-19 / 20150047594 - STARTER | 3 |
Kazuhiro Kanbe | JP | Kanagawa | 2014-01-02 / 20140000660 - CLEANING APPARATUS AND CLEANING METHOD | 1 |
Michio Kanbe | JP | Tokyo | 2014-02-06 / 20140037605 - ANTICOCCIDIAL COMPOSITION | 2 |
Yousuke Kanbe | JP | Toyohashi-Shi | 2015-02-12 / 20150042192 - YOKE HOUSING, MOTOR, AND METHOD FOR MANUFACTURING YOKE HOUSING | 2 |
Chika Kanbe | JP | Tokyo | 2011-10-20 / 20110256444 - SECONDARY BATTERY HAVING THIRD TERMINAL IN ADDITION TO POSITIVE AND NEGATIVE ELECTRODE TERMINALS AND STORAGE BATTERY USING THE SAME | 2 |
Tetsuya Kanbe | JP | Chiba | 2015-04-30 / 20150117166 - MAGNETIC RECORDING MEDIUM AND MAGNETIC STORAGE APPARATUS | 5 |
Kenji Kanbe | JP | Yokohama-Shi | 2014-10-30 / 20140322775 - METHOD FOR PRODUCING SCYLLO-INOSITOL | 3 |
Yuki Kanbe | JP | Tokyo | 2015-04-30 / 20150121027 - ELECTRONIC APPARATUS AND METHOD | 1 |
Makoto Kanbe | JP | Nara | 2010-10-07 / 20100255208 - DROPLET APPLYING DEVICE, DROPLET APPLYING METHOD, LIQUID CRYSTAL DISPLAY PANEL MANUFACTURING APPARATUS, AND LIQUID CRYSTAL DISPLAY PANEL MANUFACTURING METHOD | 2 |
Yuki Kanbe | JP | Ome City | 2012-05-31 / 20120134641 - Electronic Apparatus and Method of Displaying Bar | 1 |
Toshikazu Kanbe | JP | Kyoto | 2010-03-11 / 20100059172 - IN-MOLD DECORATING APPARATUS AND METHOD FOR MANUFACTURING IN-MOLD DECORATED MOLDED PRODUCT | 1 |
Sadao Kanbe | JP | Suwa-Shi | 2012-10-18 / 20120263867 - COMPOSITION, FILM MANUFACTURING METHOD, AS WELL AS FUNCTIONAL DEVICE AND MANUFACTURING METHOD THEREFOR | 6 |
Tetsuya Kanbe | JP | Ichihara-Shi | 2015-03-26 / 20150085628 - MAGNETIC RECORDING MEDIUM AND MAGNETIC RECORDING AND REPRODUCING APPARATUS | 10 |
Masaki Kanbe | JP | Osaka | 2012-04-26 / 20120101722 - PORTABLE ELECTRONIC DEVICE, AND METHOD FOR OPERATING PORTABLE ELECTRONIC DEVICE | 2 |
Takeshi Kanbe | JP | Tokushima-Shi | 2010-04-22 / 20100100807 - DATA PROCESSING DEVICE, AND DATA PROCESSING METHOD | 1 |
Takao Kanbe | JP | Saitama-Shi | 2010-03-25 / 20100073784 - LENS MOVING FRAME AND LENS DEVICE | 1 |
Shinya Kanbe | JP | Aichi | 2010-03-18 / 20100068386 - AQUEOUS COATING AGENT | 1 |
Kuniaki Kanbe | JP | Ibaraki | 2010-02-18 / 20100038105 - Reciprocating Tool | 1 |
Erdogan Kanbur | DE | Gechingen | 2013-03-07 / 20130055906 - ROASTING AND/OR GRILLING APPARATUS AND HEATING INSERT FOR A ROASTING AND/OR GRILLING APPARATUS OF THIS KIND | 1 |
Chitra Kanchagar | US | Auburn | 2013-10-10 / 20130266939 - SYSTEMS AND METHODS FOR STUDYING INFLAMMATION-DRUG INTERACTIONS | 1 |
Rahul Kanchan | SE | Vasteras | 2015-07-02 / 20150188474 - CONTROL SYSTEM AND METHOD FOR AN ELECTRIC THREE-PHASE VARIABLE SPEED MOTOR | 4 |
Neha Kanchan | IN | Uttar Pradesh | 2012-02-09 / 20120035984 - Novel Methods for Supply Chain Management Incorporating Uncertainty | 1 |
Rama Kanchana | US | Dublin | 2014-04-17 / 20140109094 - Automated Techniques to Deploy a Converged Infrastructure Having Unknown Initial Component Configurations | 1 |
Shreecharan Kanchanavally | US | Naperville | 2016-05-19 / 20160137480 - SELECT FILL SENSOR SYSTEM FOR REFRIGERATOR DISPENSERS | 8 |
Shree Charan Kanchanavally | US | Naperville | 2016-04-21 / 20160108801 - TURBOCHARGER CONTROL | 1 |
Shree C. Kanchanavally | US | Naperville | 2015-11-26 / 20150337720 - CONTROL METHOD FOR VARIABLE GEOMETRY EXHAUST TURBINE | 1 |
Shreecharan Kanchanavally | US | Lisle | 2014-03-20 / 20140075775 - LAUNDRY TREATING APPLIANCE WITH IMAGING CONTROL | 15 |
Shreecharan Kanchanavally | US | Naperville | 2016-05-19 / 20160137480 - SELECT FILL SENSOR SYSTEM FOR REFRIGERATOR DISPENSERS | 8 |
Tarun Kancharla | IN | Hyderabad | 2014-08-21 / 20140232411 - SYSTEM AND METHOD FOR BATTERY MONITORING | 1 |
Venkata Satyanarayana Kancharla | GB | Nottingham | 2015-09-10 / 20150252511 - CLEANING APPARATUS AND METHOD | 1 |
Phanikumar Kancharla | US | Sunnyvale | 2016-01-28 / 20160028551 - SYSTEMS AND METHODS FOR HARDWARE SECURITY MODULE AS CERTIFICATE AUTHORITY FOR NETWORK-ENABLED DEVICES | 6 |
V.v.l. Sudha Kancharla | IN | Pune | 2015-05-21 / 20150143090 - SYSTEM AND METHOD FOR CONFIGURING AND EXECUTING SERVICES | 1 |
Vijay Kancharla | US | Shrewsbury | 2016-01-07 / 20160001398 - LASER SYSTEM AND METHOD FOR PROCESSING SAPPHIRE | 2 |
Venkata S. Kancharla | GB | Nottingham | 2011-11-10 / 20110272537 - ENGAGEMENT ARRANGEMENT | 1 |
Gopi Krishna Kancharla | US | Milford | 2012-05-24 / 20120131089 - COMMUNICATION SERVICES AND APPLICATION LAUNCH TOOL | 1 |
Sudha Vvl Kancharla | IN | Maharashtra | 2015-04-23 / 20150113628 - INFRASTRUCTURE SUPPORT OPTIMIZATION | 1 |
Sudha Vvl Kancharla | IN | Pune | 2015-04-23 / 20150113008 - PROVIDING AUTOMATABLE UNITS FOR INFRASTRUCTURE SUPPORT | 1 |
Ashok Kumar Kancharla | IN | Vijayawada | 2015-04-23 / 20150108882 - INVERTER HOUSING SYSTEM | 2 |
Venugopal R. Kancharla | US | Scarborough | 2011-12-22 / 20110313646 - Fuel and Vehicle Monitoring Methods and Systems | 1 |
Vamshi Krishna Kancharla | US | Redmond | 2012-06-21 / 20120155646 - SUPPORTING DNS SECURITY IN A MULTI-MASTER ENVIRONMENT | 1 |
Srinivas Kancharla | IN | Bangalore | 2012-08-02 / 20120198076 - Migrating Logical Partitions | 2 |
Mani Kancheria | US | Milpitas | 2013-04-25 / 20130103881 - Multi-Processor Architecture Implementing A Serial Switch And Method Of Operating Same | 1 |
Mani Prasad Kancherla | US | Santa Clara | 2009-11-05 / 20090276601 - VIRTUAL MEMORY MAPPING FOR EFFICIENT MEMORY USAGE | 1 |
Anantha Rao Kancherla | US | Redmond | 2008-08-21 / 20080198169 - SYSTEMS AND METHODS FOR DOWNLOADING ALGORITHMIC ELEMENTS TO A COPROCESSOR AND CORRESPONDING TECHNIQUES | 1 |
Anantha R. Kancherla | US | Redmond | 2012-05-10 / 20120113118 - Systems and Methods for Providing Intermediate Targets in a Graphics System | 3 |
Mani Kancherla | US | Milipitas | 2013-12-05 / 20130326054 - Performance Estimator for Network Devices | 1 |
Mani Kancherla | US | Cupertino | 2015-07-30 / 20150215436 - TECHNIQUES FOR PROTECTING AGAINST DENIAL OF SERVICE ATTACKS | 4 |
Mani Prasad Kancherla | US | Cupertino | 2013-11-28 / 20130318219 - LAYER-3 OVERLAY GATEWAYS | 2 |
Mani Kancherla | US | Milpitas | 2011-01-13 / 20110010481 - MASSIVE MULTI-CORE PROCESSOR BUILT WITH SERIAL SWITCHING | 2 |
Gopi K. C. Kancherla | US | Peoria | 2016-05-19 / 20160138697 - GEAR ASSEMBLY | 1 |
Chaitanya Kancherla | IN | Bangalore | 2012-11-22 / 20120297250 - CREATING RANDOMLY ORDERED FIELDS WHILE MAINTAINING THE TEMPORAL ORDERING BASED ON THE VALUE OF THE FIELDS | 1 |
Mani Prasad Kancherla | US | Milpitas | 2012-03-08 / 20120057598 - TRANSACTION AND CONNECTION INDEPENDENT PROTOCOL LOAD BALANCING | 2 |
Tanya Kancheva | US | Mountain View | 2015-09-24 / 20150269939 - SPEECH RECOGNITION IN A MOTOR VEHICLE | 1 |
Satheesh Kanchi | US | Fair Oaks | 2009-09-03 / 20090222328 - Method of Inducing Communication and Providing Coupons between Businesses and Consumers via a Business and Consumer Management and Resource System | 1 |
Shigefumi Kanchiku | JP | Haibara-Gun | 2013-06-13 / 20130145945 - RESIN COMPOSITION FOR LASER ENGRAVING, FLEXOGRAPHIC PRINTING PLATE PRECURSOR FOR LASER ENGRAVING AND PROCESS FOR PRODUCING SAME, AND FLEXOGRAPHIC PRINTING PLATE AND PROCESS FOR MAKING SAME | 9 |
Shigefumi Kanchiku | JP | Shizuoka-Ken | 2009-04-02 / 20090087788 - CURABLE COMPOSITION, IMAGE FORMING MATERIAL, AND PLANOGRAPHIC PRINTING PLATE PRECURSOR | 2 |
Shigefumi Kanchiku | JP | Shizuoka | 2013-03-07 / 20130055914 - RELIEF PRINTING PLATE PRECURSOR FOR LASER ENGRAVING, RELIEF PRINTING PLATE, AND PROCESS FOR MAKING SAME | 2 |
Shigefumi Kanchiku | JP | Haibara-Gun | 2013-06-13 / 20130145945 - RESIN COMPOSITION FOR LASER ENGRAVING, FLEXOGRAPHIC PRINTING PLATE PRECURSOR FOR LASER ENGRAVING AND PROCESS FOR PRODUCING SAME, AND FLEXOGRAPHIC PRINTING PLATE AND PROCESS FOR MAKING SAME | 9 |
Sharma Kanchinadham | US | King Of Prussia | 2015-03-12 / 20150074249 - IDENTITY MANAGEMENT AND SERVICE ACCESS FOR LOCAL USER GROUP BASED ON NETWORK-RESIDENT USER PROFILES | 2 |
Vamsi Krishna Kanchumarthy | IN | Bangalore | 2014-06-26 / 20140178205 - JOINTS FOR CONNECTING BLADE SEGMENTS OF A WIND TURBINE ROTOR BLADE | 1 |
Venkata Kanchumarthy | US | Canton | 2010-07-22 / 20100180410 - Two-piece Fastener | 1 |
Jason Alexander Kanczes | US | Pittsburgh | 2015-03-05 / 20150062328 - Camera Based Cable Inspection System | 1 |
Nicholas Kanczuzewski | US | Mishawaka | 2014-10-02 / 20140291463 - SYSTEM FOR MOUNTING SOLAR MODULES | 4 |
Thomas J. Kanczuzewski | US | Buchanan | 2014-10-02 / 20140291463 - SYSTEM FOR MOUNTING SOLAR MODULES | 4 |
Thomas E. Kanczuzewski | US | South Bend | 2012-08-09 / 20120201624 - DEVICE AND METHOD FOR RESTRAINING CARGO | 8 |
Khanderao Kand | US | San Jose | 2015-03-19 / 20150081497 - SYSTEM AND METHOD FOR MANAGING A REAL ESTATE AND/OR BUSINESS TRANSACTION PROCESS BETWEEN A BUYER AND A SELLER | 8 |
Khanderao Kand | US | San Jose | 2015-03-19 / 20150081497 - SYSTEM AND METHOD FOR MANAGING A REAL ESTATE AND/OR BUSINESS TRANSACTION PROCESS BETWEEN A BUYER AND A SELLER | 8 |
Khanderao Dattatray Kand | US | San Jose | 2012-02-09 / 20120036137 - METHOD AND SYSTEM FOR PROVIDING ACTIONABLE RELEVANT RECOMMENDATIONS | 3 |
Eiji Kanda | JP | Yokohama | 2015-12-17 / 20150364085 - DISPLAY CIRCUIT AND DISPLAY APPARATUS | 20 |
Haruka Kanda | JP | Fujisawa-Shi | 2014-07-17 / 20140199009 - GREASE COMPOSITION AND ROLLING DEVICE | 1 |
Kohsuke Kanda | JP | Hitachinaka | 2014-06-12 / 20140158092 - FUEL INJECTION CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Yutaka Kanda | JP | Fujisawa-Shi | 2013-12-19 / 20130336610 - ROLLER BEARING | 1 |
Takashi Kanda | JP | Osaka-Shi | 2015-05-28 / 20150146098 - DISPLAY DEVICE | 5 |
Atsushi Kanda | JP | Osaka | 2012-04-05 / 20120079793 - Bag Sealing Tape, and Banding Device and Banding Method Using the Bag Sealing Tape | 1 |
Tetsuo Kanda | JP | Kawasaki-Shi | 2014-12-11 / 20140362245 - WIRELESS IMAGING SYSTEM, METHOD OF CONTROLLING WIRELESS IMAGING SYSTEM, WIRELESS COMMUNICATION APPARATUS, AND STORAGE MEDIUM | 9 |
Tadahito Kanda | JP | Tokyo | 2013-12-19 / 20130337438 - MONOCLONAL ANTIBODY RECOGNIZING HUMAN PAPILLOMAVIRUS (HPV) L2 PROTEIN AND METHOD FOR MEASURING HPV-NEUTRALIZING ANTIBODY TITER USING THE SAME | 1 |
Takashi Kanda | JP | Kawasaki-Shi | 2010-06-17 / 20100151632 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF A SEMICONDUCTOR DEVICE | 1 |
Hiroshi Kanda | JP | Kyoto-Shi | 2014-07-24 / 20140204379 - TURBIDIMETER | 1 |
Masahiro Kanda | JP | Susono-Shi | 2015-05-14 / 20150132993 - TERMINAL-EQUIPPED ELECTRIC WIRE AND WIRE HARNESS USING THE SAME | 1 |
Takashi Kanda | JP | Hyogo | 2009-08-13 / 20090202314 - BORING TOOL AND HOLDER FOR THE SAME | 1 |
Kouichi Kanda | NL | Eindhoven | 2014-05-15 / 20140131860 - SEMICONDUCTOR DEVICE, SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE, AND ELECTRONIC DEVICE | 1 |
Masaki Kanda | JP | Anjo | 2014-07-24 / 20140206498 - PLANETARY CARRIER | 1 |
Eiko Kanda | JP | Okegawa-Shi | 2010-02-11 / 20100032616 - MIXED MATERIAL WITH HIGH EXPANSION RATE FOR PRODUCING POROUS METALLIC SINTERED BODY | 2 |
Hiroshi Kanda | JP | Kanagawa | 2014-07-24 / 20140202916 - GLASS ENCLOSURE ARTICLE WITH COLOR AND PATTERN DECORATION, AND PROCESS PREPARING THEREOF | 1 |
Takashi Kanda | JP | Kawasaki | 2016-04-28 / 20160118322 - LAMINATED SUBSTRATE AND METHOD FOR MANUFACTURING LAMINATED SUBSTRATE | 14 |
Toshihisa Kanda | JP | Osaka-Shi | 2011-03-10 / 20110056191 - Exhaust Gas Purification Device | 1 |
Atsushi Kanda | JP | Fujimi | 2012-12-27 / 20120327161 - METHOD OF MAKING HOLE IN SUBSTRATE, SUBSTRATE, NOZZLE PLATE AND INK JET HEAD | 1 |
Masahiko Kanda | JP | Saitama-Ken | 2013-12-26 / 20130344690 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Yoshiaki Kanda | JP | Mihara-Shi | 2011-09-15 / 20110223465 - RECHARGEABLE BATTERY AND BATTERY SYSTEM | 1 |
Yoichi Kanda | JP | Shiga | 2014-01-09 / 20140012218 - AQUEOUS-LIQUID-ABSORBABLE RESIN, AQUEOUS-LIQUID-ABSORBABLE COMPOSITION, AND ABSORBER MATERIAL AND ABSORBABLE OBJECT EACH PRODUCED USING SAME | 1 |
Taketoshi Kanda | JP | Yokohama-Shi | 2012-08-16 / 20120205316 - Packing Material For Hydrophilic Interaction Chromatography | 3 |
Yamato Kanda | JP | Tokyo | 2015-12-17 / 20150363932 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 38 |
Yutaka Kanda | US | La Jolla | 2014-07-31 / 20140212409 - METHOD FOR INCREASING DEPOSITION OF COMPLEMENT C3b ON BACTERIAL SURFACE AND PHAGOCYTOSIS BY PHAGOCYTE AND A THERAPEUTIC METHOD AND A THERAPEUTIC AGENT FOR BACTERIAL INFECTIONS | 2 |
Yu Kanda | JP | Makinohara-Shi | 2013-01-17 / 20130017307 - BLACK TEA BEVERAGE PACKED IN A CONTAINERAANM Kanda; YuAACI Makinohara-shiAACO JPAAGP Kanda; Yu Makinohara-shi JPAANM Numata; KeisukeAACI Makinohara-shiAACO JPAAGP Numata; Keisuke Makinohara-shi JPAANM Imbe; ToyoAACI Makinohara-shiAACO JPAAGP Imbe; Toyo Makinohara-shi JPAANM Shida; MitsumasaAACI Shibuya-kuAACO JPAAGP Shida; Mitsumasa Shibuya-ku JP | 2 |
Tsuyoshi Kanda | JP | Okazaki-City | 2012-11-22 / 20120291722 - VARIABLE VALVE TIMING CONTROLLER | 1 |
Shigeki Kanda | JP | Kitanagoya-Shi | 2012-02-09 / 20120034479 - ENDLESS BELT FOR ELECTROPHOTOGRAPHIC DEVICE | 1 |
Mitsuo Kanda | JP | Tokyo | 2013-08-08 / 20130201192 - PLANT INFORMATION DISPLAY METHOD AND DISPLAY APPARATUS | 1 |
Shuuji Kanda | JP | Hitachinaka-Shi | 2016-02-04 / 20160033023 - Power Transmission Device for Vehicle | 1 |
Akihisa Kanda | JP | Hyogo | 2013-08-29 / 20130225868 - METHOD FOR MANUFACTURING A FAT-SOLUBLE BIOACTIVE SUBSTANCE | 1 |
Hajime Kanda | JP | Tokyo | 2011-06-23 / 20110146340 - Method of recovering carbon dioxide from gas and apparatus therefor | 1 |
Masao Kanda | JP | Osaka | 2013-06-27 / 20130160848 - PHOTOELECTRIC CONVERSION DEVICE | 1 |
Yoshinori Kanda | JP | Kanagawa | 2013-05-23 / 20130127505 - CLOCK GENERATOR, SEMICONDUCTOR DEVICE, AND CLOCK GENERATING METHOD | 3 |
Taketoshi Kanda | JP | Kanagawa | 2011-05-05 / 20110100915 - Packing Material For Hydrophilic Interaction Chromatography | 2 |
Hidenori Kanda | JP | Makinohara-Shi | 2013-12-26 / 20130344738 - TERMINAL STRUCTURE OF ELECTRICAL CABLE, SHIELDED CONNECTOR AND TERMINAL TREATMENT METHOD OF ELECTRICAL CABLE | 9 |
Hisaaki Kanda | JP | Kako-Gun | 2013-12-26 / 20130345434 - METHOD FOR PRODUCING 1,2-BENZISOTHIAZOL-3-ONE COMPOUND | 3 |
Amit Kanda | US | San Jose | 2015-04-23 / 20150113534 - METHOD AND SYSTEM FOR FACILITATING APPLICATION-ORIENTED QUALITY OF SERVICE IN A FIBRE CHANNEL NETWORK | 12 |
Tomomichi Kanda | JP | Kanagawa | 2012-10-11 / 20120258268 - POLYAMIDE RESIN COMPOSITION | 5 |
Mitsuhiko Kanda | JP | Tokyo | 2013-08-29 / 20130221895 - MOTOR DRIVE CIRCUIT | 2 |
Kiichi Kanda | JP | Kanagawa | 2013-08-29 / 20130221077 - METHOD FOR BRAZING ALUMINUM MEMBERS AND BRAZING APPARATUS USED FOR SAME | 1 |
Torahiko Kanda | JP | Kanagawa | 2013-09-19 / 20130241998 - DROPLET EJECTION HEAD AND A METHOD FOR MANUFACTURING DROPLET EJECTION HEAD | 6 |
Tsuyoshi Kanda | JP | Kanagawa | 2011-07-07 / 20110163791 - OUTPUT CIRCUIT AND SEMICONDUCTOR DEVICE INCLUDING PRE-EMPHASIS FUNCTION | 2 |
Kazushige Kanda | JP | Kanagawa | 2015-03-19 / 20150078077 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 4 |
Osamu Kanda | JP | Kanagawa | 2011-02-10 / 20110032352 - IMAGING POSITION DETERMINING METHOD AND IMAGING POSITION DETERMINING DEVICE | 1 |
Hidetoshi Kanda | JP | Kanagawa | 2011-03-03 / 20110052459 - Compound container and pouring-out method | 1 |
Vishal Kanda | CA | Edmonton | 2015-11-26 / 20150335916 - INTERNAL ULTRASOUND GEL | 1 |
Hiroyuki Kanda | JP | Kanagawa | 2015-12-10 / 20150358369 - COMMUNICATION MANAGEMENT SYSTEM, COMMUNICATION MANAGEMENT METHOD, AND COMPUTER PROGRAM PRODUCT | 11 |
Yoshimichi Kanda | JP | Kanagawa | 2015-05-28 / 20150149680 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 5 |
Hidenori Kanda | JP | Makinohara-Shi | 2013-12-26 / 20130344738 - TERMINAL STRUCTURE OF ELECTRICAL CABLE, SHIELDED CONNECTOR AND TERMINAL TREATMENT METHOD OF ELECTRICAL CABLE | 9 |
Hideki Kanda | JP | Kanagawa | 2010-05-06 / 20100108600 - METHOD FOR TREATMENT OF WATER-CONTAINING MATERIAL | 2 |
Tadahito Kanda | JP | Shinjuku-Ku | 2010-07-22 / 20100183648 - VACCINE ANTIGEN CAPABLE OF INDUCING CROSS-REACTING AND NEUTRALIZING ANTIBODY AGAINTS HIGH-RISK-TYPE HUMAN PAPILLOMAVIRUS | 1 |
Yoshinori Kanda | JP | Chiyoda-Ku | 2015-12-17 / 20150365037 - ALTERNATING CURRENT MOTOR DRIVE SYSTEM | 2 |
Tsuneo Kanda | JP | Utsunomiya-Shi | 2011-03-17 / 20110063593 - MANAGEMENT APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING DEVICE | 2 |
Yoshiro Kanda | JP | Ota-Ku | 2015-07-09 / 20150190911 - ANGLE WRENCH AND ROTATION ANGLE-MEASURING DEVICE | 1 |
Noriyoshi Kanda | JP | Kanazawa-Shi | 2013-09-19 / 20130241975 - LIQUID CRYSTAL DISPLAY | 1 |
Akinobu Kanda | JP | Ueda-Shi | 2013-09-26 / 20130249174 - FIXING DEVICE FOR WORKPIECE IN MACHINE TOOL AND MACHINE TOOL HAVING THE FIXING DEVICE | 1 |
Yasutaka Kanda | JP | Kobe-Shi | 2013-10-03 / 20130255154 - SENSOR FOR USE WITH AUTOMATIC DOOR | 1 |
Hidenobu Kanda | JP | Tokyo | 2013-08-22 / 20130216252 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING CONTROL DRIVER, AND IMAGE PROCESSING METHOD | 3 |
Koichiro Kanda | US | San Jose | 2015-07-09 / 20150195663 - METHODS AND DEVICES FOR CREATING AND MODIFYING SOUND PROFILES FOR AUDIO REPRODUCTION DEVICES | 3 |
Yasuo Kanda | JP | Kanagawa | 2015-07-09 / 20150194222 - SEMICONDUCTOR DEVICE AND METHOD OF WRITING DATA | 4 |
Yoshihiro Kanda | JP | Osaka | 2014-06-12 / 20140161368 - IMAGE BLURRING CORRECTION DEVICE | 8 |
Masataka Kanda | JP | Osaka | 2016-03-10 / 20160072320 - OVERCURRENT DETECTION DEVICE, AND CHARGING/DISCHARGING SYSTEM, DISTRIBUTION BOARD, CHARGING CONTROL DEVICE, CHARGING/DISCHARGING DEVICE FOR VEHICLE AND ELECTRICAL APPARATUS FOR VEHICLE, USING THE OVERCURRENT DETECTION DEVICE | 5 |
Ryo Kanda | JP | Kanagawa | 2015-09-17 / 20150262990 - SEMICONDUCTOR DEVICE | 1 |
Akira Kanda | JP | Saitama | 2012-06-28 / 20120165143 - TENNIS BALL FELT AND TENNIS BALL | 1 |
Naotake Kanda | JP | Yamatokoriyama-Shi | 2013-08-08 / 20130202464 - ELECTRIC OIL PUMP SYSTEM | 4 |
Naotake Kanda | JP | Nara | 2011-01-06 / 20110000737 - VEHICLE STEERING APPARATUS | 2 |
Akihisa Kanda | JP | Osaka | 2011-06-09 / 20110136191 - Processes for producing coenzyme Q10 | 1 |
Takashi Kanda | JP | Kawasaki | 2016-04-28 / 20160118322 - LAMINATED SUBSTRATE AND METHOD FOR MANUFACTURING LAMINATED SUBSTRATE | 14 |
Takashi Kanda | JP | Sumida-Ku | 2012-12-06 / 20120305416 - DYEING OR BLEACHING KIT | 1 |
Haruka Kanda | US | 2015-11-19 / 20150330451 - ROLLING BEARING AND GREASE COMPOSITION USED THEREIN | 1 | |
Hidehiko Kanda | JP | Yokohama-Shi | 2015-05-28 / 20150145933 - PRINTING APPARATUS AND BUBBLE EXHAUST METHOD THEREFOR | 32 |
Toshimasa Kanda | JP | Hirakata-Shi | 2014-06-26 / 20140175834 - WIPER DEVICE, OPEN/CLOSE GUARD WITH WIPER DEVICE, AND CAB FOR CONSTRUCTION MACHINE | 2 |
Yoshiharu Kanda | JP | Sakura-Shi | 2013-11-21 / 20130309406 - COAT REMOVING METHOD OF COATED OPTICAL FIBER AND COAT REMOVING APPARATUS OF COATED OPTICAL FIBER | 1 |
Hironori Kanda | JP | Tokyo | 2012-01-26 / 20120020989 - METHODS OF TREATING COLORECTAL CANCER | 1 |
Kazushige Kanda | JP | Kanagawa-Ken | 2011-06-09 / 20110134695 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Yoshihiro Kanda | JP | Osaka | 2014-06-12 / 20140161368 - IMAGE BLURRING CORRECTION DEVICE | 8 |
Atsuhiro Kanda | US | Ann Arbor | 2013-10-10 / 20130266937 - COMPOSITIONS AND METHODS FOR DIAGNOSING AND TREATING MACULAR DEGENERATION | 2 |
Hiroya Kanda | JP | Tochigi | 2014-01-02 / 20140004369 - MULTILAYER COAT AND METHOD FOR PRODUCING THE SAME | 1 |
Yoshihiro Kanda | JP | Tokyo | 2013-09-05 / 20130229700 - POLARIZATION MODE DISPERSION GENERATING DEVICE, METHOD FOR GENERATING POLARIZATION MODE DISPERSION AND POLARIZATION MODE DISPERSION COMPENSATING DEVICE | 2 |
Akihiro Kanda | JP | Kamakura-Shi | 2010-05-27 / 20100127609 - COATING LIQUID FOR DIFFUSING FILM OF HIGH-PRESSURE DISCHARGE LAMP AND HIGH-PRESSURE DISCHARGE LAMP | 1 |
Nobuhiro Kanda | JP | Izumo | 2013-11-14 / 20130301041 - Inspection Apparatus | 1 |
Yoshihiro Kanda | JP | Kyoto | 2009-12-03 / 20090296549 - Optical Disk Device | 1 |
Masahiko Kanda | JP | Tokyo | 2013-08-08 / 20130199406 - HYBRID LOCOMOTIVE | 1 |
Tomomich Kanda | JP | Yokohamashi | 2015-11-19 / 20150329672 - Polyamide Production Method | 2 |
Takayuki Kanda | JP | Nagaokakyo | 2013-05-23 / 20130126775 - Alcoholic Solution and Sintered Magnet | 1 |
Daizou Kanda | JP | Tsukuba-Shi | 2012-09-13 / 20120228598 - COMPOUND HAVING A SUBSTITUTED ANTHRACENE RING STRUCTURE AND PYRIDOINDOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE | 1 |
Atsuhiko Kanda | JP | Kyotanabe-Shi | 2014-09-25 / 20140287724 - MOBILE TERMINAL AND LOCK CONTROL METHOD | 2 |
Katsuhiro Kanda | JP | Hitachinaka | 2015-04-23 / 20150111300 - APPARATUS FOR PRETREATING BIOLOGICAL SAMPLES, AND MASS SPECTROMETER EQUIPPED WITH SAME | 14 |
Atsushi Kanda | JP | Sakata-Shi | 2008-10-23 / 20080258298 - SEMICONDUCTOR DEVICES AND METHODS OF FABRICATING THE SAME | 1 |
Takashi Kanda | JP | Osaka | 2016-03-17 / 20160075115 - POLYOLEFIN-BASED FIBER-REINFORCED RESIN MULTILAYERED SHEET AND METHOD FOR MANUFACTURING THE SAME | 4 |
Atsushi Kanda | JP | Tokushima-Shi | 2009-10-29 / 20090268416 - DISPLAY DEVICE ALLOWING REPEATED REMOVAL AND INSTALLATION OF SCREW | 1 |
Hideo Kanda | JP | Saitama-Shi | 2014-10-02 / 20140293452 - IMAGING LENS AND IMAGING APPARATUS INCLUDING THE IMAGING LENS | 5 |
Naoyuki Kanda | JP | Kawasaki | 2013-05-23 / 20130132090 - Voice Data Retrieval System and Program Product Therefor | 1 |
Takashi Kanda | JP | Nagaokakyo-Shi | 2013-10-31 / 20130286571 - DISPLAY DEVICE | 1 |
Takuya Kanda | JP | Nagoya-Shi | 2013-10-31 / 20130287431 - Developing Device Having Seal Members to Restrict Toner Leakage | 2 |
Megumi Kanda | JP | Kawasaki-Shi | 2015-06-11 / 20150161911 - INFORMATION PROCESSING DEVICE AND INFORMATION PROCESSING METHOD | 1 |
Hidetoshi Kanda | JP | Tochigi | 2014-10-16 / 20140308663 - METHOD OF NUCLEIC ACID AMPLIFICATION AND MEASURING REAGENT AND REAGENT KIT THEREFOR | 3 |
Masahiko Kanda | JP | Kanagawa-Ken | 2012-03-15 / 20120061797 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Hirofumi Kanda | JP | Nara | 2009-02-12 / 20090041501 - Charging Device and Image Forming Device Using Same | 1 |
Masahiko Kanda | JP | Saitama-Shi | 2012-03-15 / 20120063212 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hirokazu Kanda | JP | Ome-Shi | 2011-03-31 / 20110078621 - THIN CLIENT SYSTEM, SERVER APPARATUS, CLIENT APPARATUS, AND STORAGE MEDIUM | 2 |
Patrick Kanda | GB | London | 2012-03-29 / 20120077270 - Control of Gene Expression Using a Complex of an Oligonucleotide and a Regulatory Peptide | 2 |
Hiromi Kanda | JP | Shizuoka-Ken | 2009-03-26 / 20090082487 - PIGMENT DISPERSION COMPOSITION, PHOTOCURABLE COMPOSITION AND COLOR FILTER | 1 |
Hiromi Kanda | JP | Shizouka | / - | 1 |
Yoshiaki Kanda | JP | Tokyo | 2013-10-10 / 20130266845 - BATTERY | 2 |
Hiromi Kanda | JP | Haibara-Gun | 2010-03-18 / 20100068661 - PATTERN FORMING METHOD | 1 |
Junya Kanda | JP | Hikone-Shi | 2008-11-13 / 20080276460 - INNER CUTTER FOR A RECIPROCATING ELECTRIC SHAVER | 1 |
Kazunori Kanda | JP | Tsuruga-Shi | 2009-10-01 / 20090247037 - URETHANE FOAM REINFORCING MATERIAL | 1 |
Hiroshi Kanda | KR | Suwon-Si | 2010-02-25 / 20100045161 - Plasma display panel | 1 |
Kazunori Kanda | JP | Hiroshima | 2010-03-18 / 20100066065 - ATTACHMENT STRUCTURE OF CURTAIN-SHAPED COVER MEMBER OF VEHICLE | 1 |
Kenji Kanda | JP | Akishima-Shi | / - | 1 |
Kenji Kanda | JP | Yokohama-Shi | 2009-08-27 / 20090213221 - MONITORING SYSTEM, METHOD FOR MONITORING OBJECT ENTERING ROOM, AND COMPUTER READABLE STORAGE MEDIUM | 1 |
Koji Kanda | JP | Kai-Shi | 2015-08-06 / 20150217952 - PAPER SUPPLY DEVICE | 5 |
Masakazu Kanda | JP | Kyoto | 2009-03-26 / 20090083748 - PROGRAM EXECUTION DEVICE | 1 |
Kosuke Kanda | JP | Isesaki | 2013-05-02 / 20130110377 - Fuel Injection Apparatus for Internal Combustion Engine | 2 |
Akitsugu Kanda | JP | Sagamihara | 2009-06-11 / 20090150455 - File server, file server log management system and file server log management method | 2 |
Massashi Kanda | JP | Utsunomiya-Si | 2008-10-23 / 20080256822 - Container for freeze-drying | 1 |
Minoru Kanda | JP | Akita | 2010-12-16 / 20100314400 - VITREOUS SILICA CRUCIBLE | 3 |
Patrick Kanda | GB | Southampton | 2010-11-11 / 20100286024 - Insulinotropic compounds and uses thereof | 1 |
Yoshiharu Kanda | US | Greer | 2013-08-01 / 20130195413 - BARE GLASS FIBER HOLDER | 2 |
Minoru Kanda | JP | Akita-Ken | 2010-12-23 / 20100319608 - SILICA GLASS CRUCIBLE, METHOD OF MANUFACTURING THE SAME AND PULLING METHOD | 3 |
Mitsuhiro Kanda | JP | Nagoya-Shi | 2016-03-31 / 20160089917 - Printer | 8 |
Mitsuhiro Kanda | JP | Nagoya-Shi | 2016-03-31 / 20160089917 - Printer | 8 |
Akihiko Kanda | JP | Kawasaki-Shi | 2016-05-19 / 20160142619 - FOCUS CONTROL APPARATUS, IMAGE CAPTURING APPARATUS, METHOD FOR CONTROLLING FOCUS CONTROL APPARATUS AND STORAGE MEDIUM | 5 |
Yasuhiko Kanda | JP | Osaka-Shi | 2015-07-30 / 20150210680 - AMINODIHYDROTHIAZINE DERIVATIVES | 5 |
Daichi Kanda | JP | Kariya-Shi | 2013-06-13 / 20130149180 - INTERNAL GEAR PUMP | 2 |
Naoya Kanda | JP | Fujisawa | 2012-02-02 / 20120024959 - RFID INLET AND RFID TAG, AND METHOD FOR MANUFACTURING RFID INLET AND RFID TAG | 4 |
Nobuyasu Kanda | JP | Ichihara-Shi | 2012-09-13 / 20120232318 - Method for operating plant for producing mixed-gas hydrate | 1 |
Naoyuki Kanda | JP | Kokubunji | 2009-09-17 / 20090234854 - SEARCH SYSTEM AND SEARCH METHOD FOR SPEECH DATABASE | 1 |
Hiroyuki Kanda | JP | Kanagawa | 2015-12-10 / 20150358369 - COMMUNICATION MANAGEMENT SYSTEM, COMMUNICATION MANAGEMENT METHOD, AND COMPUTER PROGRAM PRODUCT | 11 |
Ryoko Kanda | JP | Itami-Shi | 2014-08-21 / 20140234725 - METHOD FOR PRODUCING NONAQUEOUS-ELECTROLYTE BATTERY AND NONAQUEOUS-ELECTROLYTE BATTERY | 9 |
Robert John Kanda | US | Lake Orion | 2011-04-07 / 20110079085 - INTEGRATED FLUID PRESSURE SENSOR SYSTEM | 2 |
Nobuyuki Kanda | JP | Tokyo-To | 2009-01-08 / 20090007939 - METHOD OF CLEANING STORAGE CASE | 1 |
Tomomichi Kanda | JP | Niigata-Shi | 2012-11-15 / 20120289643 - COPOLYMERIZED POLYAMIDE RESIN, METHOD FOR PRODUCING SAME, RESIN COMPOSITION, AND MOLDED ARTICLE FORMED FROM THE COPOLYMERIZED POLYAMIDE RESIN OR THE RESIN COMPOSITION | 1 |
Kazumichi Kanda | JP | Kawasaki-Shi | 2008-08-28 / 20080206427 - W1/O/W2 TYPE COMPOSITE EMULSIFIED DRESSING AND METHOD FOR PREPARING THE SAME | 1 |
Naoki Kanda | JP | Osaka | 2012-04-26 / 20120100196 - OILY DISPERSION AND COSMETIC MATERIAL INCORPORATING THIS OILY DISPERSION | 1 |
Norio Kanda | JP | Sakuragawa-Shi | 2010-07-22 / 20100181327 - CARTRIDGE TANK FOR LUBRICATION AGENT | 1 |
Osamu Kanda | JP | Takarazuka-Shi | 2009-04-16 / 20090098372 - Cutting tool and method of producing the same | 1 |
Ryo Kanda | JP | Kariya-Shi | 2010-08-12 / 20100204885 - SUSPENSION SYSTEM | 1 |
Munekazu Kanda | JP | Chuo-Ku | 2015-08-13 / 20150225453 - METHOD OF PRODUCING FR901228 | 5 |
Munekazu Kanda | JP | Osaka-Shi | 2009-10-22 / 20090264343 - METHOD OF PRODUCING FR901228 | 1 |
Mai Kanda | JP | Osaka | 2015-11-12 / 20150320066 - COFFEE WHITENER USING SOYBEAN EMULSION COMPOSITION | 3 |
Ryoichi Kanda | JP | Otawara-Shi | 2008-09-11 / 20080221451 - ULTRASONIC DIAGNOSTIC EQUIPMENT AND CONTROL METHOD THEREFOR | 1 |
Atsushi Kanda | JP | Kariya-City | 2014-09-11 / 20140253308 - VEHICULAR EMERGENCY REPORT APPARATUS | 1 |
Yamato Kanda | JP | Hino-Shi | 2012-05-31 / 20120134556 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND COMPUTER-READABLE RECORDING DEVICE | 5 |
Shigeru Kanda | JP | Hino-Shi | 2008-10-30 / 20080270621 - CONTENT REPRODUCING APPARATUS FOR REPRODUCING CONTENT USING NETWORK SERVICE | 1 |
Shoichi Kanda | JP | Oshu-Shi | 2008-10-16 / 20080255683 - Heat processing apparatus, method of automatically tuning control constants, and storage medium | 1 |
Takahiro Kanda | JP | Tochigi | 2011-03-31 / 20110077427 - METHOD FOR PRODUCING OPTICALLY ACTIVE AMINOALCOHOL DERIVATIVE | 1 |
Takayuki Kanda | JP | Hitachinaka | 2009-01-15 / 20090016931 - CHEMICAL ANALYSIS DEVICE | 1 |
Koji Kanda | US | 2015-08-06 / 20150217952 - PAPER SUPPLY DEVICE | 1 | |
Hisashi Kanda | JP | Kawasaki | 2015-12-10 / 20150358219 - SYSTEM AND METHOD FOR GATHERING INFORMATION | 1 |
Tatsuo Kanda | JP | Niigata-Shi | 2009-12-17 / 20090311729 - DIAGNOSIS OF ACUTE ENTEROCOLITIS BY DETERMINATION OF INTESTINAL FATTY ACID-BINDING PROTEIN IN THE BLOOD | 1 |
Tatsuo Kanda | JP | Chiba | 2009-06-11 / 20090148832 - Compositions and Methods for Generation of Infectious Hepatitis C Virus in Immortalized Human Hepatocytes | 1 |
Shuzo Kanda | JP | Shiga | 2012-01-12 / 20120009611 - BIOSENSOR AND BIOSENSOR MANUFACTURING METHOD | 1 |
Tatsuya Kanda | JP | Hino | 2008-09-04 / 20080211689 - Illegal-parking-management portable terminal, illegal-parking management method and computer program product | 1 |
Tetsuo Kanda | JP | Yokohama-Shi | 2010-04-29 / 20100101336 - MAGNETOSTRICTIVE TORQUE SENSOR AND TORQUE DETECTION METHOD | 1 |
Tetsuo Kanda | JP | Kawasaki-Shi | 2014-12-11 / 20140362245 - WIRELESS IMAGING SYSTEM, METHOD OF CONTROLLING WIRELESS IMAGING SYSTEM, WIRELESS COMMUNICATION APPARATUS, AND STORAGE MEDIUM | 9 |
Tomohiro Kanda | JP | Saitama-Shi | 2014-09-25 / 20140289787 - COMMUNICATION DEVICE, COMMUNICATION METHOD, AND COMPTER PROGRAM PRODUCT | 7 |
Tomoko Kanda | JP | Yamaguchi | 2009-02-05 / 20090036453 - Pyrrolopyridazinone Compound | 1 |
Toru Kanda | JP | Oita-Shi | 2009-12-17 / 20090309929 - LIQUID EJECTION RECORDING HEAD | 2 |
Noriyoshi Kanda | JP | Tokyo | 2016-05-19 / 20160139469 - DISPLAY DEVICE | 3 |
Toshihiko Kanda | JP | Akashi-Shi | 2009-05-28 / 20090137719 - Thermoplastic Resin Composition and Process for Production Thereof | 1 |
Osamu Kanda | JP | Takarazuka City | 2014-04-24 / 20140109723 - SINTERED FRICTION MATERIAL FOR HIGH-SPEED RAILWAY | 1 |
Toshio Kanda | JP | Ibaraki | 2011-01-27 / 20110021757 - TRANSGENIC SILKWORM CAPABLE OF PRODUCING ANTIBODY AND METHOD FOR PRODUCTION THEREOF | 1 |
Eiji Kanda | JP | Yokohama | 2015-12-17 / 20150364085 - DISPLAY CIRCUIT AND DISPLAY APPARATUS | 20 |
Kouichi Kanda | JP | Kawasaki | 2010-12-16 / 20100315136 - PLL CIRCUIT AND VOLTAGE-CONTROLLED OSCILLATOR | 5 |
Tatsuya Kanda | JP | Kawasaki | 2010-07-08 / 20100172200 - MEMORY DEVICE, MEMORY CONTROLLER AND MEMORY SYSTEM | 3 |
Masaru Kanda | JP | Wako-Shi | 2014-04-24 / 20140109717 - ACCELERATOR PEDAL REACTION FORCE CONTROL DEVICE | 1 |
Tsuyoshi Kanda | JP | Niigata | / - | 1 |
Taiji Kanda | JP | Osaka | 2015-11-12 / 20150322282 - ACRYLIC RESIN EMULSION FOR AQUEOUS INKJET INK, AND AQUEOUS INKJET INK COMPOSITION EMPLOYING THE SAME | 2 |
Tsuyoshi Kanda | JP | Obu-City | 2010-09-30 / 20100248606 - VENTILATION HOLE WATERPROOF STRUCTURE OF ON-BOARD COMPONENT | 2 |
Yasuhiko Kanda | JP | Osaka-Fu | 2009-03-05 / 20090062531 - Arylacetate Derivatives Having Isoxazole Skeleton | 1 |
Ryoko Kanda | JP | Itami-Shi | 2014-08-21 / 20140234725 - METHOD FOR PRODUCING NONAQUEOUS-ELECTROLYTE BATTERY AND NONAQUEOUS-ELECTROLYTE BATTERY | 9 |
Shuzo Kanda | JP | Koka-Shi | 2015-02-12 / 20150041338 - BIOSENSOR AND BIOSENSOR MANUFACTURING METHOD | 1 |
Yasunori Kanda | JP | Hiroshima-Shi | 2015-08-27 / 20150240711 - CONNECTING ROD STRUCTURE OF ENGINE | 4 |
Kazuhiko Kanda | JP | Tokyo | 2013-01-31 / 20130027284 - LIQUID CRYSTAL DISPLAY DRIVE AND CONTROL DEVICE, MOBILE TERMINAL SYSTEM, AND DATA PROCESSING SYSTEM | 1 |
Tomoko Kanda | JP | Ube-Shi | 2016-03-03 / 20160060221 - SUBSTITUTED BIARYL COMPOUND | 2 |
Hideo Kanda | JP | Saitama | 2011-12-15 / 20110304856 - LIGHTWAVE INTERFERENCE MEASUREMENT APPARATUS | 2 |
Kiichi Kanda | JP | Hiratsuka-Shi | 2015-04-16 / 20150102538 - METHOD FOR HEAT TREATMENT, HEAT TREATMENT APPARATUS, AND HEAT TREATMENT SYSTEM | 2 |
Tadayuki Kanda | JP | Osaka | 2011-12-15 / 20110304366 - PLL CIRCUIT | 1 |
Mitsuru Kanda | JP | Tokyo | 2014-06-05 / 20140157386 - COMMUNICATION DEVICE, COMMUNICATION METHOD AND COMPUTER PROGRAM | 20 |
Mai Kanda | JP | Tokyo | 2016-02-18 / 20160049799 - WIRELESS POWER SUPPLY SYSTEM | 2 |
Nobuyasu Kanda | JP | Chiba-Ken | 2011-01-20 / 20110015455 - PROCESS FOR PRODUCING MIXED GAS HYDRATE | 1 |
Eiji Kanda | JP | Yokohama-Shi | 2014-03-13 / 20140070100 - SENSING DEVICE AND ELECTRONIC APPARATUS | 2 |
Yoshiaki Kanda | JP | Hiroshima | 2008-08-28 / 20080202368 - PRINTING METHOD AND PRINTING PRESS | 1 |
Yoshinori Kanda | JP | Takasago-Shi | 2010-05-27 / 20100126431 - COMBUSTION APPARATUS | 1 |
Motoya Kanda | JP | Chigasaki-Shi | 2013-05-16 / 20130122351 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Hirofumi Kanda | JP | Osaka-Shi | 2016-05-05 / 20160121265 - GAS DECOMPOSITION FILTER UNIT AND AIR PURIFIER | 2 |
Yoichi Kanda | JP | Tokyo | 2011-05-26 / 20110124877 - 5-BENZYL-4-AZOLYLMETHYL-4-SPIRO[2.4]HEPTANOL DERIVATIVES, METHODS FOR PRODUCING THE SAME, AND AGRO-HORTICULTURAL AGENTS AND INDUSTRIAL MATERIAL PROTECTING AGENTS THEREOF | 1 |
Katsuhiro Kanda | JP | Hitachinaka | 2015-04-23 / 20150111300 - APPARATUS FOR PRETREATING BIOLOGICAL SAMPLES, AND MASS SPECTROMETER EQUIPPED WITH SAME | 14 |
Tadahito Kanda | JP | Setagaya-Ku, Tokyo | 2015-12-03 / 20150344529 - VACCINE FOR HPV INFECTION AND/OR HEPATITIS B COMPRISING HPV/HBS CHIMERIC PROTEIN AS ACTIVE INGREDIENT | 1 |
Hisao Kanda | JP | Tsukuba-Shi | 2012-11-22 / 20120291695 - METHOD FOR PRODUCING HEXAGONAL BORON NITRIDE SINGLE CRYSTALS | 2 |
Hisao Kanda | JP | Ibaraki | 2009-03-26 / 20090078851 - Far Ultraviolet With High Luminance Emitting High-Purity Hexagonal Boron Nitride Monocrystalline Powder And Method Of Manufacturing The Same | 1 |
Teruo Kanda | JP | Hiroshima | 2009-05-21 / 20090130332 - COATING FILM DRYING METHOD AND COATING FILM DRYING APPARATUS | 1 |
Eiji Kanda | JP | Suwa-Shi | 2015-03-05 / 20150060865 - LIGHT-EMITTING DEVICE AND ELECTRONIC APPARATUS | 20 |
Rakesh Kanda | IN | New Delhi | / - | 1 |
Kouichirou Kanda | JP | Tsukuba-Shi | 2009-04-30 / 20090108513 - CLAMP APPARATUS | 1 |
Toshiyuki Kanda | JP | Tokyo | 2012-05-31 / 20120136640 - METHOD FOR EVALUATING COLLISION PERFORMANCE OF VEHICLE MEMBER, AND MEMBER COLLISION TEST DEVICE USED FOR SAME | 1 |
Kazushige Kanda | JP | Kawasaki-Shi | 2012-09-27 / 20120243365 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF SETTING OPERATION ENVIRONMENT THEREIN | 15 |
Atsuhiko Kanda | JP | Yokohama-Shi | 2015-09-17 / 20150262583 - INFORMATION TERMINAL AND VOICE OPERATION METHOD | 2 |
Takeshi Kanda | JP | Iwata | 2015-08-20 / 20150231970 - DRIVE CONTROL DEVICE FOR MOTOR VEHICLE DURING LOW TEMPERATURE | 1 |
Tomohisa Kanda | JP | Chiryu-Shi | 2015-02-19 / 20150047788 - AUTOMATIC TAPE SETTING APPARATUS | 1 |
Yukio Kanda | JP | Chiba-Ken | 2010-09-16 / 20100230946 - Printed matter providing optically readable information | 1 |
Toshiyuki Kanda | JP | Chigasaki-Shi | 2011-11-17 / 20110279732 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 1 |
Naoyuki Kanda | JP | Tokyo | 2016-04-14 / 20160103202 - Mobile Robot and Sound Source Position Estimation System | 1 |
Osamu Kanda | JP | Tokyo | 2016-02-18 / 20160047016 - COPPER ALLOY POWDER, SINTERED COPPER ALLOY BODY, AND BRAKE LINING FOR USE IN HIGH-SPEED RAILWAYS | 1 |
Yusuke Kanda | JP | Settsu-Shi | 2009-02-05 / 20090034401 - OPTICAL DISK APPARATUS | 1 |
Haruka Kanda | JP | Fujisawa-Shi, Kanagawa | 2015-11-19 / 20150330451 - ROLLING BEARING AND GREASE COMPOSITION USED THEREIN | 2 |
Junji Kanda | JP | Aichi | 2014-11-13 / 20140332732 - CONDUCTIVE MEMBER, IMAGE FORMING APPARATUS, CONDUCTIVE PARTICLE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Ryo Kanda | JP | Tokyo | 2016-02-25 / 20160056818 - SEMICONDUCTOR DEVICE, POWER CONTROL DEVICE AND ELECTRONIC SYSTEM | 1 |
Tomomasa Kanda | JP | Ibaraki | 2010-07-15 / 20100179227 - Production method of hop preparation, hop preparation, anti-inflammatory agent, food product and beverage, and oral product | 1 |
Tomomasa Kanda | JP | Kashiwa-Shi | 2009-02-26 / 20090053384 - Method for Preparation of Plant Extract | 1 |
Hiromi Kanda | JP | Shizuoka | 2015-07-02 / 20150185609 - POSITIVE RESIST COMPOSITION AND METHOD OF PATTERN FORMATION WITH THE SAME | 21 |
Takeshi Kanda | JP | Iwata-Shi | 2013-12-05 / 20130320677 - DRIVING DEVICE FOR IN-WHEEL MOTOR VEHICLES | 2 |
Atsuhiko Kanda | JP | Kyoto | 2016-03-03 / 20160063101 - MOBILE TERMINAL, RECOMMENDATION SYSTEM, AND RECOMMENDATION METHOD | 1 |
Hideo Kanda | JP | Saitama-Ken | 2015-08-13 / 20150226939 - IMAGING LENS AND IMAGING APPARATUS EQUIPPED WITH THE IMAGING LENS | 5 |
Takehiko Kanda | JP | Osaka | 2009-07-30 / 20090190004 - DATA PROCESSING APPARATUS | 2 |
Hirofumi Kanda | JP | Osaka | 2010-12-09 / 20100307724 - HEAT EXCHANGER | 3 |
Hironori Kanda | JP | Osaka | 2008-09-18 / 20080224834 - Communication System For Information Of Home-Use Electrical Devices | 1 |
Toshihisa Kanda | JP | Osaka | 2011-06-16 / 20110138788 - Exhaust Gas Purifier | 2 |
Yutaka Kanda | JP | Machida-Shi | 2014-08-21 / 20140234300 - METHOD OF MODULATING THE ACTIVITY OF FUNCTIONAL IMMUNE MOLECULES | 6 |
Munekazu Kanda | JP | Osaka | 2009-10-22 / 20090264617 - METHOD OF PRODUCING FR901228 | 2 |
Yasuhiko Kanda | JP | Osaka | 2015-07-23 / 20150202208 - ANTIVIRAL AGENT | 4 |
Hiroyuki Kanda | JP | Osaka | 2010-08-05 / 20100198398 - MEDICINE DISPENSING DEVICE | 2 |
Osamu Kanda | JP | Osaka | 2011-02-24 / 20110041661 - Rotary Cutting Tool, Method of Cutting Billet for Manufacturing Seamless Pipe or Tube, and Method of Manufacturing Seamless Pipe or Tube | 1 |
Yutaka Kanda | JP | Chiyoda-Ku | 2011-03-10 / 20110059115 - ANTIBODY COMPOSITION EXHIBITING CELLULAR CYTOTOXICTY DUE TO GLYCOSYLATION | 3 |
Zensho Kanda | JP | Shizuoka | 2010-04-15 / 20100089784 - Packaging Container for Acupuncture Needles | 1 |
Tomohiro Kanda | JP | Saitama | 2013-08-29 / 20130222229 - DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, AND CONTROL METHOD FOR ELECTRONIC DEVICE | 2 |
Atsuhiko Kanda | JP | Osaka | 2015-09-10 / 20150257102 - MOBILE COMMUNICATION TERMINAL AND CONTROL METHOD | 4 |
Tatsuya Kanda | JP | Okayama | 2014-05-22 / 20140138590 - PHOSPHOR FOR DISPERSION-TYPE EL, DISPERSION-TYPE EL DEVICE, AND METHOD OF MANUFACTURING THE SAME | 1 |
Kiichi Kanda | JP | Kanagawa-Ken | 2013-04-25 / 20130098904 - HEATING SYSTEM UTILIZING MICROWAVE | 1 |
Daizou Kanda | JP | Tokyo | 2016-05-05 / 20160126464 - ORGANIC ELECTROLUMINESCENT DEVICE | 7 |
Takashi Kanda | JP | Kanagawa | 2015-03-05 / 20150064476 - AQUEOUS PAINT COMPOSITION AND METHOD FOR FORMING COATING | 1 |
Ryoma Kanda | JP | Wako-Shi | 2015-10-15 / 20150290995 - SUSPENSION CONTROL SYSTEM | 2 |
Takashi Kanda | JP | Hirakata-Shi | 2014-10-09 / 20140302276 - MULTILAYER STRUCTURE | 1 |
Hidenori Kanda | JP | Shizuoka | 2011-05-26 / 20110124249 - INNER TERMINAL | 1 |
Ryo Kanda | JP | Kawasaki-Shi | 2015-09-24 / 20150270390 - Semiconductor Device | 2 |
Koji Kanda | JP | Kofu-Shi | 2011-12-01 / 20110294639 - Sheet folding apparatus and image formation system provided with the apparatus | 1 |
Atsuhiko Kanda | JP | Daito-Shi | 2012-03-29 / 20120075222 - MOBILE ELECTRONIC DEVICE | 1 |
Yoichi Kanda | JP | Kyoto-Shi | 2015-09-03 / 20150246992 - AQUEOUS LIQUID ABSORBING RESIN, AQUEOUS LIQUID ABSORBING COMPOSITION, AND ABSORBENT BODY AND ABSORBENT ARTICLE USING SAME | 1 |
Takayuki Kanda | JP | Soraku-Gun | 2015-04-02 / 20150094851 - ROBOT CONTROL SYSTEM, ROBOT CONTROL METHOD AND OUTPUT CONTROL METHOD | 1 |
Raguvir Kanda | US | North Attleboro | 2016-01-28 / 20160028001 - PACKAGING FOR AN ELECTRONIC DEVICE | 2 |
Masahiko Kanda | JP | Musashino-Shi | 2013-06-20 / 20130152815 - HYBRID ELECTRIC LOCOMOTIVE | 1 |
Koki Kanda | JP | Sendai-Shi | 2013-04-25 / 20130102834 - SLIDE DEVICE, MECHANICAL SEAL, ROTARY DEVICE, PUMP AND AUXILIARY ARTIFICIAL HEART SYSTEM | 1 |
Yutaka Kanda | JP | Tokyo | 2015-04-23 / 20150112046 - ANTIBODY COMPOSITION-PRODUCING CELL | 13 |
Robert J. Kanda | US | Lake Orion | 2015-11-19 / 20150330519 - LINE PRESSURE VALVE TO SELECTIVELY CONTROL DISTRIBUTION OF PRESSURIZED FLUID | 2 |
Hidenori Kanda | JP | Toyohashi-City | 2015-04-16 / 20150102696 - ARMATURE, ROTATING ELECTRICAL DEVICE, AND ARMATURE MANUFACTURING METHOD | 2 |
Tomomichi Kanda | JP | Niigata | 2012-08-09 / 20120201917 - APPARATUS FOR PRODUCING THERMOPLASTIC RESIN PELLETS | 6 |
Renzo Kanda | JP | Chuo-Ku, Tokyo | 2015-12-24 / 20150369228 - CRYOGENNIC LIQUEFIED GAS INTAKE/DISCHARGE VALVE BODY, RECIPROCATING PUMP, AND FUEL GAS SUPPLY DEVICE | 1 |
Yasuhisa Kanda | JP | Tokyo | 2012-02-09 / 20120033974 - OPTICAL COMMUNICATION SYSTEM, OPTICAL COMMUNICATION APPARATUS, AND OPTICAL COMMUNICATION METHOD THEREOF | 2 |
Junshiro Kanda | JP | Tokyo | 2009-08-27 / 20090213009 - POSITION DETECTION SYSTEM, POSITION DETECTION SERVER, AND TERMINAL | 1 |
Akihiko Kanda | JP | Tokyo | 2009-12-03 / 20090296460 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Hiroyuki Kanda | JP | Tokyo | 2013-05-16 / 20130122704 - ELECTROLESS PLATING APPARATUS AND ELECTROLESS PLATING METHOD | 5 |
Tetsuya Kanda | JP | Tokyo | 2012-03-29 / 20120074309 - INDUCTIVELY COUPLED PLASMA MASS SPECTROSCOPY APPARATUS AND MEASURED DATA PROCESSING METHOD IN THE INDUCTIVELY COUPLED PLASMA MASS SPECTROSCOPY APPARATUS | 2 |
Takanori Kanda | JP | Tokyo | 2011-02-03 / 20110026995 - INTERMEDIARY CONVEYANCE APPARATUS AND IMAGE FORMING SYSTEM | 4 |
Toshimasa Kanda | JP | Tokyo | 2010-05-13 / 20100116919 - SELF-PROPELLED CRUSHING SYSTEM | 1 |
Makoto Kanda | JP | Tokyo | 2008-09-18 / 20080227358 - METHOD FOR MANUFACTURING IMAGE DISPLAY DEVICE, IMAGE DISPLAY DEVICE, AND TV APPARATUS | 1 |
Mitsuru Kanda | JP | Tokyo | 2014-06-05 / 20140157386 - COMMUNICATION DEVICE, COMMUNICATION METHOD AND COMPUTER PROGRAM | 20 |
Nobuyasu Kanda | JP | Tokyo | 2009-02-26 / 20090053122 - Process for Denitration of Exhaust Gas | 2 |
Akitsugu Kanda | JP | Tokyo | 2009-03-05 / 20090063793 - STORAGE SYSTEM, DATA MANAGEMENT APPARATUS AND MANAGEMENT ALLOCATION METHOD THEREOF | 1 |
Shoichi Kanda | JP | Tokyo | 2009-05-14 / 20090124626 - Pharmaceutical agent comprising insulin resistance improving agent | 2 |
Takeshi Kanda | JP | Tokyo | 2009-09-03 / 20090222764 - INFORMATION PROCESSING DEVICE, INFORMATION DISPLAY METHOD, AND COMPUTER PROGRAM | 1 |
Hirooki Kanda | JP | Tokyo | 2009-10-22 / 20090261245 - GC-MS ANALYZER SWITCHABLE BETWEEN ONE-DIMENSIONAL AND TWO-DIMENSIONAL MODES | 1 |
Tadashi Kanda | JP | Tokyo | 2009-12-10 / 20090304490 - METHOD FOR HOLDING SILICON WAFER | 1 |
Satoshi Kanda | JP | Tokyo | 2012-07-19 / 20120183273 - ELECTRONIC APPARATUS, DISPLAY CONTROLLING METHOD FOR ELECTRONIC APPARATUS AND GRAPHICAL USER INTERFACE | 4 |
Kenichi Kanda | JP | Tokyo | 2010-07-08 / 20100171497 - MAGNETIC RESONANCE IMAGING APPARATUS | 7 |
Kentarou Kanda | JP | Tokyo | 2010-08-26 / 20100215603 - Body Surface Protecting Composition | 1 |
Satoru Kanda | JP | Tokyo | 2010-09-30 / 20100249466 - THERMAL RECORDING MATERIAL CONTAINING TRIS(2-METHYL- 4-HYDROXY-5-t-BUTYLPHENYL)BUTANE | 3 |
Mitsuyoshi Kanda | JP | Tokyo | 2011-09-29 / 20110233030 - BOOKLET HANDLING APPARATUS AND BOOKLET HANDLING METHOD | 2 |
Takayuki Kanda | JP | Tokyo | 2011-08-11 / 20110195552 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Chikara Kanda | JP | Tokyo | 2011-01-27 / 20110017325 - THREE-VALVES MANIFOLD FOR DIFFERENTIAL PRESSURE TYPE FLOW METER | 1 |
Toshimitsu Kanda | JP | Tokyo | 2011-01-20 / 20110014411 - PRESSURE-SENSITIVE ADHESIVE SHEET AND PRESSURE-SENSITIVE ADHESIVE SHEET WITH RELEASE SHEET USING THE SAME | 1 |
Kiichi Kanda | JP | Hiratsuka | 2009-09-17 / 20090232692 - PROCESS FOR PRODUCING POROUS METAL BODY | 1 |
Naotake Kanda | JP | Okazaki-Shi | 2016-04-21 / 20160111945 - METHOD FOR MANUFACTURING AN INTERIOR PERMANENT MAGNET ROTOR UNIT AND MAGNETIZING DEVICE | 3 |
Takayuki Kanda | JP | Hitachi | 2011-10-06 / 20110240909 - MAGNETIC MATERIAL AND MOTOR USING THE SAME | 1 |
Akihisa Kanda | JP | Takasago-Shi | 2013-07-04 / 20130172538 - METHOD FOR PRODUCING POROUS PARTICLES, POROUS PARTICLES, ADSORBENT BODY, AND METHOD FOR PURIFYING PROTEIN | 2 |
Yoshinori Kanda | JP | Tokyo | 2014-07-17 / 20140200727 - ENERGY ASSIST SYSTEM SELECTION SUPPORTING APPARATUS, CAPACITY SELECTING APPARATUS, POWER CONSUMPTION CALCULATING APPARATUS, AND LAYOUT GENERATING APPARATUS | 1 |
Ryuichi Kanda | JP | Saitama-Ken | 2010-07-22 / 20100185713 - FEATURE EXTRACTION APPARATUS, FEATURE EXTRACTION METHOD, AND PROGRAM THEREOF | 1 |
Ryuichi Kanda | JP | Saitama | 2009-02-19 / 20090046780 - MOVING IMAGE ENCODING APPARATUS,MOVING IMAGE ENCODING METHOD, AND PROGRAM | 1 |
Masahiko Kanda | JP | Hyogo | 2012-12-06 / 20120308436 - ANALYSIS SYSTEM OF BIOLOGICAL PARTICLES IN LIQUID FLOW | 6 |
Ryoko Kanda | JP | Hyogo | 2010-11-04 / 20100279176 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY AND METHOD FOR PRODUCING THE SAME | 2 |
Akihiko Kanda | JP | Hyogo | 2009-05-21 / 20090129149 - Nonvolatile semiconductor memory device for writing multivalued data | 1 |
Kensuke Kanda | JP | Hyogo | 2011-01-27 / 20110019497 - FLUID AGITATION METHOD, FLUID AGITATION SYSTEM, AND CARTRIDGE | 1 |
Yoshinori Kanda | JP | Hyogo | 2010-12-30 / 20100330520 - Combustion apparatus | 1 |
Toshimitsu Kanda | JP | Saitama | 2011-09-22 / 20110229694 - EASILY APPLICABLE ADHESIVE SHEET AND METHOD OF PRODUCING THE SAME | 2 |
Kenji Kanda | JP | Tokyo | 2012-08-23 / 20120212016 - STRUCTURE OF SEAT BACK WITH HEADREST | 5 |
Yoichi Kanda | JP | Kyoto | 2012-05-17 / 20120119154 - Electroconductive Coating Composition And Process For Production Of Electroconductive Coating Film | 1 |
Daisuke Kanda | JP | Tokyo | 2014-02-06 / 20140036440 - ELECTRONIC APPARATUS | 2 |
Minoru Kanda | JP | Akita-Shi | 2015-11-26 / 20150337456 - METHOD OF MANUFACTURING COMPOSITE CRUCIBLE AND METHOD OF MANUFACTURING SILICON CRYSTAL | 17 |
Yoshihiro Kanda | JP | Saitama | 2013-04-04 / 20130083393 - APPARATUS AND A METHOD FOR GENERATING, COMPENSATING AND EMULATING POLARIZATION MODE DISPERSION | 1 |
Hisaaki Kanda | JP | Hyogo | 2014-01-09 / 20140012018 - SULFOLANE COMPOSITION | 2 |
Takahiro Kanda | JP | Tokyo | 2011-10-06 / 20110240618 - LASER PROCESSING METHOD FOR TRANSPARENT MATERIAL | 1 |
Shintaro Kanda | JP | Tokyo | 2013-04-04 / 20130085081 - RISK PREDICTION OF DEVELOPING DRUG-INDUCED LUNG INJURY AND DETECTION METHOD AND KIT OF GENE FOR RISK PREDICTION | 1 |
Tomoyuki Kanda | JP | Shizuoka | 2015-08-13 / 20150225417 - THERAPEUTIC AGENT FOR MOTOR DISORDERS | 6 |
Takumi Kanda | JP | Kyoto | 2013-06-27 / 20130162141 - LIGHT SOURCE TURN-ON/OFF CONTROLLER | 3 |
Balaji K. Kandadai | US | Cumming | 2012-06-21 / 20120157952 - ARTICLE WITH HEAT-ACTIVATABLE EXPANDABLE STRUCTURES | 1 |
Venu Kandadai | IN | Hyderabad | 2013-11-21 / 20130311753 - METHOD AND DEVICE (UNIVERSAL MULTIFUNCTION ACCELERATOR) FOR ACCELERATING COMPUTATIONS BY PARALLEL COMPUTATIONS OF MIDDLE STRATUM OPERATIONS | 1 |
Srinivasan Kandadai | AU | Western Australia | 2014-05-29 / 20140144166 - Cascading Plant | 2 |
Balaji Kovil Kandadai | US | Cumming | 2015-05-28 / 20150143653 - Nowoven Tack Cloth for Wipe Applications | 1 |
Srivatsan Agaram Kandadai | US | Santa Clara | 2015-09-03 / 20150248879 - METHOD AND SYSTEM FOR CONFIGURING AN ACTIVE NOISE CANCELLATION UNIT | 1 |
Srivatsan Kandadai | US | Santa Clara | 2011-09-15 / 20110222696 - CONFIGURABLE ELECTRONIC DEVICE REPROGRAMMABLE TO MODIFY THE DEVICE FREQUENCY RESPONSE | 1 |
Vasudevan Kandadi | US | Southborough | 2016-05-19 / 20160141016 - CONTROLLED MULTI-STEP DE-ALIGNMENT OF CLOCKS | 2 |
Bhaskar Kandagatla | IN | Hyderabad | 2014-08-21 / 20140235895 - PREPARATION OF FINGOLIMOD AND ITS SALTS | 1 |
Shailesh Kandage | US | Westford | 2014-10-16 / 20140306956 - Creating Dynamic Sets To Automatically Arrange Dimension Annotations | 2 |
Ibrahim Kandah | US | Canton | 2009-06-25 / 20090161287 - ELECTRONIC DEVICE OPERABLE TO PROTECT A POWER TRANSISTOR WHEN USED IN CONJUNCTION WITH A TRANSFORMER | 1 |
Ibrahim S. Kandah | US | Novi | 2016-01-28 / 20160028377 - RESISTANCE DETECTION FOR INTEGRATED CIRCUIT DRIVER | 4 |
Ruby Kandah | US | Boston | 2014-10-09 / 20140303458 - SYSTEMS AND METHODS FOR INHIBITING APNEIC EVENTS | 1 |
Ibrahim Shihadeh Kandah | US | Canton | 2011-08-04 / 20110187396 - QUIESCENT CURRENT (IDDQ) INDICATION AND TESTING APPARATUS AND METHODS | 1 |
Ibrahim S. Kandah | US | Canton | 2015-11-05 / 20150316602 - APPARATUS AND METHOD FOR MONITORING OPERATION OF AN INSULATED GATE BIPOLAR TRANSISTOR | 2 |
Shinsuke Kandaka | JP | Wako-Shi | 2015-10-22 / 20150300069 - OPENING-CLOSING DEVICE FOR OPENING IN VEHICLE | 1 |
Noriaki Kandaka | JP | Sagamihara-Shi | 2016-02-25 / 20160054488 - OPTICAL ELEMENT, PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD | 1 |
Noriaki Kandaka | JP | Sagamihara | 2009-04-16 / 20090097104 - Multilayer mirror, method for manufacturing the same, and exposure equipment | 1 |
Tina L. Kandakai | US | Solon | 2013-09-19 / 20130240155 - PORTABLE MODESTY GUARD | 2 |
Malathi Kandakatla | IN | Hyderabad | 2009-08-27 / 20090214648 - PHARMACEUTICAL FORMULATIONS COMPRISING IBUPROFEN AND DIPHENHYDRAMINE | 1 |
Ravi Kandala | IN | Pune | 2015-08-13 / 20150228046 - AUTOMATICALLY PERFORMING A TRADE-OFF BETWEEN VISUAL QUALITY AND LATENCY DURING RENDERING OF A VIDEO/GRAPHICS SEQUENCE | 1 |
Ravi Sekhar Kandala | IN | Bangaluru | 2011-05-05 / 20110101284 - ELECTRICALLY CONDUCTING SYNTACTIC FOAM AND A PROCESS FOR PREPARING THE SAME | 1 |
Srinivas Kandala | US | Santa Clara | 2013-03-21 / 20130070668 - APPARATUS AND METHOD FOR TRANSMISSION AND RECOVERY MODES FOR AN RTS/CTS SYSTEM THAT UTILIZES MULTICHANNELS | 1 |
Srini Kandala | US | New York | 2016-05-19 / 20160140632 - METHODS AND SYSTEMS SUPPORTING CROWD-SOURCED PROXY SHOPPING VIA AN E-COMMERCE PLATFORM | 1 |
Srinivasa Rao Kandala | US | Trumbull | 2009-02-05 / 20090036346 - Fabric Conditioning Composition | 1 |
Sreenadha Charyulu Kandala | IN | Hyderabad | 2015-05-21 / 20150141658 - PREPARATION OF FEBUXOSTAT | 6 |
Sreenadhacharyulu Kandala | IN | Hyderabad | 2009-04-16 / 20090099359 - ESZOPICLONE PROCESS | 1 |
Aravind Kandala | US | San Jose | 2016-02-25 / 20160055889 - LOW POWER DOUBLE PUMPED MULTI-PORT REGISTER FILE ARCHITECTURE | 2 |
Srinivas Kandala | US | Vancouver | 2008-11-27 / 20080291858 - Power Saving Wireless Local Area Network Portable Device | 1 |
Santosh Subbarayudu Kandala | IN | Hyderabad | 2009-11-19 / 20090285369 - EMERGENCY NOTIFICATION THROUGH RESERVED NETWORK COMMUNICATION CHANNELS | 1 |
Srinivas Kandala | US | San Jose | 2009-10-08 / 20090252143 - METHODS AND APPARATUS FOR DELAYED BLOCK ACKNOWLEDGEMENT IN A WIRELESS LOCAL AREA NETWORK (WLAN) | 2 |
Amine Kandalaft | US | Holmdel | 2008-11-27 / 20080291917 - System and method for designing and implementing packet processing products | 1 |
Sachin Ramesh Kandalkar | IN | Ahmednagar | 2008-12-04 / 20080300251 - Derivatives of 3-Azabicyclo[3.1.0] Hexane as Dipeptidyl Peptidase-IV Inhibitors | 1 |
Sachin Ramesh Kandalkar | IN | Ahmednaga | 2009-06-18 / 20090156465 - DERIVATIVES OF BETA-AMINO ACID AS DIPEPTIDYL PEPTIDASE-IV INHIBITORS | 1 |
Sachin Kandalkar | IN | Maharashtra | 2010-12-09 / 20100310493 - ACETAMIDE DERIVATIVES AS GLUCOKINASE ACTIVATORS, THEIR PROCESS AND MEDICINAL APPLICATION | 1 |
Sachin Kandalkar | IN | Pune | 2012-08-23 / 20120214735 - ACETAMIDE DERIVATIVES AS GLUCOKINASE ACTIVATORS, THEIR PROCESS AND MEDICINAL APPLICATIONS | 1 |
Sai Prasanth V. Kandallu | US | San Jose | 2013-08-22 / 20130218887 - METHOD AND APPARATUS FOR GENERATING AND USING AN INTEREST GRAPH | 1 |
Sai P. Kandallu | US | Chicago | 2014-04-24 / 20140114898 - DETERMINATION OF DOCUMENT CREDIBILITY | 2 |
Sai Prasanth V Kandallu | US | Chicago | 2014-07-31 / 20140214877 - METHOD AND APPARATUS FOR GENERATING AND USING AN INTEREST GRAPH | 1 |
Sharath Kandambeth | IN | Pune | 2015-10-22 / 20150299147 - Phosphoric Acid Loaded Covalent Organic Framework And A Process For The Preparation Thereof | 1 |
Sharath Kandambeth | IN | Maharashtra | 2015-09-24 / 20150266885 - POROUS CRYSTALLINE FRAMEWORKS, PROCESS FOR THE PREPARATION THEROF AND THEIR MECHANICAL DELAMINATION TO COVALENT ORGANIC NANOSHEETS (CONS) | 1 |
Venket Kandanala | US | Highland Village | 2012-06-14 / 20120151533 - MULTI-SERVICE STORE | 6 |
Venket S. Kandanala | US | Highland Village | 2014-02-06 / 20140040007 - PROMOTION CREATOR AND MANAGER | 5 |
Pramod Kandanarachchi | US | Brecksville | 2015-12-24 / 20150372246 - GATE INSULATOR LAYER FOR ORGANIC ELECTRONIC DEVICES | 17 |
Pramod Kandanarachchi | US | Brecksville | 2015-12-24 / 20150372246 - GATE INSULATOR LAYER FOR ORGANIC ELECTRONIC DEVICES | 17 |
Anil K. Kandangath | US | Santa Clara | 2015-08-13 / 20150230078 - Secure Ad Hoc Data Backup to Nearby Friend Devices | 5 |
Anil K. Kandangath | US | San Francisco | 2015-12-03 / 20150350141 - MESSAGE USER INTERFACES FOR CAPTURE AND TRANSMITTAL OF MEDIA AND LOCATION CONTENT | 4 |
Anil Kumar Kandangath | US | Phoenix | 2012-07-26 / 20120188121 - SYSTEMS AND METHODS FOR SYNTHESIZING GPS MEASUREMENTS TO IMPROVE GPS LOCATION AVAILABILITY | 2 |
Binil Kandapallil | US | Mechanicville | 2012-06-28 / 20120166095 - HIGHLY SELECTIVE CHEMICAL AND BIOLOGICAL SENSORS | 1 |
Arun Kandappan | US | Morganville | 2015-11-05 / 20150316392 - Presentation of Content During Navigational Instructions | 5 |
Parthiban Kandappan | US | San Jose | 2011-03-31 / 20110075549 - FAST PROTECTION PATH ACTIVATION USING CONTROL PLANE MESSAGES | 4 |
Tibor Kandar | HU | Kacorlak | 2012-11-29 / 20120298469 - Clutch System and Method for Operating a Clutch System | 1 |
Padma Kandarpa | US | Ashburn | 2015-04-23 / 20150112676 - ENHANCED CAPTURE, MANAGEMENT AND DISTRIBUTION OF LIVE PRESENTATIONS | 3 |
Krishna Kandarpa | US | Southborough | 2012-09-13 / 20120232457 - Systems and Methods for Homeostatically Treating Organ Disease Using Local Delivery of Therapeutic Agents | 1 |
Karuppasamy Kandasamy | SE | Stockholm | 2014-03-27 / 20140088149 - BACTERIAL THIOREDOXIN REDUCTASE INHIBITORS AND METHODS FOR USE THEREOF | 1 |
K. Kandasamy | SE | Stockholm | 2011-11-24 / 20110288130 - BACTERIAL THIOREDOXIN REDUCTASE INHIBITORS AND METHODS FOR USE THEREOF | 2 |
Partheeban Kandasamy | IN | Bhubaneswar | 2013-01-31 / 20130031067 - DATA AUDIT MODULE FOR APPLICATION SOFTWARE | 1 |
Madhusudanan Kandasamy | IN | Taminadu | 2014-05-08 / 20140129696 - RECONSILIATION OF ASYMETRIC TOPOLOGY IN A CLUSTERED ENVIRONMENT | 1 |
Manivannan Kandasamy | JP | Kobe | 2011-01-20 / 20110011895 - Pump Dispenser With Dip Tube Having Wider Tip Portion | 1 |
Balaji Kandasamy | US | Naperville | 2011-08-04 / 20110185529 - CLEANING IMPLEMENT | 4 |
Uma Kandasamy | US | Atlanta | 2009-10-29 / 20090271214 - Rules engine framework | 1 |
Subbiah Kandasamy | US | Fremont | 2015-08-20 / 20150234722 - Secure Fallback Network Device | 4 |
Pachamuthu Kandasamy | US | Cambridge | 2015-10-01 / 20150273068 - BIODEGRADABLE LIPIDS FOR THE DELIVERY OF ACTIVE AGENTS | 2 |
Ravi Kandasamy | SG | Singapore | 2015-05-07 / 20150125297 - DE-ICING OF A WIND TURBINE BLADE | 9 |
Uma Maheswari Kandasamy | US | Atlanta | 2009-10-29 / 20090271351 - RULES ENGINE TEST HARNESS | 1 |
Madhusudanan Kandasamy | IN | Tamilnadu | 2015-06-11 / 20150160973 - DOMAIN BASED RESOURCE ISOLATION IN MULTI-CORE SYSTEMS | 5 |
Madhusudanan Kandasamy | IN | Bungalowpudur | 2010-04-29 / 20100106926 - SECOND FAILURE DATA CAPTURE PROBLEM DETERMINATION USING USER SELECTIVE MEMORY PROTECTION TO TRACE APPLICATION FAILURES | 1 |
Jeyaprakash Kandasamy | IN | Hyderabad | 2014-07-31 / 20140214230 - SYSTEMS AND METHODS FOR MAXIMUM POWER POINT TRACKING IN A MICRO INVERTER | 3 |
Jeyakumar Kandasamy | IN | Trichy | 2016-03-17 / 20160074425 - AMINOGLYCOSIDES AND USES THEREOF IN TREATING GENETIC DISORDERS | 2 |
Madhusudanan Kandasamy | IN | Erode District | 2009-12-17 / 20090313677 - Mathematical definition of roles and authorizations in RBAC system | 2 |
Madhusudanan Kandasamy | IN | Tamil Nadu | 2009-10-01 / 20090249344 - METHOD AND APPARATUS FOR THREADED BACKGROUND FUNCTION SUPPORT | 2 |
Senthil Kumar Kangayampalayam Kandasamy | IN | Bangalore | 2015-10-29 / 20150309732 - Selectively Configuring Hard-Disk Drive System | 1 |
Kavitha Kandasamy | IN | Chennai | / - | 1 |
Manivannan Kandasamy | SG | Singapore | 2015-08-06 / 20150216774 - METHOD OF ENHANCING DEPOSITION OF ANTIDANDRUFF AGENTS ON INFUNDIBULUM | 2 |
Rahunandan Kandasamy | SG | Singapore | 2013-04-25 / 20130100291 - DEVICE FOR HANDLING BANKNOTES | 1 |
Kumar Kandasamy | US | Blacksburg | 2016-03-17 / 20160074958 - Fabrication of Monolithic Stiffening Ribs on Metallic Sheets | 1 |
Jagadeesh Kandasamy | US | Sunnyvale | 2016-03-10 / 20160071179 - FRAMEWORKS TO DEMONSTRATE LIVE PRODUCTS | 1 |
Madhusudanan Kandasamy | IN | Tamilnaud | 2009-08-20 / 20090210647 - METHOD FOR DYNAMICALLY RESIZING FILE SYSTEMS | 1 |
Veeramani Kandasamy | US | Annandale | 2015-06-11 / 20150163628 - METHOD AND APPARATUS FOR DISTRIBUTING SERVICES AND DATA | 4 |
Karthikeyan Kandasamy | SG | Singapore | 2015-03-26 / 20150087057 - IN VITRO METHOD FOR CULTURING STEM CELLS | 1 |
Parthipan Kandasamy | IN | Bangalore | 2016-03-24 / 20160088021 - POLICY-BASED COMPLIANCE MANAGEMENT AND REMEDIATION OF DEVICES IN AN ENTERPRISE SYSTEM | 1 |
Ramsunder Kandasamy | DE | Aachen | 2016-02-18 / 20160050079 - TELECONFERENCE MESSAGE BOX | 1 |
Vijay Kandasamy | IN | Tamil Nadu | 2016-04-28 / 20160115971 - DIFFUSER PIPE WITH SPLITTER VANE | 4 |
Aruljothi Kandasamy | IN | Bangalore | 2014-03-06 / 20140065881 - MULTI-SOCKET MEMORY MODULE T-CONNECTOR | 1 |
Balamurugan Kandasamy | DE | Bremen | 2015-12-31 / 20150373987 - HETEROPOLYOXOMETALATES | 1 |
David Rajendran Kandasamy | US | Palo Alto | 2011-07-28 / 20110185016 - ENHANCED WEBSITE TRACKING SYSTEM AND MEHOD | 1 |
Ramani Kandasamy | US | Chapel Hill | 2011-10-27 / 20110263585 - Screening Assay for Insecticides | 1 |
Nagan Nirmalan Kandasamy | IN | Khidakali | 2012-02-23 / 20120045648 - PROCESS FOR THE PREPARATION OF LASOFOXIFENE TARTRATE | 1 |
Anand Kandasamy | US | Neptune | 2015-03-12 / 20150074536 - FILE MANAGER INTEGRATION WITH VIRTUALIZATION IN AN INFORMATION MANAGEMENT SYSTEM, INCLUDING USER CONTROL AND STORAGE MANAGEMENT OF VIRTUAL MACHINES | 2 |
Madhusudanan Kandasamy | IN | Gobichettipalayam | 2015-03-26 / 20150089060 - Enhanced Mechanisms for Granting Access to Shared Resources | 7 |
Jeyakumar Kandasamy | IL | Nesher | 2013-09-12 / 20130237489 - AMINOGLYCOSIDES AND USES THEREOF IN TREATING GENETIC DISORDERS | 1 |
Vaitheeswaran Kandasamy | ES | Barcelona | 2013-09-26 / 20130248165 - POWER REGULATION SYSTEM FOR A MOBILE ENVIRONMENT-CONTROLLED UNIT AND METHOD OF CONTROLLING THE SAME | 1 |
Vijay Kandasamy | IN | Palur | 2014-09-18 / 20140271108 - COMPRESSOR BLEED SELF-RECIRCULATING SYSTEM | 2 |
Muthukumar Kandasamy | IN | Bangalore | 2013-01-24 / 20130024800 - System and Method for Playing Back Wireless Fire System History Events | 1 |
Ruckmani Kandasamy | IN | Tiruchirapalli | 2011-07-14 / 20110171295 - IMMEDIATE RELEASE COMPOSITIONS OF ACID LABILE DRUGS | 1 |
Thamilarasu Kandasamy | IN | Bangalore | 2016-04-07 / 20160098327 - BYPASSING FAILED HUB DEVICES IN HUB-AND-SPOKE TELECOMMUNICATION NETWORKS | 3 |
Guhan Kandasamy | US | New York | 2013-08-22 / 20130218807 - System and Method for Valuation and Risk Estimation of Mortgage Backed Securities | 1 |
Madhusudanan Kandasamy | IN | Bangalore | 2012-08-02 / 20120198424 - Providing Programming Support to Debuggers | 4 |
Pachamuthu Kandasamy | US | Malden | 2013-08-01 / 20130195920 - BIODEGRADABLE LIPIDS FOR THE DELIVERY OF ACTIVE AGENTS | 1 |
Ravi Kandasamy | SG | Singapore | 2015-05-07 / 20150125297 - DE-ICING OF A WIND TURBINE BLADE | 9 |
Umasankar Kandaswamy | US | Southfield | 2014-04-10 / 20140099018 - METHOD, SYSTEM, AND DEVICE FOR COMPRESSING, ENCODING, INDEXING, AND DECODING IMAGES | 1 |
Sivasubramanian Kandaswamy | IN | Chennai | 2014-07-03 / 20140188756 - SYSTEMS AND METHODS FOR AUTOMATIC PROCESSING OF FORMS USING AUGMENTED REALITY | 2 |
Sumathy Kandaswamy | IN | Hyderabad | 2014-05-01 / 20140120125 - VACCINE COMPOSITION COMPRISING AN INACTIVATED CHIKUNGUNYA VIRUS STRAIN | 1 |
Srinivasan Kandaswamy | IN | Little Kanchipuram | 2016-05-12 / 20160129439 - DIAGNOSTIC ELEMENT, AND A DIAGNOSTIC DEVICE COMPRISING A DIAGNOSTIC ELEMENT | 5 |
Nagan Nirmalan Kandaswamy | IN | Thane | 2011-08-25 / 20110207779 - PROCESS FOR THE PREPARATION OF ESOMEPRAZOLE MAGNESIUM | 1 |
Meenakshi A. Kandaswamy | US | Portland | 2008-10-02 / 20080243268 - ADAPTIVE CONTROL OF MULTIPLE PREFETCHERS | 1 |
Uma Maheswari Kandaswamy | US | San Jose | 2014-01-30 / 20140032472 - SYSTEM, METHOD, AND SOFTWARE PROGRAM PRODUCT FOR ENABLING USERS TO CREATE AND USE RULE PATTERNS TO GENERATE CUSTOM PRODUCT-CONFIGURATION RULES | 1 |
Balachandar Kandaswamy | US | Southington | 2016-03-31 / 20160092480 - SYSTEM FOR ACCESSING BUSINESS METADATA WITHIN A DISTRIBUTED NETWORK | 1 |
Umasankar Kandaswamy | US | Potsdam | 2009-01-08 / 20090010500 - Face Recognition Methods and Systems | 1 |
Sridar Kandaswamy | US | San Jose | 2014-05-22 / 20140140221 - DYNAMIC RE-ASSIGNMENT OF SERVICE PORTS TO ACTIVE VIRTUAL NETWORK SWITCH BASED ON SERVICE POLICY | 4 |
Duraiswamy Kandaswamy | US | Huntington Beach | 2012-06-21 / 20120156574 - HYDROGEN GENERATION HAVING CO2 REMOVAL WITH STEAM REFORMING | 2 |
Senthil Kumar Kandaswamy | US | San Jose | 2012-03-22 / 20120072307 - PROVIDING A MARKETPLACE FOR SOFTWARE SERVICES | 1 |
Ashok Kandaswamy | US | Irving | 2014-07-24 / 20140207877 - METHOD AND SYSTEM FOR ASSOCIATING A SOCIAL NETWORKING IDENTIFIER WITH A NETWORK SUBSCRIBER ACCOUNT | 2 |
Chandrasekar Kandaswamy | IN | Hyderabad | 2015-12-10 / 20150353525 - ANHYDROUS LENALIDOMIDE FORM-I | 3 |
Thomas V. Kandathil | US | Racine | 2009-04-02 / 20090088476 - Dental irrigant | 1 |
Sateesh Kandavalli | US | Plainsboro | 2016-02-04 / 20160030450 - TOPICAL CORTICOSTEROID COMPOSITIONS | 1 |
Sateesh Kandavalli | IN | Andhra Pradesh | 2015-09-17 / 20150258119 - TOPICAL CORTICOSTEROID COMPOSITIONS | 1 |
Ganesha Kandavel | US | Encino | 2015-12-24 / 20150366714 - ELECTRONIC EYE MARKING DEVICE | 1 |
Karthikeyan Kandavelou | US | Pondicherry | 2013-05-23 / 20130130350 - OBLIGATE HETERODIMER VARIANTS OF FOKI CLEAVAGE DOMAIN | 1 |
Karthikeyan Kandavelou | IN | Puducherry | 2014-05-08 / 20140127814 - GENERATION AND USE OF PLURIPOTENT STEM CELLS | 1 |
Sateesh Kandavilli | IN | Hyderabad | 2010-02-25 / 20100048598 - TOPICAL COMPOSITIONS COMPRISING 5-ALPHA REDUCTASE INHIBITORS | 1 |
Sateesh Kandavilli | IN | Hyderbad | 2012-08-23 / 20120214776 - TOPICAL FORMULATIONS COMPRISING A STEROID | 1 |
Mallikarjun Kande | IN | Karanataka | 2013-07-04 / 20130170378 - METHOD AND A SYSTEM FOR LOCALIZATION IN INDUSTRIAL WIRELESS SENSOR NETWORK | 1 |
Mohamed M. Kande | CH | Preverenges | 2012-04-12 / 20120089830 - METHOD AND DEVICE FOR DIGITALLY ATTESTING THE AUTHENTICITY OF BINDING INTERACTIONS | 1 |
Mallikarjun Kande | IN | Bangalore | 2015-09-24 / 20150270697 - SELF SUPPLIED PROTECTION RELAY WITH A MODULE TO BOOST PERFORMANCE | 3 |
Mohamed Mancona Kande | CH | Preverenges | 2011-06-30 / 20110158172 - METHOD AND DEVICE FOR ENFORCING INTERNET USERS' GEOGRAPHICAL POSITIONING TRACEABILITY | 1 |
Udayan Kande | IN | Pune | 2012-06-21 / 20120155665 - Echo Canceller With Adaptive Non-Linearity | 1 |
Fouad Kandeel | US | La Palma | 2013-11-28 / 20130317316 - CARBOHYDRATE MODELING METHODS, SYSTEMS, AND DEVICES | 1 |
Fouad Kandeel | US | Duarte | 2015-05-07 / 20150126373 - ULTRA-HIGH SENSITIVE MONITORING OF EARLY TRANSPLANTATION FAILURE | 1 |
Wolfgang Kandek | US | Palo Alto | 2010-07-08 / 20100175106 - Systems and Methods for Performing Remote Configuration Compliance Assessment of a Networked Computer Device | 1 |
Wolfgang Kandek | US | Redwood Shores | 2014-04-17 / 20140109169 - Systems and methods for assessing the compliance of a computer across a network | 2 |
Wolfgang Kandek | US | San Jose | 2015-10-01 / 20150281269 - System and method for performing remote security assessment of firewalled computer | 3 |
Kunal Kandekar | US | Jersey City | 2016-04-07 / 20160100049 - Systems And Methods For Initiating Communications With Contacts Based On A Communication Specification | 53 |
Kunal Kandekar Kandekar | US | Jersey City | 2012-10-04 / 20120252418 - SYSTEM AND METHOD FOR AUTOMATED PROXIMITY-BASED SOCIAL CHECK-INS | 1 |
Kunal Kandekar | US | Raleigh | 2014-12-11 / 20140366075 - Publishing Key Frames Of A Video Content Item Being Viewed By A First User To One Or More Second Viewers | 9 |
Kunal Kandekar | US | Jersey City | 2016-04-07 / 20160100049 - Systems And Methods For Initiating Communications With Contacts Based On A Communication Specification | 53 |
Ajay Kandekar | IN | Gurgaon | 2014-01-02 / 20140006378 - SYSTEMS AND METHODS FOR AUDIT PROJECT AUTOMATION | 1 |
Satish Kandekar | US | Sunnyvale | 2015-10-29 / 20150312861 - METHOD AND SYSTEM FOR DEVICE AWARE POWER SAVE | 1 |
Kunal Kandekar | US | Raleigh | 2014-12-11 / 20140366075 - Publishing Key Frames Of A Video Content Item Being Viewed By A First User To One Or More Second Viewers | 9 |
Kunal Kandekar | US | Morrisville | 2014-11-13 / 20140337298 - METHOD AND SYSTEM FOR CONSTRUCTING AND PRESENTING A CONSUMPTION PROFILE FOR A MEDIA ITEM | 8 |
Kunal Kandekar | US | Morrisville | 2014-11-13 / 20140337298 - METHOD AND SYSTEM FOR CONSTRUCTING AND PRESENTING A CONSUMPTION PROFILE FOR A MEDIA ITEM | 8 |
Solon L. Kandel | US | Boca Raton | 2010-05-27 / 20100126516 - ELECTRICALLY HEATED WATER PIPE SMOKING DEVICE | 1 |
Daniel Kandel | IL | Aseret | 2016-04-14 / 20160103946 - FOCUS MEASUREMENTS USING SCATTEROMETRY METROLOGY | 33 |
Eugene Kandel | US | Williamsville | 2015-10-22 / 20150299697 - COMPOSITIONS AND METHODS FOR INHIBITING HYPOXIA INDUCED DAMAGE | 1 |
Edward Kandel | US | Mchenry | 2015-12-10 / 20150353232 - SIZE-ADJUSTABLE RECEPTACLE | 2 |
Daniel Kandel | IL | Aseret | 2016-04-14 / 20160103946 - FOCUS MEASUREMENTS USING SCATTEROMETRY METROLOGY | 33 |
Eric R. Kandel | US | Riverdale | 2014-10-02 / 20140294798 - RbAp48 TRANSGENIC MICE FOR DRUG DISCOVERY IN AGE-RELATED MEMORY DECLINE | 2 |
Kapil Kandel | US | Ames | 2014-06-05 / 20140155670 - CATALYSTS AND METHODS OF USING THE SAME | 2 |
Sean Kandel | US | Chicago | 2010-03-04 / 20100058163 - Spreadsheet system and method for managing photos | 1 |
Natalie L. Kandel | US | Louisville | 2013-05-30 / 20130136829 - MULTI-TIERED CAKE STAND | 1 |
Kapil Kandel | US | Webster | 2015-05-07 / 20150125398 - MULTIMODAL IMAGING METHODS USING MESOPOROUS SILICA NANOPARTICLES | 1 |
Gillray L. Kandel | US | Troy | 2009-08-27 / 20090213329 - EVALUATING PUPILLARY RESPONSES TO LIGHT STIMULI | 2 |
Michael G. Kandel | US | Walnut Creek | 2013-12-12 / 20130332027 - DUAL PATH CONTROL FOR VEHICLE JOYSTICK CONTROLLER | 1 |
Jessica Kandel | US | New York | 2013-09-26 / 20130251633 - SYSTEMS, METHODS, AND DEVICES FOR ULTRASONIC ASSESSMENT OF CANCER AND RESPONSE TO THERAPY | 1 |
Michael John Kandel | US | Los Angeles | 2015-12-17 / 20150359307 - CASE FOR PORTABLE ELECTRONIC DEVICES WITH INTERNAL SUPPORT | 1 |
Rita Kandel | CA | Toronto | 2014-07-03 / 20140188227 - Fibrous Scaffold for Use in Soft Tissue Engineering | 4 |
Kapil Kandel | US | Humble | 2016-04-28 / 20160115113 - Aerobic Oxidative Esterification of Sugar-Derived 1,4-Disubstituted Benzene for Direct Synthesis of Dimethylterephthalate | 2 |
Irawati Kandela | US | Madison | 2015-02-12 / 20150044142 - FLUORESCENT PHOSPHOLIPID ETHER COMPOUNDS, COMPOSITIONS, AND METHODS OF USE | 12 |
Joseph E. Kandell | US | Battle Creek | 2014-07-31 / 20140208577 - HOUSING MEMBER FOR CLUTCH MECHANISM | 2 |
Sam B. Kandelousy | US | Plano | / - | 1 |
Stefanie Kandels-Lewis | DE | Sinsheimerstrasse | 2009-05-28 / 20090136924 - RAPID GENERATION OF LONG SYNTHETIC CENTROMERIC TANDEM REPEATS FOR MAMMALIAN ARTIFICIAL CHROMOSOME FORMATION | 1 |
Fatma Gulsah Kandemir | TR | Ankara | 2014-07-03 / 20140189645 - METHOD FOR DYNAMIC CONFIGURATION MANAGEMENT AND AN APPARATUS THEREOF | 1 |
Mahmut Taylan Kandemir | US | State College | 2013-05-16 / 20130125097 - Method and system for converting a single-threaded software program into an application-specific supercomputer | 2 |
Mujdat Kandemir | US | Cincinnati | 2015-02-05 / 20150033926 - ANVIL ROLL SYSTEM AND METHOD | 2 |
Alexander Kandemir | US | New York | 2015-05-28 / 20150147727 - Mechanical Toothbrush | 2 |
Sreenivas Kandepu | IN | Hyderabad | 2015-03-26 / 20150087581 - Immunosuppression Modulating Compounds | 4 |
Ilan Kander | IL | Raanana | 2008-11-06 / 20080272196 - Smart Identification Document | 1 |
Naresh Kanderi | US | Chesapeake | 2009-05-21 / 20090131562 - Water soluble anionic polymers compositions for resisting erosion | 1 |
Sami Kanderian | US | Rockville | 2014-02-06 / 20140039802 - RAPID METHOD OF PATTERN RECOGNITION, MACHINE LEARNING, AND AUTOMATED GENOTYPE CLASSIFICATION THROUGH CORRELATION ANALYSIS OF DYNAMIC SIGNALS | 3 |
Sami Kanderian | US | Germantown | 2016-02-25 / 20160051985 - SYSTEM AND METHOD FOR SERIAL PROCESSING OF MULTIPLE NUCLEIC ACID ASSAYS | 8 |
Sami Kanderian, Jr. | US | Northridge | 2009-01-01 / 20090005666 - REAL TIME SELF-ADJUSTING CALIBRATION ALGORITHM | 1 |
Sami S. Kanderian, Jr. | US | Germantown | 2014-10-09 / 20140303552 - APPARATUS AND METHOD FOR CONTROLLING INSULIN INFUSION WITH STATE VARIABLE FEEDBACK | 1 |
Sami S. Kanderian, Jr. | US | Burbank | 2013-06-20 / 20130158503 - APPARATUS AND METHOD FOR CONTROLLING INSULIN INFUSION WITH STATE VARIABLE FEEDBACK | 6 |
Brent Kandetzki | US | Decatur | 2008-11-27 / 20080292094 - Business telephone system voicemail circuit card with integrated audio output | 1 |
Ananthapadmanabhan Arasanipalai Kandhadai | US | San Diego | 2016-03-03 / 20160063727 - SYSTEMS AND METHODS FOR IMAGE SCANNING | 16 |
Ananthapadmanabhan A. Kandhadai | US | San Diego | 2012-11-22 / 20120296641 - SYSTEMS, METHODS, AND APPARATUS FOR WIDEBAND ENCODING AND DECODING OF INACTIVE FRAMES | 5 |
Ananthapadmanabhan Arasanipala Kandhadai | US | San Diego | 2013-07-11 / 20130179159 - SYSTEMS AND METHODS FOR DETECTING OVERFLOW | 1 |
Ananthapadmanabhan Kandhadai | US | San Diego | 2015-12-10 / 20150358549 - IMAGE CAPTURING PARAMETER ADJUSTMENT IN PREVIEW MODE | 1 |
Ananthapadmanbhan A. Kandhadai | US | San Diego | 2011-04-07 / 20110082693 - SYSTEMS, METHODS, AND APPARATUS FOR FRAME ERASURE RECOVERY | 1 |
Ananthapadmanabhan Arasanipalai Kandhadai | US | San Diego | 2016-03-03 / 20160063727 - SYSTEMS AND METHODS FOR IMAGE SCANNING | 16 |
Anathapadmanabhan A. Kandhadai | US | San Diego | 2010-12-09 / 20100312552 - SYSTEMS AND METHODS FOR PREVENTING THE LOSS OF INFORMATION WITHIN A SPEECH FRAME | 1 |
Arvind Kandhalu Raghu | US | Dallas | 2016-02-04 / 20160037449 - Slot Skipping Techniques for Reduced Power Consumption in Time Slotted Channel Hopping MAC Protocol | 2 |
Ramakrishnan Kandhan | US | Mountain View | 2014-07-31 / 20140214790 - ENHANCING SITELINKS WITH CREATIVE CONTENT | 1 |
Arvind Kandhare | IN | Hyderabad | 2014-11-27 / 20140351326 - MANAGING USER STATE OF CLOUD DESKTOPS | 13 |
Arvind Kandhare | IN | Hyderabad | 2014-11-27 / 20140351326 - MANAGING USER STATE OF CLOUD DESKTOPS | 13 |
Arvind Kandhare | IN | Gachibowli | 2011-06-30 / 20110161957 - Virtualized Eco-Friendly Remote Presentation Session Role | 1 |
Kundan Kandhway | IN | New Delhi | 2011-02-17 / 20110040554 - Automatic Evaluation of Spoken Fluency | 1 |
Chandrasekhar Kandi | IN | Hyderabad | 2015-05-21 / 20150141520 - Stabilized pharmaceutical compositions of fingolimod and process for preparation thereof | 1 |
Chandrashekhar Kandi | IN | Hyderabad | 2015-06-11 / 20150157618 - Stabilized pharmaceutical compositions of dabigatran and process for preparation thereof | 2 |
Girish Kandi | IN | Pune | 2015-12-10 / 20150356211 - NAVIGATING AND AUTHORING CONFIGURED PRODUCT LIFECYCLE DATA | 2 |
Chandrashekhar Kandi | IN | Hingoli | 2010-08-19 / 20100209498 - PHARMACEUTICAL COMPOSITIONS OF DULOXETINE | 1 |
Shamseer Kulangara Kandi | IN | Delhi | 2015-01-22 / 20150023930 - AMINOQUINOLINE DERIVATIVES AND USES THEREOF | 1 |
Chandrashekhar Shriram Kandi | IN | Maharashtra | 2009-01-29 / 20090028942 - Sustained release compositions of alfuzosin | 1 |
Chandrashekhar Shriram Kandi | IN | Hingoli | 2012-09-20 / 20120237571 - SUSTAINED RELEASE COMPOSITIONS OF ALFUZOSIN | 2 |
Chandrashekhar Shriram Kandi | IN | Hyderabad | 2015-10-08 / 20150283248 - Pharmaceutical compositions of Linagliptin and process for preparation thereof | 1 |
Sivakumar Kandiah | GB | West Molesey | 2015-06-04 / 20150151018 - Catalytic Fragrance Burner Assembly and a Method of Manufacture Thereof | 1 |
Nagaraj Vishwottam Kandikere | IN | Hyderabad | 2012-12-20 / 20120322808 - Alpha 4 Beta 2 NEURONAL NICOTINIC ACETYLCHOLINE RECEPTOR LIGANDS | 6 |
Vishwottam Nagaraj Kandikere | IN | Hyderabad | 2014-05-29 / 20140148440 - HETEROCYCLYL COMPOUNDS AS HISTAMINE H3 RECEPTOR LIGANDS | 2 |
Shanthi Kandikonda | US | Woodbury | 2014-03-20 / 20140081354 - ASSIGNMENT AND MANIPULATION OF IMPLANTABLE LEADS IN DIFFERENT ANATOMICAL REGIONS WITH IMAGE BACKGROUND | 2 |
Mokhtar Kandil | CA | Toronto | / - | 1 |
Sherif Kandil | US | Ellington | 2014-09-25 / 20140283690 - MEMBRANE CONTACTOR FOR DEHUMIDIFICATION SYSTEMS | 4 |
Ekambar R. Kandimalla | US | Hopkinton | 2014-07-10 / 20140193396 - IMMUNE REGULATORY OLIGONUCLEOTIDE (IRO) COMPOUNDS TO MODULATE TOLL-LIKE RECEPTOR BASED IMMUNE RESPONSE | 2 |
Deepthi Kandimalla | IN | Hyderabad | 2008-11-20 / 20080288631 - STANDARD BASED DETECTION AND LAUNCH OF CLIENT APPLICATIONS | 1 |
Ekambar R. Kandimalla | US | Southborough | 2012-02-09 / 20120034248 - NOVEL AGONISTS OF TOLL-LIKE RECEPTOR 3 AND METHODS OF THEIR USE | 1 |
Ekambar R. Kandimalla | US | Southboro | 2016-05-19 / 20160138022 - IMMUNE REGULATORY OLIGONUCLEOTIDE (IRO) COMPOUNDS TO MODULATE TOLL-LIKE RECEPTOR BASED IMMUNE RESPONSE | 15 |
Ekambar R. Kandimalla | US | Cambridge | 2014-01-02 / 20140004100 - IMMUNE REGULATORY OLIGONUCLEOTIDE (IRO) COMPOUNDS TO MODULATE TOLL-LIKE RECEPTOR BASED IMMUNE RESPONSE | 2 |
Ekambar Kandimalla | US | Southboro | 2011-12-15 / 20110305684 - IMMUNOSTIMULATORY PROPERTIES OF OLIGONUCLEOTIDE-BASED COMPOUNDS COMPRISING MODIFIED IMMUNOSTIMULATORY DINUCLEOTIDES | 24 |
Chandra S. Kandimalla | US | Ashburn | 2014-02-20 / 20140052747 - TEST AUTOMATION TOOL FOR DOMAIN REGISTRATION SYSTEMS | 2 |
Babu Rao Kandimalla | US | San Jose | 2014-04-17 / 20140108726 - ACCELERATOR SYSTEM FOR USE WITH SECURE DATA STORAGE | 3 |
Ekambar Kandimalla | US | Hopkinton | 2015-02-12 / 20150044196 - Compositions for Inhibiting Gene Expression and Uses Thereof | 5 |
Ekambar R. Kandimalla | US | Southboro | 2016-05-19 / 20160138022 - IMMUNE REGULATORY OLIGONUCLEOTIDE (IRO) COMPOUNDS TO MODULATE TOLL-LIKE RECEPTOR BASED IMMUNE RESPONSE | 15 |
Ekambar Kandimalla | US | 2011-06-09 / 20110135669 - SYNTHETIC AGONISTS OF TLR9 | 1 | |
Ekambar R. Kandimalla | US | 2010-08-26 / 20100215646 - IMMUNOSTIMULATORY ACTIVITY OF PALINDROMIC IMMUNE MODULATORY OLIGONUCLEOTIDES (IMO) CONTAINING DIFFERENT LENGTHS OF PALINDROMIC SEGMENTS | 1 | |
Karunya K. Kandimalla | US | Rochester | 2015-03-19 / 20150078995 - NANOPARTICLES/THERANOSTIC VEHICLES | 1 |
Huseyin Kandir | US | Guilderland | 2015-08-27 / 20150244471 - Control Device Update | 1 |
Gokul B. Kandiraju | US | Tarrytown | 2015-11-19 / 20150331704 - AGILE VM LOAD BALANCING THROUGH MICRO-CHECKPOINTING AND MULTI-ARCHITECTURE EMULATION | 17 |
Vivek Kandiyanallur | IN | Bangalore | 2015-04-09 / 20150100730 - Freeing Memory Safely with Low Performance Overhead in a Concurrent Environment | 2 |
David D. Kandiyeli | US | Mesa | 2014-04-10 / 20140098628 - METHOD AND APPARATUS FOR BLENDING PROCESS MATERIALS | 4 |
Rammohan Kandlakunta | IN | Hyderabad | 2016-02-18 / 20160050590 - System and Methods for Improving Intra-frequency Cell Reselection on a Wireless Communication Device in Connected Mode | 2 |
Juri Kandlen | DE | Balingen | 2015-07-02 / 20150184952 - HEAT EXCHANGER | 1 |
Marcus Kandler | DE | Florssbachtal | 2013-01-10 / 20130009654 - CAPACITIVE SENSOR ASSEMBLY | 5 |
Marcus Kandler | DE | Flörssbachtal | 2009-08-13 / 20090199676 - Sensor System For A Steering Wheel Of A Motor Vehicle | 1 |
Joerg Kandler | DE | Cospeda | 2009-12-03 / 20090297430 - High-purity large-volume monocrystals that are especially radiation-resistant and method of making them from crystal | 2 |
Michael Kandler | DE | Sauerlach | 2016-05-12 / 20160129736 - WHEEL LOCALIZER, WHEEL LOCALIZATION DEVICE, SYSTEM, METHOD AND COMPUTER PROGRAM FOR LOCATING A POSITION OF A WHEEL | 4 |
Karl-Heinz Kandler | DE | Schluchsee | 2014-08-07 / 20140218263 - RADOME | 1 |
Marcus Kandler | DE | Aschaffenburg | 2014-09-11 / 20140253151 - SENSOR SYSTEM FOR A MOTOR VEHICLE | 1 |
Maximilian Kandler | DE | Munich | 2015-05-21 / 20150137729 - ROTARY ACTUATOR | 1 |
Marcus Kandler | DE | Florsbachtal | 2013-09-05 / 20130229191 - METHOD AND DEVICE FOR TESTING AN ELECTRONIC APPLIANCE | 1 |
Cynthia R. Kandler | US | Chippewa Falls | 2008-10-23 / 20080260926 - Frozen Microwavable Bakery Products | 3 |
Satish Kandlikar | US | Rochester | 2010-04-15 / 20100092345 - Portable Chemical Sterilizer | 4 |
Yogesh Kandlikar | US | Sunnyvale | 2014-04-17 / 20140109014 - GRAPHICAL STORAGE SYSTEM VISUALIZATION, TIMELINE BASED EVENT VISUALIZATION, AND STORAGE SYSTEM CONFIGURATION VISUALIZATION | 2 |
Satish G. Kandlikar | US | Rochester | 2016-01-21 / 20160017502 - Electrochemical Process for Producing Graphene, Graphene Oxide, Metal Composites, and Coated Substrates | 7 |
Yogesh Kandlur | US | Reno | 2015-12-03 / 20150350192 - Dynamic Secure Login Authentication | 2 |
Hajime Kando | JP | Nagaokakyo-Shi | 2015-02-05 / 20150033521 - ELASTIC WAVE DEVICE AND METHOD FOR MANUFACTURING THE SAME | 14 |
Kunio Kando | JP | Tokyo | 2009-12-24 / 20090314838 - Optical Symbol, Article to which the Optical Symbol is Attached, Method for Attaching Optical Symbol to Article, Optical Symbol Decoding Method, Related Device, and Related Program | 2 |
Hajime Kando | JP | Ritto-Shi | 2014-06-26 / 20140173862 - METHOD FOR MANUFACTURING COMPOSITE PIEZOELECTRIC SUBSTRATE | 17 |
Yuuji Kando | JP | Kyoto-Shi | 2012-06-07 / 20120142413 - GAME DEVICE AND GAME PROGRAM THAT PERFORMS SCROLL AND MOVE PROCESSES | 1 |
Yoshihiro Kando | JP | Osaka | 2010-02-11 / 20100035493 - Fabric treating agent, process for producing fabric, and fabric for vehicle interior materials | 1 |
Masaki Kando | JP | Kyoto | 2010-07-15 / 20100177378 - INTENSE OPTICAL HIGH FIELD GENERATOR IN OPTICAL OSCILLATOR UTILIZING CHIRPED PULSE AMPLIFICATION | 1 |
Koichiro Kando | JP | Tokyo | 2010-10-21 / 20100264081 - SIDE STREAM TYPE MEMBRANE BIOREACTOR PROCESS | 1 |
Masanori Kando | JP | Tokyo | 2011-04-07 / 20110081467 - METHOD AND DEVICE FOR ROASTING/COOLING BEAN | 1 |
Yuuji Kando | JP | Kyoto | 2011-04-21 / 20110092284 - STORAGE MEDIUM HAVING GAME PROGRAM STORED THEREON AND GAME APPARATUS | 2 |
Hidehiko Kando | JP | Yokohama | 2012-04-26 / 20120099725 - METHOD OF GENERATING ID WITH GUARANTEED VALIDITY, AND VALIDITY LEGITIMACY GUARANTYING RFID TAG | 1 |
Masashi Kando | JP | Shizuoka | 2012-03-29 / 20120074839 - Discharge Lamp and Discharge Lamp Device | 1 |
Hidehiko Kando | JP | Yokohama-Shi | 2011-12-01 / 20110290892 - CONTACTLESS IC LABEL | 1 |
Tatsuya Kando | JP | Tokyo | 2008-11-27 / 20080293466 - VIDEO GAME APPARATUS, VIDEO GAME PROCESSING PROGRAM, PROGRAM RECORDING MEDIUM, AND VIDEO GAME PROCESSING METHOD | 1 |
Hidehiko Kando | JP | Matsudo | 2009-06-25 / 20090159881 - SEMICONDUCTOR APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 4 |
Hajime Kando | JP | Nagaokakyo-Shi | 2015-02-05 / 20150033521 - ELASTIC WAVE DEVICE AND METHOD FOR MANUFACTURING THE SAME | 14 |
Yuji Kando | JP | Kyoto | 2014-12-04 / 20140357359 - NON-TRANSITORY STORAGE MEDIUM ENCODED WITH INFORMATION PROCESSING PROGRAM CAPABLE OF PERFORMING NATURAL MOVEMENT CONTROL OF CHARACTER MOVING IN ACCORDANCE WITH ANOTHER CHARACTER, INFORMATION PROCESSING APPARATUS, METHOD OF CONTROLLING INFORMATION PROCESSING APPARATUS, AND INFORMATION PROCESSING SYSTEM | 1 |
Yuji Kando | JP | Kyoto-Shi | 2009-06-25 / 20090163274 - Computer-readable storage medium having game program stored therein and game apparatus | 1 |
Hajime Kando | JP | Otokuni-Gun | 2013-05-30 / 20130133179 - METHOD OF MANUFACTURING BOUNDARY ACOUSTIC WAVE DEVICE | 2 |
Hidehiko Kando | JP | Matsudo-Shi | 2010-02-04 / 20100027399 - Information Recording Method, Information Recording Medium and Information Recording Apparatus | 2 |
Eser Kandogan | US | Mountain View | 2015-12-24 / 20150370890 - PROVIDING A VISUAL AND CONVERSATIONAL EXPERIENCE IN SUPPORT OF RECOMMENDATIONS | 16 |
Shampa Kandoi | US | Rocky Hill | 2011-12-01 / 20110294026 - Method and apparatus for controlling the operation of a fuel cell | 3 |
Shampa Kandoi | US | Ellington | 2015-11-26 / 20150336120 - DEPOSITION CLOUD TOWER WITH ADJUSTABLE FIELD | 3 |
Michael Kandolf | US | Saint Clair | 2015-02-26 / 20150053157 - METHOD AND APPARATUS FOR WINDING A RETURN SPRING WITH A TWO PIECE ROTOR FOR A CAM PHASER | 6 |
Reinhard Kandolf | DE | Hechingen | 2014-03-27 / 20140087470 - REVERSIBLE IMMORTALIZATION | 4 |
Shalini Kandoor | IN | Bangalore | 2014-06-26 / 20140175328 - PROCESS FOR UNIFORM AND HIGHER LOADING OF METALLIC FILLERS INTO A POLYMER MATRIX USING A HIGHLY POROUS HOST MATERIAL | 3 |
Masakazu Kandori | JP | Fukuoka | 2015-12-24 / 20150372263 - BATTERY PACKAGING MATERIAL | 1 |
Akihiro Kandori | JP | Tokyo | 2013-03-07 / 20130057288 - MAGNETIC MEASUREMENT SYSTEM AND METHOD FOR MEASURING MAGNETIC FIELD | 1 |
Akihiko Kandori | JP | Tokyo | 2016-04-14 / 20160100788 - BRAIN DYSFUNCTION ASSESSMENT METHOD, BRAIN DYSFUNCTION ASSESSMENT DEVICE, AND PROGRAM THEREOF | 22 |
Isamu Kandori | JP | Nukata-Gun | 2013-08-15 / 20130206376 - HEAT EXCHANGER, REFRIGERATION CYCLE DEVICE EQUIPPED WITH HEAT EXCHANGER, OR HEAT ENERGY RECOVERY DEVICE | 3 |
Mikio Kandori | JP | Niiza-Shi | 2015-04-02 / 20150091082 - Semiconductor Device | 1 |
Akihiko Kandori | JP | Kokubunji | 2009-03-12 / 20090069663 - LIVING BODY INSPECTION APPARATUS | 1 |
Atsushi Kandori | JP | Ebina-Shi | 2016-05-12 / 20160128579 - PROBE AND SUBJECT INFORMATION ACQUIRING APPARATUS | 32 |
Yuko Kandori | JP | Ibaraki-Shi | 2014-06-19 / 20140170406 - RESIN FOAM AND PROCESS FOR PRODUCING THE SAME | 3 |
Yasuyuki Kandori | JP | Minato-Ku | 2015-04-16 / 20150106732 - CONTENT DELIVERY SYSTEM, CONTENT DELIVERY APPARATUS, AND CONTENT DELIVERY METHOD | 1 |
Masakazu Kandori | JP | Tokyo | 2015-12-31 / 20150380692 - POWER-CELL PACKAGING MATERIAL | 1 |
Yuko Kandori | JP | Osaka | 2013-03-28 / 20130075958 - PROCESS FOR PRODUCING THERMOPLASTIC RESIN FOAM | 1 |
Keishi Kandori | JP | Ashiya-Shi | 2014-02-27 / 20140059182 - SYNCHRONIZED CONTENT BROADCAST DISTRIBUTION SYSTEM | 1 |
Hirokazu Kandori | JP | Toyota-Shi | 2015-09-10 / 20150253481 - LIGHT-CONDUCTING APPARATUS | 1 |
Sumit Kandpal | IN | Uttarakhand | 2013-09-05 / 20130230095 - Drift Reduction for Quality Scalable Video Coding | 1 |
Yauheni Kandrasheu | CA | Toronto | 2013-01-24 / 20130024695 - MECHANISM AND METHOD FOR MANAGING CREDENTIALS ON IOS BASED OPERATING SYSTEM | 1 |
Amy Kandravy | US | Norwell | 2009-11-19 / 20090287613 - AUTOMATED ACTIONS BASED ON RESTRICTIONS | 1 |
Daniel E. Kandray, Sr. | US | Gnadenhutten | 2009-03-26 / 20090078207 - FLOW RESTRICTOR FOR MILKING APPARATUS | 2 |
Jenna Kandu | US | Lincolnwood | 2009-06-25 / 20090159604 - Drinking vessel sanitary device | 1 |
Madhu Kandukuri | IN | Hyderabad | 2009-08-13 / 20090202633 - Extended release formulations of guaifenesin | 1 |
Sunil Kumar Kandukuri Narayan | US | San Diego | 2015-02-19 / 20150049793 - INTERFACE SHARING BETWEEN DIGITAL AND RADIO FREQUENCY CIRCUITS | 2 |
Sunil K. Kandukuri Narayana | US | San Diego | 2009-10-01 / 20090245436 - Systems and Methods For Sending An Acknowledgement Message In A Wireless Communication System | 1 |
Sunil Kumar Kandukuri Narayana | US | San Diego | 2011-06-30 / 20110158367 - DUAL FREQUENCY TRACKING LOOP FOR OFDMA SYSTEMS | 2 |
Mahesh Kandula | IN | G. Medapadu | 2015-06-04 / 20150152060 - COMPOSITIONS AND METHODS FOR THE TREATMENT MIGRAINE AND NEUROLOGIC DISEASES | 2 |
Srikanth Kandula | US | Redmond | 2016-05-12 / 20160134538 - ENSURING PREDICTABLE AND QUANTIFIABLE NETWORKING PERFORMANCE | 24 |
Rajesh Kumar Kandula | US | Lisle | 2016-03-03 / 20160060532 - BURN PROFILES FOR COKE OPERATIONS | 1 |
Mahesh Kandula | US | 2015-07-30 / 20150210667 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF AUTONOMIC AND OTHER NEUROLOGICAL DISORDERS | 4 | |
Mahesh Kandula | IN | East Godavari District | 2016-05-12 / 20160130227 - PRODRUGS OF ANTI-PLATELET AGENTS | 19 |
Mahesh Kandula | IN | Medapadu | 2012-07-05 / 20120172421 - 2, 6 XYLIDINE DERIVATIVES FOR THE TREATMENT OF PAIN | 9 |
Phani Kumar Kandula | IN | Bangalore | 2016-03-17 / 20160077568 - METHOD AND APPARATUS FOR SAVING POWER OF A PROCESSOR SOCKET IN A MULTI-SOCKET COMPUTER SYSTEM | 4 |
Mahesh Kandula | IN | East Godavari | 2014-12-04 / 20140357680 - COMPOSITIONS FOR THE TREATMENT OF DIABETES AND PRE-DIABETES | 4 |
Mahesh Kandula | IN | East Godavari District, Andhra Pradesh | 2015-10-15 / 20150291590 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF METABOLIC DISEASES | 1 |
Mahesh Kandula | IN | Andhra Pradesh | 2016-05-05 / 20160122293 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF NEUROMUSCULAR DISORDERS AND NEURODEGENERATIVE DISEASES | 35 |
Mahesh Kandula | IN | Andhra Pradesh | 2016-05-05 / 20160122293 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF NEUROMUSCULAR DISORDERS AND NEURODEGENERATIVE DISEASES | 35 |
Mahesh Kandula | IN | East Godavari District | 2016-05-12 / 20160130227 - PRODRUGS OF ANTI-PLATELET AGENTS | 19 |
Rajendra Prasad Kandula | US | Atlanta | 2013-08-15 / 20130207471 - IMPUTED DC LINK (IDCL) CELL BASED POWER CONVERTERS AND CONTROL THEREOF | 2 |
Mahesh Kandula | KR | Andhra Pradesh | 2015-04-30 / 20150119453 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF DEPRESSION | 1 |
Ramakrishna Reddy Kandula | US | Fremont | 2009-07-09 / 20090177669 - PROCESSING STRUCTURED ELECTRONIC DOCUMENT STREAMS USING LOOK-AHEAD AUTOMATA | 1 |
Mahesh Kandula | IN | G.medapadu, Andhra Pradesh | 2015-03-26 / 20150087674 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF SEVERE PAIN | 1 |
Mahesh Kandula | IN | Medapadu | 2012-07-05 / 20120172421 - 2, 6 XYLIDINE DERIVATIVES FOR THE TREATMENT OF PAIN | 9 |
Rajendra Prasad Kandula | US | Santa Clara | 2016-04-07 / 20160099653 - POWER FLOW CONTROLLER WITH A FRACTIONALLY RATED BACK-TO-BACK CONVERTER | 1 |
Ramu Kandula | IN | Bangalore | 2016-02-18 / 20160050229 - VOIP DENIAL-OF-SERVICE PROTECTION MECHANISMS FROM ATTACK | 8 |
Srikanth Kandula | US | Redmond | 2016-05-12 / 20160134538 - ENSURING PREDICTABLE AND QUANTIFIABLE NETWORKING PERFORMANCE | 24 |
Mahesh Kandula | IN | G. Medapadu, Andhra Pradesh | 2015-08-06 / 20150218136 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF NEUROLOGIC DISEASES | 1 |
Mahesh Kandula | IN | East Godavari Dustructm Andhra Pradesh | 2015-10-22 / 20150299117 - COMPOSITIONS AND METHODS FOR THE TREATMENT INFLAMMATION AND LIPID DISORDERS | 1 |
Mahesh Kandula | IN | G.medapadu | 2015-10-22 / 20150299117 - COMPOSITIONS AND METHODS FOR THE TREATMENT INFLAMMATION AND LIPID DISORDERS | 1 |
Ramu Kandula | IN | Bangalore | 2016-02-18 / 20160050229 - VOIP DENIAL-OF-SERVICE PROTECTION MECHANISMS FROM ATTACK | 8 |
Srikanth Kandula | US | Somerville | 2008-09-11 / 20080222287 - Constructing an Inference Graph for a Network | 2 |
Satyam Kandula | IN | Bangalore | 2009-01-29 / 20090031227 - INTELLIGENT SCREEN CAPTURE AND INTERACTIVE DISPLAY TOOL | 2 |
Tiruvengalam Kanduri | IN | Hyderabad | 2012-01-05 / 20120005169 - METHOD AND SYSTEM FOR SECURING DATA | 3 |
Satya Pradeep Kanduri | US | Redmond | 2011-10-13 / 20110252012 - Shopping Search Engines | 1 |
Satya Pradeep Kanduri | US | Bellevue | 2012-06-28 / 20120163709 - AUTOMATED IDENTIFICATION OF IMAGE OUTLIERS | 1 |
Satya Pradeep Kanduri | US | Mountain View | 2015-12-03 / 20150347414 - NEW HEURISTIC FOR OPTIMIZING NON-CONVEX FUNCTION FOR LEARNING TO RANK | 1 |
Gorazd Kandus | SI | Ljubljana | 2013-12-26 / 20130343212 - METHOD FOR SELF ORGANIZING NETWORK OPERATION | 3 |
Berndt Kanduth | CA | Quebec | 2012-09-13 / 20120227632 - CONCRETE MIX HAVING ANTI-EFFLORESCENCE PROPERTIES AND METHOD OF MAKING CONCRETE USING THE SAME | 1 |
Vasudev Kanduveed | US | Santa Clara | 2008-09-25 / 20080235704 - Plug-and-play load balancer architecture for multiprocessor systems | 1 |
Neeraj Kandwal | IN | Bangalore | 2015-10-01 / 20150281409 - USING USB OVER IP TO SHARE A NON-USB SENSOR WITH ANOTHER DEVICE | 1 |
Eve Kandyba | US | Pasadena | 2015-01-29 / 20150033371 - HAIR FOLLICLE STEM CELLS AND METHODS OF USE SAME | 1 |
Vasilis Kandylas | US | Sunnyvale | 2014-09-18 / 20140280017 - AGGREGATIONS FOR TRENDING TOPIC SUMMARIZATION | 2 |
Vasileios Kandylas | US | Sunnyvale | 2015-03-19 / 20150081713 - SOCIAL MEDIA DRIVEN INFORMATION INTERFACE | 2 |
Romy Kandzia | DE | Halle | 2016-04-28 / 20160115498 - METHODS OF MODULATING N-GLYCOSYLATION SITE OCCUPANCY OF PLANT-PRODUCED GLYCOPROTEINS AND RECOMBINANT GLYCOPROTEINS | 2 |
Romy Kandzia | DE | Halle/saale | 2011-10-27 / 20110263024 - PROCESS OF CLEAN CLONING | 2 |
Bernd Kandziora | DE | Baierbrunn | 2008-10-09 / 20080247942 - Method and Reactor for Carrying Out Endothermic Catalytic Reactions | 1 |
Thomas Kandziora | DE | Schwabhausen | 2012-04-05 / 20120081328 - ELECTRODE ARRANGEMENT FOR DISPLAY DEVICE | 2 |
Thomas Kandziora | DE | Weil | 2012-12-13 / 20120313882 - SYSTEM AND METHOD FOR CONTACTLESS DETECTION AND RECOGNITION OF GESTURES IN A THREE-DIMENSIONAL SPACE | 1 |
David J. Kane | US | Arlington Heights | 2014-03-13 / 20140070008 - POINT OF SALE MANUFACTURE OF PRODUCTS WITH A GENERAL DECAL AND PERSONALIZED LABEL INCLUDING SECURITY CODE | 2 |
Prashant Kane | IN | Vadodara | 2014-07-31 / 20140213984 - OCTREOTIDE INJECTION | 5 |
Mitchell Kane | GB | Gloucestershire | 2014-03-13 / 20140069168 - DETERMINING THE PHASE COMPOSITIONS OF A MULTIPHASE FLUID FLOW | 1 |
Lawrence Kane | US | St. Paul | 2015-03-19 / 20150079836 - CONNECTOR APPARATUS | 5 |
Timothy Kane | US | Washington | 2014-10-09 / 20140303491 - DEVICE AND METHOD FOR GENERATING COMPOSITE IMAGES FOR ENDOSCOPIC SURGERY OF MOVING AND DEFORMABLE ANATOMY | 1 |
Douglas Paul Kane | US | Silverado | 2015-04-16 / 20150106758 - SEMANTIC ZOOMING IN PROCESS SIMULATION | 6 |
Nathan Kane | US | Guilford | 2015-10-08 / 20150285831 - PIPETTE SYSTEM | 1 |
Shubhada Vijay Kane | IN | Maharashtra | 2011-08-04 / 20110189699 - AUTOANTIBODIES FOR PROTEIN ANTIGENS AS MARKERS FOR CANCER OF GINGIVO-BUCCAL COMPLEX | 1 |
Robert R. Kane | US | Waco | 2012-09-13 / 20120231023 - Novel Vaccine Adjuvants Based on Targeting Adjuvants to Antibodies Directly to Antigen-Presenting Cells | 2 |
Terence Lawrence Kane | US | Wappinger Falls | 2014-03-13 / 20140069165 - INERT GAS DELIVERY SYSTEM FOR ELECTRICAL INSPECTION APPARATUS | 2 |
Bill Kane | US | Sutton | 2014-10-16 / 20140309600 - APPARATUSES AND METHODS FOR DETECTING LEAKS IN A NEGATIVE PRESSURE WOUND THERAPY SYSTEM | 1 |
Brandon Kane | US | Philadelphia | 2015-10-01 / 20150278940 - Obtaining Missing Documents From User | 2 |
Roderick Michael Hill Kane | GB | Windsor | 2014-10-30 / 20140319007 - DRIP-RETAINING COLLAR FOR A BOTTLE | 1 |
Michael Thomas Kane | US | Harrison City | 2015-09-10 / 20150250964 - AUTOMATIC PRESSURE TITRATION | 9 |
John Kane | US | Maynard | 2015-08-13 / 20150225393 - 2-Acylaminopropoanol-Type Glucosylceramide Synthase Inhibitors | 4 |
Michael Kane | US | Newport Beach | 2016-01-28 / 20160023366 - METHOD AND APPARATUS FOR AUTOMATED CREATION OF RIGID FRAMED IMAGES | 1 |
John Michael Kane | US | Bridgewater | 2013-05-16 / 20130123302 - PROCESS FOR THE PREPARATION OF 2-(CYCLOHEXYLMETHYL)-N--1,2,3,4-TETRAHYDROISOQUINOLINE-7-SULFONAMIDE | 1 |
Timothy J. Kane | US | Greenwich | 2016-03-31 / 20160088986 - PORTABLE COIL CLEANING AND VACUUM SYSTEM | 1 |
James R. Kane | US | Ypsilanti | 2016-03-10 / 20160068197 - Vehicles Having Internal Body Cavity Seals and Methods of Assembling The Same | 1 |
Gary R. Kane | US | Sheboygan Falls | 2016-03-03 / 20160058180 - CABINETRY SYSTEM HAVING REMOVABLE CABINET FACES | 1 |
Jeffrey Francis Kane | US | Worcester | 2011-12-22 / 20110308832 - CABLE ENTRY DEVICE FOR WIRING BOXES | 1 |
John Kane | US | Salem | 2013-05-23 / 20130131310 - DRUG-LIGAND CONJUGATES, SYNTHESIS THEREOF, AND INTERMEDIATES THERETO | 1 |
Michael J. Kane | US | Oswego | 2011-08-11 / 20110193581 - SYSTEMS AND METHODS TO TEST INTEGRATED CIRCUITS | 1 |
Daniel Kane | US | Menlo Park | 2014-10-30 / 20140324787 - Analyzing Large Data Sets to Find Deviation Patterns | 1 |
Christopher J. Kane | US | San Diego | 2014-10-09 / 20140304844 - XENOGRAFT MODEL OF HUMAN BONE METASTATIC PROSTATE CANCER | 1 |
Mark Edward Kane | US | Orange Park | 2013-12-05 / 20130325225 - VITAL SPEED PROFILE TO CONTROL A TRAIN MOVING ALONG A TRACK | 10 |
Elisabeth J. Kane | US | Midland | 2015-09-10 / 20150252016 - PROCESSES FOR THE PREPARATION OF PESTICIDAL COMPOUNDS | 2 |
Thomas James Kane | US | Menlo Park | 2014-10-09 / 20140301417 - Pulsed, Internal Optical Mixer | 1 |
Susan Kane | US | Beverly | 2012-04-19 / 20120093837 - COMPOSITIONS AND METHODS FOR DETECTING EGFR IN CANCER | 1 |
Ravindra S. Kane | US | Niskayuna | 2012-11-29 / 20120301870 - Enhanced Stability of Proteins Immobilized on Nanoparticles | 5 |
Jason Kane | US | Santa Rosa | 2016-05-05 / 20160124695 - NETWORK VISUALIZATION SYSTEM AND METHOD | 1 |
Michael A.c. Kane | US | New York | 2009-08-13 / 20090204101 - METHOD OF APPLYING AN INJECTABLE FILLER | 1 |
Richard Kane | US | Los Altos | 2013-04-04 / 20130086500 - PROTON THERAPY BEAM-SHARING PANEL DISPLAY AND CONTROLS | 1 |
Michael T. Kane | US | Fairport | 2013-11-21 / 20130307391 - Pivoting Shelf Assembly | 5 |
Paul Kane | US | Tijeras | 2016-05-05 / 20160125134 - Automated Classification of Cells in Biologic Mixtures Analyzed by High Parameter Cytometry Instrumentation, Processing, System and Method | 1 |
John Michael Kane | US | Long Island City | 2011-11-17 / 20110282692 - SYSTEM AND METHOD FOR FACILITATING CENTRALIZED CANDIDATE SELECTION AND MONITORING SUBJECT PARTICIPATION IN CLINICAL TRIAL STUDIES | 5 |
Mark L. Kane | US | Cupertino | 2014-02-06 / 20140039482 - Radio-Frequency Generator for Powering an Ablation Device | 1 |
Steven N. Kane | US | Boston | 2012-11-29 / 20120304309 - Conditional Access System and Method | 4 |
Ravindra S. Kane | US | Troy | 2009-05-14 / 20090121182 - CARBON NANOTUBE FOAM AND METHOD OF MAKING AND USING THEREOF | 1 |
Scott D. Kane | US | Great Neck | / - | 1 |
Ravindra Kane | US | Niskayuna | 2010-01-28 / 20100021422 - METHODS AND COMPOSITIONS FOR DELIVERY OF EXOGENOUS FACTORS TO NERVOUS SYSTEM SITES | 1 |
Terence L. Kane | US | Port Chester | 2010-02-04 / 20100025819 - PROGRAMMABLE PRECISION RESISTOR AND METHOD OF PROGRAMMING THE SAME | 1 |
Michael Kane | US | Endicott | 2010-08-19 / 20100211582 - OPEN ARCHITECTURE VEHICLE INFORMATION MODULE FOR VEHICLE AND TRAILER, AND SYSTEM AND METHOD THEREOF | 2 |
John M. Kane | US | Long Island City | 2010-11-25 / 20100297619 - GENETIC PREDICTION OF SCHIZOPHRENIA SUSCEPTIBILITY | 2 |
Brian Kane | US | Oakland | 2016-02-04 / 20160031862 - CYCLOALKYL-SUBSTITUTED PYRIMIDINEDIONE COMPOUNDS | 16 |
Terence L. Kane | US | Wappinger Falls | 2014-10-02 / 20140295584 - LOW ENERGY COLLIMATED ION MILLING OF SEMICONDUCTOR STRUCTURES | 5 |
Robert Kane | US | Roslyn Heights | 2014-09-18 / 20140281535 - Apparatus and Method for Preventing Information from Being Extracted from a Webpage | 1 |
Terence L. Kane | US | Hopewell Junction | 2012-05-24 / 20120129340 - ANTIFUSE STRUCTURE FOR IN LINE CIRCUIT MODIFICATION | 5 |
Alex Kane | US | Brooklyn | 2014-08-21 / 20140236658 - Semi-automated relationship aware scheduling | 3 |
John J. Kane | US | Queens Village | 2013-06-20 / 20130158046 - BIOCOMPATIBLE BIODEGRADABLE FUMAGILLIN ANALOG CONJUGATES | 3 |
Colleen Kane | US | Radnor | 2011-12-29 / 20110318353 - Humanized IL-25 Antibodies | 1 |
David Kane | GB | Sootland | 2012-04-19 / 20120091364 - OPTICAL LEAK DETECTOR FOR SUBSEA EQUIPMENT | 1 |
Jeffrey F. Kane | US | Worcester | 2013-05-02 / 20130110087 - System and Method for Clearing Medical Tubing | 3 |
Michael Kane | US | Delafield | 2011-12-29 / 20110319954 - METRICS AND TECHNIQUES FOR OPTIMIZATION OF CARDIAC THERAPIES | 1 |
Matthew T. Kane | US | Lakeland | 2011-12-01 / 20110293792 - GRANULATED PHOSPHATE PRODUCT CONTAINING ABRASIVITY AND LUBRICITY ADDITIVES | 3 |
Terrence P. Kane | US | Winfield | 2011-05-19 / 20110114563 - ELEMENT REMOVAL PROCESS AND APPARATUS | 1 |
Jacqueline Kane | US | Oakland | 2013-06-13 / 20130151430 - SYSTEM AND METHOD FOR MODIFYING AND RE-DESIGNING EMPLOYEE BENEFIT PLANS | 1 |
Paul J. Kane | US | Rochester | 2013-08-29 / 20130222624 - RANGE MEASUREMENT USING MULTIPLE CODED APERTURES | 25 |
Ndjido Kane | US | Richmond | 2014-09-18 / 20140283165 - DEVELOPMENT OF TOBACCO VARIETIES WITH NO OR SIGNIFICANTLY REDUCED ANATABINE CONTENT | 1 |
Larry J. Kane | US | Cedar Rapids | 2014-09-18 / 20140279499 - SINGLE USE QR CODE AUTHORIZATION SYSTEM | 1 |
Samantha Kane | US | Calabasas | 2013-06-20 / 20130153133 - Apparel Tattoo Method | 1 |
Alan M. Kane | US | Pflugerville | 2015-01-22 / 20150025966 - Systems and Methods for Enterprise Branded Application Frameworks for Mobile and Other Environments | 2 |
Mamadou Kane | CA | Richmond Hill | 2015-08-27 / 20150245464 - HEAT SINK WITH CONFIGURABLE GROUNDING | 1 |
James Kane | US | Needham Heights | 2008-09-25 / 20080230718 - Feedback control system | 1 |
Jeffrey F. Kane | US | Hudson | 2012-11-22 / 20120294781 - Vacuum Base and Related Methods and Apparatus for Vacuum Filtration | 5 |
Lawrence Kane | US | Roseville | 2015-08-27 / 20150238752 - LEAD POSITIONING AND FINNED FIXATION SYSTEM | 8 |
Kathleen Kane | US | Brookline | 2009-05-14 / 20090125026 - APPARATUS SYSTEM AND METHOD FOR COAGULATING AND CUTTING TISSUE | 3 |
Patrick Edison Kane | US | Laguna Nigel | 2013-03-28 / 20130074948 - Device for sealing a delivery fluid system and method | 1 |
Jason Kane | US | Austin | 2015-08-20 / 20150235519 - WAGERING GAME WITH ADVANCING TARGET ELIMINATION FEATURE | 1 |
Steven N. Kane | US | Brookline | 2014-04-17 / 20140106866 - Method and Apparatus for Providing Player Incentives | 23 |
Martin Kane | DE | Oberriexingen | 2008-09-25 / 20080231028 - Safety Device for Protecting Occupants of a Motor Vehicle in a Rollover Event | 1 |
Rose Kane | GB | Belfast | 2008-11-06 / 20080274253 - Baking Mould | 1 |
Karl Kane | TH | Bangkok | 2009-04-23 / 20090102821 - PORTABLE DIGITAL PHOTOGRAPH ALBUMS AND METHODS FOR PROVIDING THE SAME | 1 |
Ajit Wasant Kane | IN | Bangalore | 2009-05-07 / 20090115371 - System and method for battery control of hybrid locomotives | 1 |
Kevin Paul Kane | CA | Edmonton | 2009-08-27 / 20090215645 - Cell-Based Microarrays And Methods Of Use | 1 |
Michael John Kane | IE | Clonmel | 2012-08-02 / 20120197231 - IMPLANTABLE MEDICAL DEVICE WITH CHEMICAL SENSOR AND RELATED METHODS | 4 |
Junichi Kane | JP | Kawasaki | 2009-11-26 / 20090291327 - MAGNETIC LAMINATED FILM, METHOD OF MANUFACTURING THE SAME, AND MAGNETIC HEAD | 2 |
Sheryl Kane | US | Somerville | 2014-11-13 / 20140336593 - DRUG DELIVERY DEVICES AND METHODS OF USE THEREOF | 1 |
Brian Kane | DE | Lohr Am Main | 2013-03-21 / 20130069318 - Seal | 3 |
Jeffrey Kane | US | Hudson | 2009-08-27 / 20090215150 - Sample container and filtration apparatus and method of filtration using the same | 1 |
Anil Kane | CA | Mississauga | 2013-07-04 / 20130172374 - SOLID COMPOSITION FOR CONTROLLED RELEASE OF IONIZABLE ACTIVE AGENTS WITH POOR AQUEOUS SOLUBILITY AT LOW PH AND METHODS OF USE THEREOF | 2 |
Prashant Kane | IN | Baroda | 2016-03-24 / 20160081972 - PARENTERAL DOSAGE FORM OF AMIODARONE | 6 |
Schantal Kane | HK | Central | 2010-09-23 / 20100237590 - FOLDABLE FULL-FEATURED STROLLER CAPABLE OF MINIMIZING THE FOLDED SIZE OF THE STROLLER | 1 |
Malick Kane | CH | Ecublens | 2013-01-17 / 20130017111 - SCROLL DEVICE FOR COMPRESSION OR EXPANSIONAANM Kane; MalickAACI EcublensAACO CHAAGP Kane; Malick Ecublens CHAANM Cretegny; DaniloAACI RenensAACO CHAAGP Cretegny; Danilo Renens CHAANM Merminod; AntoineAACI GimelAACO CHAAGP Merminod; Antoine Gimel CH | 2 |
Prasad Laxman Kane | IN | Pune | 2013-02-07 / 20130031882 - AIR-OIL SEPARATOR | 3 |
Michael J. Kane | GB | Malvern | 2010-05-06 / 20100111303 - Electro-optic waveguide polarisation modulator | 1 |
Neil Kane | US | Naperville | 2009-08-27 / 20090214826 - Controlling diamond film surfaces | 1 |
Sharon Kane | GB | Glasgow | 2015-11-05 / 20150313388 - CONTAINER AND ATTACHMENTS THEREFOR | 1 |
Sharon Kane | US | 2015-11-05 / 20150313388 - CONTAINER AND ATTACHMENTS THEREFOR | 1 | |
Troy C. Kane | US | Chicago | 2014-10-09 / 20140304138 - System and Method for Matching One or More Incoming Order to a Standing Order Based on Multi-Level Allocation | 9 |
Terrence P. Kane | US | Glen Ellyn | 2011-12-22 / 20110309023 - ELEMENT REMOVAL PROCESS AND APPARATUS | 7 |
John Richard Kane | US | Fox River Grove | 2011-06-30 / 20110161474 - BROKERING INFORMATION ACROSS INFORMATION DOMAINS WHILE MAINTAINING CONFIDENTIALITY | 10 |
Sean Kane | US | Jackson | 2015-03-26 / 20150089035 - METHOD AND APPARATUS FOR INTEGRATING VARIOUS NETWORK ELEMENTS AND PROVIDING MEDIA PROCESSING SERIVICES | 3 |
Neil Kane | US | Romeoville | 2011-08-04 / 20110186942 - CONTROLLING DIAMOND FILM SURFACES AND LAYERING | 2 |
Michael G. Kane | US | Skillman | 2011-07-14 / 20110168236 - PORTABLE PHOTOVOLTAICS WITH SCALABLE INTEGRATED CONCENTRATOR OF LIGHT ENERGY | 1 |
John Kane | US | Longmont | 2015-02-05 / 20150039755 - ANALYSIS OF NETWORK TRAFFIC | 4 |
Paul T. Kane | US | Whitinsville | 2012-02-02 / 20120030141 - SYSTEM AND METHOD FOR IN-KIND REBALANCING OF TRANSACTIONS | 2 |
Richard Kane | US | Tampa | 2011-05-05 / 20110099684 - GARMENTS HAVING INSIDE PRINTING VIEWABLE WHEN A PORTION OF THE GARMENT IS FLIPPED | 1 |
Daniel E. Kane | US | Tolland | 2011-04-07 / 20110081235 - TURBINE ENGINE ALLOYS AND CRYSTALLINE ORIENTATIONS | 2 |
Patrick Kane | US | Ashburn | 2012-07-05 / 20120173685 - Systems and Methods for Domain Name Exchange | 2 |
Alexander Kane | US | Brooklyn | 2014-11-20 / 20140344700 - VIDEO MIXING METHOD AND SYSTEM | 1 |
Loren S. Kane | US | Matthews | 2013-07-04 / 20130173283 - SYSTEMS AND METHODS FOR INSURANCE UNDERWRITING | 2 |
Pat Kane | US | Ashbum | 2011-01-20 / 20110016022 - METHOD AND SYSTEM FOR SALE OF DOMAIN NAMES | 1 |
Pat Kane | US | Ashburn | 2010-12-23 / 20100325723 - SHARED REGISTRATION SYSTEM MULTI-FACTOR AUTHENTICATION | 1 |
John Kane | US | 2010-11-04 / 20100281388 - ANALYSIS OF NETWORK TRAFFIC | 1 | |
John P. Kane | US | Ellisville | 2012-05-17 / 20120118205 - SELF-DISPERSED PIGMENTS AND METHODS FOR MAKING AND USING THE SAME | 5 |
James A. Kane | US | Needham Heights | 2015-10-08 / 20150288893 - METHODS AND SYSTEMS FOR DETECTION AND IDENTIFICATION OF CONCEALED MATERIALS | 9 |
Michael R. Kane | US | Pewaukee | 2010-09-09 / 20100228135 - IMPLANTABLE PERFUSION SENSOR | 1 |
Timothy Robert Kane | US | Moss Beach | 2015-06-25 / 20150175530 - ANTIBACTERIAL AGENTS | 8 |
James E. Kane | US | Waterford | 2010-08-26 / 20100216007 - BATTERY MODULE COMPOSED OF FLEXIBLE BATTERY CELLS AND CELL INTERCONNECT STRUCTURE THEREFOR | 1 |
Ajit W. Kane | US | York | 2016-02-25 / 20160053765 - BATTERY MONITORING SYSTEM | 2 |
Brian Kane | US | Lynchburg | 2010-06-10 / 20100144770 - Pyrimidinones as Casein Kinase II (CK2) Modulators | 3 |
Travis David Kane | AU | Mooroolbark | 2012-08-02 / 20120198439 - Distributed Installation Configuration System and Method | 1 |
James A. Kane | US | Casper | 2010-05-27 / 20100126346 - METHOD FOR REDUCING OXYGEN CONTENT OF FLUID STREAMS CONTAINING SULFUR COMPOUNDS | 2 |
James A. Kane | US | Needham Heights | 2015-10-08 / 20150288893 - METHODS AND SYSTEMS FOR DETECTION AND IDENTIFICATION OF CONCEALED MATERIALS | 9 |
Christian D. Kane | US | New Paltz | 2013-11-28 / 20130315675 - METHOD AND APPARATUS FOR PROTECTING DRAINAGE PIPE INSTALLED UNDERGROUND | 3 |
Kevin Kane | US | Bellevue | 2015-07-30 / 20150215309 - Secure Cryptoprocessor for Authorizing Connected Device Requests | 1 |
Peter E. Kane | US | Westwood | 2014-12-18 / 20140367887 - METHODS AND APPARATI FOR HANDLING, HEATING AND COOLING A SUBSTRATE UPON WHICH A PATTERN IS MADE BY A TOOL IN HEAT FLOWABLE MATERIAL COATING, INCLUDING SUBSTRATE TRANSPORT, TOOL LAYDOWN, TOOL TENSIONING AND TOOL RETRACTION | 2 |
Ronald Kane | US | Cedar Falls | 2010-04-22 / 20100100025 - TOURNIQUET DRESSING SLEEVE FOR DIGITS | 1 |
Frances Elizabeth Kane | US | Cumming | 2010-04-15 / 20100093739 - METHOD FOR DECREASING CAPILLARY PERMEABILITY IN THE RETINA | 1 |
Glen Kane | US | Rapid City | 2010-02-18 / 20100037541 - Roof top wind generator | 1 |
Nathan Kane | US | Arlington | 2008-10-16 / 20080253935 - METHODS OF MANIPULATING SMALL AMOUNTS OF SOLIDS | 1 |
Francis J. Kane | US | Sammamish | 2014-07-24 / 20140208355 - SYNCHRONIZING VIDEO CONTENT WITH EXTRINSIC DATA | 8 |
Nathan Kane | US | East Haven | 2009-04-09 / 20090091740 - METHODS AND SYSTEMS FOR ANALYZING SOLIDS | 1 |
Christopher T. Kane | US | Boise | 2009-03-26 / 20090079148 - FLEXIBLE FOOT-BOARD FOR JUMPING DEVICES | 1 |
Michael Kane | US | West Lafayette | 2012-11-15 / 20120289423 - ONLINE REAL-TIME WATER QUALITY MONITORING AND CONTROL SYSTEM INCORPORATING SYSTEMS FOR AUTOMATED MICROBIOLOGICAL TESTING AND ONE-STEP DNA DETECTION | 1 |
Michael D. Kane | US | West Lafayette | 2016-05-19 / 20160141744 - ANTENNA AIMING SYSTEM AND METHOD FOR BROADBAND WIRELESS ACCESS | 3 |
Richard Kane | US | Lake Worth | 2012-06-21 / 20120158441 - Air taxi logistics system | 3 |
Daniel J. Kane | US | Santa Fe | 2010-03-04 / 20100053629 - Real-time measurement of ultrashort laser pulses | 1 |
Eric A. Kane | US | Lynn | 2012-11-15 / 20120289378 - Exercise Apparatus | 2 |
Robert William Kane | US | Draper | 2009-12-03 / 20090299693 - Laser System Calibration | 1 |
Kristopher A. Kane | US | Hamilton | 2009-08-13 / 20090199927 - TANK ENTRY FITTING FOR USE IN A FUEL DISPENSING SYSTEM | 2 |
John J. Kane | US | Westminster | 2009-08-20 / 20090207710 - USE OF FEEDBACK ERROR AND/OR FEED-FORWARD SIGNALS TO ADJUST CONTROL AXES TO OPTIMAL RECOVERY POSITION OF HOLOGRAM IN HOLOGRAPHIC DATA STORAGE SYSTEM OR DEVICE | 2 |
Kenneth D. Kane | US | Grand Rapids | 2009-03-12 / 20090065330 - CONVEYOR SYSTEMS | 1 |
John L. Kane | US | Maynard | 2015-05-07 / 20150126512 - Modulators Of TNF-Alpha Signaling | 2 |
John Kane | US | Corvallis | 2009-03-05 / 20090057790 - PACKAGE FOR A MICRO-ELECTRO MECHANICAL DEVICE | 1 |
Michael Thomas Kane | PA | Harrison City | 2015-11-12 / 20150320338 - DETECTION OF RESPIRATORY DISORDERS | 1 |
Larry J. Kane | US | Marion | 2013-10-31 / 20130290204 - ENDOWMENT COMPENSATION APPLICATION AND METHOD OF USE | 4 |
William J. Kane | US | Sutton | 2010-04-01 / 20100078459 - ELECTRONIC DISPENSER FOR DISPENSING SHEET PRODUCTS | 2 |
Jeffrey A. Kane | US | Elizabethtown | 2016-04-14 / 20160101590 - COMPOSITE SHEET WITH EMBEDDED MESH LAYER | 1 |
James Kane | US | Sparta | 2016-04-14 / 20160101445 - CALIBRATION OF SEMICONDUCTOR METROLOGY SYSTEMS | 1 |
Sean M. Kane | US | Lyndhurst | 2009-01-01 / 20090005283 - Stabilized, Non-Aqueous Cleaning Compositions for Microelectronics Substrates | 1 |
Michael Kane | US | Ann Arbor | 2014-05-01 / 20140117875 - SYSTEMS AND METHODS TO WIRELESSLY CONTROL ILLUMINATION CHARACTERISTICS OF WEARABLE ITEMS | 1 |
Michael David Kane | US | Brighton | 2014-11-27 / 20140347195 - ENHANCED ALIGNMENT METHOD FOR PARK ASSIST | 5 |
Paul H. Kane | US | Albuquerque | 2013-09-05 / 20130230224 - SYSTEMS AND METHODS FOR AUTOMATED VOXELATION OF REGIONS OF INTEREST FOR MAGNETIC RESONANCE SPECTROSCOPY | 1 |
Paul Henry Kane | US | Albuquerque | 2015-12-31 / 20150374258 - MAGNETIC RESONANCE SPECTROSCOPY PULSE SEQUENCE, ACQUISITION, AND PROCESSING SYSTEM AND METHOD | 4 |
Kenneth Kane | US | Dryden | 2014-11-27 / 20140346763 - LOW FLOOR VEHICLES FOR TRANSPORTING PASSENGERS | 2 |
Timothy D. Kane | US | Washington | 2014-03-06 / 20140066966 - ENDOPYLORIC TOOL AND METHOD TO TREAT HYPERTROPIC PYLORIC STENOSIS | 1 |
Eric Kane | US | Lynn | 2014-01-16 / 20140014257 - Apparatus and Method for Making Inflated Articles | 3 |
James Francis Kane | US | Spart | 2015-11-12 / 20150323320 - FLYING SENSOR HEAD | 1 |
Kapil Kane | CN | Shanghai | 2015-10-15 / 20150292908 - INTEGRATED HARDWARE AND SOFTWARE FOR PROBE | 2 |
Jeff Kane | US | Austin | 2013-12-19 / 20130338449 - REMOTE CONTINUOUS SEIZURE MONITOR AND ALARM | 2 |
John C. Kane | US | West Monroe | 2016-01-28 / 20160024076 - PURIFIED PYRROLOQUINOLINYL-PYRROLIDINE-2,5-DIONE COMPOSITIONS AND METHODS FOR PREPARING AND USING SAME | 3 |
James R. Kane | US | Marshfield | 2016-02-25 / 20160055321 - SYSTEMS AND METHODS FOR TOOTH CHARTING | 2 |
Nathan R. Kane | US | Arlington | 2011-06-16 / 20110140744 - FLEXIBLE ELECTRONIC CIRCUITS AND DISPLAYS | 3 |
Elizabeth Kane | CA | Ottawa | 2013-08-08 / 20130200861 - BLUFF BODY TURBINE | 2 |
Coleman Kane | US | El Paso | 2012-09-20 / 20120237906 - System and Method for Controlling the Presentation of Material and Operation of External Devices | 2 |
Moussa Kane | US | Houston | 2009-03-26 / 20090078411 - Downhole Gas Influx Detection | 3 |
Katie Kane | US | Salem | 2011-02-24 / 20110046522 - Ultrasound Energy Delivery Assembly | 1 |
Russell Kane | US | Houston | 2009-04-30 / 20090112364 - Chemical treatment system and method | 1 |
Russell D. Kane | US | Houston | 2010-05-06 / 20100107767 - Method and System for Detecting Corrosion Under Insulation | 2 |
John E. Kane | US | Houston | 2010-05-13 / 20100121128 - Method and apparatus for thermochemical conversion of materials | 2 |
Michael Allen Kane | US | Santa Claus | 2012-02-02 / 20120023882 - UNIVERSAL MOUNTING STRUCTURE FOR A LAWN STRIPER | 1 |
Ricky G. Kane | US | Aberdeen | 2011-06-30 / 20110155015 - SABOT | 1 |
Terrence J. Kane | US | Encinitas | 2015-10-01 / 20150276006 - MULTI-STAGE SHOCK ABSORBER | 2 |
Brian Kane | DE | Lohr | 2013-08-15 / 20130205996 - PISTON FOR A RADIAL PISTON MACHINE | 1 |
John Richard Kane | US | Fox River Grove | 2011-06-30 / 20110161474 - BROKERING INFORMATION ACROSS INFORMATION DOMAINS WHILE MAINTAINING CONFIDENTIALITY | 10 |
James Kane | US | Lawrenceville | 2015-09-10 / 20150252256 - LUMINESCENT PHOSPHOR COMPOUNDS, ARTICLES INCLUDING SUCH COMPOUNDS, AND METHODS FOR THEIR PRODUCTION AND USE | 19 |
Tom Kane | US | Acworth | 2012-07-12 / 20120175919 - SUBMERSIBLE PICNIC TABLE AND BENCH ASSEMBLY | 1 |
Kelli Kane | US | Hampton | 2013-08-29 / 20130222980 - CUSTOMIZED FLAT TELEVISION SKIN | 1 |
Peter Kane | US | Rochester Hills | 2013-03-14 / 20130063134 - ROTARY ARC POSITION SENSOR WITH LINEAR OUTPUT | 1 |
Michael J. Kane | US | Roseville | 2016-03-03 / 20160059025 - MEDICAL DEVICE WITH TRIGGERED BLANKING PERIOD | 28 |
Sean M. Kane | US | Bethlehem | 2008-10-23 / 20080261846 - Compositions for the Removal of Post-Etch and Ashed Photoresist Residues and Bulk Photoresist | 1 |
Rebecca B. Kane | US | Upper St. Clair | 2010-01-21 / 20100017284 - System and Method of Providing Discounts on the Purchase of Gasoline | 1 |
Michael T. Kane | US | Harrison City | 2012-10-11 / 20120255552 - METHOD AND APPARATUS FOR TREATING CHEYNE-STOKES RESPIRATION | 6 |
Stefanie A. Kane | US | Schwenksville | 2010-09-02 / 20100221773 - Nucleic Acids Encoding a Functional Mammalin Purinoreceptor, P2X3, Methods of Production and Use Thereof | 1 |
Thomas E. Kane | US | State College | 2011-09-15 / 20110220508 - Automated Parallel Capillary Electrophoresis System with Hydrodynamic Sample Injection | 4 |
Thomas J. Kane | US | Bensalem | 2010-05-06 / 20100113141 - AMUSEMENT DEVICE HAVING TIME-BASED OPERATING MODES | 1 |
Ajit Wasant Kane | US | Erie | 2010-07-08 / 20100174484 - SYSTEM AND METHOD FOR OPTIMIZING HYBRID ENGINE OPERATION | 3 |
John P. Kane | US | Tafton | 2015-09-24 / 20150271036 - GENERATING A REPRESENTATION OF THE STATUS OF A DATA PROCESSING SYSTEM BASED ON EMPIRICAL OPERATIONS METRICS AND DERIVED SENTIMENT METRICS | 3 |
Thomas E. Kane | US | Port Matilda | 2015-02-05 / 20150034676 - DISPENSING DEVICE | 6 |
Ajit Kane | US | Dover | 2011-04-07 / 20110080193 - ELECTRONIC DEVICE CONTROL SYSTEM AND METHOD | 1 |
Ajit Kane | US | Erie | 2011-04-07 / 20110080041 - Electronic Device Control System and Method | 1 |
Michael J. Kane | US | Roseville | 2016-03-03 / 20160059025 - MEDICAL DEVICE WITH TRIGGERED BLANKING PERIOD | 28 |
Schantal M. Kane | US | Downingtown | 2013-05-16 / 20130119718 - ADJUSTABLE HARNESS AND CHAIR THEREWITH | 3 |
Richard Kane | US | Wellington | 2014-12-04 / 20140358888 - Method, System, And Computer Program Product For Monitoring Online Reputations With The Capability Of Creating New Content | 2 |
Jeffrey S. Kane | US | Lawrenceville | 2011-08-25 / 20110203506 - AUTOMATED QUILTING AND TUFTING SYSTEM | 1 |
Brian Kane | US | Wallingford | 2013-02-28 / 20130054362 - COMPUTER CUSTOMIZATION OF PROVIDED PRODUCTS AND SERVICES | 1 |
Jeffrey M. Kane | US | Biglerville | 2010-03-04 / 20100052703 - ICE THICKNESS PROBE, ICE THICKNESS PROBE ASSEMBLY AND ICE THICKNESS MONITORING APPARATUS | 1 |
Bartholomew J. Kane | US | Swarthmore | 2008-11-06 / 20080275409 - WOUND HEALING DEVICE | 1 |
Daniel Steven Kane | US | Milford | 2008-12-18 / 20080308344 - ASYMMETRIC AND CONTINUOUSLY CURVED SPEAKER DRIVER ENCLOSURE TO OPTIMIZE AUDIO FIDELITY | 1 |
Schantal M. Kane | US | Downington | 2010-09-23 / 20100237589 - Collapsible Stroller and Method of Operating the Same | 1 |
Christopher Jon Kane | US | San Jose | 2016-05-05 / 20160124492 - Throttling Of Application Access To Resources | 2 |
Jeffrey Kane | US | Worcester | 2013-06-06 / 20130139617 - Sample Container and Filtration Apparatus and Method of Filtration Using Same | 1 |
Paul H. Kane | US | Rochester | 2009-02-19 / 20090048538 - Systems, methods and devices for promoting thermogenesis | 1 |
Lauren Kane | US | Raleigh | 2015-11-26 / 20150335048 - UTILIZATION OF NON-NUTRITIVE ADSORBENTS TO SEQUESTER MYCOTOXINS DURING EXTRACTION OF PROTEIN OR OTHER VALUE ADDED COMPONENTS FROM MYCOTOXIN CONTAMINATED CEREAL OR SEED OIL MEAL | 1 |
James P. Kane | US | Wilmington | 2008-10-30 / 20080268446 - Flexible Culture Medium Bag Containing Nutrient Concentrate | 1 |
David B. Kane | US | Richmond | 2015-01-29 / 20150027470 - ELECTRONIC SMOKING ARTICLE | 4 |
Jack Kane | US | Bedford | 2012-02-23 / 20120047034 - AUTOMATED SALES TAX PAYMENT SYSTEM | 1 |
Ajit W. Kane | US | Dover | 2011-06-16 / 20110141774 - VARIABLE SPEED DRIVE | 1 |
Adam Kane | US | Morristown | 2014-11-20 / 20140339204 - THREAD TENSIONING MEMBER IN WELDED HEADER STRUCTURE | 18 |
Michael Thomas Kane | NL | Eindhoven | 2013-10-10 / 20130267865 - PATIENT MONITORING AND EXCEPTION NOTIFICATION | 1 |
Daniel James Kane | US | Santa Fe | 2014-08-21 / 20140237014 - METHOD AND APPARATUS FOR DETERMINING WAVE CHARACTERISTICS USING INTERACTION WITH A KNOWN WAVE | 1 |
Andrew Kane | US | High Point | 2008-09-25 / 20080230192 - TELEVISION COVERED BY A TAPESTRY | 1 |
Derek G. Kane | US | Manchester | 2016-03-10 / 20160073063 - FLOW METER USING A DYNAMIC BACKGROUND IMAGE | 27 |
Michael J. Kane | US | Lake Elmo | 2010-04-22 / 20100100144 - INTEGRATED CARDIAC RHYTHM MANAGEMENT SYSTEM WITH HEART VALVE | 3 |
Michael John Kane | US | Lake Elmo | 2010-08-12 / 20100204744 - Osmometric Heart Monitoring Device and Methods | 3 |
Richard M. Kane | US | Los Altos | 2009-01-15 / 20090016586 - REGION OF INTEREST METHODS AND SYSTEMS FOR ULTRASOUND IMAGING | 2 |
Mark L. Kane | US | San Jose | 2009-06-18 / 20090157067 - METHOD AND APPARATUS FOR DIGITAL SIGNAL PROCESSING FOR RADIO FREQUENCY SURGERY MEASUREMENTS | 1 |
Mark Kane | US | San Jose | 2015-01-29 / 20150032094 - TRI-MODE ELECTRODES WITH INTEGRAL TEMPERATURE SENSING | 4 |
Erika Kane | US | San Pedro | 2014-10-23 / 20140315470 - Toy Assembly with Blower and Color Changing Features | 5 |
Brendan Kane | US | Los Angeles | 2009-12-17 / 20090313559 - OFFICIAL WEBSITE BUILDER/EDITOR COMMUNITY | 2 |
Brian Kane | US | Pleasant Hill | 2012-05-24 / 20120130073 - INHIBITORS OF SYK AND JAK PROTEIN KINASES | 2 |
John P. Kane | US | Hillsborough | 2015-06-11 / 20150160201 - Compositions and Methods for Detecting Unstable Arteriosclerotic Plaques | 3 |
Debra J. Kane | US | Lisle | 2014-05-15 / 20140136216 - SYSTEM AND METHOD FOR PROCESSING DATA RELATED TO CASE MANAGEMENT FOR INJURED INDIVIDUALS | 1 |
Timothy Robert Kane | US | Moss Beach | 2015-06-25 / 20150175530 - ANTIBACTERIAL AGENTS | 8 |
Tim Kane | US | Roseville | 2014-11-13 / 20140337484 - SERVER SIDE DATA CACHE SYSTEM | 2 |
Erik A. Kane | US | San Jose | 2010-07-22 / 20100186010 - Dynamic Checking of Hardware Resources for Virtual Environments | 1 |
Michelle Kane | US | Orange | 2010-07-29 / 20100191208 - DISPOSABLE SKIRTS AND SHORTS DIAPERS | 1 |
Mark Leo Kane | US | Cupertino | 2012-07-12 / 20120179155 - Radio-Frequency Generator for Powering an Ablation Device | 2 |
Staci R. Kane | US | Livermore | 2009-03-19 / 20090075281 - MTBE GENES | 1 |
Terrence P. Kane | US | Wheaton | 2012-03-15 / 20120061324 - ELEMENT REMOVAL PROCESS AND APPARATUS | 1 |
Sean Kane | US | San Jose | 2009-06-11 / 20090150432 - RECRUITER REFERRAL WIDGET | 1 |
Daniel J. Kane | US | Seal Beach | 2009-07-30 / 20090190868 - MEMORY SHAPE BUSHINGS AND BEARINGS | 1 |
Michael Kane | US | San Francisco | 2014-12-18 / 20140370974 - LEVERAGING OPTIONAL GAME FEATURES TO REMOVE IN-GAME ASSETS FROM A VIRTUAL ECONOMY | 6 |
Heather D. Kane | US | San Diego | 2009-10-29 / 20090271466 - Data logging with network interfacing feature | 1 |
Michael J. Kane | US | Mountaintop | 2013-04-18 / 20130096478 - WOUND DRESSING GARMENT | 1 |
Lawrence M. Kane | US | Roseville | 2010-12-23 / 20100324640 - ELECTRICALLY ISOLATING ELECTRICAL COMPONENTS IN A MEDICAL ELECTRICAL LEAD WITH AN ACTIVE FIXATION ELECTRODE | 1 |
Rajiv Kane | US | Fremont | 2011-02-24 / 20110044343 - Method and Apparatus for Transceiving Multiple Services Data Simultaneously Over SONET/SDH | 1 |
Paul H. Kane | US | Pollock Pines | 2013-02-28 / 20130053658 - MR SPECTROSCOPY SYSTEM AND METHOD FOR DIAGNOSING PAINFUL AND NON-PAINFUL INTERVERTEBRAL DISCS | 2 |
Ryan M. Kane | US | Ventura | 2012-10-11 / 20120258225 - METHOD FOR USE IN BAKING ARTICLES OF MANUFACTURE AND MOLD FOR USE IN SAID METHOD | 2 |
Daniel J. Kane | US | Mercer Island | 2015-12-24 / 20150369285 - JOINT BEARING LUBRICANT SYSTEM | 6 |
Cameron Kane | GB | Edinburgh | 2015-01-15 / 20150019916 - SYSTEM AND METHOD FOR IDENTIFYING PROBLEMS ON A NETWORK | 1 |
Edward Kane | US | Millville | 2015-09-24 / 20150265637 - METHODS AND COMPOSITIONS FOR TREATING SYMPTOMS OF DISEASES RELATED TO IMBALANCE OF ESSENTIAL FATTY ACIDS | 6 |
James Kane | US | Lawrenceville | 2015-09-10 / 20150252256 - LUMINESCENT PHOSPHOR COMPOUNDS, ARTICLES INCLUDING SUCH COMPOUNDS, AND METHODS FOR THEIR PRODUCTION AND USE | 19 |
Daniel James Greenhill Kane | GB | Glasgow | 2012-06-21 / 20120153191 - Vivarium | 1 |
Larry Kane | US | St. Paul | 2011-01-13 / 20110009935 - Reinforced Suture Sleeve | 1 |
Brian Kane | US | Apple Valley | 2011-05-05 / 20110106331 - IMPENDING ICING PROBE WITH THERMAL ISOLATION PEDESTAL | 1 |
Steve J. Kane | US | Bridgewater | 2009-02-05 / 20090034077 - Grating with angled output prism face for providing wavelength-dependent group delay | 1 |
Michael Gillis Kane | US | Skillman | 2009-05-07 / 20090115704 - PIXEL CIRCUIT FOR AN ACTIVE MATRIX ORGANIC LIGHT-EMITTING DIODE DISPLAY | 1 |
Michael G. Kane | US | Princeton | 2009-08-13 / 20090201278 - Unit pixels and active matrix organic light emitting diode displays including the same | 4 |
Patricia Kane | US | Millville | 2015-09-24 / 20150265637 - METHODS AND COMPOSITIONS FOR TREATING SYMPTOMS OF DISEASES RELATED TO IMBALANCE OF ESSENTIAL FATTY ACIDS | 6 |
Seth A. Kane | US | Tenafly | 2010-08-26 / 20100217404 - Electro-magnet based telescoping artificial muscle actuator | 2 |
Daniel O. Kane | US | Florham Park | 2011-06-16 / 20110145169 - System and Method for Providing a Financial Instrument Utilizing a Liability Ratio | 2 |
Melanie Kane | US | Dorchester | 2013-11-07 / 20130292976 - Receptacle With a Pocket for a Collapsible Chair | 1 |
David Kane | US | Tucson | 2010-05-20 / 20100123360 - CONNECTION METHOD FOR ROTATING RECTIFIERS ON A GENERATOR | 1 |
John Roy Kane | US | Sierra Vista | 2013-07-25 / 20130190667 - METHODS AND APPARATUS FOR ADJUSTING BLOOD CIRCULATION | 3 |
Michael A.c. Kane | US | Scottsdale | 2011-04-07 / 20110082391 - METHODS FOR MEASURING CHANGE IN LIP SIZE AFTER AUGMENTATION | 1 |
David M. Kane | US | Tucson | 2015-06-04 / 20150153453 - CAMERA-STYLE LIDAR SYSTEM AND METHOD | 6 |
David Kane | US | Oro Valley | 2013-01-17 / 20130015730 - ENHANCED SPRAY COOLING TECHNIQUE FOR WEDGE COOLINGAANM Waddell; SimonAACI Oro ValleyAAST AZAACO USAAGP Waddell; Simon Oro Valley AZ USAANM Scherzinger; WilliamAACI Oro ValleyAAST AZAACO USAAGP Scherzinger; William Oro Valley AZ USAANM Birdi; Balwinder SinghAACI TucsonAAST AZAACO USAAGP Birdi; Balwinder Singh Tucson AZ USAANM Pearson; WayneAACI Oro ValleyAAST AZAACO USAAGP Pearson; Wayne Oro Valley AZ USAANM Kane; DavidAACI Oro ValleyAAST AZAACO USAAGP Kane; David Oro Valley AZ USAANM Phielix; TomAACI TucsonAAST AZAACO USAAGP Phielix; Tom Tucson AZ US | 2 |
Richard T. Kane | US | Summerfield | 2013-11-14 / 20130301978 - OPTICAL SENSING SYSTEM AND METHOD | 1 |
Kevin Paul Kane | CA | Alberta | 2012-06-07 / 20120141376 - PROSTATE SPECIFIC TRANSCRIPTS AND THE USE THEREOF FOR PROSTATE CANCER THERAPEUTICS AND DIAGNOSTICS | 1 |
Michael Thomas Kane | US | Harrison City | 2015-09-10 / 20150250964 - AUTOMATIC PRESSURE TITRATION | 9 |
Christopher Kane | US | Arlington | 2015-02-12 / 20150044117 - CAVITAND COMPOSITIONS AND METHODS OF USE THEREOF | 1 |
Marian Kane | IE | Galway | 2016-01-07 / 20160003839 - THE DETECTION OF FREE AND PROTEIN-BOUND NON-HUMAN GAL-ALPHA(1-3)-GAL EPITOPE | 1 |
Andrew Stephen Kane | GB | North Somerset | 2015-02-19 / 20150048014 - SEPARATOR MODULE FOR A STORMWATER GULLY CHAMBER | 1 |
Nathan Robert Kane | US | Guilford | 2015-02-26 / 20150056663 - SYSTEM AND METHOD FOR AUTOMATED NUCLEIC ACID AMPLIFICATION | 1 |
Brittin Kane | US | Clermont | 2016-04-28 / 20160119424 - DISTRIBUTED PROCESSING NETWORK SYSTEM, INTEGRATED RESPONSE SYSTEMS AND METHODS PROVIDING SITUATIONAL AWARENESS INFORMATION FOR EMERGENCY RESPONSE | 12 |
Derek S. Kane | US | Dublin | 2014-06-12 / 20140164034 - Method and System for Determining Total Cost of Ownership | 1 |
Michael Kane | US | Pewaukee | 2014-05-22 / 20140142444 - SYSTEMS AND METHODS FOR USING PULMONARY ARTERY PRESSURE FROM AN IMPLANTABLE SENSOR TO DETECT MITRAL REGURGITATION AND OPTIMIZE PACING DELAYS | 2 |
Thomas E. Kane | US | Tyrone | 2016-04-21 / 20160108244 - SILICA PROTECTED PIGMENTS FOR USE IN ARTIST MEDIA | 2 |
Brad Kane | US | Lakeland | 2011-02-03 / 20110027884 - COMBINATION OF INSULIN AND ASCORBATE TO ENHANCE WOUND HEALING | 1 |
Dianna Kane | US | San Francisco | 2012-04-26 / 20120101847 - Mobile Medical Information System and Methods of Use | 1 |
Paul James Kane | US | Rochester | 2014-12-11 / 20140361072 - METHOD FOR ENCODING INFORMATION IN ILLUMINATION PATTERNS | 9 |
John Kane | US | Tafton | 2014-03-20 / 20140081951 - MANAGEMENT OF PACKAGE DELIVERY | 1 |
Brian Kane | US | Oakland | 2016-02-04 / 20160031862 - CYCLOALKYL-SUBSTITUTED PYRIMIDINEDIONE COMPOUNDS | 16 |
Paul James Kane | US | Rochester | 2014-12-11 / 20140361072 - METHOD FOR ENCODING INFORMATION IN ILLUMINATION PATTERNS | 9 |
Troy Mcdonald Kane | US | Chicago | 2015-03-12 / 20150073963 - Matching with Level Residual Allocation | 1 |
Susan Kane | US | Hanover | 2008-10-30 / 20080268487 - Method For Identifying Agents Which Modulate GtpPase Activity Involved in Insulin-Stimulated Glut4 Translocation | 1 |
Laura Kane | US | Merrimack | 2010-07-22 / 20100182934 - DISTRIBUTED CONNECTION-ORIENTED SERVICES FOR SWITCHED COMMUNICATION NETWORKS | 2 |
Derek Kane | US | Manchester | 2016-01-07 / 20160000357 - TRACKING USING FIELD MAPPING | 7 |
Derek G. Kane | US | Manchester | 2016-03-10 / 20160073063 - FLOW METER USING A DYNAMIC BACKGROUND IMAGE | 27 |
Derek Geoffrey Kane | US | Manchester | 2008-08-21 / 20080200824 - Devices, systems, and methods for aiding in the detection of a physiological abnormality | 1 |
David Kane | GB | Edinburgh | 2016-03-10 / 20160069178 - WIRELESS DOWNHOLE FEEDTHROUGH SYSTEM | 2 |
Hawley Kane | CA | Ottawa | 2016-02-11 / 20160042021 - SYSTEM AND METHOD FOR RENDERING OF HIERARCHICAL DATA STRUCTURES | 1 |
David V. Kane | US | Dunbarton | 2012-04-05 / 20120081191 - HIGH POWER MINIATURE RF DIRECTIONAL COUPLER | 1 |
Terence L. Kane | US | Wappingers Falls | 2016-02-04 / 20160035633 - LOW ENERGY COLLIMATED ION MILLING OF SEMICONDUCTOR STRUCTURES | 5 |
Laurie A. Kane | US | Lansdale | 2014-08-07 / 20140223502 - Method of Operating an IP Client | 1 |
Robert J. Kane | US | South Bend | 2015-05-14 / 20150132354 - TISSUE SCAFFOLDS HAVING BONE GROWTH FACTORS | 1 |
Penny Colleen Kane | CA | Calgary | 2014-02-06 / 20140037751 - Bee Bloom Compositions, Methods of Extraction and Uses Thereof | 1 |
Kristopher A. Kane | US | Fairfield | 2013-01-31 / 20130025107 - SUMP ENTRY FITTING | 1 |
Bartholomew J. Kane | US | Charlottesville | 2015-12-24 / 20150367111 - Ostomy Pump System and Related Methods of Use and Manufacture | 1 |
Michael D. Kane | US | Naples | 2014-07-31 / 20140214932 - PROFESSIONAL SOCIAL NETWORKING WEB PORTAL AND A METHOD OF REGISTERING THEREIN | 2 |
Jodie Kane | US | Syracuse | 2013-01-17 / 20130014326 - DIVIDED LINENS | 1 |
Francis J. Kane | US | Sammamish | 2014-07-24 / 20140208355 - SYNCHRONIZING VIDEO CONTENT WITH EXTRINSIC DATA | 8 |
Troy C. Kane | US | Chicago | 2014-10-09 / 20140304138 - System and Method for Matching One or More Incoming Order to a Standing Order Based on Multi-Level Allocation | 9 |
Richard Thomas Kane | US | Webster | 2015-12-24 / 20150370284 - ELECTRONIC DEVICES AND PRECURSOR ARTICLES | 4 |
Daniel Kane | GB | Glasgow | 2014-07-24 / 20140202776 - LOAD MEASURING SYSTEM | 1 |
Michael D. Kane | US | Mt. Juliet | 2011-09-15 / 20110219606 - PAGE ALIGNMENT DEVICE FOR SCRAPBOOKING | 1 |
Gail A. Kane | US | Mt. Juliet | 2011-09-15 / 20110219606 - PAGE ALIGNMENT DEVICE FOR SCRAPBOOKING | 1 |
Bradley Kane | US | Lakeland | 2011-10-06 / 20110244570 - VOLUME EXCLUSION AGENT TO ENHANCE FORMATION OF EXTRACELLULAR MATRIX | 1 |
John Kane | US | Ellisville | 2011-10-06 / 20110239903 - MODIFIED PIGMENTS AND METHODS FOR MAKING AND USING THE SAME | 1 |
John M. Kane | US | Gowanda | 2012-04-12 / 20120086233 - SEALED AFT CAVITY DRAG REDUCER | 2 |
Lawrence Kane | US | Roseville | 2015-08-27 / 20150238752 - LEAD POSITIONING AND FINNED FIXATION SYSTEM | 8 |
Brittin Kane | US | Clermont | 2016-04-28 / 20160119424 - DISTRIBUTED PROCESSING NETWORK SYSTEM, INTEGRATED RESPONSE SYSTEMS AND METHODS PROVIDING SITUATIONAL AWARENESS INFORMATION FOR EMERGENCY RESPONSE | 12 |
Michael Kane | US | Clinton | 2015-12-10 / 20150351794 - TROCARS AND OBTURATORS | 1 |
Michael John Kane | US | Portland | 2014-10-09 / 20140300748 - FIELD IR IMAGER | 4 |
Shawn Kane | US | Syracuse | 2013-01-17 / 20130014326 - DIVIDED LINENS | 1 |
Scott M. Kane | US | Sandusky | 2013-05-30 / 20130133637 - SYSTEMS AND METHODS FOR ADJUSTING OVEN COOKING ZONES | 3 |
Edward J. Kane | US | Chicago | 2014-02-27 / 20140058969 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR NETWORKED FUNDRAISING AND COMMUNICATION | 1 |
Roger Kane | US | Lyndon Station | 2013-01-31 / 20130025248 - ROBOTIC MOWER LAUNCH POINT SYSTEM | 1 |
Tetsuhiko Kaneaki | JP | Hyogo | 2014-09-11 / 20140253763 - ELECTRONIC DEVICE | 1 |
Hideki Kanebako | JP | Suwa-Shi | 2013-04-25 / 20130102834 - SLIDE DEVICE, MECHANICAL SEAL, ROTARY DEVICE, PUMP AND AUXILIARY ARTIFICIAL HEART SYSTEM | 1 |
Atsuhiko Kanebako | JP | Tokyo | 2015-12-31 / 20150377133 - INTAKE-AIR COOLING DEVICE | 1 |
Kazunori Kanebako | JP | Yokohama-Shi | 2012-05-10 / 20120113717 - SEMICONDUCTOR MEMORY DEVICE WITH IMPROVED ECC EFFICIENCY | 4 |
Makoto Kanebako | JP | Fuji-Shi | 2013-07-11 / 20130177655 - PREVENTING OR TREATING AGENT FOR GLAUCOMA | 4 |
Hideki Kanebako | JP | Nagano | 2015-10-22 / 20150297812 - VENTRICULAR ASSIST BLOOD PUMP | 6 |
Kazunori Kanebako | JP | Kanagawa-Ken | 2013-01-31 / 20130028019 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 2 |
Makoto Kanebako | JP | Shizuoka | 2012-01-05 / 20120004305 - EXTERNAL PREPARATION CONTAINING ANALGESIC/ANTI-INFLAMMATORY AGENT | 4 |
Ingo Kaneblei | DE | Lubeck | 2015-10-01 / 20150276695 - CALIBRATING STATION WITH EXTERNAL GAS ROUTING TRACK | 5 |
Ingo Kaneblei | DE | Hernburg | 2009-12-31 / 20090322546 - SAFETY HELMET WITH GAS-MEASURING DEVICE | 1 |
Ingo Kaneblei | DE | Herrnburg | 2009-10-08 / 20090253213 - DEVICE AND PROCESS FOR THE CHROMATOGRAPHIC DETECTION OF A SUBSTANCE | 2 |
Masakazu Kanechika | JP | Aichi-Gun | 2010-02-25 / 20100044753 - SEMICONDUCTOR DEVICE | 2 |
Masayuki Kanechika | JP | Tokyo | 2008-10-30 / 20080265738 - Fluorescent Lamp | 2 |
Yukihiro Kanechika | JP | Shunan-Shi | 2015-12-10 / 20150353355 - ALUMINUM NITRIDE POWDERS | 5 |
Masayuki Kanechika | JP | Yokohama-Shi | 2011-08-18 / 20110201181 - APPARATUS AND METHOD FOR GROWING NITRIDE SEMICONDUCTOR CRYSTAL FILM | 1 |
Masakazu Kanechika | JP | Nagakute-Shi | 2016-02-04 / 20160035719 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Yukihiro Kanechika | JP | Yamaguchi | 2015-12-31 / 20150376009 - Method for Producing Sintered Aluminum Nitride Granules | 12 |
Masahiro Kaneda | JP | Ehime | 2011-05-12 / 20110112496 - DISPOSABLE DIAPER | 2 |
Naohito Kaneda | JP | Tokyo | 2015-06-11 / 20150159617 - ENGINE STARTING DEVICE | 4 |
Norikazu Kaneda | JP | Osaka | 2016-03-17 / 20160077643 - ELECTRONIC DEVICE | 2 |
Hiroaki Kaneda | JP | Hiroshima-Shi | 2015-03-26 / 20150084288 - SEALING MEMBER FOR WATER CUTOFF | 1 |
Yuji Kaneda | JP | Yokohama | 2016-02-11 / 20160041984 - SELECTING CONTENT USING QUERY-INDEPENDENT SCORES OF QUERY SEGMENTS | 1 |
Takuya Kaneda | JP | Kanagawa | 2015-10-29 / 20150311490 - METHOD FOR PRODUCING ELECTRODE/SEPARATOR LAMINATE, AND LITHIUM-ION RECHARGEABLE BATTERY | 2 |
Toshio Kaneda | JP | Kanagawa | 2012-03-29 / 20120076300 - KEY INFORMATION MANAGEMENT METHOD, CONTENT TRANSMISSION METHOD, KEY INFORMATION MANAGEMENT APPARATUS, LICENSE MANAGEMENT APPARATUS, CONTENT TRANSMISSION SYSTEM, AND TERMINAL APPARATUS | 1 |
Kentaro Kaneda | JP | Toyota-Shi | 2016-05-05 / 20160121697 - AIR BLOWING DEVICE | 2 |
Hirotaka Kaneda | JP | Shibuya-Ku | 2015-03-05 / 20150064151 - CIRCADIAN RHYTHM-IMPROVING AGENT | 1 |
Noriyoshi Kaneda | JP | Kanagawa | 2012-03-22 / 20120067145 - CERAMIC MEMBER, PROBE HOLDER, AND METHOD FOR MANUFACTURING CERAMIC MEMBER | 1 |
Hisashi Kaneda | JP | Kyoto | 2016-03-31 / 20160091452 - Measurement Method Using Oxidase | 5 |
Hideo Kaneda | JP | Taito-Ku | 2015-10-08 / 20150286284 - TILT DIRECTION DETECTOR FOR ORIENTING DISPLAY INFORMATION | 3 |
Yutaka Kaneda | JP | Settsu-Shi | 2013-07-18 / 20130183536 - RESIN COMPOSITION AND MOLDED PRODUCT THEREOF | 2 |
Toshihiko Kaneda | JP | Ishikawa | 2012-03-15 / 20120064302 - PATTERNING METHOD | 1 |
Minoru Kaneda | JP | Tokyo | 2015-06-25 / 20150179387 - CHARGED PARTICLE BEAM GENERATING APPARATUS, CHARGED PARTICLE BEAM APPARATUS, HIGH VOLTAGE GENERATING APPARATUS, AND HIGH POTENTIAL APPARATUS | 1 |
Hideo Kaneda | JP | Tokyo | 2015-12-24 / 20150368233 - CRYSTALLINE FORM HAVING SPECIFIC CRYSTAL HABIT AND PHARMACEUTICAL COMPOSITION CONTAINING THIS CRYSTALLINE FORM AS ACTIVE INGREDIENT | 2 |
Yuji Kaneda | JP | Kanagawa-Ken | 2009-07-02 / 20090169101 - IMAGE PROCESSING METHOD AND APPARATUS, IMAGE SENSING APPARATUS, AND PROGRAM | 2 |
Yuji Kaneda | JP | Tokyo | 2011-11-24 / 20110288048 - PROMOTER FOR HARD TISSUE FORMATION | 4 |
Yuji Kaneda | JP | Kawasaki-Shi | 2016-02-04 / 20160034782 - APPARATUS AND METHOD OF COLLATING CATEGORIES OF IMAGES | 26 |
Noriaki Kaneda | US | City Of Westfield | 2012-07-05 / 20120169435 - MICROWAVE AND MILLIMETER-WAVE COMPACT TUNABLE CAVITY FILTER | 1 |
Atsushi Kaneda | JP | Bunkyo-Ku | 2012-07-12 / 20120178634 - METHOD FOR DETERMINATION OF PRESENCE OF CANCER CELL, AND METHOD FOR DETERMINATION OF PROGNOSIS OF CANCER PATIENT | 1 |
Haruki Kaneda | JP | Ehime | 2015-07-09 / 20150194673 - METHOD FOR PRODUCING POSITIVE ELECTRODE ACTIVE MATERIAL FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERIES, POSITIVE ELECTRODE ACTIVE MATERIAL FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERIES, AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY USING SAME | 1 |
Yasufumi Kaneda | JP | Osaka | 2015-10-01 / 20150273017 - Novel Method for Treating Cardiac Infarction Using HMGB1 Fragment | 15 |
Toru Kaneda | JP | Ota-Ku | 2015-07-23 / 20150202911 - LOOSE LEAF BINDER | 1 |
Yushi Kaneda | JP | Yamanashi | 2016-04-28 / 20160116822 - DEEP ULATRAVIOLET LASER GENERATION DEVICE AND LIGHT SOURCE DEVICE | 1 |
Jun Kaneda | JP | Tokyo | 2015-07-30 / 20150214554 - CELL CATALYST COMPOSITION ANDMANUFACTURING METHOD THEREOF, ELECTRODE MATERIAL, AND FUEL CELL | 1 |
Kiyotomi Kaneda | JP | Suita-Shi | 2012-12-13 / 20120316360 - PROCESS FOR PRODUCING ESTER COMPOUND HAVING a, -UNSATURATED BOND | 2 |
Kensuke Kaneda | JP | Tokyo | 2012-08-09 / 20120202648 - DRIVE DEVICE FOR VEHICLE | 1 |
Noriaki Kaneda | US | Westfield | 2016-03-10 / 20160072169 - Cavity Resonator Filters With Pedestal-Based Dielectric Resonators | 26 |
Toshio Kaneda | JP | Yokosuka-Shi | 2008-09-18 / 20080227499 - Mobile terminal device and program used in mobile terminal device | 1 |
Yoshiharu Kaneda | JP | Sakai-Shi | 2008-10-09 / 20080246166 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 1 |
Toshihiko Kaneda | JP | Hakusan-Shi | 2008-11-06 / 20080274338 - WIRING SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Aizou Kaneda | JP | Kanagawa-Ken | 2008-11-27 / 20080290529 - SEMICONDUCTOR DEVICE AND PROCESS FOR FABRICATION THEREOF | 1 |
Toshihiko Kaneda | JP | Hakusan | 2008-12-04 / 20080299356 - PLATED SUBSTRATE AND ITS FABRICATION METHOD | 2 |
Kazumi Kaneda | JP | Gunma | 2008-12-11 / 20080305944 - DIELECTRIC CERAMICS AND MANUFACTURING METHOD THEREOF, AS WELL AS MULTILAYER CERAMIC CAPACITOR | 2 |
Hirotaka Kaneda | JP | Shizuoka | 2015-09-17 / 20150257354 - BARLEY LIPOXYGENASE 1 GENE, SELECTION METHOD FOR BARLEY, MATERIALS FOR MALT ALCOHOLIC BEVERAGES AND METHOD FOR PRODUCTION OF MALT ALCOHOLIC BEVERAGES | 5 |
Morihiro Kaneda | JP | Chiba | 2009-02-12 / 20090042424 - Connector Boot And Connector Assembly | 1 |
Yoshiharu Kaneda | JP | Ohtsu-Shi | 2009-03-05 / 20090057857 - LEAD FRAME, SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Kiyotomi Kaneda | JP | Hyogo | 2011-11-24 / 20110288340 - METHOD FOR MANUFACTURING KETONE | 2 |
Atsushi Kaneda | JP | Kasugai-City | 2009-04-16 / 20090098336 - Honeycomb structure | 1 |
Masatoshi Kaneda | JP | Koryo | 2009-04-23 / 20090100877 - Sock | 1 |
Keiichi Kaneda | JP | Fuchu | 2011-12-29 / 20110320590 - DATA TRANSMISSION METHOD AND SERVER FOR SUBSTATION MONITORING CONTROL SYSTEM | 1 |
Tatsuo Kaneda | JP | Shizuoka | 2009-05-07 / 20090117806 - Sound Absorbing Materials as Vehicle Exterior Equipment and Manufacturing Thereof | 1 |
Hiroyuki Kaneda | JP | Kawasaki | 2009-06-11 / 20090147691 - RELAY DEVICE, COMPUTER READABLE MEDIUM STORING TEST PROGRAM AND A METHOD FOR TESTING RELAY DEVICE | 1 |
Hideo Kaneda | JP | Osaka | 2009-08-13 / 20090202602 - PHARMACEUTICAL COMPOSITION FOR APPLICATION TO NAIL | 1 |
Masaki Kaneda | JP | Kyoto | 2009-08-13 / 20090199663 - MATERIAL TESTING MACHINE | 1 |
Masato Kaneda | JP | Yamaguchi | 2009-08-20 / 20090208887 - REMOVING SOLUTION FOR PHOTOSENSITIVE COMPOSITION | 1 |
Shusuke Kaneda | JP | Chiyoda-Ku | 2009-10-01 / 20090244006 - INFORMATION PROCESSING APPARATUS, IMAGE DISPLAY CONTROL METHOD THEREOF, AND IMAGE DISPLAY CONTROL PROGRAM THEREOF | 1 |
Hideo Kaneda | JP | Tochigi | 2009-10-15 / 20090257616 - SPEAKER SYSTEM | 4 |
Hirotaka Kaneda | JP | Yaizu-Shi | 2009-11-19 / 20090285932 - BARLEY LIPOXYGENASE 1 GENE, METHOD OF SELECTING BARLEY VARIETY, MATERIAL OF MALT ALCOHOLIC DRINKS AND PROCESS FOR PRODUCING MALT ALCOHOLIC DRINK | 1 |
Masatoshi Kaneda | JP | Nara | 2009-11-19 / 20090282607 - Socks | 1 |
Yoshiharu Kaneda | JP | Tokyo | 2016-03-24 / 20160086875 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 4 |
Shunji Kaneda | JP | Okayama | 2009-12-03 / 20090298392 - POLYMER MATERIAL, FOAM OBTAINED FROM SAME, AND POLISHING PAD USING THOSE | 1 |
Yoshiharu Kaneda | JP | Shiga | 2009-12-17 / 20090309200 - Body to be plated, method of determining plated film thickness, and method of manufacturing semiconductor device | 1 |
Masahiro Kaneda | JP | Shikokuchuo-Shi | 2009-12-31 / 20090326504 - DISPOSABLE DIAPER | 1 |
Takeyuki Kaneda | JP | Mie | 2010-01-14 / 20100009198 - NON-FOGGING PREPRODUCTION, NON-FOGGING ARTICLES AND COATING FLUID FOR THE ARTICLES | 1 |
Reikichi Kaneda | JP | Okazaki-Shi | 2010-02-04 / 20100026132 - STATOR FOR ROTATING ELECTRIC MACHINE | 1 |
Masatoshi Kaneda | JP | Kikuchi-Gun | 2010-02-11 / 20100034969 - COATING AND PROCESSING APPARATUS AND METHOD | 1 |
Takenori Kaneda | JP | Tochigi-Ken | 2010-02-18 / 20100038152 - POSITION POINTING DEVICE AND HAND-HELD ELECTRONIC APPLIANCE | 1 |
Kenichi Kaneda | JP | Tochigi | 2010-02-25 / 20100044081 - LAMINATED BODY, METHOD OF MANUFACTURING SUSBTRATE, SUBSTRATE, AND SEMICONDUCTOR DEVICE | 1 |
Akihiro Kaneda | JP | Nasushiobara-Shi | 2010-03-04 / 20100050728 - HIGH STRENGTH, HIGH CARBON STEEL WIRE AND METHOD OF PRODUCING THE SAME | 1 |
Yasushi Kaneda | JP | Saitama-Shi | 2010-05-13 / 20100118392 - POLARIZER | 2 |
Masayuki Kaneda | JP | Kanagawa-Ken | 2010-07-01 / 20100168497 - CHEMICAL DECONTAMINATION APPARATUS AND DECONTAMINATION METHOD THEREIN | 1 |
Keiji Kaneda | JP | Sakura-Shi | 2010-07-15 / 20100176311 - OPTICAL FIBER BUNDLE AND OPTICAL IRRADIATOR | 2 |
Atsushi Kaneda | JP | Ichinomiya-City | 2013-02-14 / 20130036719 - HONEYCOMB STRUCTURE | 5 |
Hideo Kaneda | JP | Kawachinagano-Shi | 2013-09-05 / 20130231379 - AGENT FOR FUNGAL DERMATITIS | 2 |
Takuji Kaneda | JP | Shioya-Gun | 2015-06-25 / 20150175038 - SEAT DEVICE FOR VEHICLE | 2 |
Yasufumi Kaneda | JP | Yokohama | 2011-06-30 / 20110156265 - ELECTRONIC COMPONENT AND METHOD OF MANUFACTURING THE SAME | 4 |
Daisuke Kaneda | JP | Toyama City | 2010-11-25 / 20100294206 - Two-Way Door Pet Restriction Device | 1 |
Mayumi Kaneda | JP | Osaka | 2012-07-05 / 20120171536 - NON-AQUEOUS SECONDARY BATTERY AND ELECTRODE ASSEMBLY USED THEREFOR | 4 |
Kiyotomi Kaneda | JP | Osaka | 2010-12-30 / 20100331574 - HYDROXYAPATITE WITH SILVER SUPPORTED ON THE SURFACE THEREOF | 2 |
Takahiro Kaneda | JP | Chiba | 2009-07-16 / 20090180001 - IMAGE PROCESSING APPARATUS, IMAGING APPARATUS, METHOD AND PROGRAM | 1 |
Takeshi Kaneda | JP | Sagamihara-Shi | 2009-09-24 / 20090240410 - CONTROL DEVICE FOR CONTINUOUSLY VARIABLE TRANSMISSION AND CONTROL METHOD THEREOF | 1 |
Kazunon Kaneda | JP | Kodaira-Shi | 2011-03-03 / 20110054116 - RUBBER COMPOSITION AND TIRE | 1 |
Masaki Kaneda | JP | Kyoto-Shi | 2015-11-19 / 20150330882 - MATERIAL TESTING MACHINE | 3 |
Yoko Kaneda | JP | Nagaokakyo-Shi | 2012-08-02 / 20120195774 - PIEZOELECTRIC MICRO-BLOWER | 2 |
Takenori Kaneda | JP | Tochigi | 2012-10-25 / 20120268428 - CORDLESS TYPE POSITION POINTER | 3 |
Sotaro Kaneda | JP | Sapporo | 2011-04-07 / 20110079243 - SCALE CONDITIONING AGENTS AND TREATMENT METHOD | 1 |
Junya Kaneda | JP | Hitachi | 2011-04-21 / 20110091002 - UNDERWATER REMOTE INSPECTION DEVICE AND METHOD FOR UNDERWATER REMOTE INSPECTION | 1 |
Kazuyuki Kaneda | JP | Hyogo | 2011-04-21 / 20110090490 - Inspection apparatus using a chip | 1 |
Yasunori Kaneda | JP | Yokohama | 2015-05-21 / 20150142915 - INFORMATION STORAGE SYSTEM INCLUDING A PLURALITY OF STORAGE SYSTEMS THAT IS MANAGED USING SYSTEM AND VOLUME IDENTIFICATION INFORMATION AND STORAGE SYSTEM MANAGEMENT METHOD FOR SAME | 20 |
Masatoshi Kaneda | JP | Koshi City | 2015-12-24 / 20150371894 - SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING SYSTEM AND RECORDING MEDIUM | 5 |
Naohito Kaneda | JP | Chiyoda-Ku | 2013-11-07 / 20130291681 - ENGINE STARTING DEVICE | 7 |
Yukihiro Kaneda | JP | Komaki-Shi | 2012-05-24 / 20120125200 - APPARATUS HAVING A CANISTER AND A COMPONENT ASSOCIATED WITH THE CANISTER | 3 |
Kouji Kaneda | JP | Aichi | 2010-07-29 / 20100187875 - CLUTCH MECHANISM | 2 |
Yoshinobu Kaneda | JP | Ora-Gun | 2012-09-13 / 20120230071 - POWER SUPPLY CIRCUIT SYSTEM | 5 |
Kazuhiro Kaneda | JP | Fukaya City | 2010-12-02 / 20100302711 - SOLID ELECTROLYTIC CAPACITOR, ELECTRONIC DEVICE USING THE SAME, AND METHOD OF MANUFACTURING THE SAME | 2 |
Shinichi Kaneda | JP | Tokyo | 2015-10-01 / 20150276523 - WHEEL FASTENING INSPECTION METHOD, WHEEL FASTENING METHOD, WHEEL FASTENING INSPECTION DEVICE AND WHEEL FASTENING DEVICE | 3 |
Masatoshi Kaneda | JP | Kitakatsuragi-Gun | 2011-12-15 / 20110302699 - SOCK | 1 |
Tetsuya Kaneda | JP | Kawasaki | 2015-10-01 / 20150281412 - MOBILE TERMINAL DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Wataru Kaneda | JP | Nagano | 2015-06-11 / 20150163899 - WIRING BOARD | 2 |
Akihisa Kaneda | JP | Nomi-City | 2015-10-22 / 20150299060 - SOIL IMPROVING MATERIAL AND CULTURE SOIL CONTAINING SAME | 2 |
Satoshi Kaneda | JP | Hadano-Shi | 2012-10-04 / 20120252958 - STRIPPABLE COATING COMPOSITION FOR FLOORS | 1 |
Yoshinori Kaneda | JP | Tokyo | 2011-12-01 / 20110289981 - DRUM TYPE WASHING MACHINE | 1 |
Yasunori Kaneda | JP | Yokohama | 2015-05-21 / 20150142915 - INFORMATION STORAGE SYSTEM INCLUDING A PLURALITY OF STORAGE SYSTEMS THAT IS MANAGED USING SYSTEM AND VOLUME IDENTIFICATION INFORMATION AND STORAGE SYSTEM MANAGEMENT METHOD FOR SAME | 20 |
Kitahiro Kaneda | JP | Yokohama-Shi | 2014-01-23 / 20140023272 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND STORAGE MEDIUM | 6 |
Takeshi Kaneda | JP | Yokohama-Shi | 2015-08-06 / 20150220820 - IMAGE FORMING APPARATUS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 12 |
Isamu Kaneda | JP | Yokohama-Shi | 2011-11-17 / 20110280946 - Surface-Treating Agents, Surface-Treated Powders, And Cosmetics Comprising The Same | 7 |
Atsushi Kaneda | JP | Nagoya-City | 2014-10-02 / 20140294690 - HONEYCOMB STRUCTURE | 9 |
Yoshimasa Kaneda | JP | Toyohashi-Shi | 2012-10-18 / 20120261983 - ON-VEHICLE GENERATOR PROVIDED WITH OVERVOLTAGE DETECTING CIRCUIT | 1 |
Takashi Kaneda | JP | Saitama | 2015-10-22 / 20150300755 - HEAT EXCHANGER TUBE | 1 |
Kenji Kaneda | JP | Sumida-Ku | 2012-11-01 / 20120277137 - SKIN CLEANSING AGENT | 1 |
Satoshi Kaneda | JP | Ibaraki | 2015-11-05 / 20150319353 - IMAGE PROCESSING TERMINAL, IMAGING MACHINE, INFORMATION PROCESSING METHOD, PROGRAM, AND REMOTE IMAGING SYSTEM | 1 |
Hiroshi Kaneda | JP | Himeji-Shi | 2015-11-12 / 20150326147 - ELASTIC BODY FOR ACTUATOR, AND PIEZOELECTRIC ACTUATOR | 1 |
Mitsuhiro Kaneda | JP | Wakayama-Shi | 2015-11-19 / 20150327512 - BOX TYPE PET DRYER | 1 |
Takashi Kaneda | JP | Wakayama-Shi | 2015-11-19 / 20150327512 - BOX TYPE PET DRYER | 1 |
Yuichi Kaneda | JP | Kanagawa | 2014-08-28 / 20140240575 - IMAGE PROCESSING APPARATUS, LOCATION INFORMATION ADDING METHOD, AND PROGRAM | 1 |
Akihisa Kaneda | JP | Ishikawa | 2011-10-27 / 20110258750 - GLOVE MANUFACTURING METHOD AND MANUFACTURING APPARATUS, AND GLOVE MANUFACTURED BY THE METHOD OR THE APPARATUS | 1 |
Shuji Kaneda | JP | Okayama | 2015-08-27 / 20150243400 - FINE SILVER PARTICLE POWDER, METHOD FOR MANUFACTURING THE SAME, SILVER PASTE USING THE POWDER AND METHOD OF USE OF THE PASTE | 2 |
Naoki Kaneda | JP | Tsuchiura-Shi | 2015-06-25 / 20150179780 - Nitride Semiconductor Device and Method of Manufacturing the Same | 2 |
Takuya Kaneda | JP | Tokyo | 2015-09-24 / 20150270523 - POROUS MEMBRANE SEPARATOR FOR SECONDARY BATTERY, METHOD FOR PRODUCING THE SAME, AND SECONDARY BATTERY | 6 |
Yoshitoshi Kaneda | JP | Osaka | 2011-10-06 / 20110245187 - MESENCHYMAL CELL PROLIFERATION PROMOTER AND SKELETAL SYSTEM BIOMATERIAL | 1 |
Kentarou Kaneda | JP | Toyota-Shi | / - | 1 |
Yasutaka Kaneda | JP | Osaka-Shi | 2014-01-16 / 20140015785 - ELECTRONIC DEVICE | 1 |
Yuji Kaneda | JP | Kawasaki-Shi | 2016-02-04 / 20160034782 - APPARATUS AND METHOD OF COLLATING CATEGORIES OF IMAGES | 26 |
Masatoshi Kaneda | JP | Koshi-Shi | 2011-09-29 / 20110233187 - HEATING APPARATUS, HEATING METHOD, AND COMPUTER READABLE STORAGE MEDIUM | 1 |
Wataru Kaneda | JP | Nagano-Shi | 2015-10-01 / 20150282323 - WIRING BOARD AND METHOD OF MANUFACTURING THE SAME | 4 |
Kenji Kaneda | JP | Kasugai-Shi | 2014-07-31 / 20140214006 - CATHETER | 1 |
Fumio Kaneda | JP | Wako | 2014-05-08 / 20140123951 - FUEL-PIPING ATTACHMENT STRUCTURE | 1 |
Naoki Kaneda | JP | Hitachi | 2014-07-10 / 20140191369 - NITRIDE SEMICONDUTOR DEVICE | 1 |
Masayuki Kaneda | JP | Chiyoda-Ku | 2014-08-07 / 20140217013 - POLYKETONE POROUS FILM | 1 |
Mitsuo Kaneda | JP | Seki-Shi | 2015-12-10 / 20150354636 - COUPLING | 1 |
Takenori Kaneda | JP | Shimotsuke-Shi | 2013-01-24 / 20130021723 - DISPLAY STAND | 1 |
Takashi Kaneda | JP | Saitama-Shi | 2014-11-06 / 20140326198 - Engine Cooling Apparatus and Engine Cooling Method | 2 |
Yasufumi Kaneda | JP | Tokyo | 2016-04-21 / 20160112030 - ACOUSTIC WAVE DEVICE, FILTER, AND DUPLEXER | 1 |
Yutaka Kaneda | JP | Kanagawa | 2015-12-31 / 20150375750 - AUTOMATIC TRANSMISSION CONTROL DEVICE | 1 |
Heiji Kaneda | JP | Fukuoka | 2013-02-21 / 20130044528 - GATE DRIVE CIRCUIT AND POWER CONVERTER | 1 |
Yoshinori Kaneda | JP | Minato-Ku | 2013-02-21 / 20130042654 - DAMPER, WASHING MACHINE, AND WASHER/DRYER | 1 |
Ayumi Kaneda | JP | Kanagawa | 2011-09-01 / 20110213001 - PHARMACEUTICAL COMPOSITIONS | 1 |
Sotaro Kaneda | JP | Hokkaido | 2016-01-28 / 20160023252 - ULTRASONICALLY CLEANING VESSELS AND PIPES | 1 |
Motoki Kaneda | JP | Chiyoda-Ku | 2016-01-28 / 20160023115 - TERMINAL DEVICE | 1 |
Daisuke Kaneda | JP | Moka-Shi | 2016-02-25 / 20160054078 - PRE-COATED ALUMINUM SHEET AND HEAT SINK FOR ONBOARD LED LIGHTING | 1 |
Junya Kaneda | JP | Anjo-Shi | 2016-02-25 / 20160056655 - BATTERY PACK FOR MOTOR-DRIVEN APPLIANCE | 1 |
Kazuki Kaneda | JP | Shioya-Gun | 2016-03-31 / 20160090942 - CARBURETOR | 1 |
Yuji Kaneda | JP | Kitakyushu-Shi | 2013-11-14 / 20130301885 - IMAGE PROCESSING DEVICE, IMAGING DEVICE, IMAGE PROCESSING METHOD | 1 |
Yasufumi Kaneda | JP | Suita-Shi | 2015-04-23 / 20150111842 - ATTRACTANT FOR BONE MARROW STEM CELLS AND METHOD FOR ATTRACTING BONE MARROW STEM CELLS | 2 |
Megan Kaneda | US | St. Louis | 2009-08-27 / 20090214616 - BIOMATERIALS HAVING NANOSCALE LAYERS AND COATINGS | 1 |
Noriaki Kaneda | US | Westfield | 2016-03-10 / 20160072169 - Cavity Resonator Filters With Pedestal-Based Dielectric Resonators | 26 |
Hideaki Kaneda | JP | Ibaraki | 2013-08-08 / 20130200779 - LIGHT-EMITTING DEVICE, AND LIGHTING SYSTEM, AND IMAGE DISPLAY USING SAME | 10 |
Hideaki Kaneda | JP | Ushiku-Shi | 2012-10-25 / 20120267997 - LIGHT-EMITTING DEVICE, WHITE LIGHT-EMITTING DEVICE, ILLUMINATOR, AND IMAGE DISPLAY | 2 |
Kazuhiro Kaneda | JP | Saitama | 2008-09-11 / 20080217168 - Electrode for electrolysis and electrolysis unit | 1 |
Kazunori Kaneda | JP | Saitama | 2009-09-17 / 20090229728 - PNEUMATIC TIRE | 1 |
Kenichi Kaneda | JP | Saitama | 2011-02-03 / 20110024392 - INK-JET INK COMPOSITION FOR ETCHING RESIST | 1 |
Takayoshi Kaneda | JP | Saitama | 2013-11-07 / 20130294994 - METHOD FOR PRODUCING PYROPHOSPHATE | 6 |
Tomohisa Kaneda | JP | Saitama | 2011-05-12 / 20110112735 - LINE PRESSURE CONTROL DEVICE FOR AUTOMATIC TRANSMISSION | 1 |
Fumio Kaneda | JP | Saitama | 2010-06-17 / 20100148497 - CONNECTOR DROP-OFF PREVENTION STRUCTURE AND CONNECTOR DROP-OFF PREVENTION METHOD | 1 |
Noriaki Kaneda | US | Westfiled | 2013-04-25 / 20130101300 - POWER ADJUSTMENT OF IN-PHASE AND QUADRATURE COMPONENTS AT A COHERENT OPTICAL RECEIVER | 1 |
Makoto Kaneda | JP | Ina-Shi | 2013-05-23 / 20130131322 - ANTIBODY BEING CAPABLE OF BINDING TO TRANSFORMING GROWTH FACTOR ALPHA AND HAVING GROWTH-SUPPRESSING ACTIVITY ON CANCERS HAVING RAS GENE MUTATION | 1 |
Minoru Kaneda | JP | Hitachinaka | 2013-06-06 / 20130140977 - CHARGED PARTICLE BEAM RADIATION APPARATUS | 1 |
Hideaki Kaneda | JP | Kanagawa | 2011-07-28 / 20110182072 - PHOSPHOR, PRODUCTION METHOD OF PHOSPHOR, PHOSPHOR-CONTAINING COMPOSITION, AND LIGHT EMITTING DEVICE | 1 |
Kenichi Kaneda | JP | Tokyo | 2012-02-16 / 20120037413 - PRINTED WIRING BOARD FABRICATION METHOD, PRINTED WIRING BOARD, MULTILAYER PRINTED WIRING BOARD, AND SEMICONDUCTOR PACKAGE | 3 |
Hideaki Kaneda | JP | Ibaraki | 2013-08-08 / 20130200779 - LIGHT-EMITTING DEVICE, AND LIGHTING SYSTEM, AND IMAGE DISPLAY USING SAME | 10 |
Daisuke Kaneda | JP | Tokyo | 2013-06-20 / 20130152867 - Pet Barrier With Adjustable Hinges | 1 |
Teruhisa Kaneda | JP | Saitama-Shi | 2013-10-03 / 20130255345 - FIN PROCESSING APPARATUS | 1 |
Yutaka Kaneda | JP | Osaka | 2013-07-18 / 20130184375 - RUBBER MODIFIED ACRYLIC RESIN COMPOSITION EXCELLENT IN JET-BLACKNESS AND MOLDED PRODUCT THEREOF | 1 |
Hisashi Kaneda | JP | Kyoto-Shi | 2015-11-19 / 20150328632 - SENSOR ELEMENT, METHOD FOR MANUFACTURING SENSOR ELEMENT, AND SENSOR CARTRIDGE | 2 |
Junya Kaneda | JP | Hitachi-Shi | 2013-04-11 / 20130089182 - Evaluation System and Evaluation Method of Plastic Strain | 1 |
Yuri Kaneda | JP | Hadano-Shi | 2013-09-26 / 20130251950 - SILICON WAFER | 1 |
Atsushi Kaneda | JP | Nagoya-City | 2014-10-02 / 20140294690 - HONEYCOMB STRUCTURE | 9 |
Hiroaki Kaneda | JP | Fujisawa-Shi | 2011-07-07 / 20110162864 - HERMETIC SEALING DEVICE AND HERMETIC SEALING STRUCTURE | 1 |
Takeshi Kaneda | JP | Fukuoka-Ken | 2011-07-07 / 20110164418 - WASHING COUNTER | 1 |
Hiroyuki Kaneda | JP | Kyoto-Shi | 2015-09-10 / 20150255425 - SEMICONDUCTOR DEVICE | 2 |
Hiroaki Kaneda | JP | Hiroshima | 2015-02-05 / 20150034414 - SOUND INSULATION MATERIAL | 2 |
Yoshiharu Kaneda | JP | Kawasaki-Shi | 2015-09-17 / 20150262923 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 3 |
Kenji Kaneda | JP | Adachi-Ku | 2014-03-20 / 20140080747 - SKIN CLEANSING COMPOSITION | 1 |
Tomoaki Kaneda | JP | Sagamihara | 2014-10-09 / 20140304347 - COMMUNICATION SYSTEM AND ELECTRONIC MAIL DELIVERY CONTROL METHOD IN A COMMUNICATION SYSTEM | 2 |
Naoki Kaneda | JP | Tsuchiura | 2015-08-27 / 20150243736 - HIGH VOLTAGE GALLIUM NITRIDE BASED SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 3 |
Shinichi Kaneda | JP | Ashigarakami-Gun | 2015-02-12 / 20150045740 - SYRINGE AND MOUNTING FIXTURE | 2 |
Naoki Kaneda | JP | Tokyo | 2014-05-01 / 20140117376 - Nitride Semiconductor Element and Method of Manufacturing the Same | 2 |
Kazumasa Kaneda | JP | Kanagawa | 2015-10-01 / 20150277133 - SPATIAL IMAGE DISPLAY APPARATUS | 16 |
Akihito Kaneda | JP | Kanagawa | 2009-10-22 / 20090263413 - IMMUNOSTIMULATORY OLIGONUCLEOTIDES AND USE THEREOF IN PHARMACEUTICALS | 2 |
Shinichi Kaneda | JP | Kanagawa | 2014-07-31 / 20140212477 - HEMOGLOBIN-CONTAINING LIPOSOME AND METHOD FOR PRODUCING SAME | 2 |
Isamu Kaneda | JP | Kanagawa | 2009-06-25 / 20090162719 - Liquid Fuel and Fuel Cell | 2 |
Takeshi Kaneda | JP | Kanagawa | 2014-10-02 / 20140296569 - Optical Resolution Method for Bicyclic Compound Using Asymmetric Catalyst | 2 |
Toshihisa Kaneda | JP | Kanagawa | 2011-02-03 / 20110025026 - VEHICULAR INTERIOR PARTS | 2 |
Shigeru Kaneda | JP | Kanagawa | 2008-09-18 / 20080227402 - User Guidance System, Mobile Communication Terminal, and User Guidance Method | 1 |
Yasunori Kaneda | JP | Sagamihara | 2012-07-05 / 20120173839 - STORAGE AREA DYNAMIC ASSIGNMENT METHOD | 21 |
Daisuke Kaneda | JP | Toyama | 2013-08-22 / 20130213311 - SYSTEM TOILET FOR DOGS | 1 |
Keiichi Kaneda | JP | Tokyo | 2014-11-20 / 20140344559 - TRANSFORMER STATION AUTOMATION SYSTEM AND TERMINAL AUTOMATIC RECOGNITION METHOD | 4 |
Yasuo Kaneda | JP | Tokyo | 2011-03-03 / 20110049104 - ETCHANT FOR COPPER OR COPPER ALLOY, LIQUID FOR ETCHING PRETREATMENT, AND ETCHING METHOD | 4 |
Satoru Kaneda | JP | Tokyo | 2011-02-17 / 20110041155 - WIRELESS COMMUNICATION SYSTEM, BASE STATION APPARATUS, TERMINAL APPARATUS, AND BROADCAST METHOD | 2 |
Ayako Kaneda | JP | Tokyo | 2011-05-19 / 20110115599 - WINDING INDUCTOR AND PROCESS FOR MANUFACTURING THE SAME | 2 |
Norihisa Kaneda | JP | Tokyo | 2010-08-19 / 20100211944 - INFORMATION PROCESSING APPARATUS | 1 |
Shoichi Kaneda | JP | Tokyo | 2010-08-12 / 20100201501 - MULTI-FUNCTION VIBRATION ACTUATOR | 2 |
Yoshirou Kaneda | JP | Tokyo | 2010-02-25 / 20100048894 - PHOSPHINE TRANSITION METAL COMPLEX, PROCESS FOR PRODUCING SAME, AND ANTICANCER AGENT | 3 |
Kazunori Kaneda | JP | Sayama-Shi | 2011-06-23 / 20110146886 - RUBBER COMPOSITION AND PNEUMATIC TIRE USING THE SAME | 1 |
Yasuhisa Kaneda | JP | Tokyo | 2009-05-28 / 20090137283 - MOBILE TERMINAL HAVING FLOATED DISPLAYING UNIT | 1 |
Kenji Kaneda | JP | Tokyo | 2009-02-26 / 20090055725 - System and Method for Generating Creatives Using Composite Templates | 1 |
Eiichi Kaneda | JP | Tokyo | 2008-11-13 / 20080277815 - Tire Vulcanizing Method and Method of Setting Tire Vulcanization Process | 1 |
Kazuhiro Kaneda | JP | Tokyo | 2014-10-23 / 20140316591 - WATER SUPPLY APPARATUS AND WATER SUPPLY METHOD | 4 |
Hideyuki Kaneda | JP | Tokyo | 2013-10-31 / 20130284336 - METHOD FOR MANUFACTURING BASE TIRE, METHOD FOR MANUFACTURING TIRE, AND BASE TIRE | 3 |
Mizuho Kaneda | JP | Tokyo | 2010-02-04 / 20100028946 - FUSION PARTNER CELLS | 1 |
Kouji Kaneda | JP | Tokyo | 2009-10-08 / 20090251303 - Vehicle display device | 1 |
Tsuyoshi Kaneda | JP | Tokyo | 2009-01-15 / 20090014855 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Masayuki Kaneda | JP | Kanagawa | 2016-03-24 / 20160086065 - IMAGE FORMING APPARATUS AND IMAGE PROCESSING DEVICE | 1 |
Masayuki Kaneda | JP | Yokohama-Shi | 2016-03-24 / 20160082721 - IMAGE FORMING APPARATUS, IMAGE PROCESSING DEVICE AND IMAGE FORMING METHOD | 1 |
Toshihiko Kaneda | JP | Nagano | 2013-09-19 / 20130240871 - PROCESS FOR PRODUCTION OF FUNCTIONAL DEVICE, PROCESS FOR PRODUCTION OF FERROELECTRIC MATERIAL LAYER, PROCESS FOR PRODUCTION OF FIELD EFFECT TRANSISTOR, THIN FILM TRANSISTOR, FIELD EFFECT TRANSISTOR, AND PIEZOELECTRIC INKJET HEAD | 1 |
Takuji Kaneda | JP | Tochigi | 2013-09-26 / 20130249265 - AUTOMOTIVE FOLDING SEAT DEVICE | 1 |
Takuya Kaneda | JP | Kawasaki-Shi | 2013-10-10 / 20130266873 - SECONDARY BATTERY POROUS MEMBRANE, SLURRY FOR SECONDARY BATTERY POROUS MEMBRANE, AND SECONDARY BATTERY | 1 |
Takeshi Kaneda | JP | Yokohama-Shi | 2015-08-06 / 20150220820 - IMAGE FORMING APPARATUS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 12 |
Takuya Kaneda | JP | Chiyoda-Ku | 2013-10-17 / 20130273421 - SLURRY FOR SECONDARY BATTERY POROUS MEMBRANE, A SECONDARY BATTERY POROUS MEMBRANE, AN ELECTRODE FOR SECONDARY BATTERY, A SEPARATOR FOR SECONDARY BATTERY AND A SECONDARY BATTERY | 1 |
Jinsaku Kaneda | JP | Osaka | 2009-12-10 / 20090302347 - Semiconductor integrated circuit | 9 |
Yushi Kaneda | US | Tucson | 2011-06-09 / 20110134944 - EFFICIENT PULSE LASER LIGHT GENERATION AND DEVICES USING THE SAME | 1 |
Kanako Kaneda | JP | Kamakura-Shi | 2014-12-11 / 20140362410 - INFORMATION PROCESSING APPARATUS CAPABLE OF SETTING INSERT, IMAGE FORMING APPARATUS, METHOD OF CONTROLLING THE INFORMATION PROCESSING APPARATUS, AND STORAGE MEDIUM | 6 |
Yasunori Kaneda | US | San Jose | 2012-12-27 / 20120331203 - I/O CONVERSION METHOD AND APPARATUS FOR STORAGE SYSTEM | 28 |
Kazumasa Kaneda | JP | Kanagawa | 2015-10-01 / 20150277133 - SPATIAL IMAGE DISPLAY APPARATUS | 16 |
Teruya Kaneda | JP | Nagano | 2016-05-19 / 20160139741 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND COMPUTER PROGRAM | 1 |
Yuichi Kaneda | JP | Tokyo | 2013-11-21 / 20130309523 - PLATE-SHAPED WORKPIECE FORMING METHOD AND FORMED ARTICLE | 1 |
Koichi Kaneda | JP | Tokyo | 2016-03-24 / 20160082060 - MILK YIELD AND/OR MILK QUALITY IMPROVING AGENT, PERINATAL DISEASE PREVENTIVE OR THERAPEUTIC AGENT, AND REPRODUCTIVITY IMPROVING AGENT FOR RUMINANT | 4 |
Mari Kaneda | JP | Suita-Shi | 2013-11-28 / 20130317053 - EXTERNALLY-USED DRUG FOR TREATING SKIN DISORDER AND METHOD FOR PRODUCING SAME | 1 |
Kaori Kaneda | JP | Yokohama-Shi | 2013-11-28 / 20130317074 - TOPICAL ANTIFUNGAL AGENT | 1 |
Hayato Kaneda | JP | Yokohama-Shi | 2015-04-16 / 20150104870 - NEURONAL DIFFERENTIATION PROMOTER | 1 |
Masato Kaneda | JP | Shunan-Shi | 2012-04-19 / 20120094888 - METHOD FOR REMOVING AN UNCURED PHOTOSENSITIVE COMPOSITION | 1 |
Toshihiko Kaneda | JP | Chino-Shi | 2015-04-02 / 20150093841 - PROCESS FOR PRODUCTION OF FUNCTIONAL DEVICE, PROCESS FOR PRODUCTION OF FERROELECTRIC MATERIAL LAYER, PROCESS FOR PRODUCTION OF FIELD EFFECT TRANSISTOR, THIN FILM TRANSISTOR, FIELD EFFECT TRANSISTOR, AND PIEZOELECTRIC INK JET HEAD | 1 |
Kazuhiro Kanee | JP | Tokyo | 2009-10-22 / 20090265424 - Log collecting/analyzing system with separated functions of collecting log information and analyzing the same | 1 |
Kazuhiro Kanee | JP | Chiba | 2012-07-26 / 20120191765 - Information Processing Apparatus | 4 |
Richard Daniel Kanee | CA | Toronto | 2014-11-13 / 20140337915 - System And Method For Creating Unique Digital Content Compilations | 1 |
Masanobu Kaneeda | JP | Mie | 2010-12-30 / 20100330493 - SURFACE-MODIFIED COMPLEX OXIDE PARTICLES | 1 |
Masato Kaneeda | JP | Hitachinaka | 2013-03-14 / 20130064746 - CO2 Sorbent | 4 |
Masato Kaneeda | JP | Tokyo | 2015-10-22 / 20150298044 - Carbon Dioxide Capture and Separation System | 2 |
Masanobu Kaneeda | JP | Tokyo | 2011-07-21 / 20110177446 - HYDROPHOBIC SILICA MICROPARTICLES AND COMPOSITION FOR ELECTROPHOTOGRAPHIC TONER | 1 |
Yana Kane-Esrig | US | Madison | 2014-08-28 / 20140244555 - Method And Apparatus For A Predictive Tracking Device | 4 |
Steven T. Kanefsky | US | Redmond | 2010-04-15 / 20100094976 - METHOD AND APPARATUS FOR SHARING WIRELESS CONTENT | 1 |
Steven T. Kanefsky | US | Palo Alto | 2014-01-23 / 20140025896 - CACHING ELECTRONIC DOCUMENT RESOURCES IN A CLIENT DEVICE HAVING AN ELECTRONIC RESOURCE DATABASE | 3 |
Kazuhisa Kanefuji | JP | Hiroshima-Ken | 2010-05-06 / 20100108935 - FERRITE PARTICLES FOR BONDED MAGNETS, RESIN COMPOSITION FOR BONDED MAGNETS, AND MOLDED PRODUCT COMPRISING THE SAME | 1 |
Arinobu Kanegae | JP | Osaka | 2015-09-17 / 20150263312 - THIN FILM TRANSISTOR ELEMENT SUBSTRATE, METHOD OF PRODUCING THE SUBSTRATE, AND ORGANIC EL DISPLAY DEVICE INCLUDING THE THIN FILM TRANSISTOR ELEMENT SUBSTRATE | 11 |
Masahide Kanegae | JP | Fujisawa-Shi | 2014-10-09 / 20140304547 - DRIVE ARRAY APPARATUS, CONTROLLER, DATA STORAGE APPARATUS AND METHOD FOR REBUILDING DRIVE ARRAY | 2 |
Arinobu Kanegae | JP | Kyoto | 2013-05-02 / 20130105798 - THIN-FILM SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THIN-FILM SEMICONDUCTOR DEVICE | 11 |
Takeshi Kanegae | JP | Wako-Shi | 2013-07-25 / 20130187449 - VEHICLE POWER SUPPLY SYSTEM | 1 |
Minoru Kanegae | JP | Saitama-Ken | 2011-01-06 / 20110003834 - PRODUCTION METHOD AND PRODUCTION APPARATUS FOR A HIGH THEOBROMINE-CONTAINING COMPOSITION | 1 |
Yoshiharu Kanegae | JP | Hitachi-Shi | 2013-07-18 / 20130181345 - SEMICONDUCTOR DEVICE HAVING PENETRATION ELECTRODE PENETRATING THROUGH SEMICONDUCTOR SUBSTRATE | 1 |
Kenshi Kanegae | JP | Niigata | 2012-12-13 / 20120313188 - SEMICONDUCTOR DEVICE | 1 |
Arinobu Kanegae | JP | Osaka | 2015-09-17 / 20150263312 - THIN FILM TRANSISTOR ELEMENT SUBSTRATE, METHOD OF PRODUCING THE SUBSTRATE, AND ORGANIC EL DISPLAY DEVICE INCLUDING THE THIN FILM TRANSISTOR ELEMENT SUBSTRATE | 11 |
Kenshi Kanegae | JP | Osaka | 2011-09-22 / 20110227172 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 7 |
Takahiro Kanegae | JP | Shiojiri-Shi | 2014-10-02 / 20140292931 - LIQUID EJECTING HEAD AND LIQUID EJECTING APPARATUS | 2 |
Arinobu Kanegae | JP | Chiba | 2010-12-09 / 20100309101 - DISPLAY DEVICE AND MANUFACTURING METHOD THEREFOR | 2 |
Masahide Kanegae | JP | Tama-Shi | 2011-12-29 / 20110317302 - DISK STORAGE APPARATUS AND METHOD OF MEASURING FLYING HEIGHT OF A HEAD | 2 |
Arinobu Kanegae | JP | Kyoto | 2013-05-02 / 20130105798 - THIN-FILM SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THIN-FILM SEMICONDUCTOR DEVICE | 11 |
Hiromitsu Kanegae | JP | Tokyo | 2010-09-30 / 20100248838 - GAME APPARATUS, COMPUTER-READABLE RECORDING MEDIUM RECORDED WITH A PROGRAM FOR GAME APPARATUS, AND METHOD OF CONTROLLING IMAGE OBJECT | 1 |
Masatomo Kanegae | JP | Tokyo | 2011-12-08 / 20110298376 - Apparatus And Method For Producing Plasma | 1 |
Takahiro Kanegae | JP | Shiojiri | 2014-12-25 / 20140375727 - LIQUID EJECTING HEAD UNIT AND LIQUID EJECTING APPARATUS | 2 |
Yumi Kanegae | JP | Shinagawa-Ku | 2008-12-25 / 20080319177 - RECOMBINASE-EXPRESSING CELLS | 1 |
Tohru Kanegae | JP | Saitama | 2009-10-15 / 20090257329 - INFORMATION RECORDING MEDIUM, INFORMATION RECORDING APPARATUS AND METHOD, INFORMATION REPRODUCING APPARATUS AND METHOD, AND COMPUTER PROGRAM | 4 |
Yoshiharu Kanegae | JP | Hitachinaka | 2016-05-19 / 20160142011 - SEMICONDUCTOR DEVICE | 5 |
Masato Kanegae | JP | Tokyo | 2010-03-04 / 20100055915 - Processing apparatus, processing method, and plasma source | 1 |
Masahide Kanegae | JP | Kawasaki | 2009-01-29 / 20090027800 - STORAGE APPARATUS, CONTROL METHOD AND CONTROL UNIT | 1 |
Shiro Kanegasaki | JP | Tokyo | 2010-01-28 / 20100021442 - METHOD FOR PROMOTING IMMUNOTHERAPY USING AN AGENT FOR ELEVATING DENDRITIC CELL PRECURSOR LEVEL IN BLOOD | 3 |
Norimasa Kanegawa | JP | Uji-Shi | / - | 1 |
Kaoru Kanehachi | JP | Tochigi | 2009-09-17 / 20090231049 - Oscillation circuit | 1 |
Mitsuhiko Kanehama | JP | Tsuchiura-Shi | 2014-04-03 / 20140090369 - Hydraulic Drive Device for Working Machine | 4 |
Mitsuhiko Kanehama | JP | Kasumigaura-Shi | 2011-11-10 / 20110276235 - PRIME MOVER REVOLUTION SPEED CONTROL SYSTEM FOR HYDRAULIC CONSTRUCTION MACHINE | 1 |
Keiji Kanehara | JP | Tokyo | 2010-03-11 / 20100060298 - CAPACITIVE ELECTROMAGNETIC FLOWMETER | 1 |
Tomomi Kanehara | JP | Kumamoto-Ken | 2010-07-22 / 20100183672 - PROCESS FOR PREPARING LIVE SMALLPOX VACCINE | 1 |
Mie Kanehara | JP | Ibaraki | 2010-03-04 / 20100055364 - OXYGEN ABSORBING COMPOSITION AND CONTAINERS MADE BY USING THE SAME | 4 |
Hidenari Kanehara | JP | Kyoto | 2011-09-01 / 20110211408 - SEMICONDUCTOR STORAGE DEVICE | 3 |
Kenji Kanehara | JP | Nishio | 2011-06-23 / 20110150026 - SEMICONDUCTOR LASER PUMPED SOLID-STATE LASER DEVICE FOR ENGINE IGNITION | 1 |
Akira Kanehara | JP | Wako-Shi | 2015-03-05 / 20150061251 - REAR WHEEL SUSPENSION DEVICE | 1 |
Akinori Kanehara | JP | Hamamatsu-Shi | 2012-10-11 / 20120255425 - Keyboard Musical Instrument, Program, Performance Data Conversion Program and Device | 1 |
Shigeru Kanehara | JP | Wako-Shi | 2015-12-24 / 20150369363 - HYDRAULIC PRESSURE SUPPLY APPARATUS FOR AUTOMATIC TRANSMISSION | 6 |
Takeyoshi Kanehara | US | Lake Orion | 2014-05-15 / 20140135986 - TEACHING POINT PROGRAM SELECTION METHOD FOR ROBOT SIMULATOR | 1 |
Kohei Kanehara | JP | Nagoya | 2015-01-22 / 20150023677 - Image Forming Apparatus | 1 |
Shunichi Kanehara | JP | Yamato-Shi | 2014-08-21 / 20140233745 - ACCELERATION SOUND GENERATION DEVICE FOR VEHICLE | 3 |
Hidenari Kanehara | JP | Osaka | 2008-10-23 / 20080259706 - Semiconductor memory | 1 |
Yukiko Kanehara | JP | Tokyo | 2014-01-02 / 20140003060 - LIGHT-DIFFUSING RESIN COMPOSITION AND LIGHT-DIFFUSING SHEET USING SAME | 2 |
Kenji Kanehara | JP | Toyohashi-Shi | 2013-08-15 / 20130206091 - LASER IGNITION APPARATUS | 2 |
Youji Kanehara | JP | Nagoya-Shi | 2013-06-13 / 20130151074 - VEHICLE CONTROL SYSTEM | 1 |
Masayuki Kanehara | JP | Okayama | 2013-01-03 / 20130001479 - Nanoink Composition | 1 |
Masato Kanehara | JP | Toyota-Shi | 2015-04-02 / 20150094892 - HYBRID VEHICLE AND CONTROL METHOD FOR HYBRID VEHICLE | 1 |
Sachiko Kanehara | JP | Kadoma-Shi | 2010-06-17 / 20100147003 - REFRIGERATOR | 1 |
Hideyuki Kanehara | JP | Ashigarakami-Gun | 2015-09-24 / 20150267162 - METHOD OF COLLECTING SEED ALGAE FROM MICROALGAE ON LIQUID SURFACE AND OF PERFORMING CULTURING IN SEPARATE CULTURE CONTAINER, IN METHOD OF CULTURING MICROALGAE ON LIQUID SURFACE | 4 |
Hideyuki Kanehara | JP | Kanagawa | 2011-04-21 / 20110091933 - METHOD OF TREATING MICROORGANISM | 1 |
Kohei Kanehara | JP | Nagoya-Shi | 2015-09-03 / 20150248082 - IMAGE FORMING APPARATUS AND SHEET CONVEYING METHOD | 3 |
Toshihiro Kanehara | JP | Tokyo | 2009-03-19 / 20090072675 - PULSE MOTOR | 1 |
Hideyuki Kanehara | JP | Saitama | 2010-03-11 / 20100063268 - NUCLEIC ACID EXTRACTION METHOD | 1 |
Toshiki Kanehara | JP | Tokyo | 2011-10-27 / 20110261221 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 4 |
Kenji Kanehara | JP | Toyohashi-City | 2013-07-25 / 20130186362 - LASER IGNITION SYSTEM | 1 |
Yoji Kanehara | JP | Nagoya-Shi | 2016-04-21 / 20160108868 - INTAKE DEVICE FOR VEHICLE | 6 |
Kimiko Kanehashi | JP | Osaka | 2008-11-13 / 20080280938 - Screening Method | 1 |
Daisuke Kanehira | JP | Tokyo | 2013-01-03 / 20130002541 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD AND PROGRAM | 2 |
Makoto Kanehira | JP | Osaka | 2012-04-26 / 20120097348 - DEVICE FOR OPENING AND CLOSING CURTAIN | 2 |
Hiroki Kanehira | JP | Miyagi | 2011-07-21 / 20110175845 - SENSOR APPARATUS AND ELECTRONIC APPARATUS | 4 |
Koki Kanehira | JP | Kanagawa-Ken | 2015-12-10 / 20150352227 - METHOD FOR ACCUMULATING TITANIUM OXIDE COMPOSITE PARTICLES INTO A CANCER TISSUE | 2 |
Shingo Kanehira | JP | Osaka-Fu | 2009-02-19 / 20090048362 - Method of Modifying Liquid Crystal Polymers | 1 |
Takaharu Kanehira | JP | Tokyo | 2015-04-30 / 20150116275 - PROJECTOR DEVICE | 1 |
Futaba Kanehira | JP | Kariya-Shi | 2014-08-07 / 20140216386 - RESIN MOLDING MOLD FOR INTAKE MANIFOLD, INTAKE MANIFOLD AND METHOD OF RESIN MOLDING FOR INTAKE MANIFOLD | 1 |
Koki Kanehira | JP | Fukuoka | 2011-06-09 / 20110137235 - ULTRASONIC CANCER THERAPY ACCELERATOR | 1 |
Yoshiki Kanehira | JP | Hiratsuka-Shi, Kanagawa-Ken | 2010-11-04 / 20100276051 - PNEUMATIC TIRE | 1 |
Yukio Kanehira | JP | Kanagawa | 2014-09-18 / 20140262003 - TRANSFER SUBSTRATE FOR FORMING METAL WIRING LINE AND METHOD FOR FORMING METAL WIRING LINE BY MEANS OF SAID TRANSFER SUBSTRATE | 2 |
Yoshiki Kanehira | JP | Hiratsuka-Shi | 2014-05-01 / 20140116587 - PNEUMATIC RADIAL TIRE | 1 |
Koichi Kanehira | JP | Kurashiki-Shi | 2013-01-03 / 20130005979 - THIENOPYRIDINE DERIVATIVE, METHOD FOR PRODUCING SAME AND ORGANIC SEMICONDUCTOR DEVICE USING SAME | 2 |
Akira Kanehira | JP | Tokyo | 2010-10-21 / 20100269153 - TERMINAL SYSTEM FOR GUARANTEEING AUTHENTICITY, TERMINAL, AND TERMINAL MANAGEMENT SERVER | 3 |
Eiji Kanehira | JP | Tokyo | 2015-08-27 / 20150238179 - MEDICAL TREATMENT TOOL | 1 |
Atsushi Kanehira | JP | Tottori | 2008-09-25 / 20080231547 - Dual image display device | 1 |
Mika Kanehira | JP | Sendai-Shi | 2008-12-11 / 20080304031 - EXPOSURE APPARATUS | 1 |
Yusuke Kanehira | JP | Kanagawa | 2011-03-17 / 20110063466 - IMAGE CAPTURING SYSTEM, IMAGE CAPTURING DEVICE, INFORMATION PROCESSING DEVICE, AND IMAGE CAPTURING METHOD | 1 |
Masayuki Kanehira | JP | Gifu-Ken | 2015-04-02 / 20150090856 - CONVEYANCE SEAT | 1 |
Koki Kanehira | JP | Fukuoka-Ken | 2010-06-03 / 20100136115 - Pharmaceutical Titanium Dioxide Composite Allowing Disappearance of Drug Efficacy By Light Irradiation | 6 |
Ryu Kanehira | JP | Kawasaki | 2015-01-15 / 20150019600 - COMPUTER PRODUCT, FILE IDENTIFYING APPARATUS, AND FILE EVALUATION METHOD | 2 |
Yuji Kanehira | JP | Nanto-City | 2015-09-24 / 20150266153 - MACHINE TOOL | 1 |
Koki Kanehira | JP | Kitakyushu-Shi | 2011-03-10 / 20110060269 - METHOD FOR KILLING CELLS USING PHOTOCATALYTIC TITANIUM DIOXIDE PARTICLES | 2 |
Masami Kanehira | JP | Tsukuba-Shi | 2016-03-03 / 20160060052 - SHEET-LIKE OBJECT TRANSPORTING DEVICE AND INK-JET PRINTER | 1 |
Masayuki Kanehiro | JP | Osaka | 2014-04-24 / 20140111754 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR MANUFACTURING LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Makoto Kanehiro | JP | Yokohama-Shi | 2009-09-17 / 20090231445 - IMAGING APPARATUS | 2 |
Jiro Kanehiro | JP | Hiroshima | 2009-02-26 / 20090049942 - Cornu's Spiral Tooth Gear | 1 |
Hideo Kanehiro | JP | Tokyo | 2016-02-04 / 20160030378 - COMPOSITIONS AND METHODS FOR TREATING NON-ALCOHOLIC STEATOHEPATITIS | 2 |
Arihiko Kanehiro | JP | Okayama | 2012-05-24 / 20120128759 - METHOD TO INHIBIT AIRWAY HYPERRESPONSIVENESS USING AEROSOLIZED T CELL RECEPTOR ANTIBODIES | 2 |
Masayuki Kanehiro | JP | Osaka-Shi | 2015-10-15 / 20150293410 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR MANUFACTURING SAME | 3 |
Hideo Kanehiro | JP | Shinjuku-Ku | 2013-11-14 / 20130303614 - AGENT FOR INHIBITING ELEVATION IN BLOOD GLUCOSE LEVEL | 1 |
Youko Kanehiro | JP | Itami-Shi | 2015-11-26 / 20150335044 - PET FOOD AND METHOD OF MANUFACTURING PET FOOD | 1 |
Keiichiro Kanehisa | JP | Kyoto | 2009-10-01 / 20090241265 - ANTISTATIC FIBROUS MATERIAL AND METHOD FOR MANUFACTURING SAME | 1 |
Jumpei Kanehisa | JP | Tokyo | 2014-08-21 / 20140233162 - OPERATING SECTION STRUCTURE, IMAGE PROCESSING APPARATUS, AND INFORMATION PROCESSING APPARATUS | 2 |
Takanori Kanehisa | JP | Sakai City | 2011-12-01 / 20110291466 - BICYCLE WHEEL SPOKE ASSEMBLY | 2 |
Mayuko Kanehisa | JP | Haibara-Gun | 2012-06-28 / 20120160118 - LITHOGRAPHIC PRINTING PLATE PRECURSOR AND LITHOGRAPHIC PRINTING METHOD | 1 |
Takanori Kanehisa | JP | Osaka | 2014-11-27 / 20140346849 - BICYCLE RIM | 6 |
Naofumi Kanei | JP | Kyoto | 2010-04-08 / 20100085412 - THERMAL PRINT HEAD | 3 |
Naofumi Kanei | JP | Hyogo | 2013-09-26 / 20130247657 - MULTI-COMPONENT FORCE MEASUREMENT SPINDLE UNIT OF TIRE TESTING MACHINE | 2 |
Wilfried Kaneider | AT | Rankweil | 2015-05-28 / 20150145518 - DEVICE AND METHOD FOR DETECTING AN OBJECT IN A SUBSURFACE | 3 |
Nicole Kaneider | AT | Innsbruck | 2013-10-24 / 20130281541 - USE IN BRAIN DEGENERATIVE DISEASES | 3 |
Nicole Kaneider | AT | Lnnsbruck | 2014-06-12 / 20140162964 - USE IN BRAIN DEGENERATIVE DISEASES | 1 |
Wilfried Kaneider | AT | Rankweil-Brederis | 2013-07-11 / 20130179123 - Handheld Measuring Device | 2 |
Naonobu Kaneiso | JP | Tokyo | 2011-01-27 / 20110018867 - Video game machine, gaming image display method, gaming image dispaly program and network game system | 1 |
Toshihiko Kaneiwa | JP | Aichi | 2013-06-20 / 20130153828 - SHEET MATERIAL AND ITS PRODUCTION METHOD | 1 |
Satoshi Kaneiwa | JP | Nagoya-Shi | 2015-05-21 / 20150136863 - ROOM HEATER | 4 |
Toshiyuki Kaneiwa | JP | Konan-City | 2008-11-13 / 20080278301 - Driving operation feedback apparatus and program for same | 1 |
Hideki Kaneiwa | JP | Ashigarakami-Gun | 2012-01-12 / 20120007351 - COUNTERFEITING PREVENTION DEVICE HAVING PRINTING AND BIREFRINGENCE PATTERN | 1 |
Hideki Kaneiwa | JP | Kanagawa | 2015-07-02 / 20150185387 - POLARIZATION FILM, VISIBLE LATENT IMAGE ARTICLE, AND MANUFACTURING METHOD THEREOF | 10 |
Toshihiko Kaneiwa | JP | Niwa-Gun | 2015-07-09 / 20150191623 - RESIN COMPOSITION, AS WELL AS CARBON FIBER-REINFORCED COMPOSITE MATERIAL PRECURSOR, CARBON FIBER-REINFORCED MATERIAL, AND CARBON FIBER-REINFORCED CARBON MATERIAL OBTAINED USING SAID RESIN COMPOSITION | 1 |
Hideki Kaneiwa | JP | Shizuoka | 2016-05-12 / 20160130447 - WATER-BASED ANTI-SOILING AGENT, ANTI-SOILING LAYER, LAYERED BODY, AND SOLAR BATTERY MODULE | 1 |
Hideki Kaneiwa | JP | Minami-Ashigara-Shi | 2011-09-29 / 20110234969 - PATTERNED BIREFRINGENT PRODUCT | 9 |
Hiroshi Kaneiwa | JP | Kariya-Shi | 2016-03-03 / 20160065010 - DOUBLE-STATOR ELECTRIC ROTATING MACHINE | 3 |
Hideki Kaneiwa | JP | Minami-Ashigara-Shi | 2011-09-29 / 20110234969 - PATTERNED BIREFRINGENT PRODUCT | 9 |
Hiroshi Kaneiwa | JP | Chiryu-Shi | 2014-04-24 / 20140111057 - STATOR AND ROTARY ELECTRIC MACHINE | 5 |
Hiroshi Kaneiwa | JP | Tokyo | 2010-03-25 / 20100073012 - METHOD FOR DETECTING WATER LEAKAGE OF COIL AND WATER LEAKAGE DETECTOR APPLICABLE TO THIS METHOD | 1 |
Francis J. Kane, Jr. | US | 2013-01-10 / 20130013749 - SERVICES FOR PROVIDING ITEM ASSOCIATION DATA | 2 | |
John L. Kane, Jr. | US | Maynard | 2013-11-21 / 20130310388 - Modulators of TNF-Alpha Signaling | 1 |
John L. Kane, Jr. | US | Stow | 2015-10-01 / 20150274725 - TROPOMYOSIN-RELATED KINASE (TRK) INHIBITORS | 3 |
Francis J. Kane, Jr. | US | Sammamish | 2015-03-05 / 20150066648 - RECOMMENDATION OF MEDIA CONTENT ITEMS BASED ON GEOLOCATION AND VENUE | 6 |
James P. Kane, Jr. | US | Wilmington | 2009-06-18 / 20090155430 - FILMS COMPRISING LIQUID SMOKE AND FLAVORANTS | 1 |
Steven Gregory Kane, Jr. | US | Addison | 2010-09-30 / 20100243833 - LASER ALIGNMENT DEVICE MAGNETIC SUPPORT STAND | 1 |
Kaneka Corporation | JP | Osaka-Shi | 2013-07-25 / 20130189180 - Graphite Film and Graphite Composite Film | 2 |
Kaneka Corporation | JP | Osaka | 2013-08-01 / 20130197112 - Process for Producing Expanded Polyolefin Resin Particles and Expanded Polyolefin Resin Particles | 7 |
Radha Kanekal | US | Sunnyvale | 2011-06-02 / 20110128963 - SYSTEM AND METHOD FOR VIRTUAL CHANNEL COMMUNICATION | 1 |
Sunil Kanekal | US | San Jose | 2014-02-13 / 20140046607 - METHOD AND SYSTEM FOR SIGNAL GENERATION VIA A TEMPERATURE SENSING CRYSTAL INTEGRATED CIRCUIT | 2 |
Neeta Kanekar | US | Chicago | 2014-02-06 / 20140033565 - AID, METHODS OF USING THE SAME, AND KITS THEREOF | 1 |
Tushar Kanekar | US | Santa Clara | 2014-10-09 / 20140304354 - SYSTEMS AND METHODS FOR RELIABLE REPLICATION OF AN APPLICATION-STATE, DISTRIBUTED REPLICATION TABLE | 17 |
Pradnya Pralhad Kanekar | IN | Maharashtra | 2008-09-11 / 20080220499 - NOVEL PROTEASE FOR INDUSTRIAL APPLICATIONS | 1 |
Bhushan Mangesh Kanekar | US | San Jose | 2012-03-29 / 20120076048 - LOAD SHARING AND REDUNDANCY SCHEME | 1 |
Bhushan Kanekar | US | Saratoga | 2014-09-18 / 20140280838 - APPLICATION HINTS FOR NETWORK ACTION | 3 |
Yogesh Kanekar | IN | Navi Mumbai | 2009-08-27 / 20090215908 - TOLL LIKE RECEPTOR (TLR) SIGNALING ANTAGONIST | 1 |
Tushar Kanekar | US | Santa Clara | 2014-10-09 / 20140304354 - SYSTEMS AND METHODS FOR RELIABLE REPLICATION OF AN APPLICATION-STATE, DISTRIBUTED REPLICATION TABLE | 17 |
Tushar Kanekar | US | Fort Lauderdale | 2014-02-06 / 20140041010 - SYSTEMS AND METHODS FOR POLICY BASED TRIGGERING OF CLIENT-AUTHENTICATION AT DIRECTORY LEVEL GRANULARITY | 1 |
Tushar Kanekar | IN | Bangalore | 2014-10-09 / 20140304499 - SYSTEMS AND METHODS FOR SSL SESSION MANAGEMENT IN A CLUSTER SYSTEM | 2 |
Bhushan Mangesh Kanekar | US | Saratoga | 2014-03-06 / 20140064270 - Using Fabric Port-Channels to Scale IP Connectivity to Hosts in Directly Connected Subnets in Massive Scale Data Centers | 1 |
Nobuyasu Kanekawa | JP | Hitachi-Shi | 2013-05-02 / 20130110430 - STORAGE SYSTEM AND STORAGE CONTROLLER FOR STORAGE SYSTEM | 2 |
Nobuyasu Kanekawa | US | Los Angeles | 2008-12-11 / 20080303175 - Electronic circuit package | 1 |
Ryouji Kanekawa | JP | Takasaki | 2008-10-02 / 20080237354 - Semiconductor integrated circuit device | 1 |
Nobuyasu Kanekawa | JP | Hitachi | 2015-08-20 / 20150234661 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND SYSTEM USING THE SAME | 29 |
Nobuyasu Kanekawa | JP | Tokyo | 2015-11-26 / 20150339201 - MICROCONTROLLER AND ELECTRONIC CONTROL DEVICE USING THE SAME | 7 |
Akihiro Kanekawa | JP | Osaka | 2009-12-10 / 20090303524 - Operation control program, operation control method, image forming apparatus, and memory resource allocation method | 1 |
Noriaki Kaneki | JP | Hokkaido | 2008-11-27 / 20080291453 - Surface Plasmon Resonance Phenomenon Measuring Equipment | 1 |
Takeshi Kaneki | JP | Mobara | 2010-12-02 / 20100302132 - DISPLAY DEVICE | 2 |
Shinsuke Kaneki | JP | Tokyo | 2015-02-12 / 20150043062 - MICROSCOPE APPARATUS, AND METHOD OF CONTROLLING ELECTRICALLY DRIVEN OPTICAL UNIT OF MICROSCOPE APPARATUS | 5 |
Jun Kaneki | JP | Okayama | 2014-03-06 / 20140065384 - DECORATIVE SHEET, PROCESS FOR PRODUCING DECORATIVE RESIN MOLDED PRODUCT, AND DECORATIVE RESIN MOLDED PRODUCT | 3 |
Yusuke Kaneki | JP | Tokyo | 2016-03-10 / 20160070565 - DEVELOPMENT ENVIRONMENT SYSTEM, DEVELOPMENT ENVIRONMENT APPARATUS, DEVELOPMENT ENVIRONMENT PROVIDING METHOD, AND PROGRAM | 4 |
Mitsutaka Kaneki | JP | Kahoku | 2012-09-13 / 20120231427 - JOB PROCEDURE DISPLAY APPARATUS, METHOD OF CONTROLLING JOB PROCEDURE DISPLAY APPARATUS AND NON-TRANSITORY, COMPUTER READABLE STORAGE MEDIUM | 1 |
Tadamitsu Kanekiyo | JP | Tokyo | 2016-03-17 / 20160079073 - PLASMA PROCESSING METHOD | 2 |
Hirokazu Kanekiyo | JP | Kyoto | 2009-05-21 / 20090129966 - IRON-BASED RARE-EARTH-CONTAINING NANOCOMPOSITE MAGNET AND PROCESS FOR PRODUCING THE SAME | 2 |
Tadamitsu Kanekiyo | JP | Yamaguchi-Ken | 2014-08-21 / 20140231015 - PLASMA PROCESSING APPARATUS | 1 |
Hirokazu Kanekiyo | JP | Osaka | 2009-05-21 / 20090127494 - RARE EARTH ALLOY BINDERLESS MAGNET AND METHOD FOR MANUFACTURE THEREOF | 1 |
Masaru Kanekiyo | US | Chevy Chase | 2016-02-18 / 20160046675 - PREFUSION RSV F PROTEINS AND THEIR USE | 3 |
Masaru Kanekiyo | US | Silver Spring | 2014-03-13 / 20140072958 - NOVEL INFLUENZA HEMAGGLUTININ PROTEIN-BASED VACCINES | 1 |
Masaro Kanekiyo | US | Silver Spring | 2014-10-09 / 20140302079 - NOVEL INFLUENZA HEMAGGLUTININ PROTEIN-BASED VACCINES | 1 |
Tadamitsu Kanekiyo | JP | Kudamatsu | 2013-01-03 / 20130001197 - PLASMA PROCESSING METHOD | 10 |
Tadamitsu Kanekiyo | JP | Kudamatsu-Shi | 2014-05-15 / 20140137059 - METHOD AND APPARATUS FOR PLASMA PROCESSING | 7 |
Masaru Kanekiyo | JP | Tokyo | 2009-03-05 / 20090060954 - Recombinant BCG Vaccine | 1 |
Yukiko Kanekiyo | JP | Tokyo | 2010-12-02 / 20100300310 - Print apparatus, print method, and print program | 5 |
Kazuaki Kaneko | JP | Niigata-Ken | 2015-05-14 / 20150130453 - MAGNETIC DETECTION DEVICE | 1 |
Motoki Kaneko | JP | Tokyo | 2009-10-29 / 20090271482 - Message Character String Output System, Control Method Thereof, and Information Storage Medium | 3 |
Kazuo Kaneko | JP | Yokohama-Shi | 2013-03-14 / 20130062268 - SOLID-LIQUID SEPARATION DEVICE | 1 |
Kazuomi Kaneko | JP | Hitachi | 2014-10-23 / 20140313492 - PROJECTION IMAGE DISPLAY APPARATUS | 3 |
Yukihiro Kaneko | US | Chicago | 2015-11-19 / 20150327745 - CLEANING SHEET AND MANUFACTURING METHOD THEREFOR | 1 |
Hiroshi Kaneko | JP | Shiga | 2010-12-23 / 20100320215 - PLASTIC CONTAINER COMPRISING CYCLIC POLYOLEFIN LAYER | 1 |
Mitsugu Kaneko | JP | Tochigi-Ken | 2011-11-03 / 20110268922 - BONDED PRODUCT AND MANUFACTURING METHOD AND MANUFACTURING DEVICE THEREFOR | 1 |
Takayuki Kaneko | JP | Moriya-Shi | 2016-03-24 / 20160085493 - IMAGE FORMING APPARATUS HAVING RESERVATION PRINTING FUNCTION, CONTROL METHOD FOR THE IMAGE FORMING APPARATUS, AND STORAGE MEDIUM | 2 |
Hitoshi Kaneko | JP | Toyama | 2016-03-24 / 20160084292 - Fastening Device and Hook Part | 9 |
Hitoshi Kaneko | JP | Itabashi-Ku | 2014-01-09 / 20140012499 - GUIDING DEVICE, SENSOR UNIT, PORTABLE TERMINAL DEVICE, GUIDING METHOD AND GUIDING PROGRAM | 1 |
Akira Kaneko | JP | Tokyo | 2011-11-03 / 20110270424 - PROGRAM ANALYSIS SUPPORT DEVICE | 1 |
Michito Kaneko | JP | Hiratsuka-Shi, Kanagawa | 2015-12-03 / 20150345092 - Pneumatic Fender | 2 |
Takashi Kaneko | JP | Niigata-Shi | 2014-09-11 / 20140256834 - NON-PARTICULATE ORGANIC POROUS MATERIAL HAVING OPTICAL RESOLUTION CAPABILITY AND METHOD FOR MANUFACTURING SAME | 1 |
Yuzuru Kaneko | JP | Chiba | 2012-11-15 / 20120289738 - SULFONIC ACID DERIVATIVE AND PHOTOACID GENERATOR | 1 |
Eiji Kaneko | JP | Kiryu-Shi | 2015-04-23 / 20150108876 - INNER-ROTOR-TYPE MOTOR | 1 |
Akihiro Kaneko | JP | Saitama | 2012-11-15 / 20120288527 - ANTIALLERGIC AGENT | 1 |
Nao Kaneko | JP | Tokyo-To | 2014-09-11 / 20140253621 - PRINTING METHOD AND PRINTING APPARATUS | 1 |
Akihito Kaneko | JP | Kobe-Shi | 2014-11-27 / 20140349188 - POSITIVE ELECTRODE ACTIVE MATERIAL, POSITIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE BATTERY, AND NONAQUEOUS ELECTROLYTE BATTERY | 3 |
Kazuma Kaneko | JP | Tokyo | 2015-11-12 / 20150320301 - ENDOSCOPE APPARATUS | 8 |
Asako Kaneko | JP | Tokyo | 2016-05-05 / 20160126057 - Ion Milling Device | 3 |
Rihito Kaneko | JP | Aichi-Ken | 2011-06-23 / 20110146384 - KNOCK DETERMINATION DEVICE AND KNOCK DETERMINATION METHOD FOR INTERNAL COMBUSTION ENGINE | 13 |
Harumi Kaneko | JP | Tochigi | 2011-01-13 / 20110009537 - Nonaqueous Inkjet Ink Composition | 1 |
Takayuki Kaneko | JP | Mitaka-Shi | 2009-01-08 / 20090011572 - Wafer Working Method | 2 |
Takayuki Kaneko | JP | Osaka-Hu | 2009-07-23 / 20090184216 - Hinge Device of Plane Display | 2 |
Takayuki Kaneko | JP | Yokohama-Shi | 2013-11-07 / 20130293919 - IMAGE FORMING APPARATUS AND METHOD OF USER AUTHENTICATION | 4 |
Takayuki Kaneko | JP | Kodaira-City | 2013-06-06 / 20130141952 - PARALLEL INVERTER DEVICE AND METHOD FOR CONTROL THEREOF | 3 |
Takayuki Kaneko | JP | Yokohama | 2010-08-26 / 20100216024 - METHOD FOR PRODUCING POSITIVE ELECTRODE ACTIVE MATERIAL AND POSITIVE ELECTRODE ACTIVE MATERIAL | 1 |
Norio Kaneko | JP | Atsugi-Shi | 2011-04-21 / 20110092016 - METHOD OF TREATING SEMICONDUCTOR ELEMENT | 9 |
Shuji Kaneko | JP | Tokyo | 2013-07-11 / 20130178690 - RADIOTHERAPY APPARATUS CONTROLLER AND RADIOTHERAPY APPARATUS CONTROL METHOD | 6 |
Shunsuke Kaneko | JP | Tokyo | 2012-10-25 / 20120270898 - SULFONAMIDE COMPOUND AND CRYSTAL THEREOF | 3 |
Sinjiro Kaneko | JP | Tokyo | 2009-08-13 / 20090202384 - HIGH TENSILE STRENGTH HOT-ROLLED STEEL SHEET HAVING SUPERIOR STRAIN AGING HARDENABILITY AND METHOD FOR PRODUCING THE SAME | 1 |
Yuji Kaneko | JP | Minato-Ku | 2010-09-16 / 20100235324 - Replication System Having the Capability to Accept Commands at a Standby-system Site before Completion of Updating thereof | 1 |
Tadashi Kaneko | JP | Tokyo | 2016-04-28 / 20160113970 - PERIODONTAL TISSUE REGENERATION MATERIAL | 8 |
Mitsugu Kaneko | JP | Hagagun, Tochigi | 2016-05-19 / 20160136751 - SEAM WELDING METHOD AND VEHICLE BODY | 1 |
Takumi Kaneko | JP | Tokyo | 2015-10-08 / 20150283821 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE RECORDING APPARATUS | 18 |
Yuji Kaneko | JP | Nagoya-Shi | 2014-02-27 / 20140054491 - FERRITE PARTICLE AND PRODUCTION METHOD THEREOF | 4 |
Takuya Kaneko | JP | Tokyo | 2011-02-03 / 20110028846 - ULTRASONIC DIAGNOSTIC APPARATUS | 1 |
Tatsuya Kaneko | JP | Tokyo | 2015-05-28 / 20150148803 - TREATMENT DEVICE FOR ENDOSCOPE | 4 |
Tomoyuki Kaneko | JP | Tokyo | 2014-11-27 / 20140349332 - METHOD AND DEVICE FOR EXAMINING MYOCARDIAL TOXICITY AND EVALUATING CARDIOMYOCYTE | 4 |
Yukihiro Kaneko | JP | Osaka | 2015-09-24 / 20150269483 - NEURAL NETWORK CIRCUIT AND LEARNING METHOD FOR NEURAL NETWORK CIRCUIT | 28 |
Hiroshi Kaneko | JP | Miyagi | 2012-03-29 / 20120074339 - REGULATING VALVE DEVICE | 1 |
Yoshihisa Kaneko | JP | Osaka | 2009-10-01 / 20090245711 - Rolling Bearing Cage, Ball Bearing and Roller Bearing | 1 |
Toro Kaneko | JP | Tokyo | 2009-04-16 / 20090097812 - Optical waveguide device and fabricating method thereof | 1 |
Toshihiko Kaneko | JP | Tokyo | 2015-10-01 / 20150274600 - DIELECTRIC COMPOSITION AND ELECTRONIC COMPONENT | 7 |
Toshio Kaneko | JP | Tokyo | 2015-02-26 / 20150054289 - POWER GENERATION SWITCH APPARATUS | 6 |
Toshiyuki Kaneko | JP | Tokyo | 2009-11-26 / 20090291945 - CYSTEINE PROTEASE INHIBITORS | 1 |
Toshio Kaneko | JP | Osaka | 2009-08-20 / 20090208716 - Novel Composite Chemical Conversion Coating Film, Multiple Layered Coating Film Using the Same and Process for Forming Multiple Layered Coating Film | 1 |
Ryousuke Kaneko | JP | Osaka | 2009-05-21 / 20090130283 - METHOD FOR PRODUCING A COFFEE BEVERAGE | 1 |
Yasuhiko Kaneko | JP | Tokyo | 2009-03-26 / 20090083072 - MEDICAL INFORMATION PROCESSING SYSTEM, MEDICAL INFORMATION PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 1 |
Yasushi Kaneko | JP | Tokyo | 2008-12-11 / 20080306102 - NOVEL SPIROOXYINDOLE COMPOUNDS AND DRUGS CONTAINING SAME | 1 |
Kazuhiro Kaneko | JP | Osaka | 2009-05-21 / 20090130405 - INK COMPOSITION FOR JET PRINTER | 1 |
Tetsuyuki Kaneko | JP | Osaka | 2009-04-09 / 20090093370 - SUPERCONDUCTING COIL AND SUPERCONDUCTING CONDUCTOR FOR USE THEREIN | 1 |
Yuji Kaneko | JP | Osaka | 2008-10-16 / 20080251159 - Methods for Producing Raw Material Alloy for Rare Earth Magnet, Powder and Sintered Magnet | 1 |
Akihisa Kaneko | JP | Osaka-Shi | 2015-12-17 / 20150362801 - FLAT-PANEL DISPLAY | 1 |
Kenji Kaneko | JP | Osaka | 2009-07-02 / 20090165920 - PRESSURE-SENSITIVE ADHESIVE DOUBLE-COATED SHEET | 1 |
Kazuhiro Kaneko | JP | Chiba | 2011-09-29 / 20110237942 - BIOIMAGING METHOD USING NEAR-INFRARED (NIR) FLUORESCENT MATERIAL | 1 |
Tetsuya Kaneko | JP | Kanagawa | 2014-01-09 / 20140009531 - IMAGE FORMING APPARATUS | 1 |
Yohei Kaneko | JP | Kawasaki | 2014-03-06 / 20140068628 - STORAGE MEDIUM, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING DEVICE | 2 |
Mamoru Kaneko | JP | Osaka | 2009-03-26 / 20090078992 - SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME | 1 |
Kishou Kaneko | JP | Kanagawa | 2016-01-28 / 20160027925 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 27 |
Shinjiro Kaneko | JP | Chiba-Shi | 2014-12-11 / 20140360634 - HOT ROLLED STEEL SHEET FOR COLD ROLLED STEEL SHEET, HOT ROLLED STEEL SHEET FOR GALVANIZED STEEL SHEET, AND METHOD FOR PRODUCING THE SAME | 3 |
Shinjiro Kaneko | JP | Chiba | 2015-07-23 / 20150203947 - HIGH-STRENGTH GALVANIZED STEEL SHEET WITH EXCELLENT FORMABILITY AND SHAPE FIXABILITY AND METHOD FOR MANUFACTURING THE SAME | 5 |
Yoshiyuki Kaneko | JP | Hachiouji | 2015-12-24 / 20150372071 - DISPLAY MODULE | 8 |
Kazuo Kaneko | JP | Kawasaki-Shi | 2014-07-31 / 20140214622 - PRODUCT INFORMATION PROVIDING SYSTEM, PRODUCT INFORMATION PROVIDING DEVICE, AND PRODUCT INFORMATION OUTPUTTING DEVICE | 1 |
Keiichi Kaneko | JP | Kawasaki-Shi | 2015-12-31 / 20150381900 - ELECTRONIC DEVICE, INFORMATION CONTROL METHOD, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM | 6 |
Kazunori Kaneko | JP | Toyota-Shi | 2013-10-31 / 20130284559 - PARK LOCK FOR NARROW TRANSMISSION | 2 |
Osamu Kaneko | JP | Toyota-Shi | 2015-12-10 / 20150352981 - VEHICLE SEAT | 1 |
Tomohiko Kaneko | JP | Toyota-Shi | 2016-05-19 / 20160141689 - FUEL CELL SYSTEM AND FUEL CELL CONTROL METHOD | 8 |
Hideki Kaneko | JP | Yokohama-Shi | 2016-04-21 / 20160109820 - TONER | 22 |
Hiroaki Kaneko | JP | Hino-Shi | 2015-06-04 / 20150151020 - FORMED SHEET PRODUCT AND HEMOSTATIC MATERIAL | 10 |
Yuujiro Kaneko | JP | Hitachinaka | 2014-07-17 / 20140197532 - Semiconductor Module and Method for Manufacturing Semiconductor Module | 1 |
Hiroyoshi Kaneko | US | 2015-12-24 / 20150372498 - POWER RECEIVING DEVICE, POWER TRANSMITTING DEVICE AND POWER TRANSFER SYSTEM | 1 | |
Tomohiro Kaneko | JP | Koshi City | 2015-05-14 / 20150132085 - SUBSTRATE PROCESSING SYSTEM | 4 |
Tatsuto Kaneko | JP | Kagamihara-Shi | 2014-07-03 / 20140186786 - CONTINUOUS FIRING FURNACE | 1 |
Tomonori Kaneko | JP | Toyota-Shi | 2013-04-11 / 20130087567 - HIGH-PRESSURE TANK AND MANUFACTURING METHOD OF HIGH-PRESSURE TANK | 1 |
Akiyuki Kaneko | JP | Tokyo | 2014-03-20 / 20140079598 - CARBONIZING DEVICE | 2 |
Kazuma Kaneko | JP | Tokyo | 2015-11-12 / 20150320301 - ENDOSCOPE APPARATUS | 8 |
Hideki Kaneko | JP | Tottori-Shi | 2009-04-02 / 20090086145 - LIQUID CRYSTAL DISPLAY DEVICE, METHOD FOR PRODUCING SAME, AND ELECTRONIC APPARATUS | 3 |
Hideki Kaneko | JP | Nara | 2009-01-22 / 20090021117 - VIBRATION DETECTING SENSOR AND PRESSURE SENSITIVE SWITCH USING CABLE-SHAPED PIEZOELECTRIC ELEMENT | 1 |
Hideki Kaneko | JP | Tottori | 2016-03-31 / 20160095185 - DISPLAY PANEL, DISPLAY DEVICE, AND ELECTRONIC UNIT | 12 |
Kimiyuki Kaneko | JP | Fuchu-Shi | 2014-06-26 / 20140178313 - PROPHYLACTIC OR THERAPEUTIC AGENT FOR ORAL DISEASES | 1 |
Satoshi Kaneko | JP | Wako-Shi | 2009-06-11 / 20090149995 - CHARGING APPARATUS FOR MOBILE ROBOT | 3 |
Satoshi Kaneko | JP | Iruma-Gun | 2012-04-19 / 20120092380 - APPARATUS AND METHOD FOR CHANGING THE SIZE OF DISPLAYED CONTENTS | 3 |
Seiji Kaneko | JP | Osaka-Shi | 2015-02-19 / 20150049290 - LIQUID-CRYSTAL DISPLAY APPARATUS | 11 |
Toshihiro Kaneko | JP | Osaka-Shi | 2014-10-23 / 20140312763 - ELECTRON EMITTING DEVICE | 2 |
Michito Kaneko | JP | Hiratsuka | 2010-03-18 / 20100070118 - METHOD AND SYSTEM FOR ASSISTING STEERING AND MOORING ALONGSIDE OF VESSELS | 1 |
Satoshi Kaneko | JP | Osaka-Shi | 2010-03-11 / 20100063055 - CONDENSED TETRAHYDROQUINOLINE DERIVATIVE AND USE THEREOF FOR MEDICAL PURPOSES | 1 |
Satoshi Kaneko | JP | Tokyo | 2016-04-21 / 20160110117 - COMPUTER SYSTEM AND METHOD FOR CONTROLLING HIERARCHICAL STORAGE THEREFOR | 8 |
Satoshi Kaneko | JP | Kumamoto | 2011-12-22 / 20110308067 - POSITIONING APPARATUS, A SUBSTRATE PROCESSING APPARATUS AND METHOD FOR FIXING A REFERENCE MEMBER | 2 |
Satoshi Kaneko | JP | Sunto-Gun | 2012-11-15 / 20120289502 - IMIDAZOLE DERIVATIVES | 3 |
Satoshi Kaneko | JP | Saitama | 2012-06-07 / 20120139480 - CHARGER AND CHARGING SYSTEM | 2 |
Yoshihisa Kaneko | JP | Tokyo | 2008-10-16 / 20080255427 - LIGATION APPARATUS AND A LIGATION MEMBER | 1 |
Yasuhisa Kaneko | JP | Kanagawa | 2012-12-06 / 20120307976 - GRID FOR RADIATION IMAGING AND METHOD FOR PRODUCING THE SAME | 13 |
Yoshikazu Kaneko | JP | Tokyo | 2009-03-05 / 20090056462 - MANUFACTURING METHOD OF PRESSURE SENSOR AND PRESSURE SENSOR | 1 |
Yosuke Kaneko | JP | Tokyo | 2016-03-24 / 20160084515 - AIR-CONDITIONING CONTROL APPARATUS, AIR-CONDITIONING CONTROL SYSTEM, AND AIR-CONDITIONING CONTROL METHOD | 2 |
Satoshi Kaneko | JP | Kumamoto-Ken | 2011-03-03 / 20110048468 - LIQUID PROCESSING APPARATUS AND LIQUID PROCESSING METHOD | 3 |
Satoshi Kaneko | JP | Yokohama | 2012-11-01 / 20120278574 - METHOD OF CONSTRUCTING REPLICATION ENVIRONMENT AND STORAGE SYSTEM | 9 |
Satoshi Kaneko | JP | Kanagawa | 2016-05-05 / 20160124367 - IMAGE FORMING APPARATUS | 17 |
Kazushi Kaneko | JP | Miyagi | 2015-07-30 / 20150214011 - PLASMA PROCESSING APPARATUS AND HIGH FREQUENCY GENERATOR | 7 |
Kiyokazu Kaneko | JP | Tokyo | 2015-07-16 / 20150200446 - ANTENNA DEVICE | 4 |
Masamoto Kaneko | JP | Takasaki-Shi | 2012-04-05 / 20120079970 - Dry Distillation and Gasification Typed Incinerator | 1 |
Tatsuya Kaneko | JP | Shizuoka | 2011-03-03 / 20110055642 - ARTICLE INFORMATION MANAGEMENT APPARATUS AND ARTICLE INFORMATION MANAGEMENT METHOD | 1 |
Toshihiro Kaneko | JP | Shizuoka | 2012-10-11 / 20120255831 - COIN SLOPE | 6 |
Yuki Kaneko | JP | Miyagi | 2016-03-03 / 20160064245 - ETCHING METHOD | 1 |
Tsuneaki Kaneko | JP | Toyota-Shi | 2014-06-05 / 20140152044 - FRONT STRUCTURE FOR VEHICLE | 1 |
Yasunobu Kaneko | JP | Tokyo | 2016-03-10 / 20160070522 - MULTI-DISPLAY SYSTEM | 3 |
Yasunobu Kaneko | JP | Hachioji-Shi | 2008-09-04 / 20080213131 - PARTICLE AGGLUTINATION-EVALUATING CONTAINER | 1 |
Youichi Kaneko | JP | Kosai-City | 2010-08-05 / 20100194320 - Motor control apparatus and motor control method | 1 |
Masakatsu Kaneko | JP | Hagagun | 2009-03-12 / 20090066930 - MEASUREMENT SYSTEM | 1 |
Youichi Kaneko | JP | Zama-City | 2011-03-03 / 20110048145 - DISSOLUTION TESTING APPARATUS FOR PHARMACEUTICAL PREPARATIONS | 1 |
Kazumi Kaneko | JP | Saitama | 2014-06-05 / 20140150987 - ROLLED FLOOR HEATING MAT USING HYDRO | 1 |
Kyoichi Kaneko | JP | Higashikurume-Shi | 2014-07-03 / 20140183292 - FISHING REEL | 1 |
Yushi Kaneko | JP | Haibara-Gun-Shizuoka | 2014-06-26 / 20140178634 - COLORING COMPOSITION, METHOD FOR MANUFACTURING A COLOR FILTER USING THE SAME, COLOR FILTER AND SOLID-STATE IMAGING DEVICE | 1 |
Hirokazu Kaneko | JP | Shizuoka | 2014-06-19 / 20140165934 - MARINE PROPULSION DEVICE | 1 |
Tsuyoshi Kaneko | JP | Kawasaki-Shi | 2015-07-09 / 20150193174 - MANAGEMENT SYSTEM AND METHOD THEREFOR | 12 |
Hiroshi Kaneko | JP | Nagahama-Shi | 2011-03-24 / 20110068037 - PLASTIC CONTAINER COMPRISING CYCLIC POLYOLEFIN LAYER | 1 |
Hideho Kaneko | JP | Shiojiri-Shi | 2016-02-04 / 20160033770 - HEAD-MOUNTED DISPLAY DEVICE, CONTROL METHOD OF HEAD-MOUNTED DISPLAY DEVICE, AND DISPLAY SYSTEM | 1 |
Kunikiyo Kaneko | JP | Saitama | 2008-10-23 / 20080262317 - LIGHT-SOURCE DRIVER FOR PORTABLE ENDOSCOPE | 1 |
Mamoru Kaneko | JP | Saitama | 2011-03-24 / 20110071342 - SPACE ENSURING DEVICE | 1 |
Nobuo Kaneko | JP | Saitama | 2011-03-17 / 20110062438 - Field-Effect Semiconductor Device | 3 |
Hirotaka Kaneko | JP | Saitama | 2013-03-28 / 20130080032 - PRESSURE SENSOR DIAGNOSING METHOD AND COMMON RAIL FUEL INJECTION CONTROL APPARATUS | 4 |
Yoshimasa Kaneko | JP | Saitama | 2010-10-14 / 20100258078 - DIRECT FUEL-INJECTION ENGINE | 1 |
Minoru Kaneko | JP | Saitama | 2010-09-23 / 20100239813 - Vibration-welded structure | 1 |
Kazuyuki Kaneko | JP | Saitama | 2010-01-14 / 20100007188 - Vehicle Seat | 1 |
Takahito Kaneko | JP | Saitama | 2009-05-14 / 20090120355 - Surface-Treated Doctor Blade | 1 |
Masahiko Kaneko | JP | Saitama | 2009-02-26 / 20090049901 - BRAKE SYSTEM TEST DEVICE AND BRAKE SYSTEM TEST METHOD OF TWO-WHEELED MOTOR VEHICLE | 1 |
Katsumi Kaneko | JP | Saitama | 2009-01-15 / 20090013524 - Automotive body transfer method and transfer system | 1 |
Atsumi Kaneko | JP | Saitama | 2008-11-27 / 20080291543 - IMAGING UNIT AND MOBILE ELECTRONIC DEVICE | 1 |
Toshiyuki Kaneko | JP | Saitama | 2009-12-24 / 20090315970 - DRAWING APPARATUS AND METHOD, AND COMPUTER PROGRAM | 1 |
Akiyasu Kaneko | JP | Saitama | 2008-12-04 / 20080300433 - Normal Propyl Bromide Composition | 2 |
Mamoru Kaneko | JP | Hannou-Shi | 2009-03-19 / 20090075228 - DENTAL OBSERVATION APPARATUS | 1 |
Takushi Kaneko | JP | Matsumoto-Shi | 2015-10-08 / 20150282424 - LAWN MOWING VEHICLE WITH AT LEAST ONE REEL UNIT | 4 |
Yoshikazu Kaneko | US | Stratford | 2013-02-28 / 20130047733 - Zero/Span Adjustable Linkage Movement for Measuring Devices | 1 |
Tetsuji Kaneko | JP | Kanagawa-Ken | 2012-02-16 / 20120039429 - PLANT OPERATION METHOD AND PLANT OPERATION SYSTEM | 2 |
Rihito Kaneko | JP | Miyoshi-Shi | 2016-05-12 / 20160131074 - CONTROL DEVICE AND CONTROL METHOD FOR FUEL INJECTION VALVE | 5 |
Yuji Kaneko | JP | Tokyo | 2016-01-07 / 20160005559 - Gas Circuit Breaker | 5 |
Michihiro Kaneko | JP | Tokyo | 2009-09-03 / 20090220825 - Battery Pack and Battery Protection Method | 2 |
Kazutaka Kaneko | JP | Saitama | 2015-01-22 / 20150021797 - METALLIC MOLD STRUCTURE, TRANSFER MOLDING APPARATUS, TRANSFER MOLDING METHOD, AND OPTICAL MEMBER FORMING APPARATUS | 3 |
Tatsunori Kaneko | JP | Ibaraki-Ken | 2010-09-23 / 20100237557 - Printing machine and feeding method for printing machines | 3 |
Akihito Kaneko | JP | Kawasaki | 2013-09-05 / 20130228677 - Mass Spectrometric System | 2 |
Manabu Kaneko | JP | Toyohashi-Shi | 2015-11-26 / 20150337099 - FIBER-REINFORCED COMPOSITE MATERIAL | 14 |
Tomohiko Kaneko | JP | Toyota-Shi | 2016-05-19 / 20160141689 - FUEL CELL SYSTEM AND FUEL CELL CONTROL METHOD | 8 |
Yuriko Kaneko | JP | Nara | 2014-10-02 / 20140293737 - ACOUSTO-OPTIC IMAGE CAPTURE DEVICE | 16 |
Masahiro Kaneko | JP | Tokyo | 2016-03-24 / 20160086755 - ELECTROMAGNETIC RELAY | 12 |
Yuushi Kaneko | JP | Haibara-Gun | 2015-11-26 / 20150338733 - COLORED PHOTO-SENSITIVE COMPOSITION, COLOR FILTER, AND METHOD FOR MANUFACTURING COLOR FILTER | 1 |
Kotaru Kaneko | JP | Osaka | 2014-08-28 / 20140245456 - NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM AND INFORMATION PROCESSING APPARATUS INCLUDING SHARED LIBRARY THAT PREVENTS UNAUTHORIZED USE | 1 |
Kishou Kaneko | JP | Kawasaki-Shi | 2015-10-08 / 20150287832 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Kimihiro Kaneko | JP | Tokyo | 2010-07-22 / 20100181379 - RFID TAG | 2 |
Shuichi Kaneko | JP | Shinjuku-Ku, Tokyo | 2015-11-26 / 20150336431 - PNEUMATIC TIRE TREAD AND PNEUMATIC TIRE HAVING SAID TREAD | 1 |
Mineo Kaneko | JP | Tokyo | 2010-07-29 / 20100188465 - INK JET PRINT HEAD | 7 |
Shuichi Kaneko | US | 2015-11-26 / 20150336431 - PNEUMATIC TIRE TREAD AND PNEUMATIC TIRE HAVING SAID TREAD | 1 | |
Norimasa Kaneko | JP | Tokyo | 2014-12-25 / 20140379166 - VEHICLE DRIVE ASSIST SYSTEM | 3 |
Kyojiro Kaneko | JP | Ibaraki-Shi | 2012-11-29 / 20120297832 - SILICON VACUUM MELTING METHOD | 1 |
Tomomasa Kaneko | JP | Osaka | 2013-08-01 / 20130197123 - DIENE-BASED CARBOXYLATE ANION AND SALT THEREOF, AND POLYMERIZABLE OR CURABLE COMPOSITION THEREOF | 3 |
Kyojiro Kaneko | JP | Wakayama | 2009-12-31 / 20090321996 - Silicon Electro-Magnetic Casting Apparatus and Operation Method of the Same | 1 |
Hiroyuki Kaneko | JP | Wako-Shi | 2011-10-27 / 20110264264 - CONTROL DEVICE AND GAIT GENERATING DEVICE FOR BIPEDAL MOBILE ROBOT | 3 |
Shuichi Kaneko | JP | Nishi-Shinjuku, Shinjuku-Ku, Tokyo | 2015-12-03 / 20150343851 - TREAD COMPRISING SCULPTED ELEMENTS COMPRISING A COVERING LAYER | 2 |
Tohru Kaneko | JP | Shinagawa-Ku | 2009-06-18 / 20090153328 - Product display rack system and purchasing behavior analysis program | 1 |
Akio Kaneko | JP | Yokohama-Shi | 2011-01-20 / 20110012201 - Semiconductor device having fins FET and manufacturing method thereof | 1 |
Hiroyuki Kaneko | JP | Shizuoka | 2013-08-22 / 20130213723 - FUEL PUMP DEVICE FOR VEHICLE ENGINES | 1 |
Akio Kaneko | JP | Kawasaki-Shi | 2012-01-19 / 20120012935 - Semiconductor device and method of manufacturing semiconductor device | 7 |
Akio Kaneko | US | Fishkill | 2012-03-29 / 20120077336 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Akio Kaneko | JP | Kanagawa | 2010-01-07 / 20100003813 - Semiconductor device and method of fabricating the same | 1 |
Daigo Kaneko | JP | Hitachi-Shi | 2011-08-25 / 20110204831 - DRIVE DEVICE FOR ALTERNATING CURRENT MOTOR AND ELECTRIC MOTOR VEHICLE | 1 |
Hironobu Kaneko | JP | Kyoto | 2013-11-07 / 20130293118 - LIGHTING DEVICE AND LIGHTING SYSTEM | 4 |
Akio Kaneko | JP | Tokyo | 2009-08-06 / 20090194821 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Akio Kaneko | TW | Kawasaki-Shi | 2008-09-11 / 20080220582 - Semiconductor device and method of fabricating the same | 1 |
Hiroyuki Kaneko | JP | Kawasaki | 2009-10-01 / 20090245797 - COMMUNICATION SYSTEM AND APPARATUS | 1 |
Hiroyuki Kaneko | JP | Mie | 2013-11-28 / 20130316106 - POLYESTER RESIN COMPOSITION | 4 |
Hiroyuki Kaneko | JP | Tokyo | 2016-03-17 / 20160073861 - SHEATH FOR ENDOSCOPE | 8 |
Hiroyuki Kaneko | JP | Kawasaki-Shi | 2009-12-17 / 20090310163 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM AND COMPUTER READABLE MEDIUM | 2 |
Eugene R. Kaneko | US | Astoria | 2011-01-20 / 20110011862 - Container with sealable lid | 1 |
Eugene Ryu Kaneko | US | Astoria | 2010-07-15 / 20100175569 - Egg Slicer | 2 |
Steven T. Kaneko | US | Seattle | 2012-04-12 / 20120086640 - User Notification System with an Illuminated Computer Input Device | 3 |
Masahiko Kaneko | US | Fall City | 2013-07-18 / 20130181908 - PREDICTIVE COMPENSATION FOR A LATENCY OF AN INPUT DEVICE | 5 |
Steve Kaneko | US | Medina | 2012-08-09 / 20120200518 - METHOD AND SYSTEM FOR UNIFIED AUDIO CONTROL ON A PERSONAL COMPUTER | 5 |
Ryo Kaneko | US | Beaverton | 2009-12-10 / 20090307587 - GRAPHING CALCULATOR HAVING TOUCHSCREEN DISPLAY UNIT | 2 |
Yasuhisa Kaneko | JP | Ashigarakami-Gun | 2014-05-08 / 20140124674 - RADIOLOGICAL IMAGE CONVERSION PANEL, METHOD OF MANUFACTURING THE SAME, AND RADIOLOGICAL IMAGE DETECTION APPARATUS | 20 |
Eugene Ryu Kaneko | US | San Diego | 2011-07-07 / 20110163099 - Container with Sealing Lid | 2 |
Mika Kaneko | US | Athens | 2009-02-12 / 20090042247 - N-ACETYLGLUCOSAMINYLTRANSFERASE VB CODING SEQUENCES, RECOMBINANT CELLS AND METHODS | 1 |
Steven T. Kaneko | US | Medina | 2008-10-30 / 20080270715 - Life Moment Tagging and Storage | 1 |
Hiroyuki Kaneko | JP | Odawara | 2008-08-21 / 20080201192 - CALCULATION APPARATUS, PROGRAM, CALCULATION SYSTEM AND CALCULATION METHOD FOR PRODUCTION PLAN | 1 |
Eiji Kaneko | JP | Tokyo | 2016-04-28 / 20160119558 - ILLUMINATION ESTIMATION DEVICE, ILLUMINATION ESTIMATION METHOD, AND STORAGE MEDIUM | 3 |
Akio Kaneko | JP | Yokkaichi | 2016-03-10 / 20160071863 - METHOD OF MANUFACTURING SEMICONDUCTOR STORAGE APPARATUS, AND SEMICONDUCTOR STORAGE APPARATUS | 1 |
Eiji Kaneko | JP | Yamagata-Mura | 2014-09-25 / 20140285780 - PROJECTOR AND CONTROL METHOD OF PROJECTOR | 3 |
Eiji Kaneko | JP | Higashichikuma-Gun | 2010-08-26 / 20100214303 - Device Control Using Data Communication | 1 |
Yuushi Kaneko | JP | Shizuoka | 2016-05-05 / 20160122547 - METHOD FOR PRODUCING DYE MULTIMER, AND METHOD FOR PRODUCING COLORING COMPOSITION | 3 |
Kazushige Kaneko | JP | Gifu-Shi | 2012-08-02 / 20120196400 - METHOD OF MANUFACTURING SOLAR BATTERY | 4 |
Etsuji Kaneko | JP | Tokyo | 2013-03-07 / 20130059318 - ANTI-IGA1 ANTIBODY | 1 |
Asako Kaneko | JP | Toyohashi-Shi | 2015-05-14 / 20150132537 - CONDUCTOR, CONDUCTIVE COMPOSITION AND LAMINATE | 1 |
Yujiro Kaneko | JP | Hitachinaka | 2016-03-31 / 20160095264 - Power Module and Power Conversion Apparatus Using Same | 6 |
Yasuo Kaneko | JP | Hitachinaka | 2009-08-27 / 20090214385 - AUTOMATIC ANALYZER | 1 |
Masahiro Kaneko | JP | Ogaki-Shi | 2015-09-17 / 20150264815 - SUBSTRATE WITH BUILT-IN CAPACITOR AND METHOD FOR MANUFACTURING SUBSTRATE WITH BUILT-IN CAPACITOR | 8 |
Masahiro Kaneko | JP | Shinagawa | 2010-08-26 / 20100212142 - Antenna apparatus | 2 |
Masahiro Kaneko | JP | Hakusan-Shi | 2009-12-24 / 20090317253 - Cylinder for varying the pitch angle of the blades of a horizontal axis windmill, and method of manufacture of the same | 1 |
Masahiro Kaneko | JP | Nara | 2009-11-12 / 20090277491 - Solar Cell, Interconnector-Equipped Solar Cell, Solar Cell String And Solar Cell Module | 1 |
Masahiro Kaneko | JP | Tokyo | 2016-03-24 / 20160086755 - ELECTROMAGNETIC RELAY | 12 |
Atsushi Kaneko | JP | Kawasaki-Shi | 2013-03-14 / 20130062689 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Atsushi Kaneko | JP | Ibaraki | 2013-10-03 / 20130260401 - DAIKENCHUTO BIOASSAY METHOD AND QUALITY MANAGEMENT METHOD USING SAME | 2 |
Atsushi Kaneko | JP | Kani | 2014-02-06 / 20140040460 - TRANSACTION DATA ACQUISITION METHOD, RECORDING MEDIUM, AND INFORMATION PROCESSING APPARATUS | 1 |
Atsushi Kaneko | JP | Kanagawa | 2016-03-10 / 20160068984 - ZINC-NICKEL ALLOY PLATING SOLUTION AND PLATING METHOD | 15 |
Hideki Kaneko | JP | Tokyo | 2015-11-19 / 20150331518 - DISPLAY DEVICE WITH TOUCH DETECTION FUNCTION AND ELECTRONIC APPARATUS | 5 |
Atsushi Kaneko | JP | Tokyo | 2014-08-21 / 20140232070 - SHAFT SEAL APPARATUS | 6 |
Atsushi Kaneko | JP | Kyoto | 2010-10-07 / 20100253986 - DIGITAL HOLOGRAPHY DEVICE AND PHASE PLATE ARRAY | 1 |
Atsushi Kaneko | JP | Saitama-Shi | 2010-04-15 / 20100090919 - ANTENNA | 1 |
Atsushi Kaneko | JP | Yokohama-Shi | 2014-05-15 / 20140132966 - IMAGE READING DEVICE, IMAGE READING METHOD, AND IMAGE FORMING APPARATUS | 3 |
Atsushi Kaneko | JP | Saitama | 2009-05-14 / 20090121957 - ANTENNA | 1 |
Joji Kaneko | JP | Tokyo | 2012-10-18 / 20120261038 - LOW ALLOY STEEL FOR GEOTHERMAL POWER GENERATION TURBINE ROTOR, AND LOW ALLOY MATERIAL FOR GEOTHERMAL POWER GENERATION TURBINE ROTOR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hideki Kaneko | JP | Tottori | 2016-03-31 / 20160095185 - DISPLAY PANEL, DISPLAY DEVICE, AND ELECTRONIC UNIT | 12 |
Katsuyoshi Kaneko | JP | Saitama | 2014-10-09 / 20140302246 - METHOD FOR THE FORMATION OF MULTI-LAYER PAINT FILMS | 1 |
Maiko Kaneko | JP | Ishikawa | 2011-11-10 / 20110272292 - METAL COLLECTION METHOD AND METAL COLLECTION DEVICE | 2 |
Tomohiko Kaneko | JP | Ageo City | 2011-02-24 / 20110045740 - Methods and Systems For Adjusting Operation Of A Wafer Grinder Using Feedback from Warp Data | 1 |
Daigo Kaneko | JP | Hitachi | 2012-06-14 / 20120146567 - Rotator Control Device, Rotator System, Vehicle, Electric Car and Electric Generation System | 2 |
Chie Kaneko | JP | Ryugasaki-Shi | 2012-10-11 / 20120258552 - HOMOGENEOUS MEASUREMENT METHOD AND MEASURING REAGENT | 1 |
Akio Kaneko | JP | Mie | 2014-02-13 / 20140043917 - NON-VOLATILE SEMICONDUCTOR STORAGE DEVICE | 2 |
Kyouichi Kaneko | JP | Tokyo | 2014-02-20 / 20140051797 - WATER/OIL REPELLENT COMPOSITION, METHOD FOR ITS PRODUCTION AND ARTICLE | 1 |
Mitsuharu Kaneko | JP | Hiroshima-Shi | 2010-07-22 / 20100181692 - METHOD AND APPARATUS FOR MOLDING RESIN FOAM PRODUCT | 1 |
Satoshi Kaneko | JP | Tochigi | 2016-03-03 / 20160059387 - DISC-SHAPED GRINDSTONE | 1 |
Kento Kaneko | DE | Munich | 2016-03-31 / 20160089693 - METHOD FOR COATING A SUBSTRATE WITH A LACQUER AND DEVICE FOR PLANARISING A LACQUER LAYER | 2 |
Takuji Kaneko | JP | Daito-Shi | 2008-12-25 / 20080315059 - THIN DISPLAY | 1 |
Takuji Kaneko | JP | Higashiyamato-Shi | 2009-02-26 / 20090053735 - Vector expressing n-deacetylase/n-sulfotransferase 2 | 1 |
Tetsuya Kaneko | JP | Osaka | 2015-07-09 / 20150194924 - SOLAR CELL MODULE | 2 |
Yukihiro Kaneko | JP | Tokyo | 2011-08-18 / 20110198730 - HYPERBRANCHED POLYMER SYNTHESIZING METHOD, HYPERBRANCHED POLYMER, RESIST COMPOSITION, SEMICONDUCTOR INTEGRATED CIRCUIT, AND SEMICONDUCTOR INTEGRATED CIRCUIT FABRICATION METHOD | 2 |
Yukio Kaneko | JP | Tokyo | 2015-08-27 / 20150241539 - MAGNETIC RESONANCE IMAGING DEVICE, AND DETERMINATION METHOD FOR HIGH-FREQUENCY MAGNETIC FIELD CONDITIONS | 7 |
Hiroshi Kaneko | JP | Saga-Shi | 2010-04-15 / 20100094051 - METHOD OF MANUFACTURING SUCCINIC ACID AND AMMONIUM SUCCINATE SOLUTIONS | 1 |
Hiroshi Kaneko | JP | Yokohama City | 2010-03-04 / 20100056648 - Processes and systems for production of liquefied petroleum gas (LPG) | 1 |
Nobutaka Kaneko | JP | Makinohara-Shi | 2014-11-13 / 20140335724 - SCREW BLOCK INSTALLATION STRUCTURE FOR A JUNCTION BOX | 4 |
Toshimitsu Kaneko | JP | Yokohama-Shi | 2013-11-21 / 20130308666 - SEMICONDUCTOR LASER | 6 |
Yoshi Kaneko | JP | Shizuoka | 2014-10-09 / 20140300933 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM HAVING IMAGE FORMING PROGRAM RECORDED THEREIN | 1 |
Takahiro Kaneko | JP | Chiba | 2013-06-20 / 20130158380 - BIOLOGICAL INFORMATION DETECTION DEVICE | 3 |
Ryohei Kaneko | JP | Kanagawa | 2016-02-04 / 20160032978 - SYNTHETIC RESIN-MADE THRUST SLIDING BEARING | 19 |
Tetsuya Kaneko | JP | Saitama | 2013-11-21 / 20130306486 - METHOD FOR MANUFACTURING COPPER FOIL FOR NEGATIVE ELECTRODE CURRENT COLLECTOR | 3 |
Kenichiro Kaneko | JP | Aichi-Ken | 2009-01-01 / 20090000669 - Fuel cutoff valve | 1 |
Yasuhiko Kaneko | JP | Kanagawa | 2012-10-04 / 20120249534 - STEREOSCOPIC DISPLAY APPARATUS | 8 |
Yushi Kaneko | JP | Haibara-Gun | 2016-03-03 / 20160062235 - COLORING COMPOSITION, COLORED CURED FILM, COLOR FILTER, SOLID-STATE IMAGE SENSOR AND IMAGE DISPLAY DEVICE | 17 |
Tomohiro Kaneko | JP | Tokyo | 2013-09-12 / 20130236838 - SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND STORAGE MEDIUM | 2 |
Tomonari Kaneko | JP | Ebina | 2015-04-16 / 20150104035 - RECEIVER | 5 |
Shinji Kaneko | JP | Oyama-Shi | 2016-03-24 / 20160084275 - WORK VEHICLE, AND CONTROL METHOD FOR WORK VEHICLE | 8 |
Mizuki Kaneko | JP | Yokohama-Shi | 2013-09-05 / 20130229851 - SEMICONDUCTOR STORAGE DEVICE AND DATA CONTROL METHOD THEREOF | 3 |
Futao Kaneko | JP | Niigata-Shi, Niigata | 2015-10-15 / 20150293181 - SECONDARY BATTERY TESTER | 1 |
Futao Kaneko | JP | Niigata | 2014-05-29 / 20140146393 - TRANSMITTED LIGHT CONTROL DEVICE | 3 |
Hidetaka Kaneko | JP | Kawasaki | 2010-04-08 / 20100085660 - TAPE LIBRARY APPARATUS | 1 |
Soutaro Kaneko | JP | Kawasaki | 2013-10-31 / 20130287316 - IMAGE SYNTHESIS APPARATUS AND IMAGE SYNTHESIS METHOD | 3 |
Takashi Kaneko | JP | Chiyoda-Ku | 2008-09-04 / 20080212349 - Power converter | 1 |
Nobuyuki Kaneko | JP | Kawasaki | 2008-09-11 / 20080219273 - SCHEDULING METHOD FOR EXECUTING JOB, SCHEDULING APPARATUS FOR EXECUTING JOB, AND COMMUTATIVE RECORDING MEDIUM HAVING RECORDED THEREON SCHEDULING PROGRAM FOR CAUSING EXECUTION OF JOB | 1 |
Daiju Kaneko | JP | Kawasaki | 2009-02-19 / 20090047448 - SOFT MAGNETIC THIN FILM, MANUFACTURING METHOD THEREFOR, PERPENDICULAR MAGNETIC RECORDING MEDIUM, AND MAGNETIC RECORD REPRODUCING DEVICE | 1 |
Setsuo Kaneko | JP | Kawasaki | 2015-02-12 / 20150042725 - INKJET PRINT HEAD, METHOD OF MANUFACTURING THE SAME AND DRAWING APPARATUS EQUIPPED WITH THE SAME | 2 |
Shinichi Kaneko | JP | Kawasaki | 2012-05-17 / 20120121257 - PRE-EMPHASIS CONTROL METHOD AND OPTICAL TRANSMISSION SYSTEM | 2 |
Toshiaki Kaneko | JP | Kawasaki | 2010-07-01 / 20100169565 - STORAGE DEVICE, ACCESS CONTROL DEVICE AND ELECTRONIC APPARATUS | 1 |
Himiko Kaneko | JP | Kawasaki | 2010-08-12 / 20100205482 - MIRRORING CONTROLLER, STORAGE DEVICE, AND MIRRORING CONTROL METHOD | 1 |
Motoi Kaneko | JP | Tokyo | 2009-01-01 / 20090002380 - Graphics Processing Apparatus, Graphics Library Module And Graphics Processing Method | 3 |
Takeru Kaneko | JP | Tokyo | 2015-11-12 / 20150326692 - TERMINAL DEVICE, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND PROGRAM | 2 |
Shinjiro Kaneko | JP | Hiroshima | 2013-08-15 / 20130206288 - HIGH-STRENGTH GALVANIZED STEEL SHEET HAVING EXCELLENT FORMABILITY AND CRASHWORTHINESS AND METHOD FOR MANUFACTURING THE SAME | 5 |
Shinjiro Kaneko | JP | Fukuyama | 2013-10-10 / 20130266821 - HIGH-STRENGTH GALVANIZED STEEL SHEET WITH HIGH YIELD RATIO HAVING EXCELLENT DUCTILITY AND STRETCH FLANGE FORMABILITY AND METHOD FOR MANUFACTURING THE SAME | 3 |
Yukito Kaneko | JP | Fukui-Shi | 2010-09-30 / 20100247895 - ARTIFICIAL LEATHER FOR AUTOMOBILE INTERIOR MATERIALS AND METHOD FOR PRODUCING THE SAME | 2 |
Takashi Kaneko | JP | Shiroi-Shi | 2009-01-01 / 20090001052 - Plasma processing apparatus and plasma processing method | 1 |
Takashi Kaneko | JP | Shizuoka | 2009-07-09 / 20090173903 - MEDICAL STOPCOCK | 1 |
Shinjiro Kaneko | JP | Tokyo | 2014-12-11 / 20140360632 - METHOD FOR MANUFACTURING HIGH STRENGTH STEEL SHEET HAVING EXCELLENT FORMABILITY | 13 |
Takashi Kaneko | JP | Tokyo | 2013-03-21 / 20130071889 - PRODUCTION METHOD FOR BIOFUEL | 2 |
Takashi Kaneko | JP | Osaka | 2014-12-04 / 20140358296 - AIR CONDITIONER | 3 |
Takashi Kaneko | JP | Osaka-Shi | 2016-03-24 / 20160088362 - CONTENT PLAYBACK DEVICE AND ADVERTISEMENT DISPLAY METHOD FOR CONTENT PLAYBACK DEVICE | 4 |
Takashi Kaneko | JP | Kanagawa | 2012-02-23 / 20120042649 - EXHAUST-VALVE LIFTING AND LOWERING CAM, TURBOCHARGED FOUR STROKE ENGINE AND VALVE TIMING CONTROL METHOD | 3 |
Takashi Kaneko | JP | Toyohashi-Shi | 2015-10-22 / 20150297963 - GOLF CLUB SHAFT | 3 |
Hiroyuki Kaneko | JP | Saitama | 2016-04-07 / 20160096267 - MOBILE ROBOT | 5 |
Sunao Kaneko | JP | Aomori | 2011-02-17 / 20110041193 - NON-HUMAN MAMMAL MODEL OF EPILEPSY | 1 |
Takashi Kaneko | JP | Imbari-Shi | 2012-09-27 / 20120241725 - ORGANIC LIGHT-EMITTING DIODE, DISPLAY AND ILLUMINATING DEVICE | 1 |
Hiroshi Kaneko | JP | Sendai | 2012-09-27 / 20120241023 - CONTROL VALVE DEVICE | 1 |
Masato Kaneko | JP | Ichihara-Shi | 2016-03-24 / 20160083672 - LUBRICATING OIL COMPOSITION FOR REFRIGERATORS | 7 |
Kazuyoshi Kaneko | JP | Ichihara-Shi | 2014-03-27 / 20140088214 - PROPYLENE-BASED COPOLYMER, PROPYLENE-BASED COPOLYMER COMPOSITION, MOLDED PRODUCT THEREOF AND FOAMED PRODUCT THEREOF, AND PRODUCTION PROCESS THEREFOR | 4 |
Hideyuki Kaneko | JP | Ichihara-Shi | 2009-11-12 / 20090280318 - OLEFIN POLYMER, COMPOSITION THEREOF AND ADHESIVE RESIN COMPRISING THE COMPOSITION | 1 |
Yohei Kaneko | JP | Kyoto | 2012-11-15 / 20120287975 - NETWORK DEVICE | 3 |
Saichiro Kaneko | JP | Kyoto | 2011-01-13 / 20110006340 - SEMICONDUCTOR DEVICE | 3 |
Saichirou Kaneko | JP | Kyoto | 2016-02-04 / 20160035853 - SEMICONDUCTOR DEVICE | 6 |
Keisuke Kaneko | JP | Kyoto | 2009-04-16 / 20090100231 - CACHE MEMORY SYSTEM, AND CONTROL METHOD THEREFOR | 5 |
Sinobu Kaneko | JP | Nagano | 2010-09-30 / 20100243385 - VEHICLE DISK BRAKE | 1 |
Isamu Kaneko | JP | Chiyoda-Ku | 2009-07-23 / 20090187044 - FLUOROSULFONYL GROUP-CONTAINING COMPOUND, METHOD FOR ITS PRODUCTION AND POLYMER THEREOF | 1 |
Junki Kaneko | JP | Itabashi-Ku | 2011-04-28 / 20110096319 - GEOGRAPHIC DATA COLLECTING SYSTEM | 1 |
Kentaro Kaneko | JP | Nagano | 2015-10-08 / 20150289380 - WIRING BOARD AND METHOD OF FABRICATING THE SAME | 13 |
Junki Kaneko | JP | Tokyo-To | 2009-10-01 / 20090241358 - Multi-Point Measuring Method and Surveying Device | 1 |
Naoto Kaneko | JP | Tochigi | 2015-10-01 / 20150274586 - MICROFABRICATION METHOD | 1 |
Yoshiyuki Kaneko | JP | Iwakuni-Shi | 2015-10-01 / 20150274336 - Bag Filling And Packaging Method And Bag Filling And Packaging Apparatus | 1 |
Soutaro Kaneko | JP | Kobe-Shi | 2015-10-01 / 20150274016 - VEHICLE CONTROL APPARATUS | 1 |
Daisuke Kaneko | JP | Suntou-Gun | 2016-01-28 / 20160028908 - IMAGE FORMING APPARATUS | 21 |
Takanobu Kaneko | JP | Kariya-Shi | 2016-03-24 / 20160082911 - BUMPER JOINING STRUCTURE AND CRUSH BOX | 10 |
Kazuomi Kaneko | JP | Ibaraki | 2015-09-24 / 20150271458 - PROJECTION-TYPE VIDEO DISPLAY DEVICE | 1 |
Takeshi Kaneko | JP | Tokyo | 2016-04-07 / 20160097574 - CONTROL VALVE | 8 |
Tomohiro Kaneko | JP | Koshi-Shi | 2015-03-19 / 20150077727 - COATING AND DEVELOPING APPARATUS, METHOD OF OPERATING THE SAME AND STORAGE MEDIUM | 7 |
Tomohiro Kaneko | JP | Shizuoka-Ken | 2010-07-29 / 20100186727 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE, AND CONTROL METHOD THEREOF | 4 |
Tomohiro Kaneko | JP | Kumamoto-Ken | 2010-01-28 / 20100021621 - COATING AND DEVELOPING SYSTEM CONTROL METHOD OF CONTROLLING COATING AND DEVELOPING SYSTEM | 1 |
Tomohiro Kaneko | JP | Shizuoka | 2009-08-20 / 20090210131 - Device For Controlling Internal Combustion Engine | 1 |
Tomohiro Kaneko | JP | Kumamoto | 2015-03-19 / 20150077726 - COATING AND DEVELOPING APPARATUS, COATING AND DEVELOPING METHOD AND STORAGE MEDIUM | 2 |
Takayuki Kaneko | JP | Tokyo | 2016-05-19 / 20160142767 - CLIENT DEVICE, CONTROL METHOD, SYSTEM AND PROGRAM | 3 |
Yushi Kaneko | JP | Shizuoka | 2012-09-20 / 20120235099 - RADIATION-SENSITIVE COLORED COMPOSITION, COLORED CURED FILM, COLOR FILTER AND METHOD OF PRODUCING THE SAME, SOLID-STATE IMAGING DEVICE, LIQUID CRYSTAL DISPLAY APPARATUS, AND METHOD OF PRODUCING DYE | 8 |
Tadashi Kaneko | US | Irvine | 2016-03-03 / 20160058905 - RADIOACTIVE BONE CEMENT | 3 |
Norimitsu Kaneko | JP | Yokohama-Shi | 2012-11-15 / 20120288927 - BIOMASS TREATMENT DEVICE | 2 |
Shohei Kaneko | JP | Hyogo | 2012-11-08 / 20120282666 - METHOD FOR PRODUCING ETHANOL | 2 |
Kyojiro Kaneko | JP | Osaka | 2012-09-27 / 20120244061 - Electromagnetic Casting Apparatus for Silicon | 2 |
Shotaro Kaneko | JP | Gifu-Ken | 2015-09-24 / 20150266012 - PHOTOCATALYST COATING COMPOSITION | 1 |
Takashi Kaneko | JP | Yokosuka-Shi | 2015-09-24 / 20150270511 - Light Emitting Module and Lighting Apparatus | 2 |
Satoshi Kaneko | JP | Koshi City | 2015-07-09 / 20150190838 - HEAT EXCHANGE SYSTEM, AND SUBSTRATE PROCESSING APPARATUS HAVING SAME | 6 |
Hiroaki Kaneko | JP | Kamakura-Shi | 2012-07-19 / 20120184735 - 2,3-DIHYDRO-1H-INDENE-2-YL UREA DERIVATIVE AND PHARMACEUTICAL APPLICATION OF SAME | 1 |
Hiroaki Kaneko | JP | Hino-Shi | 2015-06-04 / 20150151020 - FORMED SHEET PRODUCT AND HEMOSTATIC MATERIAL | 10 |
Akimasa Kaneko | JP | Kanagawa-Ken | 2011-09-22 / 20110229070 - OPTICAL MODULATOR | 3 |
Akimasa Kaneko | JP | Atsugi-Shi | 2008-09-18 / 20080226290 - OPTICAL SIGNAL MONITORING APPARATUS, OPTICAL SYSTEM AND OPTICAL SIGNAL MONITORING METHOD | 1 |
Satoru Kaneko | JP | Naka | 2013-11-28 / 20130317684 - WHEEL LOADER | 11 |
Yukihiro Kaneko | JP | Osaka | 2015-09-24 / 20150269483 - NEURAL NETWORK CIRCUIT AND LEARNING METHOD FOR NEURAL NETWORK CIRCUIT | 28 |
Eji Kaneko | JP | Tokyo | 2012-09-06 / 20120226229 - BALLOON CATHETER, BALLOON CATHETER MANUFACTURING DEVICE, BALLOON CATHETER MANUFACTURING METHOD, CATHETER CONNECTION DEVICE, CATHETER CONNECTION METHOD, AND CONNECTED CATHETER | 1 |
Rihito Kaneko | JP | Toyota-Shi, Aichi-Ken | 2016-04-21 / 20160108847 - CONTROL APPARATUS FOR FUEL INJECTION VALVE AND MEHOD THEREOF | 1 |
Yoshikatsu Kaneko | US | New York | 2014-10-30 / 20140323696 - Polypeptides With Enhanced Anti-Inflammatory And Decreased Cytotoxic Properties And Relating Methods | 1 |
Tutomu Kaneko | JP | Tokyo | 2012-12-06 / 20120306702 - Substrate Antenna | 3 |
Shinjiro Kaneko | JP | Tokyo | 2014-12-11 / 20140360632 - METHOD FOR MANUFACTURING HIGH STRENGTH STEEL SHEET HAVING EXCELLENT FORMABILITY | 13 |
Tetsuji Kaneko | JP | Yokohama-Shi | 2012-09-06 / 20120225289 - CORROSION-RESISTANT STRUCTURE FOR HIGH-TEMPERATURE WATER SYSTEM AND CORROSION-PREVENTING METHOD THEREOF | 1 |
Yujiro Kaneko | JP | Hitachinaka-Shi | 2016-01-07 / 20160007492 - Power Semiconductor Device and Power Conversion Device | 3 |
Kentaro Kaneko | JP | Kanagawa | 2014-11-06 / 20140326578 - PRODUCT STORAGE APPARATUS, PROCESSING SYSTEM, AND PRODUCT STORAGE METHOD | 1 |
Yuki Kaneko | JP | Kanagawa | 2013-05-30 / 20130135311 - IMAGE REPRODUCER, IMAGE REPRODUCTION METHOD, AND DATA STRUCTURE | 1 |
Sayuri Kaneko | JP | Tokyo | 2014-10-30 / 20140321460 - COMMUNICATION PATH SWITCHING DEVICE, COMMUNICATION PATH SWITCHING METHOD AND COMMUNICATION PATH SWITCHING PROGRAM | 2 |
Mizuki Kaneko | JP | Yokohama Kanagawa | 2015-09-17 / 20150262687 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Yutaka Kaneko | JP | Tokyo | 2011-08-04 / 20110185686 - PACKAGING AND FILLING MACHINE | 2 |
Yoshiyuki Kaneko | JP | Hachioji | 2010-09-30 / 20100244687 - PLASMA DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME | 3 |
Yoshiyuki Kaneko | JP | Tokyo | 2012-03-15 / 20120061769 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND A METHOD FOR MANUFACTURING A SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 4 |
Yoshiyuki Kaneko | JP | Hachiouji | 2015-12-24 / 20150372071 - DISPLAY MODULE | 8 |
Yoshiyuki Kaneko | JP | Hachiouji-Shi | 2008-12-25 / 20080316192 - IMAGE DISPLAY DEVICE | 1 |
Hiroshi Kaneko | JP | Kanagawa | 2011-12-22 / 20110313602 - DRIVE CONTROL APPARATUS AND DRIVE CONTROL METHOD FOR HYBRID VEHICLE | 1 |
Yoshiaki Kaneko | JP | Shizuoka-Ken | 2014-04-24 / 20140112693 - IMAGE FORMING APPARATUS | 21 |
Shinako Kaneko | JP | Kanagawa | 2016-02-18 / 20160049691 - ELECTROLYTIC SOLUTION FOR SECONDARY BATTERY AND SECONDARY BATTERY USING THE SAME | 9 |
Masahiro Kaneko | JP | Ogaki-Shi | 2015-09-17 / 20150264815 - SUBSTRATE WITH BUILT-IN CAPACITOR AND METHOD FOR MANUFACTURING SUBSTRATE WITH BUILT-IN CAPACITOR | 8 |
Akira Kaneko | JP | Gunma | 2011-03-24 / 20110067435 - REFRIGERATION CYCLE | 1 |
Ryo Kaneko | JP | Gunma | 2014-05-08 / 20140125507 - CORNER REFLECTOR | 2 |
Takafumi Kaneko | JP | Gunma | 2015-09-17 / 20150257354 - BARLEY LIPOXYGENASE 1 GENE, SELECTION METHOD FOR BARLEY, MATERIALS FOR MALT ALCOHOLIC BEVERAGES AND METHOD FOR PRODUCTION OF MALT ALCOHOLIC BEVERAGES | 5 |
Nao Kaneko | JP | Tokyo | 2012-09-27 / 20120243011 - PROFILE CREATION METHOD, PROFILE CREATION PROGRAM, AND PRINTING APPARATUS | 3 |
Yu Kaneko | JP | Yokohama-Shi | 2014-11-13 / 20140336832 - INFORMATION ISSUANCE APPARATUS, INFORMATION ISSUANCE METHOD AND PROGRAM | 18 |
Mitsugu Kaneko | JP | Utsunomiya-Shi | 2011-09-29 / 20110233173 - SEAM WELDING METHOD AND MACHINE THEREFOR | 2 |
Hiroshi Kaneko | JP | Sendai City | 2010-01-07 / 20100000469 - DEPOSITION APPARATUS FOR ORGANIC EL AND EVAPORATING APPARATUS | 1 |
Takeshi Kaneko | JP | Tokyo | 2016-04-07 / 20160097574 - CONTROL VALVE | 8 |
Miwa Kaneko | JP | Tokyo | 2010-05-27 / 20100131372 - DATA PROCESSING DEVICE, COMPUTER PROGRAM, AND DATA STORAGE MEDIUM | 3 |
Tomonari Kaneko | JP | Yamato | 2013-07-04 / 20130170692 - EARPHONE | 2 |
Mizuki Kaneko | JP | Kanagawa | 2013-11-14 / 20130301354 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Kenichiro Kaneko | JP | Kiyosu-Shi | 2016-03-31 / 20160091107 - FUEL CUTOFF VALVE | 8 |
Kazuaki Kaneko | JP | Tokyo | 2013-11-21 / 20130310535 - POLYCARBONATE RESIN AND PROCESS FOR PRODUCTION THEREOF | 9 |
Yoshifumi Kaneko | JP | Kanagawa | 2015-07-23 / 20150207845 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 2 |
Shuhei Kaneko | JP | Kobe-Shi | 2015-11-05 / 20150316467 - CUVETTE SUPPLYING DEVICE AND SPECIMEN ANALYZER | 4 |
Yosuke Kaneko | JP | Kanagawa | 2014-04-10 / 20140101680 - VIEWING SYSTEM, MOBILE TERMINAL, SERVER, AND VIEWING METHOD | 1 |
Shuhei Kaneko | JP | Tokyo | 2015-07-09 / 20150191906 - SEISMIC ISOLATION APPARATUS | 9 |
Hiroki Kaneko | JP | Hitachinaka | 2013-10-31 / 20130286464 - Light Control Device | 10 |
Yoshio Kaneko | JP | Chiba-Ken | 2008-12-04 / 20080296628 - SEMICONDUCTOR INTEGRATED CIRCUIT AND METHOD FOR MANUFACTURING SAME | 1 |
Yoshio Kaneko | JP | Kashiwa-Shi | 2009-05-28 / 20090134945 - CLOCK GENERATOR | 2 |
Yoshio Kaneko | JP | Chiba | 2009-08-06 / 20090196818 - MULTIFERROIC ELEMENT | 2 |
Yoshio Kaneko | JP | Higashimurayama-Shi | 2010-08-05 / 20100197698 - 5-PHENYL-3-PYRIDAZINONE DERIVATIVE | 1 |
Yoshio Kaneko | JP | Fujisawa-Shi | 2015-05-28 / 20150147013 - Hub Unit Bearing | 3 |
Yoshio Kaneko | JP | Tokyo | 2010-12-23 / 20100324091 - Pyrazolone Derivative | 1 |
Masayo Kaneko | JP | Kakegawa-Shi | 2015-09-03 / 20150248980 - RELAY FIXING STRUCTURE | 1 |
Naoto Kaneko | JP | Sendai-Shi, Miyagi | 2016-02-11 / 20160040023 - Ink Composition for Forming Transparent Conductive Film, Transparent Conductive Film, Method for Producing Transparent Electrode, and Image Display Device | 1 |
Kenichi Kaneko | JP | Tokyo | 2015-05-21 / 20150139489 - TASK ASSISTANCE SYSTEM, TASK ASSISTANCE METHOD, AND PROGRAM | 3 |
Eiko Kaneko | JP | Kariya-Shi | 2015-08-27 / 20150240761 - INTAKE DUCT | 1 |
Namio Kaneko | JP | Tokyo | 2011-02-03 / 20110026679 - X-RAY METERING APPARATUS, AND X-RAY METERING METHOD | 7 |
Satoshi Kaneko | JP | Tokyo | 2016-04-21 / 20160110117 - COMPUTER SYSTEM AND METHOD FOR CONTROLLING HIERARCHICAL STORAGE THEREFOR | 8 |
Takeyoshi Kaneko | JP | Hamura-Shi | 2011-02-03 / 20110026223 - ELECTRONIC APPARATUS | 1 |
Natsumi Kaneko | JP | Tokyo | 2012-08-16 / 20120208458 - NEAR FIELD COMMUNICATION APPARATUS, DISPLAY CONTROL METHOD, AND PROGRAM | 1 |
Yuki Kaneko | JP | Tokyo | 2016-02-04 / 20160033684 - OPTICAL FILM, POLARIZING PLATE CONTAINING SAME AND VERTICAL ALIGNMENT LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Yasutomo Kaneko | JP | Hyogo | 2010-12-30 / 20100329847 - STATIONARY BLADE AND STEAM TURBINE | 1 |
Masami Kaneko | JP | Chiba | 2012-01-05 / 20120004377 - CLATHRATE, CURING AGENT, CURE ACCELERATOR, EPOXY RESIN COMPOSITION, AND EPOXY RESIN COMPOSITION FOR ENCAPSULATION OF SEMICONDUCTOR | 2 |
Norihiko Kaneko | JP | Fussa-Shi | 2015-08-20 / 20150235845 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 4 |
Masahiro Kaneko | JP | Kawageo-Shi | 2012-08-16 / 20120207529 - PIVOTAL MANIPULATION TYPE WRITING INSTRUMENT | 1 |
Yushi Kaneko | JP | Shizuoka-Ken | 2013-11-21 / 20130306919 - PHOTOSENSITIVE RESIN COMPOSITION, LIGHT-SHIELDING COLOR FILTER, METHOD OF PRODUCING THE SAME AND SOLID-STATE IMAGE SENSOR | 5 |
Yushi Kaneko | JP | Shizuoka | 2012-09-20 / 20120235099 - RADIATION-SENSITIVE COLORED COMPOSITION, COLORED CURED FILM, COLOR FILTER AND METHOD OF PRODUCING THE SAME, SOLID-STATE IMAGING DEVICE, LIQUID CRYSTAL DISPLAY APPARATUS, AND METHOD OF PRODUCING DYE | 8 |
Yushi Kaneko | JP | Haibara-Gun | 2016-03-03 / 20160062235 - COLORING COMPOSITION, COLORED CURED FILM, COLOR FILTER, SOLID-STATE IMAGE SENSOR AND IMAGE DISPLAY DEVICE | 17 |
Hiroyuki Kaneko | JP | Kobe-Shi | 2016-04-14 / 20160101239 - GASKET FOR PREFILLED SYRINGE | 3 |
Tomohiro Kaneko | JP | Kanonji-Shi | 2015-08-20 / 20150230995 - DISPOSABLE DIAPER | 1 |
Kei Kaneko | JP | Kanagawa-Ken | 2015-11-19 / 20150333231 - METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING APPARATUS AND SEMICONDUCTOR LIGHT EMITTING APPARATUS | 38 |
Fuminari Kaneko | JP | Kanagawa | 2014-11-20 / 20140340443 - IMAGE FORMATION APPARATUS | 1 |
Tomohiro Kaneko | JP | Kanagawa | 2014-11-20 / 20140338369 - Thermoacoustic Engine | 1 |
Kimihisa Kaneko | JP | Nagoya-City | 2015-02-12 / 20150042009 - MOLDED BODY, AND METHOD FOR PRODUCING THE MOLDED BODY | 5 |
Yasuhisa Kaneko | JP | Kanagawa | 2012-12-06 / 20120307976 - GRID FOR RADIATION IMAGING AND METHOD FOR PRODUCING THE SAME | 13 |
Hiroshi Kaneko | JP | Inuyama-Shi | 2013-06-27 / 20130163904 - HALVED SLIDING BEARING AND METHOD FOR PRODUCING SAME | 1 |
Shozo Kaneko | JP | Tokyo | 2014-05-15 / 20140132003 - NATURAL-FREQUENCY ADJUSTING MECHANISM FOR WAVE-POWER GENERATOR | 4 |
Takayuki Kaneko | JP | Mishima-Shi | 2013-07-04 / 20130168935 - BRAKING DEVICE AND VEHICLE | 2 |
Takashi Kaneko | JP | Atsugi-Shi | 2013-07-04 / 20130171488 - BATTERY PACK | 1 |
Naoki Kaneko | JP | Tokyo | 2016-01-28 / 20160025969 - AN OPTICAL ELEMENT, AN OPTICAL SCANNING DEVICE, A MANUFACTURING METHOD OF THE OPTICAL ELEMENT, AND A MOLDING DIE | 2 |
Yoshiyasu Kaneko | JP | Fukaya-Shi | 2012-08-09 / 20120200351 - OFFSET CORRECTION CIRCUIT | 1 |
Tetsuya Kaneko | JP | Kobe-Shi | 2016-03-03 / 20160061821 - SAMPLE ANALYZER AND SAMPLE ANALYZING METHOD | 2 |
Masashi Kaneko | JP | Kobe-Shi | 2014-01-16 / 20140014053 - PLASMA DEVICE | 2 |
Hitoe Kaneko | JP | Kobe-Shi | 2013-03-07 / 20130057145 - PLASMA GENERATION DEVICE | 1 |
Fusae Kaneko | JP | Kobe-Shi | 2016-03-17 / 20160077024 - METHOD OF MEASURING CROSSLINK DENSITIES IN SULFUR-CONTAINING POLYMER COMPOSITE MATERIAL | 5 |
Tsubasa Kaneko | JP | Tokyo | 2015-12-17 / 20150364868 - FITTING ADAPTER | 2 |
Masamichi Kaneko | JP | Tokyo | 2015-10-01 / 20150274330 - METHOD OF MANUFACTURING PACKAGING CONTAINER, SPOUT CLOSURE, AND PACKAGING CONTAINER | 3 |
Yu Kaneko | JP | Kanagawa | 2012-05-03 / 20120110603 - INFORMATION PROCESSING DEVICE AND COMPUTER PROGRAM PRODUCT | 2 |
Takeshi Kaneko | JP | Hiroshima | 2011-09-22 / 20110226107 - MACHINE TOOL | 1 |
Takeshi Kaneko | NL | 'S Hertogenbosch | 2014-12-11 / 20140362355 - LITHOGRAPHIC APPARATUS AND SURFACE CLEANING METHOD | 8 |
Yushi Kaneko | JP | Kawasaki-Shi | 2014-12-25 / 20140375856 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD AND PROGRAM, AND IMAGING APPARATUS | 3 |
Hitoshi Kaneko | JP | Toyama | 2016-03-24 / 20160084292 - Fastening Device and Hook Part | 9 |
Hiroshi Kaneko | JP | Yamanashi | 2009-09-03 / 20090220692 - METHOD OF SUBSTRATE TREATMENT, RECORDING MEDIUM AND SUBSTRATE TREATING APPARATUS | 1 |
Michiyo Kaneko | JP | Aichi | / - | 1 |
Toyoharu Kaneko | JP | Susono-Shi | 2016-05-19 / 20160139074 - NITROGEN OXIDE RESPONSIVE ELEMENT AND METHOD FOR PRODUCING SAME | 2 |
Miyako Kaneko | JP | Nirasaki City | 2015-05-14 / 20150128995 - SUBSTRATE CLEANING METHOD, SUBSTRATE CLEANING SYSTEM, AND MEMORY MEDIUM | 8 |
Toshihiro Kaneko | JP | Osaka | 2012-01-19 / 20120012854 - ACTIVE MATRIX SUBSTRATE, DISPLAY PANEL, DISPLAY DEVICE, AND LASER IRRADIATION METHOD | 1 |
Kenichi Kaneko | JP | Kawasaki-Shi | 2012-01-19 / 20120013920 - PRINTING APPARATUS AND DATA TRANSFER METHOD | 1 |
Yoji Kaneko | JP | Higashine | 2009-09-17 / 20090231760 - HEAD CLIP AND WORKING METHOD USING THE SAME | 2 |
Atsushi Kaneko | JP | Nagano-Shi | 2016-04-28 / 20160118068 - PIVOT ASSEMBLY BEARING AND HARD DISK DRIVE DEVICE | 1 |
Keisuke Kaneko | JP | Kanagawa | 2015-07-30 / 20150210595 - FILLER FOR CONSTRUCTION | 1 |
Shuhei Kaneko | JP | Kanagawa | 2015-07-30 / 20150211840 - POSITION DETECTION DEVICE, LENS BARREL, AND IMAGING APPARATUS | 1 |
Takahisa Kaneko | JP | Chiryu-City | 2013-05-02 / 20130109461 - GAMING MACHINE | 4 |
Naoji Kaneko | JP | Toyokawa-Shi | 2014-06-19 / 20140169419 - TRANSCEIVER AND COMMUNICATION APPARATUS TRANSMITTING AND RECEIVING DATA ENCODED BY TRANSMISSION CODE | 8 |
Hiromasa Kaneko | JP | Tokyo | 2015-04-16 / 20150106497 - COMMUNICATION DESTINATION DETERMINATION APPARATUS, COMMUNICATION DESTINATION DETERMINATION METHOD, COMMUNICATION DESTINATION DETERMINATION PROGRAM, AND GAME SYSTEM | 2 |
Hiromasa Kaneko | JP | Minato-Ku | 2010-06-10 / 20100145701 - USER VOICE MIXING DEVICE, VIRTUAL SPACE SHARING SYSTEM, COMPUTER CONTROL METHOD, AND INFORMATION STORAGE MEDIUM | 1 |
Seiji Kaneko | JP | Osaka | 2014-10-16 / 20140307195 - ACTIVE MATRIX SUBSTRATE AND LIQUID CRYSTAL DISPLAY PANEL EQUIPPED WITH SAME | 6 |
Kentaro Kaneko | JP | Nagano-Shi | 2016-02-11 / 20160044792 - WIRING SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 25 |
Yoshikatsu Kaneko | JP | Niigata | 2012-05-31 / 20120134988 - POLYPEPTIDES WITH ENHANCED ANTI-INFLAMMATORY AND DECREASED CYTOTOXIC PROPERTIES AND RELATING METHODS | 5 |
Yoshikatsu Kaneko | JP | Niigata City | 2013-10-17 / 20130273040 - POLYPEPTIDES WITH ENHANCED ANTI-INFLAMMATORY AND DECREASED CYTOTOXIC PROPERTIES AND RELATING METHODS | 2 |
Hiroyuki Kaneko | JP | Yokkaichi-Shi | 2015-07-30 / 20150210844 - RESIN COMPOSITION, FILM, BAG PRODUCT AND PRODUCTION PROCESS OF RESIN COMPOSITION | 1 |
Fumitake Kaneko | JP | Kawasaki-Shi | 2012-06-28 / 20120164580 - NOVEL COMPOUND AND METHOD OF PRODUCING THE SAME, ACID GENERATOR, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN | 5 |
Fumitake Kaneko | JP | Kanagawa-Ken | 2010-06-10 / 20100139838 - Over-coating agent for forming fine patterns and a method of forming fine patterns using such agent | 3 |
Fumitake Kaneko | JP | Kanagawa | 2010-12-16 / 20100316802 - OVER-COATING AGENT FOR FORMING FINE PATTERNS AND A METHOD OF FORMING FINE PATTERNS USING SUCH AGENT | 7 |
Makoto Kaneko | US | Novi | 2015-05-21 / 20150135805 - FUEL VAPOR LEAKAGE DETECTION DEVICE | 2 |
Kazumi Kaneko | JP | Kanagawa | 2012-02-23 / 20120046893 - BATTERY VOLTAGE MONITORING DEVICE | 2 |
Yuka Kaneko | JP | Osaka | 2015-07-23 / 20150203892 - METHOD FOR DETERMINING BREAST CANCER | 1 |
Katsuyuki Kaneko | JP | Kanagawa | 2015-10-01 / 20150272853 - MAKEUP BASE FOR SKIN REMOVABLE WITH WARM WATER | 5 |
Hiroo Kaneko | JP | Kanagawa | 2010-07-08 / 20100171276 - TOOL HOLDER | 1 |
Masaru Kaneko | JP | Kanagawa | 2010-04-01 / 20100079792 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 3 |
Akihiro Kaneko | JP | Kanagawa | 2016-05-05 / 20160126460 - ORGANIC FILM TRANSISTOR, ORGANIC SEMICONDUCTOR FILM, AND ORGANIC SEMICONDUCTOR MATERIAL AND USE APPLICATIONS THEREOF | 3 |
Tomoharu Kaneko | JP | Kanagawa | 2011-11-17 / 20110283151 - INTERNET SERVER APPARATUS AND PROGRAM CAUSING A SERVER APPARATUS TO IMPLEMENT FUNCTIONS OF PREPARATION PROCESSING FOR DIRECT CONNECTION OF AN APPLIANCE IN A PRIVATE NETWORK AND A MOBILE TERMINAL OUTSIDE THE PRIVATE NETWORK | 5 |
Junichi Kaneko | JP | Kanagawa | 2011-05-12 / 20110109637 - PROCESSING DEVICE, PROCESSING METHOD AND COMPUTER READABLE MEDIUM | 1 |
Noriko Kaneko | JP | Kanagawa | 2012-12-06 / 20120308539 - LACTIC ACID BACTERIA HAVING ACTION OF LOWERING BLOOD URIC ACID LEVEL | 3 |
Tatsuya Kaneko | JP | Kanagawa | 2010-05-27 / 20100126008 - Circuit module and process for producing the same | 1 |
Emi Kaneko | JP | Kanagawa | 2011-04-28 / 20110095171 - PHOTOELECTRIC ENCODER | 1 |
Setsuo Kaneko | JP | Kanagawa | 2016-02-18 / 20160046127 - METHOD FOR MANUFACTURING AN INKJET PRINT HEAD | 6 |
Kyoichi Kaneko | JP | Tokyo | 2010-12-30 / 20100327097 - FISHING SPINNING REEL | 1 |
Shigeji Kaneko | JP | Hitachi | 2010-07-01 / 20100163755 - ROTATING IRRADIATION THERAPY APPARATUS | 1 |
Satoshi Kaneko | JP | Utsunomiya-Shi | 2011-06-30 / 20110159788 - METHOD FOR PRODUCING PULLEY FOR BELT-TYPE CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Daisuke Kaneko | JP | Chiba | 2011-10-20 / 20110256611 - INTERFERON BETA PRODUCTION PROMOTER AND A METHOD FOR PRODUCING THEREOF | 2 |
Kyouichi Kaneko | JP | Chiyoda-Ku | 2016-04-07 / 20160097153 - WATER/OIL REPELLENT COMPOSITION, METHOD FOR PRODUCING WATER/OIL REPELLENT COMPOSITION AND ARTICLE | 3 |
Tarou Kaneko | JP | Tokyo | 2011-09-08 / 20110217798 - OPTICAL TRANSMISSION MODULE AND MANUFACTURING METHOD OF THE SAME | 2 |
Yasuhiko Kaneko | JP | Kanagawa | 2012-10-04 / 20120249534 - STEREOSCOPIC DISPLAY APPARATUS | 8 |
Shinako Kaneko | JP | Kanagawa | 2016-02-18 / 20160049691 - ELECTROLYTIC SOLUTION FOR SECONDARY BATTERY AND SECONDARY BATTERY USING THE SAME | 9 |
Tatsuji Kaneko | JP | Kanagawa | 2011-03-03 / 20110052039 - METHOD AND APPARATUS FOR INSPECTING APPEARANCE OF LONG-LENGTH OBJECTS | 1 |
Ryohei Kaneko | JP | Kanagawa | 2016-02-04 / 20160032978 - SYNTHETIC RESIN-MADE THRUST SLIDING BEARING | 19 |
Maki Kaneko | JP | Kanagawa | 2011-01-06 / 20110004019 - Parakeratosis Inhibitor, Pore-Shrinking Agent, Or Rough Skin Inhibiting/Ameliorating Agent, And External Composition For Skin Containing The Same | 1 |
Hiromasa Kaneko | JP | Nagoya | 2013-04-04 / 20130084555 - INFORMATION PROVIDING SYSTEM | 3 |
Toshimitsu Kaneko | JP | Kanagawa-Ken | 2015-02-26 / 20150055874 - IMAGE ANALYZING APPARATUS AND METHOD | 4 |
Naoki Kaneko | JP | Kanagawa | 2010-12-02 / 20100306607 - Semiconductor integrated circuit and method of testing the same | 4 |
Kazuhiro Kaneko | JP | Kanagawa | 2015-04-16 / 20150106507 - SELECTION SYSTEM, SELECTION SERVER, SELECTION METHOD, AND COMPUTER READABLE MEDIUM | 1 |
Kishou Kaneko | JP | Kanagawa | 2016-01-28 / 20160027925 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 27 |
Kenta Kaneko | JP | Tokyo | 2016-03-10 / 20160072424 - CONTROL DEVICE OF ALTERNATING-CURRENT ELECTRIC MOTOR | 6 |
Daiki Kaneko | JP | Osaka-Shi | 2015-04-02 / 20150093442 - INJECTABLE PREPARATION | 1 |
Yutaka Kaneko | JP | Kanagawa | 2016-01-07 / 20160003353 - AUTOMATIC TRANSMISSION CONTROL DEVICE | 3 |
Michito Kaneko | JP | Kanagawa | 2015-06-11 / 20150158564 - SHIP MONITORING DEVICE | 2 |
Kazuhiro Kaneko | JP | Nagaokakyo-Shi | 2015-01-29 / 20150030830 - Composite Laminated Ceramic Electronic Component | 6 |
Kazuhiro Kaneko | JP | Ibaraki | 2009-05-28 / 20090136564 - MICELLES | 1 |
Yasuyoshi Kaneko | JP | Saitama | 2015-05-21 / 20150137925 - CONTACTLESS POWER TRANSFER TRANSFORMER | 2 |
Mitsuhisa Kaneko | JP | Kanagawa | 2010-08-19 / 20100208793 - INFORMATION PROCESSING APPARATUS AND METHOD, RECORDING MEDIUM, AND PROGRAM | 1 |
Yohei Kaneko | JP | Kanagawa | 2010-08-05 / 20100196771 - FUEL CELL SYSTEM AND METHOD FOR CONTROLLING FUEL CELL SYSTEM | 1 |
Takeshi Kaneko | JP | Kanagawa | 2010-04-29 / 20100102695 - FLUORESCENT LAMP AND METHOD OF MANUFACTURING FLUORESCENT LAMP | 1 |
Tetsuo Kaneko | JP | Kanagawa | 2009-12-31 / 20090322772 - IMAGE DATA PROCESSING METHOD, PROGRAM FOR IMAGE DATA PROCESSING METHOD, RECORDING MEDIUM WITH RECORDED PROGRAM FOR IMAGE DATA PROCESSING METHOD AND IMAGE DATA PROCESSING DEVICE | 2 |
Katsumi Kaneko | JP | Kanagawa | 2009-12-10 / 20090303337 - IMAGE PICK-UP DEVICE AND SYNCHRONIZATION-SIGNAL-GENERATING DEVICE | 2 |
Wakahiko Kaneko | JP | Kanagawa | 2009-11-19 / 20090286340 - PROCESS FOR PRODUCING A LIQUID CRYSTAL CELL SUBSTRATE HAVING A TFT DRIVER ELEMENT, A LIQUID CRYSTAL CELL SUBSTRATE, AND LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Yoshiyuki Kaneko | JP | Kanagawa | 2011-06-30 / 20110156208 - SEMICONDUCTOR DEVICE | 1 |
Tamotsu Kaneko | JP | Suntou-Gun | 2011-11-24 / 20110286761 - IMAGE FORMING APPARATUS | 4 |
Nobuhiro Kaneko | JP | Kanagawa | 2015-10-01 / 20150282084 - COMMUNICATION CONTROL DEVICE, COMMUNICATION CONTROL METHOD, AND RECORDING MEDIUM | 10 |
Shunichi Kaneko | JP | Sapporo-Shi | 2013-12-05 / 20130321624 - OBJECT DETECTING DEVICE AND OBJECT DETECTING METHOD | 1 |
Tomoyuki Kaneko | JP | Kanagawa | 2011-11-17 / 20110279195 - BROADBAND TRANSITION FROM A VIA INTERCONNECTION TO A PLANAR TRANSMISSION LINE IN A MULTILAYER SUBSTRATE | 2 |
Yawara Kaneko | JP | Kanagawa | 2008-12-25 / 20080316555 - Hologram Recording Medium and Method for Manufacturing Same | 1 |
Tersuya Kaneko | JP | Kanagawa | 2008-10-02 / 20080238982 - Image Forming Apparatus | 1 |
Satoshi Kaneko | JP | Sagamihara | 2015-12-24 / 20150370479 - COMPUTER SYSTEM, STORAGE MANAGEMENT COMPUTER, AND STORAGE MANAGEMENT METHOD | 4 |
Tomonari Kaneko | JP | Kanagawa | 2011-06-23 / 20110151708 - Reduced-height wire to board connector | 5 |
Mari Kaneko | JP | Kanagawa | 2010-08-05 / 20100194978 - THREE-DIMENSIONAL Y/C SEPARATING CIRCUIT | 1 |
Yuji Kaneko | JP | Kanagawa | 2010-04-15 / 20100090708 - ELECTRIC DISCHARGE DETECTION METHOD AND ELECTRIC DISCHARGE MACHINING APPARATUS | 1 |
Sotoyuki Kaneko | JP | Kanagawa | 2013-05-02 / 20130104613 - SERVO TRANSFER PRESS SYSTEM | 2 |
Katsuya Kaneko | JP | Kanagawa | 2013-08-08 / 20130203016 - TIGHTENING APPARATUS FOR DENTAL IMPLANT | 1 |
Tetsu Kaneko | JP | Kanagawa | 2008-09-11 / 20080219598 - IMAGE PROCESSING METHOD AND APPARATUS FOR GENERATING SEQUENTIAL STILL IMAGES BY EXTRACTING STILL IMAGES FROM MOVING IMAGE DATA, AND PRINTING APPARATUS | 1 |
Yoshio Kaneko | JP | Kanagawa | 2014-09-04 / 20140248013 - ROLLING BEARING UNIT WITH ENCODER FOR SUPPORTING WHEEL | 2 |
Hisashi Kaneko | JP | Kanagawa-Ken | 2008-12-04 / 20080296165 - PLATING APPARATUS | 2 |
Hisashi Kaneko | JP | Kanagawa | 2009-06-18 / 20090156002 - MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE AND MANUFACTURING APPARATUS FOR SEMICONDUCTOR DEVICE | 2 |
Hisashi Kaneko | JP | Kawasaki | 2009-11-26 / 20090290261 - CARRIAGE ASSEMBLY AND DISK DRIVE | 2 |
Hisashi Kaneko | JP | Fujisawa-Shi | 2010-05-06 / 20100115479 - Method for generating pattern, method for manufacturing semiconductor device, semiconductor device, and computer program | 3 |
Shinako Kaneko | JP | Sagamihara-Shi | 2016-04-21 / 20160111220 - NONAQUEOUS ELECTROLYTE SOLUTION, AND LITHIUM ION SECONDARY BATTERY HAVING THE SAME | 7 |
Kenji Kaneko | JP | Yokohama-City | 2015-07-09 / 20150190054 - IMAGING APPARATUS FOR DIAGNOSIS AND IMAGE PROCESSING METHOD | 2 |
Atsumi Kaneko | JP | Tokyo | 2009-05-14 / 20090122179 - IMAGING DEVICE | 3 |
Yoshiyuki Kaneko | JP | Shizuoka | 2015-03-26 / 20150086373 - JET PROPULSION WATERCRAFT | 4 |
Kenji Kaneko | JP | Tochigi | 2014-12-25 / 20140374947 - APPARATUS AND METHOD FOR MANUFACTURING MICROPOROUS PLASTIC FILM ROLL | 1 |
Kenji Kaneko | JP | Fukuoka-Shi | 2012-02-02 / 20120028808 - SUPERCONDUCTOR SUPERIOR IN DEPENDENCY OF CRITICAL CURRENT DENSITY ON MAGNETIC FIELD ANGLE | 3 |
Masami Kaneko | JP | Ichihara-Shi | 2012-04-12 / 20120088920 - CLATHRATE COMPOUND, CURING CATALYST, COMPOSITION FOR FORMING CURED RESIN, AND CURED RESIN | 1 |
Takeharu Kaneko | JP | Setagaya | 2015-04-09 / 20150100825 - INFORMATION PROCESSING DEVICE AND METHOD | 1 |
Kenji Kaneko | JP | Ashigarakami-Gun | 2014-01-23 / 20140024930 - OPTICAL IMAGING APPARATUS FOR DIAGNOSIS AND IMAGE PROCESSING METHOD | 2 |
Tatsuo Kaneko | US | San Diego | 2015-11-12 / 20150320084 - ENHANCED PRODUCTION OF LIPIDS CONTAINING POLYENOIC FATTY ACIDS BY VERY HIGH DENSITY CULTURES OF EUKARYOTIC MICROBES IN FERMENTORS | 2 |
Takayoshi Kaneko | JP | Yamaguchi | 2010-09-30 / 20100249291 - REACTIVE HOT-MELT COMPOSITION AND MOLDED ARTICLE USING THE SAME | 1 |
Takahisa Kaneko | JP | Okazaki-Shi | 2013-10-17 / 20130272043 - ELECTRIC POWER CONVERTER | 3 |
Tetsuya Kaneko | JP | Okazaki-Shi | 2015-10-08 / 20150284020 - BALL SCREW DEVICE, POWER TRANSFER MECHANISM, ELECTRIC POWER STEERING APPARATUS, AND METHOD FOR MANUFACTURING BALL SCREW DEVICE | 5 |
Tomohiko Kaneko | JP | Okazaki-Shi | 2016-05-19 / 20160141691 - FUEL CELL SYSTEM | 8 |
Haruka Kaneko | JP | Kyoto | 2012-04-05 / 20120081876 - PROTECTION COVER, ELECTRONIC DEVICE AND COVER HOLDING STRUCTURE | 11 |
Hiroaki Kaneko | JP | Osaka | 2013-05-23 / 20130127249 - Power Supply System, Controller of Power Supply System, Method of Operating Power Supply System, and Method of Controlling Power Supply System | 7 |
Yoshio Kaneko | JP | Ritto-Shi, Shiga | 2016-05-12 / 20160131278 - METHOD OF REHABILITATING EXISTING PIPE AND SUPPORT MEMBER FOR REHABILITATING PIPE | 1 |
Katsumi Kaneko | JP | Chiba | 2012-07-12 / 20120174936 - Methods for Increasing Mesopores into Microporous Carbon | 1 |
Kentaro Kaneko | JP | Nagano | 2015-10-08 / 20150289380 - WIRING BOARD AND METHOD OF FABRICATING THE SAME | 13 |
Shigeo Kaneko | JP | Kawasaki-Shi | 2014-12-04 / 20140353149 - TUNNEL MAGNETO-RESISTANCE ELEMENT MANUFACTURING APPARATUS | 1 |
Takeshi Kaneko | NL | 'S Hertogenbosch | 2014-12-11 / 20140362355 - LITHOGRAPHIC APPARATUS AND SURFACE CLEANING METHOD | 8 |
Yoshimichi Kaneko | JP | Aichi-Ken | 2015-09-10 / 20150251629 - WEBBING TAKE-UP DEVICE | 3 |
Yoshihiro Kaneko | JP | Fussa-Shi | 2014-11-20 / 20140344624 - OPERATION DATA ANALYSIS APPARATUS, METHOD AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 12 |
Hiroyuki Kaneko | JP | Tokyo | 2016-03-17 / 20160073861 - SHEATH FOR ENDOSCOPE | 8 |
Chie Kaneko | JP | Ibraraki | 2012-02-16 / 20120040476 - IMMUNOASSAY REAGENT FOR KL-6 ASSAY | 1 |
Shouta Kaneko | JP | Sapporo-Shi, Hokkaido | 2016-04-07 / 20160095382 - INSOLE FOR SHOE | 1 |
Tatsushi Kaneko | JP | Joetsu-Shi | 2010-12-09 / 20100310986 - POSITIVE RESIST COMPOSITIONS AND PATTERNING PROCESS | 1 |
Yukihiro Kaneko | JP | Saitama-Shi | 2016-05-19 / 20160142635 - IMAGING MODULE, ELECTRONIC DEVICE, AND IMAGING-MODULE MANUFACTURING METHOD | 5 |
Shizuma Kaneko | JP | Saitama-Shi | 2015-05-28 / 20150147213 - GAS COMPRESSOR | 3 |
Masao Kaneko | JP | Saitama-Shi | 2011-02-03 / 20110024646 - ULTRAVIOLET IRRADIATION WATER TREATMENT APPARATUS | 1 |
Hiroyoshi Kaneko | JP | Saitama-Shi | 2015-12-24 / 20150372498 - POWER RECEIVING DEVICE, POWER TRANSMITTING DEVICE AND POWER TRANSFER SYSTEM | 1 |
Shuichi Kaneko | JP | Tokyo | 2016-05-12 / 20160129652 - MOLDING ELEMENT COMPRISING CUTTING MEANS FOR MOLDING AND VULCANIZING A TIRE TREAD | 4 |
Shuichi Kaneko | FR | Clermont-Ferrand | 2015-02-05 / 20150037449 - MOLDING ELEMENT COMPRISING CUTTING MEANS FOR MOLDING AND VULCANIZING A TIRE TREAD | 1 |
Shuichi Kaneko | JP | Shinjuku-Ku | 2015-02-05 / 20150034223 - TREAD FOR PNEUMATIC TIRE | 1 |
Shuichi Kaneko | JP | Ota-Shi | 2013-07-25 / 20130186533 - TREAD FOR WINTER-USE PNEUMATIC TIRES | 1 |
Shuichi Kaneko | JP | Ishikawa | 2015-05-14 / 20150133335 - DETECTION OF DIGESTIVE ORGAN CANCER, GASTRIC CANCER, COLORECTAL CANCER, PANCREATIC CANCER, AND BILIARY TRACT CANCER BY GENE EXPRESSION PROFILING | 5 |
Shuichi Kaneko | JP | Kanazawa-Shi | 2012-06-21 / 20120157341 - DETECTION OF DIGESTIVE ORGAN CANCER, GASTRIC CANCER, COLORECTAL CANCER, PANCREATIC CANCER, AND BILIARY TRACT CANCER BY GENE EXPRESSION PROFILING | 1 |
Takashi Kaneko | JP | Ibaraki-Ken | 2012-04-19 / 20120090499 - DRIVING SYSTEM FOR RAILROAD VEHICLE | 1 |
Makoto Kaneko | JP | Tokyo | 2012-11-15 / 20120289335 - GAMING MACHINE | 4 |
Yutaro Kaneko | JP | Kanagawa | 2012-02-16 / 20120041661 - PARKING LOCK DEVICE | 1 |
Atsushi Kaneko | JP | Kanagawa | 2016-03-10 / 20160068984 - ZINC-NICKEL ALLOY PLATING SOLUTION AND PLATING METHOD | 15 |
Michio Kaneko | JP | Futtsu-Shi | 2012-02-09 / 20120034372 - SOLID POLYMER TYPE FUEL CELL SEPARATOR AND METHOD OF PRODUCTION OF SAME | 2 |
Toshimitsu Kaneko | JP | Kanagawa | 2014-05-22 / 20140139135 - ILLUMINATION APPARATUS | 9 |
Toshimitsu Kaneko | JP | Kawasaki-Shi | 2014-09-18 / 20140267820 - IMAGE PROCESSING APPARATUS AND METHOD | 16 |
Tadaaki Kaneko | JP | Hyogo | 2010-06-10 / 20100143828 - METHOD OF MASK FORMING AND METHOD OF THREE-DIMENSIONAL MICROFABRICATION | 1 |
Tadaaki Kaneko | JP | Sanda-Shi | 2016-04-28 / 20160118257 - METHOD FOR TREATING SURFACE OF SILICON-CARBIDE SUBSTRATE | 7 |
Michio Kaneko | JP | Tokyo | 2013-05-30 / 20130136137 - APPARATUS AND METHOD FOR DATA TRANSMISSION | 6 |
Yu Kaneko | JP | Kanagawa-Ken | 2014-04-24 / 20140114614 - REMOTE MONITORING SYSTEM, REMOTE MONITORING APPARATUS, COMMUNICATION APPARATUS, AND REMOTE MONITORING METHOD | 7 |
Takahiro Kaneko | JP | Kanagawa-Ken | 2010-10-28 / 20100271923 - Optical pickup | 1 |
Mizuki Kaneko | JP | Kanagawa-Ken | 2013-09-05 / 20130229853 - SEMICONDUCTOR MEMORY DEVICE | 2 |
Michio Kaneko | JP | Chiba | 2009-05-28 / 20090133783 - Colored Pure Titanium or Titanium Alloy Having Low Susceptibility to Discoloration in Atmospheric Environment | 3 |
Tadahiro Kaneko | JP | Tokyo | 2010-04-29 / 20100105830 - METHOD FOR PRODUCING OPTICAL FILM, OPTICAL FILM, POLARIZING PLATE AND DISPLAY | 1 |
Akira Kaneko | JP | Wako-Shi | 2009-05-07 / 20090113987 - COLLISION TEST APPARATUS AND COLLISION TEST METHOD | 1 |
Satoko Kaneko | JP | Tokyo | 2010-12-30 / 20100330431 - ELECTRIC STORAGE DEVICE AND FABRICATING METHOD THEREFOR | 6 |
Susumu Kaneko | JP | Shizuoka-Shi | 2016-03-24 / 20160084467 - VEHICULAR LAMP | 1 |
Masahito Kaneko | JP | Hiroshima-Ken | 2010-08-26 / 20100212523 - PRINTED ITEM CONTACT MEMBER AND MEMBER FOR PRINTING APPARATUS | 1 |
Yuriko Kaneko | JP | Nara | 2014-10-02 / 20140293737 - ACOUSTO-OPTIC IMAGE CAPTURE DEVICE | 16 |
Nobuhiro Kaneko | JP | Kanagawa | 2015-10-01 / 20150282084 - COMMUNICATION CONTROL DEVICE, COMMUNICATION CONTROL METHOD, AND RECORDING MEDIUM | 10 |
Kunihiro Kaneko | JP | Saitama-Shi | 2014-09-04 / 20140249726 - VEHICLE START-UP CONTROL MECHANISM | 2 |
Naoto Kaneko | JP | Tokyo | 2016-03-03 / 20160060630 - METHOD FOR EVALUATING REDOX ACTIVITY OF NUCLEIC ACID MOLECULE AND NUCLEIC ACID MOLECULE HAVING REDOX ACTIVITY | 6 |
Isao Kaneko | JP | Wako-Shi | 2009-04-02 / 20090087364 - EXHAUST GAS PURIFYING APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Hiroyoshi Kaneko | JP | Saitama City | 2010-02-11 / 20100033156 - NON-CONTACT POWER FEEDER | 1 |
Naoto Kaneko | JP | Sendai-Shi | 2015-07-02 / 20150185890 - TRANSPARENT CONDUCTOR, INPUT DEVICE AND ELECTRONIC APPARATUS | 3 |
Toshimitsu Kaneko | JP | Kawasaki-Shi | 2014-09-18 / 20140267820 - IMAGE PROCESSING APPARATUS AND METHOD | 16 |
Naoto Kaneko | JP | Miyagi | 2012-10-11 / 20120258334 - TRANSPARENT CONDUCTIVE ELEMENT AND TRANSPARENT CONDUCTIVE ELEMENT MANUFACTURING METHOD | 1 |
Naoto Kaneko | JP | Utsunomiya-Shi | 2014-09-04 / 20140246225 - TRANSPARENT CONDUCTIVE ELEMENT, INPUT DEVICE, ELECTRONIC APPARATUS, AND MASTER FOR PRODUCING TRANSPARENT CONDUCTIVE ELEMENT | 1 |
Atsuhi Kaneko | JP | Yokohama | 2013-12-05 / 20130321989 - BASE STATION | 1 |
Shinobu Kaneko | JP | Nagano | 2015-05-21 / 20150136537 - VEHICLE DISC BRAKE | 2 |
Naoki Kaneko | JP | Kyoto-Shi | 2016-01-07 / 20160003830 - MULTIPLEX COLON CANCER MARKER PANEL | 6 |
Naoki Kaneko | JP | Aki-Gun | 2014-01-30 / 20140028057 - UPPER VEHICLE-BODY STRUCTURE OF VEHICLE | 2 |
Naoki Kaneko | JP | Hachioji-Shi | 2012-07-26 / 20120187588 - Device and Manufacturing Resin Molded Articles for Use in Optical Elements, and Method for Manufacturing Optical Elements | 1 |
Naoki Kaneko | JP | Aichi | 2010-07-15 / 20100176523 - Device for and Method of Manufacturing Optical Part | 2 |
Hitomi Kaneko | JP | Saitama | 2015-08-27 / 20150243010 - IMAGE INSPECTION DEVICE, IMAGE INSPECTION SYSTEM, AND RECORDING MEDIUM STORING IMAGE INSPECTION PROGRAM | 13 |
Masafumi Kaneko | JP | Takasaki-Shi | 2010-08-26 / 20100215625 - EFFICIENT PREPARATION OF NAPHTHOQUINONE ANTICANCER ACTIVE INGREDIENTS | 1 |
Hiroshi Kaneko | JP | Tokyo | 2014-10-30 / 20140318673 - COPPER ALLOY MATERIAL, ELECTRICAL OR ELECTRONIC PARTS, AND METHOD OF PRODUCING A COPPER ALLOY MATERIAL | 19 |
Yuki Kaneko | JP | Kawasaki | 2014-12-18 / 20140369669 - ELECTRONIC DEVICE AND VALUE EXCHANGE METHOD | 1 |
Ryuta Kaneko | JP | Okazaki-City | 2012-03-08 / 20120058724 - In-vehicle apparatus | 1 |
Nobuo Kaneko | JP | Niiza-Shi | 2011-09-29 / 20110233538 - COMPOUND SEMICONDUCTOR DEVICE | 6 |
Shuichi Kaneko | JP | Niiza-Shi | 2012-10-11 / 20120258578 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 5 |
Shizuma Kaneko | JP | Saitama | 2014-12-18 / 20140369878 - GAS COMPRESSOR | 1 |
Takumi Kaneko | JP | Tokyo | 2015-10-08 / 20150283821 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE RECORDING APPARATUS | 18 |
Yuushi Kaneko | JP | Minami-Ashigara-Shi | 2014-12-25 / 20140374645 - MAGNETIC RECORDING MEDIUM AND COATING COMPOSITION FOR MAGNETIC RECORDING MEDIUM | 1 |
Satoshi Kaneko | JP | Tomi-City | 2013-10-10 / 20130265367 - INKJET PRINTER AND PRINTING METHOD | 1 |
Masaaki Kaneko | US | Round Rock | 2011-05-26 / 20110121905 - Wide Range Interpolative Voltage Controlled Oscillator | 17 |
Daisuke Kaneko | JP | Yokohama-Shi | 2014-12-25 / 20140373351 - PROCESS FOR PRODUCING FORGED PRODUCT | 1 |
Emi Kaneko | JP | Yokohama-Shi | 2011-02-10 / 20110031383 - LENS ABERRATION CORRECTION IN A DOUBLY TELECENTRIC DISPLACEMENT SENSOR | 1 |
Taro Kaneko | JP | Chiba | 2013-10-10 / 20130265501 - REMOTE TOUCH GESTURES | 1 |
Yoshiko Kaneko | JP | Fukushima-Ken | 2012-02-02 / 20120027949 - ADHESIVE RESIN COMPOSITION AND BONDING METHOD | 2 |
Yoshiaki Kaneko | JP | Shizuoka | 2015-09-17 / 20150261146 - IMAGE FORMING APPARATUS AND METHOD USING DECOLORABLE INK | 12 |
Masakatsu Kaneko | JP | Yokohama-Shi | 2011-01-13 / 20110009471 - Oligonucleotide analogues and methods utilizing the same | 2 |
Chiaki Kaneko | JP | Yokohama-Shi | 2015-10-15 / 20150292871 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 7 |
Katsuyuki Kaneko | JP | Yokohama-Shi | 2010-12-16 / 20100313906 - Cosmetic For Eyelashes | 1 |
Kumiko Kaneko | JP | Yokohama-Shi | 2010-09-30 / 20100242259 - ELECTRONIC MODULE AND METHOD OF MANUFACTURING ELECTRONIC MODULE | 3 |
Satoshi Kaneko | JP | Kanagawa | 2016-05-05 / 20160124367 - IMAGE FORMING APPARATUS | 17 |
Taketo Kaneko | JP | Yokohama-Shi | 2013-09-26 / 20130248106 - SECONDARY BATTERY AND METHOD OF PRODUCING THE SECONDARY BATTERY | 4 |
Tomoharu Kaneko | JP | Yokohama-Shi | 2010-02-11 / 20100035618 - COMMUNICATION TERMINAL APPARATUS, NETWORK SYSTEM AND HANDOVER METHOD | 1 |
Masaru Kaneko | JP | Yokohama-Shi | 2009-12-10 / 20090303517 - IMAGE FORMING APPARATUS, IMAGE FORMATION CONTROLLING METHOD, AND COMPUTER READABLE MEDIUM STORING INSTRUCTIONS FOR PERFORMING THE IMAGE FORMATION CONTROLLING METHOD | 1 |
Isamu Kaneko | JP | Yokohama-Shi | 2009-09-03 / 20090221845 - FLUORINATED COMPOUND, AND FLUOROPOLYMER, PROCESS FOR ITS PRODUCTION AND RESIST COMPOSITION CONTAINING IT | 1 |
Kimiyoshi Kaneko | JP | Tokyo | 2012-04-12 / 20120088936 - METHOD FOR SYNTHESIZING COMPOUND AND CATALYST FOR SYNTHESIS REACTION | 2 |
Toshihiko Kaneko | JP | Tsukuba | 2013-08-08 / 20130203741 - FUSED AMINODIHYDROTHIAZINE DERIVATIVES | 18 |
Shigemitsu Kaneko | JP | Fukushima-Ken | 2010-09-09 / 20100227564 - COMMUNICATION MODULE AND COMMUNICATION METHOD | 1 |
Maki Kaneko | JP | Yokohama-Shi | 2012-11-08 / 20120283302 - SCCA-1 PRODUCTION INHIBITOR HAVING A CARBOXAMIDE DERIVATIVE AND/OR A SALT THEREOF AS AN ACTIVE INGREDIENT | 2 |
Hiroaki Kaneko | JP | Yokohama-Shi | 2009-05-28 / 20090133387 - PARTICULATE MATTER TRAP FILTER REGENERATION TEMPERATURE CONTROL FOR INTERNAL COMBUSTION ENGINE | 1 |
Rie Kaneko | JP | Kawasaki-Shi | 2013-10-17 / 20130273389 - HOT-DIP GALVANIZED STEEL SHEET AND METHOD FOR PRODUCING THE SAME | 1 |
Masanobu Kaneko | JP | Yokohama-Shi | 2010-11-18 / 20100290112 - TELESCOPE AND BINOCULAR BODY MEMBER | 2 |
Jiro Kaneko | JP | Kanagawa | 2013-06-20 / 20130155603 - SUPPLEMENTARY DISK-ENCLOSURE COVER CONFIGURED TO SHIELD A HARD-DISK DRIVE AGAINST ELECTROMAGNETIC INTERFERENCE | 2 |
Hitomi Kaneko | JP | Saitama | 2015-08-27 / 20150243010 - IMAGE INSPECTION DEVICE, IMAGE INSPECTION SYSTEM, AND RECORDING MEDIUM STORING IMAGE INSPECTION PROGRAM | 13 |
Satoshi Kaneko | JP | Koshi-Shi | 2014-02-27 / 20140053882 - LIQUID PROCESSING APPARATUS AND LIQUID PROCESSING METHOD | 3 |
Naoya Kaneko | JP | Susono-Shi | 2015-08-06 / 20150218989 - METHOD AND AN APPARATUS FOR WARMING A CATALYST IN AN INTERNAL COMBUSTION ENGINE | 6 |
Naoya Kaneko | JP | Aichi-Ken | 2010-02-18 / 20100037595 - CONTROL DEVICE OF AN INTERNAL COMBUSTION ENGINE | 1 |
Naoya Kaneko | JP | Shizuoka-Ken | 2010-08-05 / 20100192882 - CONTROL DEVICE AND CONTROL METHOD FOR INTERNAL COMBUSTION ENGINE | 3 |
Satoru Kaneko | JP | Ibaraki | 2009-06-11 / 20090145121 - Hydraulic Drive Device for Dump Truck | 2 |
Hideo Kaneko | JP | Joetsu-Shi | 2016-02-04 / 20160033859 - DESIGNING OF PHOTOMASK BLANK AND PHOTOMASK BLANK | 17 |
Satoru Kaneko | JP | Kasumigaura-Shi | 2009-09-03 / 20090218875 - TRANSPORTER VEHICLE | 1 |
Satoru Kaneko | JP | Naka | 2013-11-28 / 20130317684 - WHEEL LOADER | 11 |
Satoru Kaneko | JP | Tokyo | 2015-09-24 / 20150267380 - WORK VEHICLE | 7 |
Hiroshi Kaneko | JP | Meguro-Ku | 2015-04-09 / 20150096552 - LINEAR SOLAR ENERGY COLLECTOR SYSTEM AND SOLAR POWER GENERATOR SYSTEM | 1 |
Yasuhisa Kaneko | JP | Ashigarakami-Gun | 2014-05-08 / 20140124674 - RADIOLOGICAL IMAGE CONVERSION PANEL, METHOD OF MANUFACTURING THE SAME, AND RADIOLOGICAL IMAGE DETECTION APPARATUS | 20 |
Masanori Kaneko | JP | Kawasaki-Shi | 2015-07-02 / 20150183239 - MOTOR CONTROL METHOD AND PRINT APPARATUS | 3 |
Takashi Kaneko | JP | Nagoya-City | 2013-02-14 / 20130038071 - POWER GENERATOR | 11 |
Yoshiaki Kaneko | JP | Mishima Shizuoka | 2016-03-03 / 20160059564 - INKJET APPARATUS THAT CONTROLS A FLOW RATE OF LIQUID CIRCULATED THEREIN | 3 |
Katsumi Kaneko | JP | Nagano | 2015-06-25 / 20150174611 - METHOD FOR FORMING CNT (CARBON NANOTUBE) FILM | 1 |
Yoshika Kaneko | JP | Hunabashi-Shi | 2010-06-17 / 20100150199 - Nitride semiconductor light-emitting device | 2 |
Yoshika Kaneko | JP | Funabashi-Shi | 2012-08-30 / 20120220122 - NITRIDE SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Takanobu Kaneko | US | 2015-06-25 / 20150176673 - SHOCK ABSORBING MEMBER | 1 | |
Kazuaki Kaneko | JP | Tokyo | 2013-11-21 / 20130310535 - POLYCARBONATE RESIN AND PROCESS FOR PRODUCTION THEREOF | 9 |
Kazuaki Kaneko | JP | Fuchu-Shi | 2010-04-22 / 20100096262 - ELECTROSTATIC CHUCK | 3 |
Kazuaki Kaneko | JP | Setagaya-Ku | 2009-08-06 / 20090197418 - SUBSTRATE PROCESSING APPARATUS | 2 |
Tsuyoshi Kaneko | JP | Shimosuwa | 2015-06-25 / 20150180208 - VERTICAL CAVITY SURFACE EMITTING LASER AND ATOMIC OSCILLATOR | 7 |
Tsuyoshi Kaneko | JP | Shimosuwa-Machi | 2012-05-03 / 20120106126 - WAVELENGTH CONVERSION ELEMENT, LIGHT SOURCE DEVICE, AND PROJECTOR | 2 |
Kanji Kaneko | JP | Wako-Shi | 2016-04-21 / 20160107700 - VEHICLE BODY SIDE STRUCTURE | 2 |
Kazuyuki Kaneko | JP | Wako-Shi | 2015-03-26 / 20150083872 - SEAT MOUNTING STRUCTURE | 4 |
Takashi Kaneko | JP | Yamagata | 2015-01-15 / 20150016625 - AUDIO PROCESSING DEVICE | 1 |
Mai Kaneko | JP | Fukuoka | 2012-06-21 / 20120157303 - COATING SOLUTION FOR HEAT-SENSITIVE COLOR-DEVELOPING LAYER, AND HEAT-SENSITIVE RECORDING MATERIAL | 1 |
Takeshi Kaneko | JP | Toyko | 2015-06-11 / 20150160664 - EXPANSION VALVE | 1 |
Takeshi Kaneko | JP | Suitawa | 2015-06-11 / 20150158475 - MASTER BRAKE CYLINDER FOR A BRAKING SYSTEM OF A VEHICLE AND METHOD FOR OPERATING A MASTER BRAKE CYLINDER | 1 |
Tetsuya Kaneko | JP | Wako-Shi | 2012-09-20 / 20120234085 - AIR-FUEL RATIO ESTIMATING/DETECTING DEVICE | 1 |
Norimitsu Kaneko | JP | Tokyo | 2013-10-31 / 20130288311 - CELLULOSE SACCHARIFICATION APPARATUS, BIOMASS SACCHARIFICATION APPARATUS, FERMENTATION APPARATUS AND CELLULOSE SACCHARIFICATION METHOD | 1 |
Yujiro Kaneko | JP | Tokyo | 2009-05-07 / 20090116344 - INFORMATION RECORDING METHOD, INFORMATION RECORDING MEDIUM, AND INFORMATION RECORDING APPARATUS | 2 |
Michael Kaneko | US | Walnut | 2015-01-22 / 20150021351 - Pedometer Dispenser | 1 |
Yuhei Kaneko | JP | Tokyo | 2015-01-22 / 20150022282 - SEMICONDUCTOR DEVICE AND METHOD FOR ADJUSTING IMPEDANCE OF OUTPUT CIRCUIT | 1 |
Masayoshi Kaneko | JP | Tokyo | 2011-06-09 / 20110132452 - Dye-Sensitized Photovoltaic Device | 8 |
Shuhei Kaneko | JP | Yokohama-Shi | 2015-01-22 / 20150022988 - LID BODY PORTION AND ELECTRONIC DEVICE PACKAGE USING THE LID BODY PORTION AND ELECTRONIC DEVICE | 1 |
Nobuya Kaneko | JP | Kawasaki-Shi | 2014-05-29 / 20140145084 - OPTICAL MEASURING DEVICE | 2 |
Mana Kaneko | JP | Chiyoda-Ku | 2015-11-05 / 20150317174 - HOST PROVIDING SYSTEM AND HOST PROVIDING METHOD | 2 |
Takayuki Kaneko | JP | Machida-Shi | 2015-06-04 / 20150153986 - IMAGE FORMING APPARATUS, METHOD FOR CONTROLLING IMAGE FORMING APPARATUS, AND COMPUTER-READABLE STORAGE MEDIUM STORING PROGRAM | 4 |
Manabu Kaneko | JP | Hachioji-Shi | 2013-02-14 / 20130038659 - INKJET INK AND INKJET RECORDING METHOD | 1 |
Manabu Kaneko | JP | Toyohashi-Shi | 2015-11-26 / 20150337099 - FIBER-REINFORCED COMPOSITE MATERIAL | 14 |
Manabu Kaneko | JP | Aichi | 2015-04-09 / 20150099832 - Epoxy Resin Composition, Prepreg, and Fiber-Reinforced Composite Material | 4 |
Takuro Kaneko | JP | Yokohama-Shi | 2015-10-01 / 20150280864 - Communication Device, Communication Program, Communication Method, and Information Processing Device | 2 |
Toshio Kaneko | JP | Yokohama-Shi | 2015-06-04 / 20150152102 - PIPERIDINYLPYRAZOLOPYRIDINE DERIVATIVE | 1 |
Shinji Kaneko | JP | Oyama-Shi | 2016-03-24 / 20160084275 - WORK VEHICLE, AND CONTROL METHOD FOR WORK VEHICLE | 8 |
Noburo Kaneko | JP | Oyama-Shi | 2014-05-15 / 20140135313 - 1,4-BENZOTHIAZEPINE-1-OXIDE DERIVATIVE AND PHARMACEUTICAL COMPOSITION UTILIZING THE SAME | 1 |
Noboru Kaneko | JP | Oyama-Shi | 2014-10-02 / 20140296253 - DIPHENYLMETHYL PIPERAZINE DERIVATIVE AND PHARMACEUTICAL COMPOSITION USING SAME | 2 |
Denjiro Kaneko | JP | Oyama-Shi | 2012-04-05 / 20120079865 - METHOD OF EXTRUSION FORMING | 1 |
Susumu Kaneko | JP | Tokyo | 2012-09-20 / 20120239339 - WIRELESS PROBE CARD VERIFICATION SYSTEM AND METHOD | 1 |
Susumu Kaneko | JP | Isesaki-Shi | 2015-09-24 / 20150271933 - Electronic Control Device | 7 |
Susumu Kaneko | JP | Hitachi-Shi | 2012-08-30 / 20120217045 - THERMOSETTING RESIN COMPOSITION, METHOD FOR FORMING PROTECTIVE FILM FOR FLEXIBLE WIRING BOARD, AND FLEXIBLE WIRING BOARD | 1 |
Masayuki Kaneko | JP | Kariya-Shi | 2011-12-22 / 20110313640 - Control System Including Electronic Control Apparatus and Sensor Apparatuses Bus-Connected to Electronic Control Apparatus | 2 |
Takanobu Kaneko | JP | Kariya-Shi | 2016-03-24 / 20160082911 - BUMPER JOINING STRUCTURE AND CRUSH BOX | 10 |
Atsushi Kaneko | JP | Kawasaki | 2012-04-05 / 20120080171 - HEAT RELAY MECHANISM AND HEAT-DISSIPATING FIN UNIT | 3 |
Yoshiaki Kaneko | JP | Tokyo | 2009-04-16 / 20090095619 - GAS TREATING APPARATUS | 1 |
Yoshiaki Kaneko | JP | Shizuoka-Ken | 2014-04-24 / 20140112693 - IMAGE FORMING APPARATUS | 21 |
Yoshiaki Kaneko | JP | Shizuoka | 2015-09-17 / 20150261146 - IMAGE FORMING APPARATUS AND METHOD USING DECOLORABLE INK | 12 |
Yoshiaki Kaneko | JP | Sano-Shi | 2010-11-25 / 20100294466 - OUTDOOR UNIT | 1 |
Toru Kaneko | JP | Tokyo | 2015-02-19 / 20150049140 - LIGHTWEIGHT COATED PAPER AND PRINT PRODUCTION METHOD USING THE SAME | 5 |
Haruka Kaneko | JP | Osaka | 2015-02-26 / 20150055282 - INFORMATION TERMINAL PROVIDED WITH STRUCTURE WHICH PREVENTS ERRONEOUS OPERATION OF ELECTROSTATIC INPUTTING DEVICE | 6 |
Masahiro Kaneko | JP | Shiga | 2011-05-26 / 20110126144 - EQUIPMENT MANAGEMENT APPARATUS | 1 |
Megumi Kaneko | JP | Kanagawa | 2012-03-22 / 20120070395 - NOVEL AMIDE DERIVATIVE AND WHITENING AGENT | 1 |
Yuji Kaneko | JP | Aichi | 2015-02-05 / 20150037566 - LAMINATE HAVING A TOP COAT LAYER CONTAINING FLAKY METAL OXIDE FINE PARTICLES | 1 |
Toshiaki Kaneko | JP | Tokyo | 2016-03-10 / 20160068312 - CONTAINER | 11 |
Toshiki Kaneko | JP | Chiba | 2015-12-24 / 20150370102 - LIQUID CRYSTAL DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 22 |
Kazuyoshi Kaneko | JP | Chiba | 2010-11-25 / 20100294781 - RESIN COMPOSITION AND MOLDED PRODUCT OBTAINED FROM THE COMPOSITION | 3 |
Hideyuki Kaneko | JP | Chiba | 2009-05-28 / 20090136774 - Resin Composition Comprising Olefinic Block polymer and Application Thereof | 3 |
Emi Kaneko | JP | Chiba | 2009-08-06 / 20090198085 - Process for Production of Radioactive Fluorine-Labeled Organic Compound | 1 |
Shin Kaneko | JP | Chiba | 2009-11-05 / 20090274470 - Optical Code Communication System | 1 |
Sachiko Kaneko | JP | Chiba | 2010-05-13 / 20100117373 - ELECTRIC POWER GENERATING APPARATUS | 2 |
Rihito Kaneko | JP | Nishikamo-Gun | 2011-10-20 / 20110257872 - KNOCK DETERMINING DEVICE | 6 |
Masaaki Kaneko | JP | Tokyo | 2012-10-25 / 20120269047 - METHOD FOR PERFORMING BURN-IN TEST | 2 |
Masaaki Kaneko | JP | Aichi | 2011-07-07 / 20110162606 - VALVE TIMING CONTROL APPARATUS | 1 |
Masaaki Kaneko | JP | Yokohama | 2010-03-18 / 20100066420 - Wide Range Operational Charge Pump Circuit | 2 |
Masaaki Kaneko | JP | Nukata-Gun | 2015-03-19 / 20150075459 - VARIABLE VALVE TIMING CONTROL UNIT | 4 |
Yuzo Kaneko | JP | Niigata | 2011-02-17 / 20110038650 - TONERS FOR ELECTROSTATIC-IMAGE DEVELOPMENT, CARTRIDGE EMPLOYING TONER FOR ELECTROSTATIC-IMAGE DEVELOPMENT, AND IMAGE-FORMING APPARATUS | 1 |
Masaaki Kaneko | JP | Itabashi-Ku | 2009-05-14 / 20090122638 - MIXING ELEMENTS OF STATIC MIXER | 1 |
Masaaki Kaneko | JP | Iwata-Shi | 2009-02-05 / 20090031986 - Intake Control Device For Vehicle Engine | 1 |
Kotaro Kaneko | JP | Tokyo | 2010-01-14 / 20100011290 - Print management method, recording medium storing a program, and print management apparatus | 1 |
Michio Kaneko | JP | Chiyoda-Ku | 2012-05-31 / 20120135855 - TITANIUM-BASED MATERIAL HAVING VISIBLE LIGHT RESPONSE AND EXCELLENT IN PHOTOCATALYTIC ACTIVITY AND METHOD OF PRODUCTION OF SAME | 1 |
Yoji Kaneko | JP | Akishima-Shi | 2010-04-01 / 20100077910 - FILTER DEVICE AND ELECTRONIC MUSICAL INSTRUMENT USING THE FILTER DEVICE | 1 |
Tomonao Kaneko | JP | Tsurugashima-Shi | 2009-10-15 / 20090256049 - Quakeproof plinth to shelter a showpiece thereon | 1 |
Tadasuke Kaneko | JP | Tokyo | 2014-03-27 / 20140085376 - METHOD FOR CLEANING INKJET RECORDING HEAD, AND METHOD FOR FORMING IMAGE | 1 |
Mitsugu Kaneko | JP | Tochigi | 2016-02-18 / 20160045975 - SEAM WELDING METHOD AND SYSTEM | 4 |
Miyako Kaneko | JP | Nirasaki City | 2015-05-14 / 20150128995 - SUBSTRATE CLEANING METHOD, SUBSTRATE CLEANING SYSTEM, AND MEMORY MEDIUM | 8 |
Hirohiko Kaneko | JP | Tokyo | 2010-07-29 / 20100191156 - HUMAN STATE ESTIMATING DEVICE AND METHOD | 1 |
Satoshi Kaneko | JP | Yokohama | 2012-11-01 / 20120278574 - METHOD OF CONSTRUCTING REPLICATION ENVIRONMENT AND STORAGE SYSTEM | 9 |
Toshimitsu Kaneko | JP | Kawasaki | 2016-05-12 / 20160131730 - MAGNETIC RESONANCE IMAGING APPARATUS, IMAGE PROCESSING APPARATUS, AND IMAGE PROCESSING METHOD | 2 |
Teruhisa Kaneko | JP | Tokyo | 2011-04-07 / 20110081402 - Cosmetic base comprising collagen-modified liposome and skin cosmetic containing the same | 1 |
Yoshimasa Kaneko | JP | Wako-Shi | 2010-06-17 / 20100147260 - DIRECT FUEL-INJECTION ENGINE | 1 |
Hidefumi Kaneko | JP | Tokyo | 2013-12-12 / 20130332918 - DEVICE AND METHOD FOR TIME NOTIFICATION FOR UPDATING SOFTWARE | 1 |
Yoshimasa Kaneko | JP | Yonezawa-Shi | 2010-01-21 / 20100013825 - DISPLAY DEVICE AND METHOD FOR DRIVING THE DISPLAY DEVICE | 1 |
Makoto Kaneko | JP | Suita-Shi | 2015-03-12 / 20150072370 - METHOD OF EVALUATING WETTING CHARACTERISTIC OF OBJECT | 1 |
Tomonari Kaneko | JP | Yamato-Shi | 2010-02-04 / 20100029119 - CABLE CONNECTOR | 1 |
Shuhei Kaneko | JP | Kawasaki-Shi | 2014-04-10 / 20140098400 - IMAGE FORMING APPARATUS, CONTROL METHOD THEREFOR, PRINTING SYSTEM, AND NON-TRANSITORY COMPUTER-READABLE MEDIUM | 4 |
Shuhei Kaneko | JP | Tokyo | 2015-07-09 / 20150191906 - SEISMIC ISOLATION APPARATUS | 9 |
Taro Kaneko | JP | Tokyo | 2010-07-15 / 20100178012 - OPTICAL TRANSMISSION MODULE AND MANUFACTURING METHOD THEREOF | 1 |
Hiroshi Kaneko | JP | Nirasaki-Shi | 2012-05-17 / 20120118231 - SUBSTRATE PROCESSING METHOD, STORAGE MEDIUM, AND SUBSTRATE PROCESSING APPARATUS | 1 |
Toshimi Kaneko | JP | Wako-Shi, Saitama | 2015-12-10 / 20150353075 - HYBRID VEHICLE AND METHOD FOR CONTROLLING SAME | 1 |
Takeshi Kaneko | JP | Hiratsuka-Shi, Kanagawa | 2015-12-10 / 20150352907 - Pneumatic Tire | 1 |
Satoru Kaneko | JP | Naka-Shi | 2013-06-13 / 20130151055 - HYBRID WHEEL LOADER | 4 |
Katsura Kaneko | JP | Shizuoka | 2010-08-05 / 20100197919 - METHOD FOR PRODUCING MACROLIDE COMPOUND AND PRODUCTION INTERMEDIATE THEREOF | 1 |
Kotaro Kaneko | JP | Osaka | 2016-05-19 / 20160142577 - ELECTRONIC APPARATUS THAT USES PROGRAM FROM ANOTHER PROGRAM WORKING ON DIFFERENT PLATFORMS, AND METHOD | 7 |
Fuminori Kaneko | JP | Osaka | 2008-09-25 / 20080229936 - Steam Cooker and Steam Generator | 1 |
Kantaro Kaneko | JP | Osaka | 2011-06-23 / 20110150694 - METHOD FOR MANUFACTURING Ti PARTICLE-DISPERSED MAGNESIUM-BASED COMPOSITE MATERIAL | 6 |
Shinya Kaneko | JP | Osaka | 2011-03-17 / 20110062267 - SEATBELT RETRACTOR | 3 |
Masaaki Kaneko | JP | Yokohama-Shi | 2011-09-29 / 20110234276 - VOLTAGE-CURRENT CONVERTER CIRCUIT AND PLL CIRCUIT HAVING THE SAME | 1 |
Takuji Kaneko | JP | Osaka | 2010-03-11 / 20100059650 - SUPPORT DEVICE | 1 |
Tomoaki Kaneko | JP | Shizuoka | 2010-04-08 / 20100087261 - FIXED TYPE CONSTANT VELOCITY UNIVERSAL JOINT | 1 |
Takeaki Kaneko | JP | Shizuoka | 2009-10-22 / 20090260232 - SHIELDED CONNECTOR AND METHOD OF MANUFACTURING SHIELDED CONNECTOR | 1 |
Isao Kaneko | JP | Shizuoka | 2009-09-24 / 20090240057 - 3,4-Dihalogenoisothiazole Derivative, and Agricultural or Horticultural Plant Disease-Controlling Agent | 2 |
Toru Kaneko | JP | Shizuoka | 2013-05-16 / 20130122262 - Carbon Fiber Bundle, Method for Producing The Same, and Molded Article Made Thereof | 2 |
Naoji Kaneko | JP | Toyokawa-Shi | 2014-06-19 / 20140169419 - TRANSCEIVER AND COMMUNICATION APPARATUS TRANSMITTING AND RECEIVING DATA ENCODED BY TRANSMISSION CODE | 8 |
Akira Kaneko | JP | Toyota-Shi | 2015-12-17 / 20150360636 - VEHICULAR SEAT | 1 |
Makoto Kaneko | JP | Kanagawa | 2011-09-29 / 20110235029 - PATTERN MEASURING METHOD AND PATTERN MEASURING APPARATUS | 1 |
Tomohiko Kaneko | JP | Okazaki-Shi | 2016-05-19 / 20160141691 - FUEL CELL SYSTEM | 8 |
Masato Kaneko | JP | Osaka | 2010-02-04 / 20100028049 - IMAGE FORMING APPARATUS | 1 |
Yuki Kaneko | JP | Kawasaki-Shi | 2015-03-19 / 20150081673 - ELECTRONIC APPARATUS, PROGRAM RECOMMENDATION SYSTEM, PROGRAM RECOMMENDATION METHOD, AND PROGRAM RECOMMENDATION PROGRAM | 7 |
Yuji Kaneko | JP | Tome-Shi | 2012-09-06 / 20120224999 - METHOD FOR PRODUCING SMALL-SIZED REACTOR AND SMALL-SIZED REACTOR | 1 |
Katsuhiro Kaneko | JP | Shiga | 2010-06-10 / 20100141128 - ORGANIC EL DISPLAY | 1 |
Makoto Kaneko | JP | Nishio-City | 2014-03-06 / 20140060498 - FUEL VAPOR TREATMENT SYSTEM | 2 |
Yuji Kaneko | JP | Anjo-Shi | 2009-08-20 / 20090206018 - STRAINERS FOR AIR CONDITIONING DEVICE | 1 |
Makoto Kaneko | JP | Otawara-Shi | 2011-08-25 / 20110206183 - X-RAY IMAGE DIAGNOSIS APPARATUS AND X-RAY IMAGE PROCESSING METHOD | 1 |
Makoto Kaneko | JP | Yokkaichi-Shi | 2014-07-31 / 20140212023 - PATTERN INSPECTION METHOD AND PATTERN INSPECTION APPARATUS | 5 |
Toshimitsu Kaneko | JP | Kanagawa | 2014-05-22 / 20140139135 - ILLUMINATION APPARATUS | 9 |
Kenichiro Kaneko | JP | Kiyosu-Shi | 2016-03-31 / 20160091107 - FUEL CUTOFF VALVE | 8 |
Nao Kaneko | JP | Suwa-Shi | 2008-10-09 / 20080246982 - Printing control according to combinations of color materials | 1 |
Akihiro Kaneko | JP | Tokyo | 2010-09-16 / 20100232296 - MOBILE COMMUNICATION SYSTEM | 3 |
Fuminari Kaneko | JP | Tokyo | 2012-11-22 / 20120295192 - TONER, DEVELOPER, TONER CONTAINER, IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND PROCESS CARTRIDGE | 5 |
Osamu Kaneko | US | Stanford | 2011-09-29 / 20110236385 - BLOCKING MESOTHELIN PEPTIDE FRAGMENTS | 1 |
Hiroaki Kaneko | JP | Tokyo | 2015-05-14 / 20150132279 - RADIATION STERILIZATION-RESISTANT PROTEIN COMPOSITION | 5 |
Syoichi Kaneko | JP | Ishioka City | 2009-11-05 / 20090274498 - FIXING DEVICE AND IMAGE FORMING APPARATUS WITH IMPROVED MECHANISM FOR STABLY APPLYING OIL FOR FIXING | 6 |
Hitoshi Kaneko | JP | Tokyo | 2008-11-20 / 20080283688 - HOLDER | 1 |
Ikuo Kaneko | JP | Tokyo | 2009-01-29 / 20090027915 - LIGHT SOURCE UNIT AND OBJECT READER | 1 |
Isao Kaneko | JP | Tokyo | 2011-11-10 / 20110275641 - Preventives or remedies for Alzheimer's disease, or amyloid protein fibril-formation inhibitors, which include a nitrogen-containing heteroaryl compound | 4 |
Toshiki Kaneko | JP | Chiba | 2015-12-24 / 20150370102 - LIQUID CRYSTAL DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 22 |
Kouichi Kaneko | JP | Tokyo | 2010-12-02 / 20100299836 - MATTRESS COVER FOR DISASTER TIME TRANSPORTATION | 1 |
Kouji Kaneko | JP | Tokyo | 2012-10-11 / 20120257420 - COIL BOBBIN, COIL COMPONENT AND SWITCHING POWER SOURCE APPARATUS | 4 |
Manabu Kaneko | JP | Tokyo | 2012-02-16 / 20120038725 - WATER-BASED INKJET INK, METHOD FOR PRODUCING INKJET PRINTED PRODUCT USING THE SAME, AND METHOD FOR PRODUCING WATER-BASED INKJET INK | 4 |
Masayoshi Kaneko | JP | Tokyo | 2011-06-09 / 20110132452 - Dye-Sensitized Photovoltaic Device | 8 |
Masayuki Kaneko | JP | Tokyo | 2009-01-01 / 20090005342 - Composition Having Blood Pressure Reducing and/or Elevation Suppressing Effect and Food and Drink Containing the Same | 1 |
Norio Kaneko | JP | Tokyo | 2010-09-02 / 20100221030 - Sheet material identifying apparatus, sheet material treating apparatus and sheet material identifying Method | 1 |
Ryuji Kaneko | JP | Tokyo | 2010-07-01 / 20100166052 - ENCODER, DECODER, ENCODING METHOD AND DECODING METHOD, AND RECORDING MEDIUM | 2 |
Shigeki Kaneko | JP | Tokyo | 2009-06-18 / 20090153175 - ELECTRONIC DEVICE TEST APPARATUS AND METHOD OF SETTING AN OPTIMUM PUSHING CONDITION FOR CONTACT ARM OF ELECTRONIC DEVICE TEST APPARATUS | 2 |
Yuji Kaneko | JP | Hitachi | 2010-08-19 / 20100206830 - HEAVY CONSTRUCTION INSTALLATION METHOD | 1 |
Shigeo Kaneko | JP | Tokyo | 2010-07-01 / 20100168909 - Substrate Processing Apparatus | 1 |
Shinichiro Kaneko | JP | Tokyo | 2015-12-10 / 20150354851 - COLD WATER CIRCULATION SYSTEM | 2 |
Shinya Kaneko | JP | Tokyo | 2008-11-06 / 20080271679 - PET SHEET | 1 |
Shoji Kaneko | JP | Tokyo | 2015-04-02 / 20150092490 - SEMICONDUCTOR DEVICE | 4 |
Shunko Kaneko | JP | Tokyo | 2010-12-23 / 20100324879 - CIRCUIT SIMULATION APPARATUS AND CIRCUIT SIMULATION METHOD | 1 |
Ryohei Kaneko | JP | Fujisawa-Shi | 2008-12-18 / 20080310780 - Sliding bearing | 1 |
Tadashi Kaneko | JP | Tokyo | 2016-04-28 / 20160113970 - PERIODONTAL TISSUE REGENERATION MATERIAL | 8 |
Seiji Kaneko | JP | Osaka-Shi | 2015-02-19 / 20150049290 - LIQUID-CRYSTAL DISPLAY APPARATUS | 11 |
Naoki Kaneko | JP | Kyoto | 2015-06-25 / 20150177263 - AFFINITY SUPPORT AND METHOD FOR TRAPPING SUBSTANCE USING THE SAME | 2 |
Tetsuya Kaneko | JP | Tokyo | 2015-07-23 / 20150208001 - IMAGING DEVICE, IMAGING METHOD, AND PROGRAM | 3 |
Shinako Kaneko | JP | Miyagi | 2011-08-18 / 20110197435 - STACK-TYPE LITHIUM-ION POLYMER BATTERY | 1 |
Megumi Kaneko | DK | Aalborg | 2008-08-28 / 20080205323 - Apparatus and method for resource allocation considering buffering in relay wireless communication system | 1 |
Shogo Kaneko | JP | Hyogo | 2016-04-14 / 20160102792 - PIPE JOINT | 5 |
Toshiaki Kaneko | JP | Tokyo | 2016-03-10 / 20160068312 - CONTAINER | 11 |
Akiko Kaneko | JP | Kyoto | 2015-10-29 / 20150311002 - Nonaqueous Lithium Storage Element | 1 |
Atsushi Kaneko | JP | Yokohama | 2016-05-05 / 20160128234 - COOLING DEVICE AND ELECTRONIC APPARATUS | 2 |
Megumi Kaneko | JP | Kawasaki-Shi | 2016-03-03 / 20160060234 - CYSTEINE DERIVATIVE | 5 |
Shinako Kaneko | JP | Sendai | 2012-11-01 / 20120276436 - STACK-TYPE LITHIUM-ION POLYMER BATTERY | 1 |
Tomoshige Kaneko | JP | Shiojiri-Shi | 2011-06-23 / 20110148962 - LIQUID EJECTING APPARATUS AND CONTROL METHOD THEREOF | 2 |
Tsuyoshi Kaneko | JP | Kawasaki-Shi | 2015-07-09 / 20150193174 - MANAGEMENT SYSTEM AND METHOD THEREFOR | 12 |
Yoshifumi Kaneko | JP | Kawasaki-Shi | 2010-09-16 / 20100231954 - PRINTING CONTROL DEVICE, IMAGE FORMING DEVICE, PRINTING CONTROL SYSTEM AND COMPUTER READABLE MEDIUM | 1 |
Shinako Kaneko | JP | Sendai-Shi | 2012-12-13 / 20120315546 - LITHIUM ION BATTERY | 3 |
Hiroyuki Kaneko | JP | Sagamihara | 2015-10-15 / 20150293711 - APPARATUS AND METHOD FOR ALLOCATING DATA PROCESSING BETWEEN TRANSFER SOURCE AND DESTINATION DEVICES | 1 |
Tadaaki Kaneko | JP | Ube | 2015-10-15 / 20150291504 - METHOD OF MANUFACTURING DIETHYL CARBONATE | 1 |
Kazue Kaneko | JP | Kawasaki-Shi | 2014-03-27 / 20140086551 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 5 |
Tomoyuki Kaneko | JP | Kawasaki-Shi | 2009-04-09 / 20090091406 - COMPACT VIA TRANSMISSION LINE FOR PRINTED CIRCUIT BOARD AND DESIGN METHOD OF THE SAME | 1 |
Mitsugu Kaneko | JP | Hagagun | 2009-04-02 / 20090084774 - METHOD FOR JOINING DISSIMILAR MATERIALS | 1 |
Michito Kaneko | JP | Hiratsuka-Shi | 2015-04-02 / 20150091226 - Pneumatic Fender | 4 |
Ryuichi Kaneko | JP | Tokyo | 2009-01-08 / 20090007993 - Aluminum Alloy pipe and method of manufacturing same | 1 |
Takeaki Kaneko | JP | Makinohara-Shi | 2010-06-17 / 20100148453 - SEALING UNIT | 6 |
Miyako Kaneko | JP | Nirasaki-Shi | 2012-10-18 / 20120264308 - ETCHING METHOD, ETCHING APPARATUS AND STORAGE MEDIUM | 1 |
Masato Kaneko | JP | Chiba | 2015-02-19 / 20150048271 - LUBRICATING-OIL COMPOSITION FOR REFRIGERATION DEVICE | 35 |
Izumi Kaneko | JP | Mie | 2013-03-28 / 20130078635 - RAPID METHOD FOR IDENTIFYING DRUG-RESISTANT GENE USING ARTIFICIAL CHROMOSOME OF PLASMODIUM, AND METHOD FOR PREPARING RECOMBINANT PLASMODIUM | 1 |
Emi Kaneko | JP | Sodegaura-Shi | 2010-02-04 / 20100028258 - Radioactive Diagnostic Imaging Agent | 1 |
Yu Kaneko | JP | Kawasaki | 2016-05-05 / 20160124765 - RESOURCE ALLOCATION APPARATUS, METHOD, AND STORAGE MEDIUM | 3 |
Yukio Kaneko | JP | Kawaguchi | 2013-04-04 / 20130082708 - MAGNETIC RESONANCE IMAGING DEVICE AND TRANSMITTING SENSITIVITY DISTRIBUTION CALCULATION METHOD | 6 |
Yukio Kaneko | JP | Yamaguchi | 2013-08-01 / 20130193605 - MELT-SHAPED BODY OF POLYIMIDE PRECURSOR AND PROCESS FOR PRODUCTION OF POLYIMIDE FOAM USING SAME | 3 |
Kuniyuki Kaneko | JP | Gunma | 2011-08-11 / 20110196640 - TEST APPARATUS AND TEST METHOD | 1 |
Kumi Kaneko | JP | Hitachinaka | 2010-09-23 / 20100241386 - Method of Correcting Coordinates, and Defect Review Apparatus | 1 |
Hiroki Kaneko | JP | Hitachinaka | 2013-10-31 / 20130286464 - Light Control Device | 10 |
Asako Kaneko | JP | Hitachinaka | 2013-08-29 / 20130220806 - ION MILLING DEVICE | 3 |
Sakari Kaneku | JP | Okinawa | 2014-12-11 / 20140361393 - SEMICONDUCTOR ELEMENT HAVING GROOVES WHICH DIVIDE AN ELECTRODE LAYER, AND METHOD OF FORMING THE GROOVES | 1 |
Kohsuke Kanekura | US | Brentwood | 2015-07-16 / 20150198613 - SOLUBLE MANF IN PANCREATIC BETA-CELL DISORDERS | 1 |
Masahito Kanekuro | JP | Wako-Shi | 2009-10-29 / 20090266463 - PNEUMATIC TIRE | 1 |
Jeffrey S. Kanel | US | Kingsport | 2013-12-26 / 20130344575 - Process for Microalgae Conditioning and Concentration | 5 |
Christopher S. Kanel | US | Hudson | 2014-09-18 / 20140271289 - RECONFIGURABLE GRILLE AND FAN ASSEMBLY INCLUDING RECONFIGURABLE GRILLE | 6 |
Jeffrey Scott Kanel | US | Kingsport | 2013-09-26 / 20130253232 - METHODS FOR RECOVERY AND RECYCLE OF RUTHENIUM HOMOGENOUS CATALYSTS | 5 |
Jeffrey S. Kanel | US | Hurricane | 2010-11-25 / 20100298610 - ALDEHYDE COMPOSITIONS DERIVED FROM SEED OILS | 1 |
Kelly G. Kanellakis | CA | Toronto | 2010-04-01 / 20100080197 - METHOD AND SYSTEM FOR GIGABIT WIRELESS TRANSMISSION | 1 |
Ioannis Kanellakopoulos | US | Cupertino | 2015-03-05 / 20150063624 - MAGNETIC SUSPENSION TRANSDUCER | 9 |
Ioannis Kanellakopoulos | US | Cupertino | 2015-03-05 / 20150063624 - MAGNETIC SUSPENSION TRANSDUCER | 9 |
Joseph Kanellopoulos | US | Chandler | 2015-01-15 / 20150019847 - Programmable CPU Register Hardware Context Swap Mechanism | 2 |
Colette Kanellopoulos | FR | Versailles | 2013-02-28 / 20130053336 - TREATMENT OF CHLAMYDIACEAE INFECTIONS BY MEANS OF BETA-LACTAMS | 1 |
John Kanellopoulos | US | New York | 2014-03-06 / 20140066835 - SYSTEMS AND METHODS FOR CORNEAL CROSS-LINKING WITH PULSED LIGHT | 1 |
Sotiris Emil Kanellopoulos | GB | London | 2011-08-18 / 20110199607 - DETECTING A DISTURBANCE IN THE PHASE OF LIGHT PROPAGATING IN AN OPTICAL WAVEGUIDE | 2 |
Vasileios Kanellopoulos | FI | Espoo | 2015-08-06 / 20150218295 - REACTOR ASSEMBLY AND METHOD FOR POLYMERIZATION OF OLEFINS | 1 |
Nick Kanellopoulos | GR | Athen | 2009-04-30 / 20090108239 - COMPOSITE MEMBRANE | 1 |
Jerry Kanellos | AU | Eltham | 2016-04-21 / 20160106883 - Processes For Mixing Fibrinogen and Thrombin Under Conditions That MinimizeFibrin Formation While Preserving Fibrin-forming Ability, Compositions Producedby These Processes, and the Use Thereof | 3 |
Kurt J. Kanellos | US | Naperville | 2009-12-17 / 20090312086 - Gaming System Having Dynamic Symbol Generation | 1 |
Yasuki Kanema | JP | Tokyo | 2015-08-27 / 20150244879 - INFORMATION PROCESSING APPARATUS, DATA GENERATING APPARATUS, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING SYSTEM | 3 |
Kim A. Kane-Maguire | US | Belmont | 2014-08-07 / 20140221405 - PLATELET ADP RECEPTOR INHIBITORS | 2 |
Yasuhito Kanemaki | JP | Osaka | 2016-05-19 / 20160142827 - ELECTRONIC APPARATUS | 3 |
Yasuhito Kanemaki | JP | Kanagawa | 2010-10-14 / 20100258626 - Mobile Terminal Device | 2 |
Yoichi Kanemaki | JP | Miyagi | 2015-04-23 / 20150111066 - MAGNETIC RECORDING MEDIUM | 1 |
Yuichi Kanemaki | JP | Tokyo | 2015-09-03 / 20150246732 - FUEL TANK, FUEL PIPE, AND AIRCRAFT | 1 |
Nobuyuki Kanemaki | JP | Sagamihara-Shi | 2014-05-22 / 20140141432 - METHOD AND KIT FOR DIAGNOSING GLAUCOMA IN DOGS | 1 |
Masato Kanemaki | JP | Osaka | 2012-05-10 / 20120115232 - METHOD FOR INDUCING DEGRADATION OF PROTEIN IN MAMMALIAN CELL | 1 |
Yuichi Kanemaki | JP | Aichi | 2011-11-03 / 20110265735 - BOILER STRUCTURE | 3 |
Masanori Kanemaru | JP | Kanagawa | 2011-12-29 / 20110316863 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 3 |
Tetsuya Kanemaru | JP | Yokohama-Shi | 2013-09-05 / 20130231401 - External Oil-In-Water-Type Skin Preparation | 1 |
Masami Kanemaru | JP | Tokyo | 2010-07-22 / 20100183006 - Signal Relay Device | 1 |
Shin-Ichi Kanemaru | JP | Ashiya-Shi | 2016-03-10 / 20160067383 - AGENT FOR REGENERATING TYMPANIC MEMBRANE OR EXTERNAL AUDITORY CANAL | 2 |
Takashi Kanemaru | JP | Yokohama | 2015-07-02 / 20150189265 - IMAGE PROCESSING APPARATUS | 15 |
Hiroshi Kanemaru | JP | Fukuoka | 2011-01-13 / 20110005627 - WATER FAUCET DEVICE | 2 |
Katsuo Kanemaru | JP | Tokyo | 2010-10-28 / 20100274412 - SEMICONDUCTOR DATA PROCESSING DEVICE AND DATA PROCESSING SYSTEM | 1 |
Kazuya Kanemaru | JP | Tokyo | 2010-09-16 / 20100233932 - COSTUME SUIT OF LARGE SIZE ANIMAL | 1 |
Shin-Ichi Kanemaru | JP | Kyoto | 2010-08-05 / 20100198170 - SUCTION DEVICE, SUCTION SYSTEM, AND SUCTION METHOD | 1 |
Takashi Kanemaru | JP | Nasushiobara-Shi | 2012-03-22 / 20120069954 - X-RAY COMPUTED TOMOGRAPHY APPARATUS, RADIATION DETECTOR, AND METHOD OF MANUFACTURING RADIATION DETECTOR | 4 |
Junichi Kanemaru | JP | Saitama | 2013-10-31 / 20130283840 - VEHICLE AIR-CONDITIONER | 1 |
Yoshikatsu Kanemaru | JP | Tokyo | 2015-08-27 / 20150243084 - IMAGE GENERATING DEVICE, IMAGE GENERATING METHOD, PROGRAM, AND COMPUTER-READABLE INFORMATION STORAGE MEDIUM | 4 |
Makoto Kanemaru | JP | Kanagawa | 2011-07-07 / 20110167184 - ACCESS CONTROL APPARATUS, DATA PROCESSING APPARATUS, ACCESS CONTROL METHOD, AND PROGRAM | 1 |
Takashi Kanemaru | JP | Yaita-Shi | 2013-01-10 / 20130010921 - X-RAY COMPUTED TOMOGRAPHY APPARATUS AND RADIATION DETECTOR | 1 |
Shuhei Kanemaru | JP | Tokyo | 2014-10-23 / 20140312012 - PLASMA ARC WELDING METHOD AND PLASMA ARC WELDING DEVICE | 2 |
Shinji Kanemaru | JP | Matsumoto | 2015-12-31 / 20150375949 - FEED DEVICE AND RECORDING APPARATUS | 2 |
Kazuya Kanemaru | JP | Higashikurume-Shi | 2013-01-24 / 20130023351 - LARGE-QUADRUPEDAL-ANIMAL COSTUME SUIT | 1 |
Shinji Kanemaru | JP | Matsumoto-Shi | 2015-10-15 / 20150294195 - RECORDING APPARATUS | 2 |
Tomonori Kanemaru | JP | Nagoya-Shi, Aichi | 2015-11-26 / 20150340844 - ELECTRODE MATERIAL AND SPARK PLUG | 1 |
Tadashi Kanemaru | JP | Fuchu Tokyo | 2015-07-30 / 20150214995 - SEMICONDUCTOR DEVICE, AND TRANSMISSION AND RECEPTION CIRCUIT | 1 |
Takaaki Kanemaru | JP | Fukuoka | 2013-12-19 / 20130335817 - MULTIPLE LIGHT SOURCE MICROSCOPE | 1 |
Akira Kanemaru | JP | Minamitsuru-Gun | 2016-04-28 / 20160116902 - NUMERICAL CONTROLLER OPERATING FROM TABLE-FORMAT DATA | 5 |
Junichi Kanemaru | US | Upper Arlington | 2015-01-29 / 20150027145 - COOLING STORAGE EVAPORATOR SYSTEM FOR VEHICLE CLIMATE CONTROL | 12 |
Junichi Kanemaru | US | Columbus | 2013-11-28 / 20130317728 - IDLE STOP AND HEATER CONTROL SYSTEM AND METHOD FOR A VEHICLE | 22 |
Takashi Kanemaru | JP | Yokohama | 2015-07-02 / 20150189265 - IMAGE PROCESSING APPARATUS | 15 |
Takashi Kanemaru | JP | Yaita | 2016-02-04 / 20160033657 - X-RAY COMPUTED TOMOGRAPHY APPARATUS AND X-RAY DETECTOR | 2 |
Masaki Kanemaru | JP | Tokyo | 2015-11-26 / 20150338495 - DETECTION CALIBRATION CIRCUIT AND TRANSMISSION APPARATUS | 3 |
Atsushi Kanemaru | JP | Fujiyoshida-Shi | 2013-12-05 / 20130320380 - LIGHTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Kenji Kanemaru | JP | Aichi | 2014-06-12 / 20140159756 - DETECTING DEVICE AND CURRENT SENSOR | 1 |
Yasuhisa Kanemaru | JP | Fukuoka | 2009-04-02 / 20090086418 - DISPLAY MODULE | 1 |
Masaki Kanemaru | JP | Kanagawa | 2014-06-19 / 20140168834 - PROTECTION CIRCUIT | 2 |
Tatsuya Kanemaru | JP | Karuizawa-Machi | 2008-10-30 / 20080268255 - Adhesive composition and a method of using the same | 2 |
Yoshikazu Kanemaru | JP | Tokyo | 2012-11-15 / 20120285013 - Electronic Component-Embedded Board and Method of Manufacturing the Same | 4 |
Tetsuya Kanemaru | JP | Kanagawa | 2016-04-21 / 20160106637 - FRAGRANCE-CONTAINING CAPSULE AND COSMETIC IN WHICH SAID CAPSULES ARE BLENDED | 2 |
Ryouhei Kanemaru | JP | Hyogo | 2009-12-31 / 20090326814 - Driving Support Apparatus and Method | 1 |
Hiroshi Kanemaru | JP | Kitakyushu-Shi | 2010-04-01 / 20100078491 - WATER-AND-HOT-WATER MIXING DEVICE | 1 |
Atsushi Kanemaru | JP | Tokyo | 2010-06-03 / 20100136179 - POUCHED LIQUID FOOD PRODUCT | 1 |
Shuhei Kanemaru | JP | Kai-Shi | 2013-11-14 / 20130299463 - HYBRID WELDING METHOD AND WELDING TORCH FOR HYBRID WELDING | 1 |
Tomonori Kanemaru | JP | Nagoya-Shi | 2014-04-10 / 20140097739 - SPARK PLUG | 3 |
Yasuhiko Kanemasa | JP | Kawasaki | 2015-11-05 / 20150317283 - CORRELATION COEFFICIENT CALCULATION METHOD, COMPUTER-READABLE RECORDING MEDIUM, AND CORRELATION COEFFICIENT CALCULATION DEVICE | 12 |
Yasuhiko Kanemasa | JP | Kawasaki | 2015-11-05 / 20150317283 - CORRELATION COEFFICIENT CALCULATION METHOD, COMPUTER-READABLE RECORDING MEDIUM, AND CORRELATION COEFFICIENT CALCULATION DEVICE | 12 |
Akihito Kanemasa | JP | Saitama-Shi | 2015-07-09 / 20150190956 - RESIN CAST DEVICE AND RESIN CAST METHOD | 1 |
Kenichi Kanemasa | JP | Tokyo | 2011-11-03 / 20110270229 - CATHETER | 3 |
Kenichi Kanemasa | JP | Akita-Shi | 2016-02-25 / 20160051796 - CATHETER, CATHETER MANIPULATION PART, AND CATHETER MANUFACTURING METHOD | 6 |
Masayuki Kanemasu | JP | Minato-Ku | 2014-03-13 / 20140070452 - RTM METHOD AND RTM APPARATUS | 1 |
Masayuki Kanemasu | JP | Nagoya | 2010-10-21 / 20100263976 - IMPACT-ABSORBING STRUCTURE AND METHOD FOR PRODUCING THE SAME | 1 |
Rick Kanemasu | US | Alpharetta | 2013-10-31 / 20130290146 - SYSTEM AND METHOD FOR PROVIDING A CASHLESS VENDING DONATION TRANSATION | 1 |
Masayuki Kanemasu | JP | Aichi | 2013-03-07 / 20130059022 - COMPOSITE MATERIAL MANUFACTURING DEVICE AND COMPOSITE MATERIAL MANUFACTURING METHOD | 4 |
Masayuki Kanemasu | JP | Tokyo | 2013-10-24 / 20130280483 - RTM MOLDING DEVICE, RTM MOLDING METHOD, AND SEMI-MOLDED BODY | 1 |
Motoki Kanematsu | JP | Kanagawa | 2011-09-29 / 20110237333 - GAME DEVICE, GAME DEVICE CONTROL METHOD, PROGRAM, AND INFORMATION STORAGE MEDIUM | 1 |
Shunsuke Kanematsu | JP | Yamaguchi | 2015-12-31 / 20150376373 - RESIN COMPOSITION | 1 |
Toshihiro Kanematsu | JP | Saitama | 2009-07-23 / 20090184464 - Belt Feed Apparatus and Image Forming Apparatus Using the Same | 1 |
Daigoro Kanematsu | JP | Yokohama-Shi | 2013-09-26 / 20130249980 - PRINTING APPARATUS, PRINTING SYSTEM, AND CONTROL METHOD FOR THE SAME SYSTEM | 14 |
Toshihiro Kanematsu | JP | Kanagawa | 2016-03-24 / 20160085180 - TRANSPORT MECHANISM, DEVELOPING DEVICE, AND IMAGE FORMING APPARATUS | 12 |
Yoko Kanematsu | JP | Fujisawa | 2012-04-19 / 20120094898 - PEPTIDE DERIVATIVE | 1 |
Daiji Kanematsu | JP | Anpachi-Gun | 2013-01-03 / 20130000711 - PHOTOELECTRIC CONVERSION DEVICE | 2 |
Toshihiro Kanematsu | JP | Miyazaki-Shi | 2010-01-28 / 20100018298 - SURFACE TEXTURE MEASURING INSTRUMENT AND MEASURING METHOD | 1 |
Toshihiro Kanematsu | JP | Kanagawa | 2016-03-24 / 20160085180 - TRANSPORT MECHANISM, DEVELOPING DEVICE, AND IMAGE FORMING APPARATUS | 12 |
Minoru Kanematsu | JP | Okazaki-Shi | 2012-04-12 / 20120087756 - MECHANISM FOR GRASPING TOOL, CHUCK, CLAMP MECHANISM, OR THE LIKE | 1 |
Toshihiro Kanematsu | JP | Miyazaki | 2013-09-12 / 20130238281 - SURFACE TEXTURE MEASUREMENT DEVICE, CONTROLLER FOR SURFACE TEXTURE MEASUREMENT DEVICE, AND METHOD FOR CONTROLLING THE SAME | 1 |
Yasuo Kanematsu | JP | Osaka | 2012-01-05 / 20120001302 - METHOD FOR FABRICATING SEMICONDUCTOR THIN FILM USING SUBSTRATE IRRADIATED WITH FOCUSED LIGHT, APPARATUS FOR FABRICATING SEMICONDUCTOR THIN FILM USING SUBSTRATE IRRADIATED WITH FOCUSED LIGHT, METHOD FOR SELECTIVELY GROWING SEMICONDUCTOR THIN FILM USING SUBSTRATE IRRADIATED WITH FOCUSED LIGHT, AND SEMICONDUCTOR ELEMENT USING SUBSTRATE IRRADIATED WITH FOCUSED LIGHT | 1 |
Katsumi Kanematsu | JP | Inuyama-Shi | 2014-01-09 / 20140011087 - ACTIVE MATERIAL SHEET AND ELECTRODE USING THE SAME | 2 |
Daiji Kanematsu | JP | Fukushima | 2015-06-25 / 20150179843 - PHOTOVOLTAIC DEVICE | 1 |
Terukazu Kanematsu | JP | Tokyo | 2015-03-12 / 20150072793 - SERVER SYSTEM AND METHOD FOR CONTROLLING COMPUTER SYSTEM | 1 |
Masanori Kanematsu | JP | Settsu-Shi, Osaka | 2016-05-12 / 20160133779 - METHOD FOR MANUFACTURING CRYSTALLINE SILICON-BASED SOLAR CELL AND METHOD FOR MANUFACTURING CRYSTALLINE SILICON-BASED SOLAR CELL MODULE | 1 |
Motoki Kanematsu | JP | Minato-Ku | 2011-06-09 / 20110136557 - GAME DEVICE, METHOD FOR CONTROLLING GAME DEVICE, PROGRAM, AND INFORMATION STORAGE MEDIUM | 1 |
Yasuhiro Kanematsu | JP | Toyohashi-Shi | 2012-12-27 / 20120326550 - STATOR MANUFACTURING METHOD, STATOR, AND MOTOR | 1 |
Takayuki Kanematsu | JP | Sakura-Shi | 2016-05-19 / 20160137879 - INORGANIC FINE PARTICLE COMPOSITE BODY, METHOD FOR PRODUCING SAME, COMPOSITION AND CURED PRODUCT | 3 |
Shinichi Kanematsu | JP | Inagi-Shi | 2014-12-18 / 20140373000 - INFORMATION PROCESSING APPARATUS | 7 |
Yoshiharu Kanematsu | JP | Osaka | 2015-09-03 / 20150248089 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 5 |
Shin Kanematsu | JP | Hatsukaichi-Shi | 2009-02-12 / 20090039114 - Head Cap for Aerosol Type Atomizer | 1 |
Asami Kanematsu | JP | Iwakuni-Shi | 2015-12-24 / 20150372309 - ULTRA-FINE FIBROUS CARBON FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY, ULTRA-FINE FIBROUS CARBON AGGREGATE, COMPOSITE, AND ELECTRODE ACTIVE MATERIAL LAYER | 1 |
Takayuki Kanematsu | JP | Osaka | 2010-01-21 / 20100015497 - SEPARATOR FOR FUEL CELL, METHOD FOR PRODUCING THE SAME, AND FUEL CELL | 2 |
Mototaka Kanematsu | JP | Yokohama | 2015-12-17 / 20150363177 - MULTI-BRANCH DETERMINATION SYNTAX OPTIMIZATION APPARATUS | 2 |
Mototaka Kanematsu | JP | Yokohama-Shi | 2010-12-02 / 20100306201 - NEIGHBOR SEARCHING APPARATUS | 2 |
Osamu Kanematsu | JP | Nagoya-City | 2015-05-21 / 20150142306 - ROAD LEARNING DEVICE | 8 |
Kengo Kanematsu | JP | Chiba-Shi | 2012-09-27 / 20120245388 - 4-(TRICHLOROMETHYLTHIO) ANILINES, METHOD FOR PRODUCTION THEREOF, AND METHOD FOR PRODUCING 4-(TRIFLUOROMETHYLTHIO) ANILINES | 3 |
Tsunefumi Kanematsu | JP | Yokohama-Shi | 2011-04-28 / 20110095456 - PAD FOR LEAF SPRING | 1 |
Yoshiharu Kanematsu | JP | Osaka-Shi | 2016-05-12 / 20160132001 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 4 |
Osamu Kanematsu | JP | Nagoya-City | 2015-05-21 / 20150142306 - ROAD LEARNING DEVICE | 8 |
Shigeru Kanematsu | JP | Kanagawa | 2015-10-22 / 20150302932 - MEMORY DEVICE, SEMICONDUCTOR UNIT AND METHOD OF OPERATING THE SAME, AND ELECTRONIC APPARATUS | 5 |
Shinichi Kanematsu | JP | Abiko-Shi | 2016-05-12 / 20160132094 - INFORMATION PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM | 2 |
Nobuyuki Kanematsu | JP | Chiba-Shi | 2015-05-14 / 20150133714 - IRRADIATION PLANNING APPARATUS AND CHARGED PARTICLE IRRADIATION SYSTEM | 1 |
Kim A. Kane-Mcguire | US | Belmont | 2010-09-16 / 20100234350 - PLATELET ADP RECEPTOR INHIBITORS | 1 |
Akiyoshi Kanemitsu | JP | Niihama-Shi | 2014-01-09 / 20140009960 - BACKLIGHT DEVICE | 9 |
Futoshi Kanemitsu | JP | Inabe-Shi | 2015-07-23 / 20150206627 - INSULATED WIRE | 3 |
Shiroshi Kanemitsu | JP | Yokohama | 2015-06-11 / 20150163430 - SOLID STATE IMAGING DEVICE | 1 |
Ryojiro Kanemitsu | JP | Obu-Shi | 2013-12-26 / 20130342192 - ANGLE SENSOR | 3 |
Yoko Kanemitsu | JP | Kyoto | 2015-11-26 / 20150339792 - SLEEP AND ACTIVITY AMOUNT DISPLAY PROGRAM, DEVICE, SYSTEM, AND METHOD | 3 |
Hidekazu Kanemitsu | JP | Ageo-Shi | 2015-07-09 / 20150190786 - Catalyst Carrier and Exhaust Gas Purifying Catalyst | 1 |
Masanori Kanemitsu | JP | Uozu-Shi | 2015-07-30 / 20150209936 - FLUID NOZZLE | 2 |
Hiroshi Kanemitsu | JP | Toyota | 2016-04-21 / 20160108916 - ROTOR AND ROTARY FLUID MACHINE | 2 |
Hiroshi Kanemitsu | JP | Toyota-Shi | 2014-07-31 / 20140212585 - SWASH PLATE AND PRODUCTION METHOD OF THE SAME | 5 |
Akiyoshi Kanemitsu | JP | Niihama-Shi | 2014-01-09 / 20140009960 - BACKLIGHT DEVICE | 9 |
Hiromoto Kanemitsu | JP | Funabashi-Shi | 2014-06-12 / 20140160583 - DRIVING MECHANISM, LENS BARREL, AND CAMERA | 2 |
Yoshihiko Kanemitsu | JP | Kyoto | 2015-11-05 / 20150318421 - SEMICONDUCTOR FILM, METHOD OF PRODUCING SEMICONDUCTOR FILM, SOLAR CELL, LIGHT-EMITTING DIODE, THIN FILM TRANSISTOR, AND ELECTRONIC DEVICE | 4 |
Toshiaki Kanemitsu | JP | Hyogo | 2010-04-08 / 20100083727 - PROCESS FOR MANUFACTURING RING-SHAPED MEMBER | 5 |
Shuji Kanemitsu | JP | Hyogo | 2009-10-15 / 20090255318 - Method of Manufacturing Ring-Shaped Member | 3 |
Shingo Kanemitsu | JP | Nasushiobara-Shi | 2009-01-01 / 20090003520 - MAMMOGRAPHY APPARATUS | 1 |
Ryosuke Kanemitsu | JP | Kyoto | 2015-04-30 / 20150116635 - LED DRIVING DEVICE, ILLUMINATOR, AND LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Akiyoshi Kanemitsu | JP | Ehime | 2012-02-23 / 20120044440 - LIQUID CRYSTAL DISPLAY DEVICE | 6 |
Hiroshi Kanemitsu | JP | Aichi | 2011-07-21 / 20110174094 - SWASH PLATE AND PRODUCTION METHOD OF THE SAME | 5 |
Jun Kanemitsu | JP | Tokyo | 2009-10-15 / 20090259441 - COMMUNICATION NETWORK DESIGNING METHOD, COMMUNICATION DESIGNING APPARATUS, AND RECORDING MEDIUM | 1 |
Shiroshi Kanemitsu | JP | Kanagawa | 2014-08-07 / 20140218577 - SOLID-STATE IMAGING DEVICE AND CAMERA MODULE | 17 |
Satoshi Kanemitsu | JP | Iruma-Shi | 2011-06-02 / 20110127078 - MANUFACTURING METHOD OF PLATE-LIKE MEMBER ASSEMBLY AND TRANSPARENT SUBSTRATE-INCLUDING ELECTRONIC MEMBER | 1 |
Toshiaki Kanemitsu | JP | Kanagawa | 2009-11-26 / 20090290337 - MOUNTING METHOD, MOUNTED STRUCTURE, MANUFACTUREING METHOD FOR ELECTRONIC EQUIPMENT, ELECTRONIC EQUIPMENT, MANUFACTURING METHOD FOR LIGHT-EMITTING DIODE DISPLAY, AND LIGHT-EMITTING DIODE DISPLAY | 2 |
Shiroshi Kanemitsu | JP | Yokohama-Shi | 2015-04-23 / 20150109490 - SOLID-STATE IMAGING DEVICE | 3 |
Tomoyuki Kanemitsu | JP | Fuchu-Shi | 2011-03-03 / 20110053887 - SOCS3 EXPRESSION PROMOTER, DRUG AND FOOD CONTAINING THE SAME AND METHOD OF PROMOTING THE EXPRESSION OF SOCS3 | 1 |
Shiroshi Kanemitsu | JP | Kanagawa | 2014-08-07 / 20140218577 - SOLID-STATE IMAGING DEVICE AND CAMERA MODULE | 17 |
Shigenaka Kanemitsu | JP | Osaka | 2011-03-03 / 20110051183 - IMAGE FORMING APPARATUS, INSTALLATION METHOD AND UNINSTALLATION METHOD | 7 |
Takayuki Kanemitsu | JP | Osaka | 2011-11-03 / 20110265239 - ANTI-SHOCK BATTING GLOVES | 1 |
Tomohiko Kanemitsu | JP | Osaka | 2011-12-08 / 20110298487 - SEMICONDUCTOR TESTING APPARATUS AND TESTING METHOD | 3 |
Yumi Kanemitsu | JP | Kobe-Shi | 2013-11-14 / 20130303307 - GOLF BALL | 6 |
Ryojiro Kanemitsu | JP | Toyota-Shi | 2011-05-12 / 20110109304 - ROTATION ANGLE SENSOR | 1 |
Tomoyuki Kanemitsu | JP | Tokyo | 2010-08-05 / 20100197904 - CATIONIZED HYALURONIC ACID AND/OR SALT THEREOF, METHOD OF PRODUCING THE SAME, HAIR MODIFYING AGENT, CUTICLE REPAIRING AGENT, SKIN MODIFYING AGENT, AND COSMETIC PREPARATION EACH USING THE SAME | 1 |
Kenji Kanemitsu | JP | Hitachinaka | 2011-06-09 / 20110133336 - Semiconductor Wafer and Method of Manufacturing the Same and Method of Manufacturing Semiconductor Device | 2 |
Hiroki Kanemitsu | JP | Osaka | 2011-11-10 / 20110274463 - IMAGE FORMING APPARATUS | 2 |
Yoko Kanemitsu | JP | Ibaraki-Shi | 2013-12-05 / 20130324889 - SLEEP EVALUATION DEVICE AND SLEEP EVALUATION METHOD | 3 |
Martha Kanemitsu-Parks | US | Del Mar | 2009-05-07 / 20090117559 - Methods for Determining Probability of an Adverse or Favorable Reaction to a Niacin Receptor Agonist | 1 |
Shinya Kanemori | JP | Hiroshima-Shi | / - | 1 |
Masahide Kanemori | JP | Aki-Gun | 2016-02-04 / 20160031485 - FRONT VEHICLE-BODY STRUCTURE OF VEHICLE | 1 |
Akio Kanemori | JP | Shiga | 2012-01-19 / 20120014748 - NOVEL SOIL DIAGNOSIS METHOD | 1 |
Scott Brandon Kanemori | US | Seattle | 2015-03-26 / 20150088371 - Brake Load Alleviation Functions | 2 |
Toru Kanemori | JP | Hiroshima-Ken | 2010-10-14 / 20100258026 - DEVICE ATTACHED TO GUIDED VEHICLE TO REMOVE OBSTACLES ON GUIDEWAY | 1 |
Toru Kanemori | JP | Tokyo | 2014-10-02 / 20140290525 - TRANSPORTATION SYSTEM | 3 |
Yoriyuki Kanemori | JP | Ehime | 2010-07-22 / 20100181324 - Seal Structure of Pressure Vessel | 2 |
Nobuyuki Kanemori | JP | Futtsu-Shi | 2011-05-19 / 20110113926 - Method of reduction treatment of metal oxides or steelmaking waste and method of concentrating and recovering zinc and/or lead | 1 |
Toru Kanemori | JP | Mihara-Shi | 2010-09-30 / 20100242513 - AIR CONDITIONER OF TRACK SYSTEM VEHICLE | 1 |
Wataru Kanemori | JP | Fukuoka | 2010-09-02 / 20100220792 - Encoding device and decoding device | 1 |
Toshinori Kanemoto | JP | Kanagawa | 2015-05-14 / 20150133048 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND PROGRAM | 11 |
Hiroaki Kanemoto | JP | Kure | 2013-10-03 / 20130255547 - PULVERIZED COAL-FIRED BOILER AND PULVERIZED COAL BURNING METHOD | 2 |
Yoko Kanemoto | JP | Fujimi | 2012-05-31 / 20120134121 - ELECTRONIC DEVICE, ELECTRONIC APPARATUS, AND METHOD OF MANUFACTURING ELECTRONIC DEVICE | 3 |
Shuichi Kanemoto | JP | Okaya, Nagano | 2012-08-23 / 20120212553 - LIQUID DROPLET DISCHARGING DEVICE | 1 |
Toshiaki Kanemoto | JP | Fukuoka | 2015-12-17 / 20150361949 - SUBMERSIBLE POWER GENERATOR | 4 |
Kyohei Kanemoto | JP | Toyota-City | 2013-08-29 / 20130220256 - CONTROLLER FOR ENGINE STARTER | 3 |
Yoshiyuki Kanemoto | JP | Tokyo | 2015-12-24 / 20150370265 - Fluid Compression System and Control Device Therefor | 3 |
Koichi Kanemoto | JP | Tokyo | 2011-06-30 / 20110159641 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 4 |
Tatsushi Kanemoto | JP | Hiroshima | 2015-12-03 / 20150350065 - COMMUNICATION DEVICE, COMMUNICATION SYSTEM, AND COMMUNICATION METHOD | 1 |
Yoshiyuki Kanemoto | JP | Samukawa | 2014-10-02 / 20140294641 - Scroll Fluid Machine | 4 |
Hidekatsu Kanemoto | JP | Tokyo | 2015-09-03 / 20150248612 - INFORMATION PROCESSING SYSTEM FOR IDENTIFYING USED COMMODITIES IN DOMESTIC ELECTRICAL APPLIANCES, AND SECURITY SYSTEM | 1 |
Mariko Kanemoto | JP | Mihara-Shi | 2014-12-18 / 20140370174 - METHOD FOR MANUFACTURING RETORT RICE, AND RETORT RICE | 1 |
Keitaku Kanemoto | JP | Kyotanabe-Shi | 2016-02-11 / 20160041301 - MULTI-OPTICAL AXIS PHOTOELECTRIC SENSOR | 1 |
Akihito Kanemoto | JP | Kashiba-Shi | 2012-06-14 / 20120146278 - ASSEMBLY JIG FOR PINS FOR POWER TRANSMISSION CHAIN | 1 |
Kenichi Kanemoto | JP | Chiba | 2014-02-13 / 20140046043 - C-4" POSITION SUBSTITUTED MACROLIDE DERIVATIVE | 1 |
Katsuyoshi Kanemoto | JP | Chiba | 2015-04-23 / 20150113016 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 14 |
Michio Kanemoto | JP | Tokyo | 2014-10-30 / 20140323841 - ELECTRODE PAD | 2 |
Hiroshi Kanemoto | JP | Hitachi | 2011-01-13 / 20110005473 - Hydrogen Supply Device and Hydrogen Supplying Method | 1 |
Glen Kanemoto | US | Costa Mesa | 2015-07-23 / 20150202781 - Spring Back Safety and Film Cutter | 8 |
Daisaku Kanemoto | JP | Kawasaki | 2015-09-03 / 20150247762 - OPTICAL FIBER WIRING SHEET, TEMPERATURE MEASUREMENT SYSTEM, AND TEMPERATURE MEASUREMENT METHOD | 2 |
Mitsunori Kanemoto | JP | Tokyo | 2011-09-08 / 20110217798 - OPTICAL TRANSMISSION MODULE AND MANUFACTURING METHOD OF THE SAME | 3 |
Shigeharu Kanemoto | JP | Hiroshima | 2014-12-18 / 20140370174 - METHOD FOR MANUFACTURING RETORT RICE, AND RETORT RICE | 1 |
Kei Kanemoto | JP | Suwa | 2015-09-03 / 20150247877 - ELECTRONIC DEVICE, ELECTRONIC APPARATUS, AND MOVING OBJECT | 17 |
Ayako Kanemoto | JP | Nisshin-Shi | 2015-04-02 / 20150092219 - PRINTING SYSTEM UPLOADING JOB STORED ON PRINTER TO SERVER | 3 |
Mitsuhiro Kanemoto | JP | Nagoya | 2014-07-03 / 20140187308 - GAME MACHINE | 1 |
Yoshiki Kanemoto | JP | Saitama | 2016-05-12 / 20160129591 - CONTROLLER FOR MOBILE ROBOT | 2 |
Manabu Kanemoto | JP | Kyoto-Shi | 2014-02-06 / 20140038027 - BATTERY | 6 |
Yoshiyuki Kanemoto | JP | Kouza-Gun | 2010-11-11 / 20100284845 - Scroll Fluid Machine with a Coating Layer | 1 |
Shigeharu Kanemoto | JP | Tokyo | 2011-06-23 / 20110151086 - Grain or legume having increased content of functional component and a manufacturing method thereof | 6 |
Manabu Kanemoto | JP | Kyoto | 2016-03-31 / 20160093841 - ENERGY STORAGE DEVICE AND MANUFACTURING METHOD OF THE SAME | 29 |
Manabu Kanemoto | JP | Kyoto | 2016-03-31 / 20160093841 - ENERGY STORAGE DEVICE AND MANUFACTURING METHOD OF THE SAME | 29 |
Toshinori Kanemoto | JP | Kanagawa | 2015-05-14 / 20150133048 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND PROGRAM | 11 |
Hiroaki Kanemoto | JP | Kure-Shi | 2015-11-12 / 20150321197 - Vertical Pulverizing Apparatus | 2 |
Shuichi Kanemoto | JP | Okaya | 2014-03-06 / 20140063167 - INKJET RECORDING DEVICE | 4 |
Yoshiki Kanemoto | JP | Wako-Shi | 2011-10-27 / 20110264265 - ROBOT, CONTROL SYSTEM, AND RECORDING MEDIUM FOR CONTROL PROGRAM | 1 |
Tadashi Kanemoto | JP | Atsugi-Shi | 2008-10-09 / 20080246242 - Installation structure and method of vehicular suspension | 1 |
Takeshi Kanemoto | JP | Tokyo | 2013-12-12 / 20130332918 - DEVICE AND METHOD FOR TIME NOTIFICATION FOR UPDATING SOFTWARE | 1 |
Glen Kanemoto | US | Costa Mesa | 2015-07-23 / 20150202781 - Spring Back Safety and Film Cutter | 8 |
Hiroshi Kanemoto | JP | Hitachinaka | 2016-03-17 / 20160077035 - ELECTRODE FOR ELECTROCHEMICAL MEASUREMENT, ELECTROLYSIS CELL FOR ELECTROCHEMICAL MEASUREMENT, ANALYZER FOR ELECTROCHEMICAL MEASUREMENT, AND METHODS FOR PRODUCING SAME | 4 |
Koichi Kanemoto | JP | Kanagawa | 2015-07-02 / 20150187682 - SEMICONDUCTOR DEVICE | 5 |
Kouichi Kanemoto | JP | Koganei | 2008-10-23 / 20080257968 - IC CARD | 1 |
Mitsuhiro Kanemoto | JP | Nagoya-Shi | 2014-07-03 / 20140187321 - GAME MACHINE | 2 |
Katsuyoshi Kanemoto | JP | Chiba | 2015-04-23 / 20150113016 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 14 |
Tatsushi Kanemoto | JP | Kawasaki | 2010-04-01 / 20100081425 - COMMUNICATION APPARATUS AND CONNECTION METHOD | 1 |
Shuichi Kanemoto | JP | Okaya-Shi | 2014-07-17 / 20140198161 - BELT CLEANING APPARATUS AND RECORDING APPARATUS | 1 |
Masakazu Kanemoto | JP | Gotenba-Shi | 2010-04-08 / 20100086629 - TRANSFER APPARATUS HAVING GIMBAL MECHANISM AND TRANSFER METHOD USING THE TRANSFER APPARATUS | 1 |
Hiroyuki Kanemoto | JP | Shizuoka-Ken | 2010-07-15 / 20100175662 - INTERNAL COMBUSTION ENGINE CONTROL APPARATUS AND CONTROL METHOD THEREOF | 2 |
Kaneto Kanemoto | JP | Shibuya-Ku | 2013-09-12 / 20130238601 - CLIENT SYSTEM AND SERVER | 1 |
Yoshiyuki Kanemoto | JP | Kanagawa | 2009-10-01 / 20090246058 - Scroll-type fluid machine | 1 |
Kenichi Kanemoto | JP | Kanagawa | 2011-09-29 / 20110237784 - 10A-AZALIDE COMPOUND CROSSLINKED AT 10A- AND 12-POSITIONS | 3 |
Yoshiyuki Kanemoto | JP | Samsukawa | 2011-03-24 / 20110070116 - SCROLL FLUID MACHINE | 1 |
Shunsuke Kanemoto | JP | Hiroshima-City | 2014-09-11 / 20140252739 - FRONT VEHICLE-BODY STRUCTURE OF VEHICLE | 2 |
Kouichi Kanemura | JP | Osaka | 2011-12-22 / 20110314423 - IMAGE DISPLAY DEVICE AND IMAGE DISPLAY METHOD | 6 |
Kenji Kanemura | JP | Tokyo | 2011-12-08 / 20110302590 - PROCESS ALLOCATION SYSTEM, PROCESS ALLOCATION METHOD, PROCESS ALLOCATION PROGRAM | 2 |
Takashi Kanemura | JP | Osaka | 2015-12-03 / 20150349500 - POLARIZED RESIN FILM AND PROCESS FOR PRODUCING SAME | 2 |
Tokunori Kanemura | JP | Chiyoda-Ku | 2015-01-29 / 20150030880 - HIGH-STRENGTH HOT-ROLLED STEEL SHEET AND METHOD FOR PRODUCING SAME | 1 |
Keisuke Kanemura | JP | Yokkaichi | 2015-05-21 / 20150140847 - WATERPROOF CONNECTOR | 2 |
Takahisa Kanemura | JP | Kanagawa-Ken | 2011-09-22 / 20110231174 - PROCESS SIMULATION METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND PROCESS SIMULATOR | 2 |
Hiroshi Kanemura | JP | Tochigi | 2016-01-28 / 20160027924 - Semiconductor Device and Method for Evaluating Semiconductor Device | 8 |
Yonehiro Kanemura | JP | Osaka | 2010-07-01 / 20100167398 - METHOD OF CULTURING PLURIPOTENT STEM CELLS USING EXTRACELLULAR MATRIX FROM FETAL MEMBRANE-DERIVED CELLS | 1 |
Toshikatsu Kanemura | JP | Hyogo | 2011-07-21 / 20110174009 - COMPRESSOR AND REFRIGERATING MACHINE | 1 |
Hideaki Kanemura | JP | Tsukuba-Shi | 2013-03-28 / 20130079468 - PRESSURE-SENSITIVE ADHESIVE COMPOSITION FOR OPTICAL FILMS AND PRESSURE-SENSITIVE ADHESION TYPE OPTICAL FILM | 1 |
Tomohiko Kanemura | JP | Kyoto-Shi | 2008-12-25 / 20080316110 - Patch Antenna and RFID Inlet | 1 |
Tokunori Kanemura | JP | Chiba | 2015-02-26 / 20150056468 - HIGH STRENGTH STEEL SHEET AND METHOD OF MANUFACTURING THE SAME | 1 |
Takashi Kanemura | JP | Settsu-Shi | 2014-01-16 / 20140016176 - HYDROPHOBIC DIELECTRIC FILM FOR ELECTROWETTING | 4 |
Toshikatsu Kanemura | JP | Takasago-Shi | 2013-01-17 / 20130014537 - REFRIGERATORAANM Fujisawa; RyoAACI Kobe-shiAACO JPAAGP Fujisawa; Ryo Kobe-shi JPAANM Toshima; MasatakeAACI Kobe-shiAACO JPAAGP Toshima; Masatake Kobe-shi JPAANM Kanemura; ToshikatsuAACI Takasago-shiAACO JPAAGP Kanemura; Toshikatsu Takasago-shi JPAANM Nakayama; YashihiroAACI Takasago-shiAACO JPAAGP Nakayama; Yashihiro Takasago-shi JPAANM Iizuka; KoichiroAACI Takasago-shiAACO JPAAGP Iizuka; Koichiro Takasago-shi JPAANM Ide; SatoshiAACI Takasago-shiAACO JPAAGP Ide; Satoshi Takasago-shi JPAANM Suto; KunihikoAACI Chiyoda-kuAACO JPAAGP Suto; Kunihiko Chiyoda-ku JPAANM Kurashige; KazutakaAACI Chiyoda-kuAACO JPAAGP Kurashige; Kazutaka Chiyoda-ku JPAANM Sakuraba; IchirouAACI Nagoya-shiAACO JPAAGP Sakuraba; Ichirou Nagoya-shi JPAANM Hayashi; DaisukeAACI Nagoya-shiAACO JPAAGP Hayashi; Daisuke Nagoya-shi JPAANM Sugano; KeijiAACI Amagasaki-shiAACO JPAAGP Sugano; Keiji Amagasaki-shi JPAANM Shato; ShinjiAACI Amagasaki-shiAACO JPAAGP Shato; Shinji Amagasaki-shi JPAANM Madsboll; HansAACI TaastrupAACO DKAAGP Madsboll; Hans Taastrup DKAANM Kristensen; Klaus DamgaardAACI HojbjergAACO DKAAGP Kristensen; Klaus Damgaard Hojbjerg DK | 1 |
Kazuhide Kanemura | JP | Kanagawa | 2010-06-17 / 20100152340 - METHOD AND APPARATUS FOR PRODUCING POLYMER FILM, POLYMER FILM, POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY | 1 |
Hiroshi Kanemura | JP | Tochigi | 2016-01-28 / 20160027924 - Semiconductor Device and Method for Evaluating Semiconductor Device | 8 |
Takashi Kanemura | JP | Hitahinaka | 2008-11-27 / 20080290290 - HEATING STAGE FOR A MICRO-SAMPLE | 1 |
Takahisa Kanemura | JP | Yokohama-Shi | 2015-09-17 / 20150263117 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 10 |
Emi Kanemura | JP | Kobe-Shi | 2014-10-02 / 20140297200 - CELL ANALYZER, CELL COLLECTING APPARATUS, AND QUALITY CONTROL METHOD | 1 |
Tokunori Kanemura | JP | Tokyo | 2015-01-29 / 20150030879 - HOT ROLLED STEEL SHEET AND METHOD FOR MANUFACTURING THE SAME | 1 |
Takahisa Kanemura | JP | Yokohama-Shi | 2015-09-17 / 20150263117 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 10 |
Shoji Kanemura | JP | Sagamihara-Shi | 2014-08-21 / 20140233988 - IMAGE FORMING APPARATUS | 8 |
Hoshimi Kanemura | JP | Kobe-Shi | 2016-02-11 / 20160040123 - CELL SORTING METHOD | 1 |
Shoji Kanemura | JP | Sagamihara-Shi | 2014-08-21 / 20140233988 - IMAGE FORMING APPARATUS | 8 |
Takahisa Kanemura | JP | Yokohama Kanagawa | 2015-09-10 / 20150255514 - INTEGRATED CIRCUIT DEVICE | 1 |
Daisuke Kanenari | JP | Hadano | 2012-05-31 / 20120136530 - TIRE CONDITION MONITORING SYSTEM AND INSTALLATION POSITION ASCERTAINING METHOD | 1 |
Daisuke Kanenari | JP | Kanagawa | 2013-07-04 / 20130172465 - LIQUID COAGULANT AND TIRE PUNCTURE SEALING MATERIAL SET | 4 |
Daisuke Kanenari | JP | Hiratsuka | 2012-01-19 / 20120013458 - TIRE INFORMATION MONITORING SYSTEM AND SETTING METHOD FOR A TIRE INFORMATION MONITORING SYSTEM | 1 |
Daisuke Kanenari | JP | Hiratsuka-Shi, Kanagawa | 2016-01-07 / 20160001615 - PRESSURE DETECTOR AND METHOD OF ASSEMBLING THE SAME | 1 |
Daisuke Kanenari | JP | Hiratsuka-Shi | 2015-09-03 / 20150247775 - Transmitting Device and Tire State Monitoring System | 12 |
Daisuke Kanenari | JP | Hiratsuka-Shi | 2015-09-03 / 20150247775 - Transmitting Device and Tire State Monitoring System | 12 |
Daisuke Kanenari | JP | Hiratsuka City | 2012-09-20 / 20120235808 - TRANSMISSION DEVICE FOR TRANSMITTING TIRE INFORMATION AND TIRE INFORMATION MONITORING SYSTEM | 4 |
Yuya Kanenawa | JP | Tokyo | 2016-01-07 / 20160002889 - ENGINE-ASSIST DEVICE AND INDUSTRIAL MACHINE | 2 |
Motoki Kaneno | JP | Mie | 2015-02-12 / 20150044405 - POLYPROPYLENE RESIN COMPOSITION AND EXPANDED MOLDING | 1 |
Futao Kaneno | JP | Niigata-Shi | 2015-10-15 / 20150293181 - SECONDARY BATTERY TESTER | 1 |
Yasuyuki Kaneno | JP | Sakai-Shi | 2014-05-29 / 20140144972 - FRICTION PROCESSING TOOL, AND FRICTION PROCESSING APPARATUS AND FRICTION PROCESSING METHOD USING THE SAME | 8 |
Isao Kaneno | JP | Kanagawa | 2014-12-18 / 20140368922 - DISPLAY DEVICE FOR VEHICLE | 2 |
Ryoichi Kaneno | JP | Hakodate City | 2013-10-31 / 20130286255 - IMAGE CAPTURING DEVICE AND IMAGE PRESENTATION SYSTEM | 1 |
Yoshiyuki Kaneno | JP | Nagoya-Shi | 2014-03-13 / 20140072358 - PRINTER | 1 |
Isao Kaneno | JP | Yokohama-Shi | 2015-04-16 / 20150103408 - DISPLAY APPARATUS FOR VEHICLE | 1 |
Yasuyuki Kaneno | JP | Osaka | 2014-02-06 / 20140034709 - FRICTION STIR PROCESSING APPARATUS AND FRICTION STIR PROCESSING METHOD | 5 |
Yasuyuki Kaneno | JP | Sakai-Shi | 2014-05-29 / 20140144972 - FRICTION PROCESSING TOOL, AND FRICTION PROCESSING APPARATUS AND FRICTION PROCESSING METHOD USING THE SAME | 8 |
Takeru Kaneno | JP | Tokyo | 2011-06-09 / 20110138079 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, DATA MANAGEMENT SERVER AND DATA SYNCHRONIZATION SYSTEM | 1 |
Hideki Kanenobu | JP | Amagaskaki-Shi | 2009-10-29 / 20090266071 - Hydraulic Four-Wheel-Drive Working Vehicle | 1 |
Hideki Kanenobu | JP | Amagasaki | 2012-04-26 / 20120097460 - HYDRAULICALLY-POWERED WORKING VEHICLE | 2 |
Hideki Kanenobu | JP | Hyogo | 2010-03-04 / 20100051410 - Traveling System Transmission Structure for Vehicle | 6 |
Keizou Kanenobu | JP | Fujisawa-Shi | 2013-03-14 / 20130061583 - EXHAUST GAS PURIFYING SYSTEM | 1 |
Hideki Kanenobu | JP | Amagasaki-Shi | 2016-02-11 / 20160040691 - CONTROL MECHANISM FOR HYDROSTATIC TRANSMISSION | 3 |
Takayoshi Kaneoka | JP | Hamamatsu-Shi | 2015-09-10 / 20150253241 - FAULT ANALYSIS APPARATUS AND FAULT ANALYSIS METHOD | 1 |
Noriyuki Kaneoka | JP | Hitachinaka | 2013-12-05 / 20130320209 - ION BEAM PROCESSING APPARATUS | 5 |
Hidekazu Kaneoka | JP | Hyogo | 2012-03-29 / 20120077921 - UNSATURATED POLYESTER RESIN COMPOSITION AND ENCAPSULATED MOTOR | 1 |
Tatsunori Kaneoka | JP | Kawasaki-Shi | 2015-10-22 / 20150303205 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Tomohiro Kaneoka | JP | Kawasaki | 2016-03-03 / 20160065305 - OPTICAL TRANSMISSION SYSTEM AND OPTICAL TRANSMISSION DEVICE | 2 |
Seiken Kaneoka | JP | Tokyo | 2014-11-27 / 20140351339 - MANAGEMENT SERVER, CONTROLLING METHOD THEREOF, NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM HAVING STORED THEREON A COMPUTER PROGRAM FOR A MANAGEMENT SERVER AND TERMINAL DEVICE | 3 |
Tatsunori Kaneoka | JP | Kanagawa | 2013-09-19 / 20130240977 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 3 |
Tatsunori Kaneoka | JP | Tokyo | 2015-08-06 / 20150221722 - SEMICONDUCTOR DEVICE WITH SHALLOW TRENCH ISOLATION | 4 |
Hideki Kaneoka | JP | Tokyo | 2013-12-12 / 20130326994 - STEEL SINGLE-LIP CHANNEL BAR | 1 |
Hirokazu Kaneoya | JP | Hitachinaka | 2012-09-20 / 20120235055 - Focused Ion Beam Device and Focused Ion Beam Processing Method | 1 |
Daisuke Kaneoya | JP | Nakakoma-Gun | 2011-04-14 / 20110083572 - Card printing apparatus | 1 |
Daisuke Kaneoya | JP | Yamanashi-Ken | 2014-05-22 / 20140139606 - TRANSFER APPARATUS AND TRANSFER METHOD | 2 |
Masakazu Kaneoya | JP | Kitaadachi-Gun | 2015-05-07 / 20150124205 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Daisuke Kaneoya | JP | Minamikoma-Gun | 2013-09-26 / 20130250026 - PRINTER | 2 |
Shinya Kaneoya | JP | Kyoto | 2010-08-19 / 20100209264 - AXIAL FAN AND METHOD OF MANUFACTURING THE SAME | 3 |
Shinya Kaneoya | JP | Shizuoka-Shi | 2008-11-20 / 20080286135 - SERIAL AXIAL FAN UNIT | 1 |
Masakazu Kaneoya | JP | Kita-Adachi-Gun | 2015-11-12 / 20150322343 - NEMATIC LIQUID CRYSTAL COMPOSITION | 12 |
Masakazu Kaneoya | JP | Kita-Adachi-Gun | 2015-11-12 / 20150322343 - NEMATIC LIQUID CRYSTAL COMPOSITION | 12 |
Shinya Kaneoya | JP | Tokyo | 2015-07-16 / 20150201519 - ELECTRIC COMPONENT BOX AND INDOOR UNIT OF AIR CONDITIONER | 2 |
Richard B. Kaner | US | Pacific Palisades | 2016-05-19 / 20160136585 - Composite Filtration Membranes from Conducting Polymer Nanoparticles and Conventional Polymers | 23 |
Shirish M. Kaner | IN | Nagpur | 2010-05-20 / 20100125393 - USER INTERFACE FOR A VEHICLE INSTALLED COMMUNICATION DEVICE | 1 |
Rudolph Kaner | IL | Karmiel | 2014-10-16 / 20140305862 - BACK-FLUSH FILTER SYSTEMS AND MECHANISMS THEREFOR | 1 |
Rudulph Kaner | IL | Karmiel | 2013-10-17 / 20130270163 - SELF CLEANING FILTER SYSTEM | 1 |
Mark Kaner | US | Wildwood | 2015-04-02 / 20150092423 - INTERCHANGEABLE LIGHTING ASSEMBLY | 1 |
Richard B. Kaner | US | Los Angeles | 2016-04-21 / 20160111619 - HIGH PERFORMANCE HIGH TEMPERATURE THERMOELECTRIC COMPOSITES WITH METALLIC INCLUSIONS | 1 |
Richard B. Kaner | US | Pacific Palisades | 2016-05-19 / 20160136585 - Composite Filtration Membranes from Conducting Polymer Nanoparticles and Conventional Polymers | 23 |
Richard Kaner | US | Pacific Palisades | 2011-09-22 / 20110229759 - ELECTRODES INCORPORATING NANOSTRUCTURED POLYMER FILMS FOR ELECTROCHEMICAL ION STORAGE | 1 |
Albert H. Kaner | US | Los Angeles | 2015-08-20 / 20150230970 - Dental Sleep Apnea Device | 1 |
Shirish Kaner | MY | Persiaran Bayan Indah | 2015-05-28 / 20150147982 - METHOD AND APPARATUS FOR PROVIDING INFORMATION ON A TOP SECTION OF A PORTABLE RADIO | 1 |
Anup Kaneri | US | Sewickley | 2013-08-15 / 20130211899 - CONSUMER PROMOTION MANAGEMENT SYSTEM | 1 |
Vimal Kaneria | IN | Baroda | 2010-07-08 / 20100172982 - SUSTAINED RELEASE FORMULATIONS OF DIVALPROEX SODIUM | 1 |
Anne Kanerva | FI | Itasalmi | 2009-06-11 / 20090151028 - Transgenic camelina sativa | 3 |
Tommi Kanerva | FI | Tampere | 2009-12-31 / 20090327539 - Multiple Die System Status Communication System | 2 |
Ronald Kanerva | CA | Sudbury | 2016-03-03 / 20160060822 - ROAD REPAIR VEHICLE | 1 |
Anna Kanerva | FI | Helsinki | 2015-07-23 / 20150202324 - ADENOVIRAL VECTORS AND METHODS AND USES RELATED THERETO | 2 |
Mikko Kanerva | FI | Helsinki | 2012-12-27 / 20120329508 - TELECOMMUNICATION SYSTEM AND METHOD WITH LOCATION CRITERIA IN CALL REQUESTS | 1 |
Anne Kanerva | US | 2012-07-26 / 20120192318 - Transformation system for Camelina sativa | 1 | |
Sami Kanerva | FI | Vantaa | 2013-12-19 / 20130336818 - PROPULSION SYSTEM | 3 |
Anne Kanerva | FI | Helsinki | 2015-06-25 / 20150176044 - Production of Fucosylated Glycoproteins | 4 |
Jukka Kanerva | FI | Helsinki | 2012-09-27 / 20120243762 - ODONTOLOGICAL IMAGING APPARATUS | 1 |
Ronald W. Kanerva | CA | Ontario | 2009-05-14 / 20090124143 - Articulated amphibious vehicle | 1 |
Mikko J. Kanerva | FI | Helsinki | 2009-07-30 / 20090191857 - Universal subscriber identity module provisioning for machine-to-machine communications | 1 |
Seppo Kanervo | FI | Järvenpää | 2010-04-01 / 20100081534 - FRICTIONAL PLANETARY GEAR WITH VARIATOR ACTION | 1 |
Seppo Kanervo | FI | Järvenpää | 2010-04-01 / 20100081534 - FRICTIONAL PLANETARY GEAR WITH VARIATOR ACTION | 1 |
Tsuyoshi Kanesa | JP | Nukata-Gun, Aichi-Ken | 2016-05-19 / 20160138661 - SYNCHRONIZATION DEVICE FOR MANUAL TRANSMISSION OF VEHICLE | 1 |
Tsuyoshi Kanesa | JP | Nishio-Shi | 2008-10-16 / 20080250891 - Stopper structure of shift lever | 1 |
Tsuyoshi Kanesa | JP | Nishio-Shi, Aichi | 2016-05-19 / 20160138661 - SYNCHRONIZATION DEVICE FOR MANUAL TRANSMISSION OF VEHICLE | 1 |
Takayasu Kanesada | JP | Ebina-Shi | 2014-12-25 / 20140375853 - SOLID-STATE IMAGING APPARATUS, METHOD OF MANUFACTURING THE SAME, AND CAMERA | 1 |
Takayasu Kanesada | JP | Yamato-Shi | 2015-06-25 / 20150179867 - METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE | 1 |
Yuuichi Kanesaka | JP | Tokyo | 2016-01-07 / 20160004131 - DISPLAY DEVICE | 1 |
Hiroyuki Kanesaka | JP | Tokyo | 2012-03-08 / 20120058416 - PROTON-CONDUCTIVE COMPOSITE ELECTROLYTE MEMBRANE AND PRODUCING METHOD THEREOF | 1 |
Kazumi Kanesaka | JP | Chonan | 2011-05-12 / 20110109851 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Kazumi Kanesaka | JP | Chounan | 2011-08-25 / 20110205474 - Liquid Crystal Display Device | 2 |
Yuuichi Kanesaka | JP | Shirako | 2009-05-14 / 20090122415 - Display device | 1 |
Koki Kanesaka | JP | Kanagawa | 2009-01-08 / 20090010334 - DECODING DEVICE, DECODING METHOD, RECORDING MEDIUM, AND PROGRAM | 1 |
Sho Kanesaka | JP | Ibaraki | 2011-12-22 / 20110311899 - POLYMER, POLYARYLENE BLOCK COPOLYMER, POLYELECTROLYTE, POLYELECTROLYTE MEMBRANE, AND FUEL CELL | 2 |
Tomoki Kanesaka | JP | Chiba | 2009-12-17 / 20090309987 - INFORMATION PROCESSING APPARATUS, IMAGE-CAPTURING SYSTEM, REPRODUCTION CONTROL METHOD, RECORDING CONTROL METHOD, AND PROGRAM | 2 |
Hiroyuki Kanesaka | JP | Sumida-Ku | 2008-09-04 / 20080213646 - Proton-conductive composite electrolyte membrane and producing method thereof | 1 |
Kaori Kanesaka | JP | Tokyo | 2009-10-29 / 20090267027 - Infra-Red Light Emitting Phosphor | 1 |
Toshiya Kanesaka | JP | Chiba-Shi | 2010-06-10 / 20100141716 - Ink cartridge and residual amount display method | 1 |
Kazumi Kanesaka | JP | Chosei-Gun | 2010-12-30 / 20100328588 - PANEL FOR LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Hiroki Kanesaka | JP | Kawasaki | 2009-08-13 / 20090201956 - LIGHT SOURCE DRIVING APPARATUS AND LIGHT SOURCE DRIVING METHOD | 1 |
Yoshiyuki Kanesaka | JP | Odawara | 2015-03-26 / 20150083057 - COOLANT-CONTROL VALVE | 3 |
Sho Kanesaka | JP | Tsukuba-Shi | 2011-02-10 / 20110033778 - POLYMER ELECTROLYTE MEMBRANE | 4 |
Yasumichi Kanesaki | JP | Tokyo | 2010-05-27 / 20100130806 - Method of treating asbestos-containing waste material | 1 |
Sivakumar Kanesapillai | CA | Ottawa | 2009-04-23 / 20090103675 - Linear phase interpolator and phase detector | 1 |
Toshio Kaneshige | JP | Chiba | 2010-09-23 / 20100237763 - Cold Cathode Electron Emission Source and Method for Manufacture of the Same | 1 |
Mami Kaneshige | JP | Tokyo | 2010-11-11 / 20100282269 - Makeup-Assisting Patch and Makeup Method Using the Patch | 1 |
Tsutomu Kaneshige | JP | Kyoto-Shi | 2014-11-27 / 20140349759 - STORAGE MEDIUM STORING GAME PROGRAM AND GAME APPARATUS | 5 |
Kenji Kaneshige | JP | Kawasaki | 2012-04-26 / 20120102370 - DATA PROCESSING DEVICE AND METHOD FOR MEMORY DUMP COLLECTION | 1 |
Keiichi Kaneshige | JP | Seto-Shi Aichi-Ken | 2014-07-03 / 20140184031 - ROTARY ELECTRIC MACHINE | 1 |
Ryousuke Kaneshige | JP | Chiba | 2009-01-22 / 20090023619 - Viscosity modifier of lubricating oil for power transmission system and lubricating oil composition for power transmission system | 1 |
Kenji Kaneshige | JP | Gotemba | 2015-02-12 / 20150046754 - COMPUTER AND DUMPING CONTROL METHOD | 1 |
Ryousuke Kaneshige | JP | Kisarazu-Shi | 2015-03-19 / 20150080280 - LUBRICATING OIL COMPOSITION | 2 |
Kazuto Kaneshige | JP | Miyazaki | 2012-03-29 / 20120075063 - ENGINE STARTING/STOPPING SWITCH DEVICE | 1 |
Masatoshi Kaneshige | JP | Ikoma-Shi | 2009-02-12 / 20090041507 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS INCLUDING SAME | 2 |
Kenichi Kaneshige | JP | Tokyo | 2016-03-17 / 20160079976 - DEVICE AND METHOD FOR VOLTAGE BOOSTING AND DISPLAY PANEL DRIVER INCORPORATING THE SAME | 1 |
Keiichi Kaneshige | JP | Seto-Shi | 2016-02-11 / 20160043605 - STATOR FOR ROTARY ELECTRIC MACHINE | 5 |
Tsutomo Kaneshige | JP | Kyoto-Shi | 2014-05-01 / 20140123131 - SYSTEM AND METHOD FOR INSTALLING SOFTWARE APPLICATIONS | 1 |
Toshihiko Kaneshige | JP | Yokohama-Shi | 2011-08-25 / 20110205390 - SIGNAL PROCESSING DEVICE AND IMAGING DEVICE | 6 |
Masahiro Kaneshige | JP | Hitachinaka-Shi | 2012-04-05 / 20120082876 - Electric Storage Device | 2 |
Hiroshi Kaneshige | JP | Tokyo | 2016-03-24 / 20160084676 - LINEAR ENCODER DEVICE AND REFERENCE POSITION DETECTION METHOD | 5 |
Keiichiro Kaneshima | JP | Suwa-Shi | 2013-04-25 / 20130102834 - SLIDE DEVICE, MECHANICAL SEAL, ROTARY DEVICE, PUMP AND AUXILIARY ARTIFICIAL HEART SYSTEM | 1 |
Syuji Kaneshima | JP | Fukuoka-Shi | 2014-09-11 / 20140256820 - THERAPEUTIC AGENT FOR BLOOD-BRAIN BARRIER DISRUPTION SYNDROME | 1 |
Yasuji Kaneshima | JP | Mie-Ken | 2012-04-26 / 20120097338 - WORK BONDING AND SUPPORTING METHOD AND WORK BONDING AND SUPPORTING APPARATUS USING THE SAME | 1 |
Yasuji Kaneshima | JP | Mieken | 2008-09-25 / 20080230183 - RELEASING METHOD AND RELEASING APPARATUS OF WORK HAVING ADHESIVE TAPE | 1 |
Yasuji Kaneshima | JP | Kameyama-Shi | 2014-08-28 / 20140238207 - ADHESIVE TAPE CUTTING METHOD AND ADHESIVE TAPE CUTTING APPARATUS | 5 |
Takuma Kaneshima | JP | Kurashiki-Shi | 2015-09-17 / 20150259457 - VINYL ALCOHOL-BASED GRAFT POLYMER, METHOD FOR PRODUCING SAME, AND ION-EXCHANGE MEMBRANE USING SAME | 2 |
Shoji Kaneshima | JP | Hyogo | 2009-11-05 / 20090272264 - COMPRESSED AIR PRODUCING METHOD AND PRODUCING PLANT | 1 |
Hisayasu Kaneshiro | JP | Kyoto | 2015-04-30 / 20150119534 - POLYIMIDE FILM AND PROCESS FOR PRODUCING THE SAME | 13 |
Ronald Kaneshiro | US | Los Altos | 2015-07-16 / 20150198761 - OPTICAL DISPLAY SYSTEM | 9 |
Yuki Kaneshiro | JP | Okayama-Shi | 2010-05-06 / 20100111778 - COMPOSITE OXIDE FOR EXHAUST GAS PURIFICATION CATALYST AND FILTER | 1 |
Kazushi Kaneshiro | JP | Kanagawa | 2014-09-25 / 20140289798 - INFORMATION PROCESSING APPARATUS, COMMUNICATION SYSTEM, AND COMPUTER-READABLE MEDIUM | 1 |
Ronald Kaneshiro | US | Los Altos | 2015-07-16 / 20150198761 - OPTICAL DISPLAY SYSTEM | 9 |
Gary Kaneshiro | US | Millbrae | 2016-01-28 / 20160023928 - Waste Processing Method and Apparatus | 1 |
Hiroaki Kaneshiro | JP | Nagaokakyo-Shi | 2014-02-27 / 20140056785 - AMMONIA DETOXIFICATION DEVICE | 1 |
Norikazu Kaneshiro | JP | Osaka | 2013-03-14 / 20130063557 - EYEWEAR DEVICE AND METHOD FOR CONTROLLING EYEWEAR DEVICE | 1 |
Todd Kaneshiro | US | Salt Lake City | 2010-06-03 / 20100135909 - DENDRIMERS AND METHODS OF MAKING AND USING THEREOF | 1 |
Yuki Kaneshiro | JP | Okayama | 2014-07-17 / 20140199204 - CUPROUS OXIDE POWDER AND METHOD FOR PRODUCING SAME | 2 |
Yuki Kaneshiro | JP | Tokyo | 2009-11-26 / 20090288401 - COMPOSITE OXIDE FOR EXHAUST GAS PURIFYING CATALYST AND EXHAUST GAS PURIFYING CATALYST, AND DIESEL EXHAUST GAS PURIFYING FILTER | 1 |
Kazushi Kaneshiro | JP | Kawasaki-Shi | 2009-10-22 / 20090265605 - FIXED-FORM INFORMATION MANAGEMENT SYSTEM, METHOD FOR MANAGING FIXED-FORM INFORMATION, AND COMPUTER READABLE MEDIUM | 2 |
Hisayasu Kaneshiro | JP | Uji-Shi | 2010-01-07 / 20100003531 - Novel polyimide film with improved adhesiveness | 1 |
Daiki Kaneshiro | JP | Yokkaichi-City | 2011-06-02 / 20110130049 - BUSBAR CIRCUIT STRUCTURE AND TERMINAL BLOCK | 2 |
Hisayasu Kaneshiro | JP | Shinmeimiyahigashi Uji-Shi Kyoto | 2010-06-10 / 20100143729 - Flexible Metal-Clad Laminate Plate | 1 |
Tetsuya Kaneshiro | JP | Osaka | 2015-07-02 / 20150189422 - HEADPHONE SET | 3 |
Ronald T. Kaneshiro | US | Los Altos | 2013-06-27 / 20130163938 - CONNECTOR SYSTEM HAVING ELECTRICAL AND OPTICAL LINKS WITH OPTICAL LINK CLEANER | 3 |
Michael H. Kaneshiro | US | Chandler | 2016-01-07 / 20160005730 - ESD Protection with Asymmetrical Bipolar-Based Device | 4 |
Hisayasu Kaneshiro | JP | Osaka | 2013-01-10 / 20130011687 - MULTILAYER POLYMIDE FILM AND FLEXIBLE METAL LAMINATED BOARD | 1 |
Alan Kaneshiro | US | Millbrae | 2016-01-28 / 20160023928 - Waste Processing Method and Apparatus | 1 |
Kelii Justin Tsuyoshi Kaneshiro | US | Raleigh | 2009-12-03 / 20090300517 - PROVIDING USER CONTROL OF HISTORICAL MESSAGES IN ELECTRONIC MAIL CHAIN TO BE INCLUDED IN FORWARDED OR REPLIED ELECTRONIC MAIL MESSAGE | 1 |
Ronald T. Kaneshiro | US | San Jose | 2011-12-01 / 20110293221 - CONSUMER INPUT/OUTPUT (CIO) OPTICAL TRANSCEIVER MODULE FOR USE IN AN ACTIVE OPTICAL CABLE, AN ACTIVE OPTICAL CABLE THAT INCORPORATES THE CIO OPTICAL TRANSCEIVER MODULE, AND A METHOD | 1 |
Daiju Kaneshiro | JP | Hyogo | 2010-03-25 / 20100072987 - DISPLACEMENT SENSOR | 1 |
Hisayasu Kaneshiro | JP | Shiga | 2015-11-26 / 20150336293 - FILM MANUFACTURING METHOD, FILM MANUFACTURING DEVICE, AND JIG | 2 |
Ron Kaneshiro | US | Los Altos | 2012-07-12 / 20120175535 - OPTICAL BACKPLANE HAVING AT LEAST ONE OPTICAL RELAY ELEMENT FOR RELAYING LIGHT FROM AN INPUT FACET OF THE BACKPLANE TO AN OUTPUT FACET OF THE BACKPLANE | 4 |
Timothy P. Kane, Sr. | US | Ambler | 2010-12-16 / 20100319060 - PROCESS AND SYSTEM FOR COMPREHENSIVE IT DISCOVERY WITHOUT CREDENTIALS | 1 |
Shingo Kaneta | JP | Tokyo | 2011-10-06 / 20110241027 - Organic EL Element, Image Display Device and Method for Manufacturing the Same | 3 |
Hiroyuki Kaneta | JP | Wako-Shi | 2016-02-11 / 20160039423 - BRAKING FORCE CONTROL APPARATUS FOR SADDLE RIDE VEHICLE | 3 |
Hiroyuki Kaneta | JP | Saitama | 2013-10-03 / 20130262335 - COLLECTION AND DELIVERY MANAGEMENT SYSTEM | 4 |
Yukimasa Kaneta | JP | Tokyo | 2010-04-08 / 20100086711 - STRETCHED LAMINATED FILM AND BAG | 1 |
Motoya Kaneta | JP | Utsunomiya-Shi | 2013-07-18 / 20130182997 - OPTICAL WAVEGUIDE MODULE, METHOD FOR PRODUCING OPTICAL WAVEGUIDE MODULE, AND ELECTRONIC APPARATUS | 2 |
Mitsuhiro Kaneta | JP | Tokyo | 2013-01-03 / 20130005854 - CURABLE COMPOSITION | 1 |
Yasuhiro Kaneta | JP | Isesaki-Shi | 2013-10-17 / 20130269177 - SIGNAL PROCESSING CIRCUIT OF ELECTROSTATIC CAPACITY TYPE TOUCH PANEL | 8 |
Akio Kaneta | JP | Shiga | 2012-05-17 / 20120124706 - SCANNING PROBE MICROSCOPE AND METHOD FOR DETECTING PROXIMITY OF PROBES THEREOF | 1 |
Tomoaki Kaneta | JP | Tsuchiura | 2015-09-10 / 20150252819 - Work Machine | 2 |
Natsumi Kaneta | JP | Odawara | 2012-05-31 / 20120137085 - COMPUTER SYSTEM AND ITS CONTROL METHOD | 1 |
Mitsutada Kaneta | JP | Urayasu-Shi | 2013-12-12 / 20130327435 - COATED STAINLESS STEEL MEMBER | 1 |
Hiroki Kaneta | JP | Kariya-City | 2015-05-14 / 20150129687 - FUEL INJECTOR | 1 |
Akiko Kaneta | JP | Tokyo | 2012-04-26 / 20120098535 - MAGNETIC RESONANCE IMAGING APPARATUS AND EDDY CURRENT COMPENSATION METHOD | 1 |
Masato Kaneta | JP | Gunma | 2011-02-10 / 20110031953 - ENVELOPE TRACKING POWER SUPPLY CIRCUIT AND HIGH-FREQUENCY AMPLIFIER INCLUDING ENVELOPE TRACKING POWER SUPPLY CIRCUIT | 1 |
Manabu Kaneta | JP | Utsunomiya-Shi | 2013-09-12 / 20130232712 - BULKY SHEET AND METHOD FOR PRODUCING SAME | 1 |
Yasuhiro Kaneta | JP | Isesaki-Shi | 2013-10-17 / 20130269177 - SIGNAL PROCESSING CIRCUIT OF ELECTROSTATIC CAPACITY TYPE TOUCH PANEL | 8 |
Masahisa Kaneta | JP | Hitachi | 2015-12-10 / 20150357696 - Phase-Shift Circuit and Antenna Device | 2 |
Satoshi Kaneta | JP | Azumino-Shi | 2009-11-12 / 20090278906 - RESTRAINT DEVICE AND RECORDING DEVICE | 3 |
Hiroyoshi Kaneta | JP | Hiratsuka-Shi | 2013-01-10 / 20130008551 - LATERAL PIPE LINING MATERIAL, METHOD FOR PRODUCTION OF SAME, AND LATERAL PIPE LINING PROCESS | 1 |
Kenji Kaneta | JP | Kanagawa | 2010-12-02 / 20100301333 - SEMICONDUCTOR DEVICE AND METHOD OF INSPECTING AN ELECTRICAL CHARACTERISTIC OF A SEMICONDUCTOR DEVICE | 1 |
Yasushi Kaneta | JP | Nagoya-Shi | 2010-02-11 / 20100035115 - METAL COMPONENT FOR FUEL CELL AND METHOD OF MANUFACTURING THE SAME, AUSTENITIC STAINLESS STEEL FOR POLYMER ELECTROLYTE FUEL CELL AND METAL COMPONENT FOR FUEL CELL USING THE SAME, POLYMER ELECTROLYTE FUEL CELL MATERIAL AND METHOD OF MANUFACTURING THE SAME, CORROSION-RESISTANT CONDUCTIVE COMPONENT AND METHOD OF MANUFACTURING THE SAME, AND FUEL CELL | 1 |
Yasuhiro Kaneta | JP | Isesaka-Shi | 2011-05-26 / 20110122089 - SIGNAL PROCESSING CIRCUIT FOR ELECTROSTATIC CAPACITY TYPE TOUCH PANEL | 1 |
Yasuhiro Kaneta | JP | Ichihara-Shi | 2013-01-24 / 20130023591 - Phenyl-Containing Organopolysiloxane Composition, Raw Cosmetic Material, and Glossy Cosmetic Material | 1 |
Koji Kaneta | JP | Kanagawa-Ken | 2014-09-04 / 20140246108 - PIPE REHABILITATION METHOD | 6 |
Shingo Kaneta | JP | Kitakatsushika-Gun | 2012-01-12 / 20120007067 - ORGANIC ELECTROLUMINESCENT DEVICE, METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT DEVICE, IMAGE DISPLAY DEVICE, AND METHOD FOR MANUFACTURING IMAGE DISPLAY DEVICE | 1 |
Hiroshi Kaneta | JP | Tokyo | 2011-10-20 / 20110256444 - SECONDARY BATTERY HAVING THIRD TERMINAL IN ADDITION TO POSITIVE AND NEGATIVE ELECTRODE TERMINALS AND STORAGE BATTERY USING THE SAME | 2 |
Tomoaki Kaneta | JP | Kasumigaura-Shi | 2016-01-07 / 20160003266 - WORK MACHINE | 3 |
Masato Kaneta | JP | Takasaki-Shi | / - | 1 |
Takahiro Kaneta | JP | Tokyo | 2009-10-22 / 20090262441 - LENS BARREL | 2 |
Hiroshi Kaneta | JP | Niigata | 2012-07-05 / 20120168912 - METHOD FOR QUANTITATIVELY EVALUATING CONCENTRATION OF ATOMIC VACANCIES EXISTING IN SILICON WAFER, METHOD FOR MANUFACTURING SILICON WAFER, AND SILICON WAFER MANUFACTURED BY THE METHOD FOR MANUFACTURING SILICON WAFER | 4 |
Masatoshi Kaneta | JP | Tokyo | 2016-01-28 / 20160023994 - CALCINATION APPARATUS, PROCESS FOR PRODUCING OXIDE CATALYST, AND PROCESS FOR PRODUCING UNSATURATED ACID OR UNSATURATED NITRILE | 3 |
Yasushi Kaneta | JP | Miyagi | 2011-11-10 / 20110273174 - MAGNETIC FIELD DETECTION ELEMENT AND SIGNAL TRANSMISSION ELEMENT | 1 |
Koji Kaneta | JP | Hiratsuka-Shi | 2013-01-10 / 20130008551 - LATERAL PIPE LINING MATERIAL, METHOD FOR PRODUCTION OF SAME, AND LATERAL PIPE LINING PROCESS | 18 |
Hidemi Kanetaka | JP | Mie-Ken | 2009-01-01 / 20090000640 - SURFACE TREATMENT METHOD, ETCHING METHOD, AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE | 1 |
Yoshifumi Kanetaka | JP | Tokyo | 2011-01-13 / 20110006433 - ELECTRONIC DEVICE AND MANUFACTURING METHOD THEREFOR | 1 |
Fumikazu Kanetaka | JP | Tokyo | 2009-03-19 / 20090073573 - Zoom optical system and image taking apparatus using the same | 1 |
Yasuhiro Kanetaka | JP | Chiyoda-Ku | 2014-11-27 / 20140348014 - RADIO BASE STATION AND METHOD OF CONTROLLING TRANSITION BETWEEN RADIO SYSTEMS | 2 |
Toshio Kanetaka | JP | Tokyo | 2014-05-15 / 20140131476 - INJECTOR AND CONTROL METHOD FOR AN ULTRASONIC MOTOR | 1 |
Fumikazu Kanetaka | JP | Chiba | 2015-03-19 / 20150077859 - ZOOM LENS AND IMAGING APPARATUS | 5 |
Katsuyuki Kanetaka | JP | Kanagawa | 2013-03-14 / 20130065687 - Game Device, Game Control Method, and Game Control Program for Controlling Game on the Basis of a Position Input Received via Touch Panel | 1 |
Yasuhiro Kanetaka | JP | Chiyoda-Ku, Tokyo | 2014-11-06 / 20140328324 - RADIO BASE STATION AND METHOD OF CONTROLLING TRANSITION BETWEEN RADIO SYSTEMS | 1 |
Fumikazu Kanetaka | JP | Shibuya-Ku | 2009-03-12 / 20090067061 - Zoom lens and imaging apparatus incorporating the same | 1 |
Naoyuki Kanetake | JP | Nagoya-Shi, Aichi | 2016-04-28 / 20160118166 - PTC THERMISTOR MEMBER | 1 |
Yasuo Kanetake | JP | Kyoto | 2010-04-29 / 20100103588 - COMPOSITE MATERIAL, CAPACITOR USING THE SAME, AND THEIR PRODUCTION METHODS | 1 |
Tatsuo Kanetake | JP | Kawasaki | 2009-08-06 / 20090196293 - Packet transfer unit | 1 |
Junya Kanetake | JP | Moriyama-Shi | 2015-10-29 / 20150306354 - MEDICAL GUIDE WIRE | 2 |
Fumiya Kanetake | JP | Kariya-Shi | 2014-05-15 / 20140134491 - LITHIUM CONTAINING COMPOSITE OXIDE POWDER AND MANUFACTURING PROCESS FOR THE SAME | 1 |
Jun Kanetake | JP | Kawasaki | 2015-10-08 / 20150286879 - MOVEMENT AMOUNT ESTIMATION DEVICE, MOVEMENT AMOUNT ESTIMATION METHOD, AND COMPUTER-READABLE RECORDING MEDIUM STORING MOVEMENT AMOUNT ESTIMATION PROGRAM | 3 |
Yasuo Kanetake | JP | Kyoto-Shi | 2016-02-04 / 20160035493 - MULTILAYERED STRUCTURE, CAPACITOR ELEMENT, AND FABRICATION METHOD OF THE CAPACITOR ELEMENT | 1 |
Akinori Kanetani | JP | Tokyo | 2013-05-23 / 20130131363 - Surfactant Composition for Agricultural Chemicals | 2 |
Taihei Kaneto | JP | Tokyo | 2014-02-20 / 20140050939 - SURFACE-TREATED METAL MATERIAL AND AQUEOUS METAL SURFACE-TREATMENT AGENT | 3 |
Taishi Kaneto | JP | Gunma | 2013-08-15 / 20130208026 - SEMICONDUCTOR LIGHT EMITTING APPARATUS, IMAGE DISPLAYING APPARATUS, MOBILE TERMINAL, HEAD-UP DISPLAY APPARATUS, IMAGE PROJECTOR, HEAD-MOUNTED DISPLAY APPARATUS, AND IMAGE FORMING APPARATUS | 1 |
Nao Kaneto | JP | Tokyo | 2009-10-29 / 20090271745 - MAP DISPLAY SYSTEM, MAP DISPLAY DEVICE, MAP DISPLAY METHOD, AND MAP DISTRIBUTION SERVER | 1 |
Keiichi Kaneto | JP | Fukuoka | 2012-01-05 / 20120001162 - ORGANIC SEMICONDUCTOR MATERIAL AND ORGANIC THIN-FILM TRANSISTOR | 2 |
Shuji Kaneto | JP | Hiroshima | 2009-04-02 / 20090086209 - Method for marking defect and device therefor | 1 |
Masayuki Kaneto | JP | Osaka | 2011-03-24 / 20110071377 - CIRCUIT BOARD FOR BODY FLUID COLLECTION, METHOD FOR PRODUCING THE SAME, METHOD FOR USING THE SAME, AND BIOSENSOR INCLUDING THE CIRCUIT BOARD FOR BODY FLUID COLLECTION | 4 |
Keiichi Kaneto | JP | Kitakyushu-Shi | 2011-05-05 / 20110101326 - ORGANIC FIELD EFFECT TRANSISTOR | 1 |
Taihei Kaneto | JP | Chiba | 2009-04-30 / 20090110921 - Chromate-Free Surface Treated Metal Material with Excellent Corrosion Resistance, Heat Resistance, Fingermark Resistance, Conductivity, Coatability, and Blackening Resistance at the Time of Working | 1 |
Kimikazu Kaneto | JP | Aichi | 2010-08-05 / 20100193991 - EQUIPMENT FOR MOLDING MOLD WITH MOLDING FLASK AND METHOD FOR MOLDING MOLD WITH MOLDING FLASK | 1 |
Kimikazu Kaneto | JP | Toyokawa-Shi | 2011-02-03 / 20110024071 - Method of producing sand mold | 1 |
Taishi Kaneto | JP | Tokyo | 2015-10-01 / 20150280067 - LIGHT EMITTING ELEMENT ARRAY, SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, PRINTING HEAD AND IMAGE FORMING APPARATUS | 2 |
Masayuki Kaneto | JP | Ibaraki-Shi | 2009-07-30 / 20090191410 - Resin joined body | 1 |
Sadao Kanetoku | JP | Tokyo | 2014-05-15 / 20140135438 - FLUORINATED COPOLYMER COMPOSITION | 1 |
Dai Kanetomo | JP | Tokyo | 2012-12-20 / 20120324544 - INFORMATION PROCESSING APPARATUS, TERMINAL APPARATUS AND INFORMATION PROCESSING METHOD | 1 |
Dai Kanetomo | JP | Minato-Ku | 2012-02-23 / 20120047515 - TERMINAL DEVICE, COMMUNICATION METHOD USED IN THE TERMINAL DEVICE AND RECORDING MEDIUM | 1 |
Masafumi Kanetomo | JP | Suginami-Ku, Tokyo | 2015-11-05 / 20150316457 - CANCER CELL ISOLATION DEVICE AND CANCER CELL ISOLATION METHOD | 1 |
Kazuhiko Kanetoshi | JP | Hitachinaka | 2011-05-05 / 20110100327 - Control Apparatus for Engine | 8 |
Kazuhiko Kanetoshi | JP | Hitachinaka | 2011-05-05 / 20110100327 - Control Apparatus for Engine | 8 |
Kazuhiko Kanetoshi | JP | Yokohama | 2011-12-29 / 20110315108 - Control Device for Engine | 2 |
Taihei Kanetou | JP | Tokyo | 2010-01-07 / 20100003529 - SURFACE-TREATED METAL MATERIAL AND PRODUCING METHOD THEREOF | 1 |
Kathleen L. Kanetsky | US | New Castle | 2014-06-05 / 20140154519 - NON-AQUEOUS COMPOSITION COMPRISING PARTIALLY FLUORINATED METHACRYLIC POLYMERS | 1 |
Yuu Kanetsuki | JP | Yamaguchi | 2009-04-23 / 20090105190 - Method for Producing Fucoidan, Fucoidan, and Fucoidan-Containing Composition | 1 |
Yoshitoshi Kanetsuna | JP | Hikone | 2010-12-09 / 20100312127 - Blood pressure cuff | 1 |
Tsutomu Kanetsuna | JP | Yokohama-Shi | 2011-03-03 / 20110052970 - BATTERY | 2 |
Tsutomu Kanetsuna | JP | Yokohama | 2010-11-11 / 20100285677 - POWER SUPPLY CONNECTOR | 2 |
Masaru Kanetsuna | JP | Takatsuki-Shi | 2012-08-16 / 20120205204 - BRAKE DISC HAVING REDUCED NOISE | 1 |
Yasuomi Kaneuchi | JP | Tokyo | 2009-09-17 / 20090230103 - LASER BEAM PROCESSING MACHINE | 1 |
Eiji Kaneuchi | JP | Kitakatsuragi-Gun | 2014-03-20 / 20140080614 - ROTATION TRANSMITTING APPARATUS, VEHICLE STEERING SYSTEM, AND INTERMEDIATE SHAFT | 1 |
Kazuhiko Kaneuchi | JP | Osaka | 2015-03-05 / 20150064371 - DECORATIVE FILM AND MOLDED PRODUCT INCLUDING THE SAME | 4 |
Yoshihiro Kaneuchi | JP | Asaka-Shi | 2013-03-21 / 20130073476 - COMMUNITY GIFT SYSTEM, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING PROGRAM | 2 |
Yasuomi Kaneuchi | JP | Yokohama-Shi | 2015-11-26 / 20150336842 - METHOD FOR MANUFACTURING BENT OPTICAL FIBER | 7 |
Yoshiyama Kaneumi | JP | Ibaraki | 2015-10-29 / 20150306659 - EMULSION AND MOLD-RELEASING AGENT USING THE SAME | 14 |
S.k. Kanev | NL | Petten | 2011-09-22 / 20110229300 - APPARATUS AND METHOD FOR INDIVIDUAL PITCH CONTROL IN WIND TURBINES | 1 |
Stojan Kanev | DE | Thiendorf Ot Sacka | 2014-08-28 / 20140239991 - METHOD FOR VERIFYING A TEST SUBSTRATE IN A PROBER UNDER DEFINED THERMAL CONDITIONS | 18 |
Stojan Kanev | DE | Sacka | 2010-11-25 / 20100294053 - PROBE HOLDER | 5 |
Andrei Kanev | IT | Torino | 2011-05-19 / 20110118952 - GLOWPLUG TEMPERATURE CONTROL METHOD AND DEVICE FOR THE REDUCTION OF EMISSIONS FROM A DIESEL ENGINE | 3 |
Kamen Kanev | JP | Hamamatsu-Shi | 2010-08-26 / 20100215281 - Clustering-Scheme-Encoded Interfaces Providing Orientation Feedback | 1 |
Stojan Kanev | DE | Thiendorf Ot Sacka | 2014-08-28 / 20140239991 - METHOD FOR VERIFYING A TEST SUBSTRATE IN A PROBER UNDER DEFINED THERMAL CONDITIONS | 18 |
Dmitry Kanev | RU | Ulyanovsk | 2012-12-13 / 20120317620 - Website visitor identification algorithm | 2 |
Diana Kaneva | BG | Stara Zagora | 2012-03-15 / 20120066291 - REMOTE METHOD INVOCATION TUNNELING OVER HYPERTEXT TRANSFER PROTOCOL | 1 |
Dimitri Kanevasky | US | Ossining | 2012-10-04 / 20120253784 - LANGUAGE TRANSLATION BASED ON NEARBY DEVICES | 1 |
Dimitri Kanevski | US | Ossining | 2009-11-26 / 20090293004 - SYSTEM AND METHOD FOR MIGRATING FROM A FIRST APPLICATION TO A SECOND APPLICATION | 1 |
Valerii Kanevskiy | UA | Kiev | 2010-11-04 / 20100280506 - METHOD AND APPARATUS FOR MEDICAL TREATMENT UTILIZING LASER IRRADIATION | 1 |
Michael Kanevsky | IL | Tirat Hacarmel | 2010-09-02 / 20100220330 - OPTICAL RESONANCE ANALYSIS USING A MULTI- ANGLE SOURCE OF ILLUMINATION | 1 |
Alexander Kanevsky | IL | Arad | 2010-05-06 / 20100113965 - INTERNAL SYSTEMS IMBALANCE DETERMINATION AND ITS USE FOR SELECTION OF FOOD SUPPLEMENTS AND/OR VITAMINS | 1 |
Dimitri Kanevsky | US | Yorktown Heights | 2014-04-03 / 20140095162 - HIERARCHICAL METHODS AND APPARATUS FOR EXTRACTING USER INTENT FROM SPOKEN UTTERANCES | 5 |
Paul Kanevsky | US | West Windsor | 2014-05-01 / 20140122875 - CONTAINER-BASED MANAGEMENT AT A USER DEVICE | 1 |
Dimitri Kanevsky | US | Ossinging | 2013-12-05 / 20130325759 - METHODS AND APPARATUS FOR PERFORMING TRANSFORMATION TECHNIQUES FOR DATA CLUSTERING AND/OR CLASSIFICATION | 1 |
Dimitri Kanevsky | US | Ossining | 2016-05-19 / 20160140985 - SIMULATION | 151 |
Jonathan Kanevsky | CA | Montreal | 2016-03-10 / 20160070273 - PREVENTION OF DISEASES VIA ARTIFICIAL SOIL EXPOSURE | 2 |
Alex Kanevsky | US | Milburn | 2015-12-17 / 20150362622 - Fast Viscoacoustic and Viscoelastic Full Wavefield Inversion | 1 |
Dimitri Kanevsky | US | 2011-05-19 / 20110119105 - SYSTEM AND METHOD FOR CONDUCTING NON-NOUISANT SURVEYS OVER VIRTUAL NETWORKS | 2 | |
Arkady Kanevsky | US | Swampscott | 2014-12-11 / 20140365596 - USE OF RDMA TO ACCESS NON-VOLATILE SOLID-STATE MEMORY IN A NETWORK STORAGE SYSTEM | 5 |
Elena Kanevsky | IL | Arad | 2010-05-06 / 20100113965 - INTERNAL SYSTEMS IMBALANCE DETERMINATION AND ITS USE FOR SELECTION OF FOOD SUPPLEMENTS AND/OR VITAMINS | 1 |
Kiyotoshi Kaneyama | JP | Kanagawa | 2016-04-28 / 20160116863 - IMAGE FORMING APPARATUS | 16 |
Ryutaku Kaneyama | JP | Kisarazu-Shi | 2014-02-27 / 20140058398 - Surgical Instrument Used in Artificial Knee Joint Replacement Surgery | 2 |
Koji Kaneyama | JP | Kyoto-Shi | 2014-04-17 / 20140104586 - SUBSTRATE PROCESSING METHOD | 4 |
Tomoki Kaneyama | JP | Osaka | 2014-11-20 / 20140339305 - AUTHENTICATION REGISTRATION AND CANCELLATION DEVICE | 1 |
Takashi Kaneyama | JP | Tokyo | 2013-11-14 / 20130301304 - DC-DC CONVERTER | 3 |
Koji Kaneyama | JP | Kamigyo-Ku | 2010-11-11 / 20100285225 - SUBSTRATE PROCESSING APPARATUS | 3 |
Koji Kaneyama | JP | Kyoto | 2015-03-26 / 20150086923 - SUBSTRATE PROCESSING METHOD | 8 |
Koji Kaneyama | JP | Kyoto | 2015-03-26 / 20150086923 - SUBSTRATE PROCESSING METHOD | 8 |
Shozo Kaneyama | JP | Tokyo | 2011-01-27 / 20110021643 - PROCESSED STARCH POWDER WITH EXCELLENT DISINTEGRATION PROPERTIES AND MANUFACTURING METHOD THEREOF | 1 |
Syutetsu Kaneyama | JP | Osaka | 2010-11-25 / 20100295182 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kiyotoshi Kaneyama | JP | Kanagawa | 2016-04-28 / 20160116863 - IMAGE FORMING APPARATUS | 16 |
Yasunobu Kaneyama | JP | Osaka-Shi | 2010-10-21 / 20100265097 - WIRELESS DATA TRANSMITTING AND RECEIVING SYSTEM | 2 |
Kiyotoshi Kaneyama | JP | Ebina-Shi | 2012-05-10 / 20120114395 - IMAGE FORMING APPARATUS AND COMPUTER READABLE MEDIUM STORING PROGRAM | 2 |
Takashi Kaneyama | JP | Chiyoda-Ku | 2012-05-03 / 20120106218 - POWER CONVERSION APPARATUS | 2 |
Yoshikazu Kaneyasu | JP | Saitama | 2011-09-15 / 20110220071 - EVAPORATED FUEL TREATMENT APPARATUS | 2 |
Yuhsuke Kaneyasu | JP | Kanagawa-Ken | 2010-03-04 / 20100057667 - DETECTION RULE-GENERATING FACILITY | 1 |
Mitsutoshi Kaneyasu | JP | Kamiina-Gun | 2011-06-09 / 20110132137 - DAMPER SPRING DEVICE, FLYWHEEL, CLUTCH DISK, AND CLUTCH DISK FOR LOCKUP MECHANISM | 1 |
Nobuaki Kaneyasu | JP | Higashiosaka-Shi | 2015-07-23 / 20150203132 - BOGIE FRAME FOR RAILWAY VEHICLES | 1 |
Isamu Kaneyasu | JP | Kato | 2010-05-27 / 20100128016 - LIGHTING DEVICE FOR COLD-CATHODE TUBE AND CONTROL METHOD THEREOF | 1 |
Masayoshi Kaneyasu | JP | Hitachi | 2013-05-30 / 20130134936 - VEHICLE BATTERY CHARGER | 2 |
Masayoshi Kaneyasu | JP | Itabashi | 2014-07-17 / 20140197791 - VEHICLE CHARGING EQUIPMENT | 2 |
Yoshikazu Kaneyasu | JP | Wako-Shi | 2015-02-19 / 20150048087 - FUEL TANK OPENING AND CLOSING DEVICE | 2 |
Makoto Kaneyasu | JP | Hadano | 2016-03-17 / 20160079333 - Display Device | 10 |
Yoshikazu Kaneyasu | JP | Utsunomiya-Shi | 2010-06-03 / 20100132676 - EVAPORATION FUEL PROCESSING SYSTEM AND PURGING METHOD THEREFOR | 2 |
Kensaku Kaneyasu | JP | Utsunomiya-Shi | 2010-12-23 / 20100321704 - THREE-DIMENSIONAL SHAPE MEASURING SYSTEM AND THREE-DIMENSIONAL SHAPE MEASURING METHOD | 1 |
Tsutomu Kaneyasu | JP | Tokyo | 2014-09-04 / 20140250152 - Method, Device, Program Product, and Server for Generating Electronic Document Container Data File | 2 |
Yuhsuke Kaneyasu | JP | Tokyo | 2014-10-23 / 20140317716 - Extending infrastructure security to services in a cloud computing environment | 4 |
Yousuke Kaneyasu | JP | Ibaraki | 2014-10-30 / 20140323304 - HERBICIDE COMPOSITION AND WEED CONTROL METHOD | 3 |
Kensaku Kaneyasu | JP | Hagagun | 2012-11-01 / 20120277898 - PROCESSING SYSTEM AND PROCESSING METHOD | 3 |
Yoshikazu Kaneyasu | JP | Wako | 2012-05-17 / 20120118159 - EVAPORATIVE EMISSION CONTROL SYSTEM | 1 |
Makoto Kaneyasu | JP | Hadano | 2016-03-17 / 20160079333 - Display Device | 10 |
Masako Kaneyasu | JP | Tokyo | 2015-05-21 / 20150142944 - INFORMATION PROCESSING APPARATUS, INFORMATION PROVISIONING METHOD AND INFORMATION DISPLAY SYSTEM | 1 |
Masakatsu Kaneyasu | JP | Tokyo | 2011-02-24 / 20110041717 - PLATE SUPPLY AND DISCHARGE DEVICE AND PRINTING PLATE FORMING DEVICE USING SAME | 1 |
Masayoshi Kaneyasu | JP | Itabashi-Ku | 2008-10-09 / 20080246671 - GLASS ANTENNA DEVICE FOR A VEHICLE | 1 |
Masami Kaneyoshi | JP | Echizen-Shi | 2016-05-05 / 20160122633 - METHOD FOR TREATING MN-ACTIVATED COMPLEX FLUORIDE PHOSPHOR | 4 |
Takayuki Kaneyoshi | JP | Tokyo | 2009-11-26 / 20090289492 - Static Crushing Method, Aid for Static Crushing Used for the Crushing Method, and Filling Tool | 1 |
Masami Kaneyoshi | JP | Tokyo | 2010-05-20 / 20100123106 - TITANIUM OXIDE POWDER, DISPERSION THEREOF, AND METHOD OF PREPARING THE SAME | 1 |
Hiromu Kaneyoshi | JP | Chiba-Shi, Chiba | 2016-02-11 / 20160039952 - PRODUCTION METHOD OF OLEFIN POLYMER AND OLEFIN POLYMERIZATION CATALYST | 1 |
Masami Kaneyoshi | JP | Echizen-Shi, Fukui | 2016-01-07 / 20160003440 - RED LAMP AND LIGHTING SYSTEM FOR VEHICLE | 5 |
Shotaro Kaneyoshi | JP | Osaka-Shi | 2014-10-30 / 20140320659 - DRIVE RECORDER AND DISPLAY DEVICE | 1 |
Sadaaki Kaneyoshi | JP | Tokyo | 2013-09-26 / 20130252728 - GAME APPARATUS, PROGRAM, AND GAME PROVIDING METHOD | 3 |
Hiromu Kaneyoshi | JP | Sodegaura-Shi | 2009-02-12 / 20090043050 - PROPYLENE COPOLYMER, POLYPROPYLENE COMPOSITION AND USES THEREOF, TRANSITION METAL COMPOUND AND OLEFIN POLYMERIZATION CATALYST | 5 |
Hiromu Kaneyoshi | JP | Chiba-Shi | 2015-02-12 / 20150045523 - METHOD FOR PRODUCING OLEFIN POLYMER | 2 |
Shotaro Kaneyoshi | JP | Osaka | 2010-12-16 / 20100315403 - DISPLAY DEVICE, METHOD FOR DRIVING THE DISPLAY DEVICE, AND SCAN SIGNAL LINE DRIVING CIRCUIT | 1 |
Kazutoshi Kaneyuki | JP | Tokyo | 2011-07-28 / 20110181250 - POWER SUPPLY APPARATUS | 1 |
Saori Kanezaki | JP | Osaka | 2016-05-12 / 20160133284 - SUSPENSION BOARD WITH CIRCUIT | 6 |
Asako Kanezaki | JP | Kawasaki | 2015-09-24 / 20150269454 - EXTRACTION DEVICE, METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Toshihiko Kanezaki | JP | Fukuoka | 2011-06-16 / 20110139321 - AUSTENITIC STAINLESS STEEL, AND HYDROGENATION METHOD THEREOF | 5 |
Toshihiko Kanezaki | JP | Wako | 2014-04-17 / 20140103051 - PRESSURE GAS CONTAINER AND VEHICLE INCLUDING THE SAME | 1 |
Hiroki Kanezaki | JP | Yamaguchi | 2009-01-29 / 20090030228 - Process for producing fluoro-compounds | 1 |
Tsutomu Kanezaki | JP | Osaka | 2014-07-24 / 20140206619 - PEPTIDE FOR INDUCING REGENERATION OF TISSUE AND USE THEREOF | 1 |
Toshihiko Kanezaki | JP | Saitama | 2012-10-11 / 20120255948 - PRESSURE VESSEL | 1 |
Shota Kanezaki | JP | Chiba | 2009-05-07 / 20090117190 - SUSTAINED-RELEASE PREPARATION | 1 |
Takanobu Kanezashi | JP | Hachioji-Shi | 2009-03-26 / 20090080294 - DIAL PLATE UNIT WITH INDICATING MEMBERS AND DIAL PLATE, AND DEVICE WITH THE DIAL PLATE UNIT | 1 |
Kazutaka Kanezashi | JP | Hagagun | 2010-12-02 / 20100301637 - VEHICLE BODY REAR PART STRUCTURE | 1 |
Shun Kanezawa | JP | Shizuoka | 2011-06-30 / 20110155930 - SUBSTRATE COVER AND CHARGED PARTICLE BEAM WRITING METHOD USING SAME | 1 |
Fujiko Kanezawa | JP | Hiroshima-Shi | 2010-06-24 / 20100159615 - IMMUNOLOGICAL DETECTION METHOD USING AVIAN ANTIBODY | 1 |
Mohammad S. Kanfar | SA | Al-Jamean | 2013-03-21 / 20130068453 - DUAL PURPOSE OBSERVATION AND PRODUCTION WELL | 1 |
Joseph S. Kanfer | US | Richfield | 2014-06-19 / 20140166650 - COLLAPSIBLE CONTAINERS AND REFILL UNITS | 2 |
Marcella Kanfer Rolnick | US | Akron | 2014-07-17 / 20140197924 - SYSTEMS AND METHODS FOR LOCATING A PUBLIC FACILITY | 1 |
Yariv Kanfi | IL | Petach Tikva | 2015-01-15 / 20150018405 - TREATMENT OF DISEASE BY MODULATION OF SIRT6 | 3 |
Zohar Kanfi | IL | Tel-Aviv | 2015-05-21 / 20150139021 - Decentralized Caching System | 2 |
Arnon Kanfi | IL | Tel Aviv | 2014-09-11 / 20140258242 - File System and Method of Operating Thereof | 3 |
Dan E. Kanfoush | US | Grand Island | 2013-08-01 / 20130194358 - Apparatus and Method for Disposing Inkjet Cartridges in a Carrier | 1 |
Dan E. Kanfoush | US | Niagara Falls | 2009-01-22 / 20090021542 - System and method for fluid transmission and temperature regulation in an inkjet printing system | 1 |
Min Young Kang | KR | Seoul | 2016-05-19 / 20160143094 - DISPLAY DEVICE | 1 |
Pablo Kang | US | 2011-01-13 / 20110010641 - Unified Online Conversation Application and Platform | 1 | |
Sungmin Kang | KR | Seoul | 2009-08-13 / 20090201452 - LIQUID CRYSTAL COMPOSITION AND DISPLAY DEVICE HAVING THE SAME | 1 |
Min Ho Kang | KR | Seoul | 2010-08-19 / 20100208636 - Scheduled Power-Saving Method in IEEE 802.16e System | 2 |
Sung Wook Kang | KR | Gyeonggi-Do | 2009-03-19 / 20090075308 - Method for in vitro phosphorylation of TRAP of Staphylococcus aureus and a method for screening the inhibitor of the TRAP phosphorylation | 1 |
Juwan Kang | KR | Seoul | 2015-01-29 / 20150027508 - SOLAR CELL AND SOLAR CELL MODULE | 20 |
Yoon-Mook Kang | KR | Suwon-Si | 2011-11-03 / 20110265866 - SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 2 |
Yoon Kang | KR | Suwon-Si | 2009-05-28 / 20090135106 - ORGANIC LIGHT EMITTING DISPLAY AND DRIVING METHOD FOR THE SAME | 1 |
Weon-Tark Kang | KR | Suwon-Si | 2009-03-19 / 20090076747 - Test board and test system | 1 |
Tao-Min Kang | KR | Suwon-Si | 2009-12-03 / 20090295284 - ELECTROLUMINESCENT DISPLAY DEVICE AND THERMAL TRANSFER DONOR FILM FOR THE ELECTROLUMINESCENT DISPLAY DEVICE | 1 |
Taek-Kyo Kang | KR | Suwon-Si | 2010-02-11 / 20100033084 - ORGANIC ELECTROLUMINESCENCE DISPLAY INCLUDING A SPACER AND METHOD FOR FABRICATING THE SAME | 1 |
Tae Gil Kang | KR | Suwon-Si | 2013-09-19 / 20130242480 - DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 3 |
Sung-Mo Kang | KR | Suwon-Si | 2008-12-04 / 20080297185 - Multi probe card unit, probe test device including the multi probe card unit, and methods of fabricating and using the same | 2 |
Sung-Ku Kang | KR | Suwon-Si | 2016-04-14 / 20160103517 - TOUCH SENSOR AND DISPLAY INCLUDING THE SAME | 18 |
Sung-Gun Kang | KR | Suwon-Si | 2008-08-28 / 20080203474 - Semiconductor device having offset spacer and method of forming the same | 1 |
Su-Joung Kang | KR | Suwon-Si | 2009-05-21 / 20090129062 - LIGHT EMISSION DEVICE AND DISPLAY DEVICE USING THE LIGHT EMISSION DEVICE AS ITS LIGHT SOURCE | 1 |
Soon-Ki Kang | KR | Suwon-Si | 2013-10-31 / 20130288882 - METHOD OF PREPARING CATALYST FOR FUEL CELL | 7 |
Shin Woo Kang | KR | Suwon-Si | 2011-02-24 / 20110044189 - METHOD FOR SINR MEASUREMENT WITH CONTROLLING RESIDUAL GAIN IN HSPA/HSDPA SYSTEM AND APPARATUS THEREOF | 2 |
Shin-Il Kang | KR | Suwon-Si | 2015-11-05 / 20150319119 - DATA PROCESSING DEVICE AND DATA PROCESSING METHOD BASED ON USER EMOTION ACTIVITY | 9 |
Shin-Ii Kang | KR | Suwon-Si | 2011-06-23 / 20110154255 - APPARATUS AND METHOD FOR SYNCHRONIZATION BETWEEN DEVICES THROUGH WIRELESS CONNECTION | 3 |
Seok-Gin Kang | KR | Suwon-Si | 2012-12-27 / 20120326514 - POWER SUPPLY CONTROL APPARATUS AND POWER SUPPLY CONTROL SYSTEM HAVING THE SAME | 3 |
Seok-Bong Kang | KR | Suwon-Si | 2011-03-17 / 20110063737 - COMPACT ZOOM OPTICAL SYSTEM | 1 |
Seoek-Hwan Kang | KR | Suwon-Si | 2009-11-05 / 20090273920 - LIGHT GENERATING DEVICE, BACKLIGHT ASSEMBLY HAVING THE SAME, AND DISPLAY APPARATUS HAVING THE BACKLIGHT ASSEMBLY | 1 |
Seock-Hwan Kang | KR | Suwon-Si | 2014-02-13 / 20140043831 - LIGHT EMITTING DIODE AND LENS FOR THE SAME | 6 |
Sanggu Kang | KR | Suwon-Si | 2011-12-08 / 20110299342 - Flash memory device and systems and reading methods thereof | 2 |
Nam-Jung Kang | KR | Suwon-Si | 2010-09-23 / 20100240179 - Methods of manufacturing capacitor structures and methods of manufacturing semiconductor devices using the same | 4 |
Myoung Gon Kang | KR | Suwon-Si | 2013-01-24 / 20130021847 - NONVOLATILE MEMORY DEVICE AND RELATED PROGRAMMING METHOD | 7 |
Myeong-Soon Kang | KR | Suwon-Si | 2010-08-12 / 20100200054 - Compound for organic photoelectric device and organic photoelectric device including the same | 1 |
Moonsung Kang | KR | Suwon-Si | 2010-03-04 / 20100051097 - GEL ELECTROLYTE AND DYE-SENSITIZED SOLAR CELL USING THE SAME | 1 |
Kyung Pyo Kang | KR | Suwon-Si | 2012-02-16 / 20120039640 - Transfer belt driving controller and electrophotographic image forming apparatus having the same | 4 |
Kyung Kyun Kang | KR | Suwon-Si | 2013-12-05 / 20130321217 - ANTENNA APPARATUS FOR ELECTRONIC DEVICE | 3 |
Kyong Rok Kang | KR | Suwon-Si | 2012-12-27 / 20120324955 - GLASS MANUFACTURING METHOD AND MOLD FOR GLASS MANUFACTURE | 2 |
Kwan-Ho Kang | KR | Suwon-Si | 2015-11-05 / 20150319321 - IMAGE FORMING APPARATUS HAVING CO-PLANAR TOP COVER AND OPERATION PANEL | 2 |
Jong-Woong Kang | KR | Suwon-Si | 2009-12-03 / 20090298563 - SLIDING MECHANISM WITH UNDULATING SPRING FOR USE IN HANDHELD ELECTRONIC DEVICES | 2 |
Jeung Mo Kang | KR | Suwon-Si | 2010-09-09 / 20100224899 - LIGHT EMITTING DEVICE, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM INCLUDING THE SAME | 2 |
In-Ku Kang | KR | Suwon-Si | 2015-04-16 / 20150102506 - SEMICONDUCTOR PACKAGE AND METHOD OF FORMING | 7 |
Il Dong Kang | KR | Suwon-Si | 2009-09-03 / 20090222116 - WIRELESS AUDIO OUTPUT DEVICE, WIRELESS AUDIO OUTPUT SYSTEM INCLUDING THE SAME AND CONTROL METHOD THEREOF | 1 |
Hwi-Sam Kang | KR | Suwon-Si | 2010-06-17 / 20100149433 - SIGNAL PROCESSING APPARATUS, AUDIO APPARATUS, AND METHOD OF CONTROLLING THE SAME | 1 |
Eun-Sook Kang | KR | Suwon-Si | 2010-02-11 / 20100037104 - IMAGE FORMING APPARATUS AND METHOD OF CONTROLLING APPLICATION THEREOF | 1 |
Euibyoung Kang | KR | Suwon-Si | 2010-01-14 / 20100007590 - METHOD FOR ARRANGING LIGHT EMITTING DIODE MODULE, DATA CONVERTING METHOD FOR DISPLAYING MOVING PICTURE BY USING LIGHT EMITTING DIODE MODULE AND DATA CONVERTING APPARATUS THEREFOR | 1 |
Eugene Kang | KR | Suwon-Si | 2009-05-21 / 20090127236 - METHOD AND APPARATUS FOR FABRICATING VERTICAL DEPOSITION MASK | 1 |
Dae Kwon Kang | KR | Suwon-Si | 2009-01-15 / 20090014807 - DUAL STRESS LINERS FOR INTEGRATED CIRCUITS | 1 |
Dae Joon Kang | KR | Suwon-Si | 2014-01-30 / 20140029164 - ELECTRODE STRUCTURE, METHOD OF MANUFACTURING THE SAME, AND ENERGY STORAGE DEVICE HAVING THE SAME | 3 |
Chul Hoon Kang | KR | Suwon-Si | / - | 1 |
Chin-Kyu Kang | KR | Suwon-Si | 2010-02-04 / 20100029301 - Method and system for detecting a location of a mobile communication terminal | 2 |
Chang-Taek Kang | KR | Suwon-Si | 2015-04-30 / 20150117412 - NETWORK-ADAPTIVE FUNCTION CONTROL METHOD FOR DUAL-MODE MOBILE TERMINAL | 4 |
Chang-Sig Kang | KR | Suwon-Si | 2010-04-01 / 20100079438 - PANEL SOURCE DRIVER CIRCUITS HAVING COMMON DATA DEMULTIPLEXING AND METHODS OF CONTROLLING OPERATIONS OF THE SAME | 1 |
Byung Jo Kang | KR | Suwon-Si | 2009-11-26 / 20090290131 - IMAGE PROJECTING APPARATUS | 2 |
Bum-Kwon Kang | KR | Suwon-Si | 2009-01-29 / 20090027703 - IMAGE FORMING DEVICE AND METHOD THEREOF | 1 |
Boo-Hyun Kang | KR | Suwon-Si | 2010-03-25 / 20100074454 - MIC RUBBER APPARATUS FOR PORTABLE ELECTRIC DEVICE | 1 |
Boing-Jo Kang | KR | Suwon-Si | 2011-12-01 / 20110292524 - FOCUS ADJUSTMENT DEVICE FOR USE IN PORTABLE IMAGE PROJECTION APPARATUS | 3 |
Ba-Da Kang | KR | Suwon-Si | 2009-01-29 / 20090027816 - ELECTRONIC DEVICE HAVING ELECTROSTATIC DISCHARGE FUNCTION | 1 |
Kyoung Min Kang | KR | Gyeongsangnam-Do | 2010-02-11 / 20100032678 - Light emitting display device and method for fabricating the same | 1 |
Sung-Hee Kang | KR | Gyeongsangnam-Do | 2011-02-24 / 20110041525 - CONTROL METHOD OF REFRIGERATOR | 1 |
Kye-Lyong Kang | KR | Gyeongsangnam-Do | 2011-06-09 / 20110135518 - LINEAR COMPRESSOR | 4 |
Jae-Wook Kang | KR | Gyeongsangnam-Do | 2014-08-07 / 20140217881 - Plasma generator, manufacturing method of rotating electrode for plasma generator, method for performing plasma treatment of substrate, and method for forming thin film having mixed structure by using plasma | 8 |
Min Su Kang | KR | Gyeongsangnam-Do | 2009-04-09 / 20090090388 - DRUM-TYPE WASHING MACHINE AND TUB CLEANING METHOD OF THE SAME | 1 |
Min-Gu Kang | KR | Gyeongsangnam-Do | 2010-02-11 / 20100031581 - Door for washing machine | 1 |
Byeong-Seog Kang | KR | Gyeongsangnam-Do | 2008-09-25 / 20080229993 - Spade Rudder | 1 |
Dong-Woon Kang | KR | Gyeongsangnam-Do | 2008-12-11 / 20080302120 - Indoor Unit of Air Conditioner | 1 |
Jung-Hoon Kang | KR | Gyeongsangnam-Do | 2009-04-16 / 20090095030 - DRUM TYPE WASHING MACHINE | 2 |
Sang-Hun Kang | KR | Gyeongsangnam-Do | 2013-02-07 / 20130033163 - REFRIGERATOR WITH INNER DOOR | 2 |
Sang Sik Kang | KR | Gyeongsangnam-Do | 2009-07-16 / 20090180587 - METHOD OF DETECTING FINE SURFACE DEFECTS | 1 |
Dong Won Kang | KR | Gyeongsangnam-Do | 2008-11-06 / 20080271262 - Method for Controlling Rinsing in Washing Machine | 2 |
Dae-Jone Kang | KR | Gyeongsangnam-Do | 2009-10-08 / 20090254221 - REFRIGERATOR DISPENSER CONTROL TECHNOLOGY | 1 |
Yun-Tae Kang | KR | Gyeongsangnam-Do | 2012-07-05 / 20120170331 - APPARATUS AND METHOD OF POWER CONTROL | 2 |
Han Seok Kang | KR | Gyeongsangnam-Do | 2010-01-21 / 20100015243 - METHOD FOR PREPARING MATERIALS HAVING ANTITHROMBOTIC ACTIVITY FROM MUSKRAT MUSK AND MATERIALS OBTAINED FROM THE METHOD | 1 |
Dae Chul Kang | KR | Gyeongsangnam-Do | 2010-02-25 / 20100048086 - FLAT DISPLAY PANEL AND METHOD FOR MANUFACTURING A FLAT PANEL DISPLAY | 1 |
Dae-Kil Kang | KR | Gyeongsangnam-Do | 2010-09-30 / 20100242525 - REFRIGERATOR | 2 |
Kwang Uk Kang | KR | Gyeongsangnam-Do | 2010-10-21 / 20100264598 - APPARATUS OF BILGE PREVENTION FOR A SHIP | 1 |
Seoung-Min Kang | KR | Gyeongsangnam-Do | 2010-12-23 / 20100322790 - RECIPROCATING COMPRESSOR | 2 |
Byung-Ju Kang | KR | Gyeongsangnam-Do | 2011-02-10 / 20110031803 - OUTER-ROTOR TYPE MOTOR AND OUTER-ROTOR TYPE IN-WHEEL MOTOR | 1 |
Seong-Ho Kang | KR | Daejeon | 2008-10-09 / 20080245501 - Console Air Conditioner for Vehicle | 1 |
Seong-Ho Kang | KR | Sungnam-Si | 2011-02-03 / 20110026915 - CAMERA MODULE | 1 |
Dae-Hwan Kang | KR | Ulsan | 2010-06-10 / 20100143228 - ZEOLITE CATALYST FOR REMOVING NITROGEN OXIDES, METHOD FOR PREPARING THE SAME, AND METHOD OF REMOVING NITROGEN OXIDES USING THE SAME | 1 |
Dae-Hwan Kang | KR | Seoul | 2010-09-30 / 20100243981 - Phase-change random access memory device | 5 |
Jae-Eun Kang | US | St. Louis | 2013-07-25 / 20130190231 - PEPTIDE FOR SUPPRESSING AND TREATING OBESITY | 2 |
Hyo-Rang Kang | KR | Anyang-Si | 2015-11-26 / 20150340172 - MESOPOROUS CARBON COMPOSITE MATERIAL, PRODUCTION METHODS THEREOF, AND ELECTRONIC DEVICE INCLUDING THE SAME | 34 |
Eu-Gene Kang | KR | Yongin-City | 2014-12-11 / 20140363936 - THIN FILM TRANSISTOR, METHOD OF FABRICATING THE SAME, AND ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE INCLUDING THE SAME | 23 |
Sun Kang | KR | Daejeon | 2009-12-31 / 20090328194 - APPARATUS AND METHOD FOR VPN COMMUNICATION IN SOCKET-LEVEL | 2 |
Hae Yong Kang | KR | Seoul | 2010-10-14 / 20100259398 - HOME APPLIANCE AND HOME APPLIANCE SYSTEM | 1 |
Ki-Nyeng Kang | KR | Yongin-City | 2015-12-03 / 20150349037 - ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 32 |
Ki-Nyeng Kang | KR | Suwon-Si | 2010-07-15 / 20100176392 - Thin film transistor and method of manufacturing the same | 4 |
Dong-Hun Kang | KR | Yongin-Si | 2011-05-05 / 20110101343 - ZnO based semiconductor devices and methods of manufacturing the same | 11 |
Jin-Hee Kang | KR | Yongin-City | 2014-05-08 / 20140124769 - FLAT PANEL DISPLAY DEVICE | 15 |
Jin-Hee Kang | KR | Gyeonggi-Do | 2008-11-20 / 20080285145 - COMPACT ZOOM LENS OPTICAL SYSTEM AND DRIVING METHOD OF THE SAME | 2 |
Jin-Hee Kang | KR | Dong-Gu, | 2009-01-29 / 20090026463 - ARRAY SUBSTRATE FOR A DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Jin-Hee Kang | KR | Suwon-Si | 2015-10-15 / 20150291842 - Positive Photosensitive Resin Composition, Photosensitive Resin Film and Display Device Using the Same | 6 |
Sung-Chul Kang | KR | Yongin-Si | 2010-02-25 / 20100047946 - THIN FILM ARRAY PANEL AND MANUFACTURING METHOD THEREOF | 3 |
Sung-Chul Kang | KR | Gyeonggi-Do | 2011-05-19 / 20110114130 - CLEANING METHOD OF PROCESS CHAMBER | 2 |
Sungwon Kang | KR | Sungnam-Si | 2011-03-31 / 20110075578 - METHOD AND SYSTEM FOR SETTING ROUTING PATH CONSIDERING HIDDEN NODE AND CARRIER SENSE INTERFERENCE, AND RECORDING MEDIUM THEREOF | 1 |
Taewoo Kang | KR | Kyoung-Gi-Do | 2014-10-02 / 20140291839 - Solder Joint Flip Chip Interconnection | 9 |
Taewoo Kang | KR | Suwon-Si | 2014-03-06 / 20140061890 - SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME | 7 |
Minsoo Kang | KR | Daejeon | 2016-03-24 / 20160087237 - ORGANIC LIGHT-EMITTING DIODE AND METHOD FOR MANUFACTURING SAME | 16 |
Kyoung-Ho Kang | KR | Suwon-Si | 2009-03-19 / 20090073151 - Plasma Display Panel Driving Method and Plasma Display | 2 |
Sung-Hyun Kang | KR | Mapo-Gu | 2009-08-20 / 20090206433 - Image sensor and method for manufacturing the same | 1 |
Yoo-Myung Kang | KR | Yongin-Si | 2011-06-23 / 20110151307 - RECHARGEABLE BATTERY | 3 |
Yool Kang | KR | Yongin-Si | 2015-12-17 / 20150364334 - METHOD OF FORMING PATTERNS AND METHOD OF MANUFACTURING INTEGRATED CIRCUIT DEVICE | 12 |
Yeon-Sun Kang | KR | Yongin-Si | 2009-01-29 / 20090027408 - DISPLAY APPARATUS, CONTROL METHOD THEREOF AND DISPLAY SYSTEM HAVING THE SAME | 1 |
Yeon-Il Kang | KR | Yongin-Si | 2015-03-05 / 20150059833 - PHOTOELECTRIC PANEL ASSEMBLY | 4 |
Uk-Song Kang | KR | Yongin-Si | 2011-05-26 / 20110122716 - DYNAMIC RANDOM ACCESS MEMORY DEVICE AND METHOD OF DETERMINING REFRESH CYCLE THEREOF | 8 |
Sung-Gwon Kang | KR | Yongin-Si | 2010-09-30 / 20100249901 - CYLINDRICAL STENT | 1 |
Seok-Myong Kang | KR | Yongin-Si | 2010-10-14 / 20100259453 - INTERNAL ANTENNA AND PORTABLE COMMUNICATION TERMINAL USING THE SAME | 3 |
Sang-Ook Kang | KR | Yongin-Si | 2012-05-24 / 20120130086 - Highly Active and Selective Ethylene Oligomerization Catalyst and Method of Preparing Hexene or Octene Using the Same | 2 |
Nam-Wook Kang | KR | Yongin-Si | 2010-06-10 / 20100145603 - PATH INFORMATION PROVIDING SERVER, METHOD OF PROVIDING PATH INFORMATION, AND TERMINAL | 1 |
Myung-Won Kang | KR | Yongin-Si | 2008-09-11 / 20080222527 - Apparatus and Method for Searching for a Video Clip | 1 |
Myung-Il Kang | KR | Yongin-Si | 2010-02-11 / 20100032792 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 4 |
Moon-Shik Kang | KR | Yongin-Si | 2015-08-13 / 20150228241 - DISPLAY DEVICE AND DRIVING METHOD THEREOF | 10 |
Moonshik Kang | KR | Yongin-Si | 2016-04-21 / 20160109646 - DISPLAY DEVICE | 5 |
Kwan-Yub Kang | KR | Yongin-Si | 2009-12-10 / 20090305965 - Stabilized Parathyroid Hormone Composition Comprising Parathyroid Hormone, Buffer and Stabilizing Agent | 1 |
Kinyeng Kang | KR | Yongin-Si | 2008-10-16 / 20080252572 - Organic electroluminescent display and image correction method thereof | 2 |
Jae-Kyoo Kang | KR | Yongin-Si | 2009-12-10 / 20090303499 - IMAGE FORMING APPARATUS, IMAGE FORMING SYSTEM AND ENLARGEMENT PRINT PROCESSING METHOD OF PRINTING DATA | 1 |
Hyung Dong Kang | KR | Yongin-Si | 2010-02-18 / 20100037947 - Thin film type solar cell and method for manufacturing the same | 2 |
Ho-Woong Kang | KR | Yongin-Si | 2015-08-27 / 20150242072 - DISPLAY SYSTEM INCLUDING A DISPLAY APPARATUS AND AN UPGRADING APPARATUS, AND CONTROL METHOD | 15 |
Ho-Suk Kang | KR | Yongin-Si | 2014-03-13 / 20140070147 - COMPOSITE ANODE ACTIVE MATERIAL, ANODE INCLUDING THE COMPOSITE ANODE ACTIVE MATERIAL, LITHIUM BATTERY INCLUDING THE ANODE, AND METHOD OF PREPARING THE COMPOSITE ANODE ACTIVE MATERIAL | 7 |
Hong Joo Kang | KR | Yongin-Si | 2010-07-08 / 20100170276 - Switch assembly and air conditioner having the same | 1 |
Gwan Gyun Kang | KR | Yongin-Si | 2009-08-06 / 20090198772 - DATA SYNCHRONIZATION METHOD AND SYSTEM BETWEEN DEVICES | 1 |
Gubae Kang | KR | Yongin-Si | 2010-05-27 / 20100128410 - FILM CAPACITOR | 1 |
Dongku Kang | KR | Yongin-Si | 2013-04-11 / 20130088923 - NONVOLATILE MEMORY DEVICE, SYSTEM, AND PROGRAMMING METHOD | 5 |
Cho-Hee Kang | KR | Yongin-Si | 2011-05-19 / 20110117398 - CAP-UP PLATE AND SECONDARY BATTERY HAVING THE SAME | 2 |
Chi Jung Kang | KR | Yongin-Si | 2008-09-25 / 20080230389 - Electrochemical Detector Integrated on Microfabricated Capillary Electrophoresis Chip and Method of Manufacturing the Same | 1 |
Cheol Ju Kang | KR | Yongin-Si | 2010-06-10 / 20100145950 - Realtime Unification Management Information Data Conversion and Monitoring Apparatus and Method for Thereof | 2 |
Boram Kang | KR | Yongin-Si | 2011-09-08 / 20110215416 - CARBON NANOTUBE N-DOPING MATERIAL, CARBON NANOTUBE N-DOPING METHOD AND DEVICE USING THE SAME | 2 |
Bo-Bae Kang | KR | Yongin-Si | 2012-06-28 / 20120164783 - CMOS IMAGE SENSOR HAVING A CROSSTALK PREVENTION STRUCTURE AND METHOD OF MANUFACTUREING THE SAME | 3 |
Hyunchul Kang | KR | Ichon-Si | 2009-10-01 / 20090243090 - MOCK BUMP SYSTEM FOR FLIP CHIP INTEGRATED CIRCUITS | 1 |
Tae-Young Kang | KR | Uijeongbu-Si | 2015-02-26 / 20150058725 - MOBILE TERMINAL HAVING TOUCH SCREEN AND FUNCTION CONTROLLING METHOD OF THE SAME | 13 |
Young-Ho Kang | KR | Yuseong-Gu | 2008-12-04 / 20080296151 - Continuous electrolytic refining device for metal uranium | 1 |
Young-Ho Kang | KR | Daejeon | 2010-04-08 / 20100084265 - CONTINUOUS ELECTROREFINING DEVICE FOR RECOVERING METAL URANIUM | 1 |
Shih-Hsu Kang | TW | Taoyuan Hsien | 2008-12-04 / 20080299627 - Method For Production Of High Purity Polyhydroxyalkanonate (PHAs) | 1 |
Chien-Ting Kang | TW | Pingtung County | 2008-12-11 / 20080306623 - METHOD FOR AUTOMATICALLY CHECKING SEQUENCE OF LOADING BOATS AND BATCHES FOR SEMICONDUCTOR MANUFACTURING PROCESS | 1 |
Chih-Chieh Kang | TW | Yangmei Taoyuan | 2008-12-18 / 20080310809 - Optical film | 1 |
Chih-Tsung Kang | TW | Tainan County | 2009-01-22 / 20090021465 - LIQUID CRYSTAL DISPLAY AND METHOD OF DRIVING THE SAME | 1 |
Han-Chang Kang | TW | Taipei Hsien | 2009-04-09 / 20090091875 - SEMICONDUCTOR CAPACITOR STRUCTURE AND LAYOUT PATTERN THEREOF | 2 |
Po-Chun Kang | TW | Chiayi County | 2014-09-25 / 20140286325 - VEHICLE NETWORK TRANSMISSION METHOD AND TRANSMISSION SYSTEM | 5 |
Min-Chieh Kang | TW | Taipei Hsien | 2009-06-11 / 20090146765 - Down-converter Having Matching Circuits with Tuning Mechanism Coupled to 90-Degree Hybrid Coupler Included Therein | 1 |
Fangwei Kang | TW | Sinying City | / - | 1 |
Chin-Chia Kang | TW | Yanshui Town | 2009-07-09 / 20090175283 - Advanced single-chip USB-to-Ethernet controller with a Dual-PHY mode capacity for Ethernet PHY or USB-to-Rev-MII Bridging | 1 |
Kai-Feng Kang | TW | Kaohsiung County | 2012-09-20 / 20120234479 - COMPOSITE COATING MATERIAL AND THE PRODUCTION METHOD OF THE SAME | 2 |
Ying-Chian Kang | TW | Taoyuan Hsien | 2014-01-23 / 20140024265 - CONNECTOR | 5 |
Han-Chang Kang | TW | Hsin-Chn | 2009-11-19 / 20090286358 - Method of fabricating integrated circuits, integrated circuit component mask layout set, and component photomask set | 1 |
Kai-Feng Kang | TW | Kaohsiung | 2011-08-11 / 20110194293 - STRUCTURE WITH LUMINOUS AND VISUAL EFFECTS AND LIGHT TRANSMISSIVE SHEET THEREOF AND METHOD FOR MAKING THE SAME | 4 |
Chan-Jung Kang | TW | Taipei County | 2009-12-03 / 20090298561 - SLIDING-TYPE MECHANISM WITH A SEMI-AUTOMATIC OPENING FUNCTION | 1 |
Ho-Cheng Kang | TW | Taipei County | 2010-01-14 / 20100011385 - COMPACT DISK FEEDING MECHANISM | 1 |
Wei-Chang Kang | TW | Tainan | 2010-02-11 / 20100036397 - Vascular graft connector | 1 |
Chi-Cheng Kang | TW | Tu-Cheng | 2011-08-11 / 20110196902 - ELECTRONIC DEVICE AND IMAGE PROCESSING METHOD THEREOF | 2 |
Yi-Hao Kang | TW | Hsin-Chu | 2012-02-23 / 20120044586 - PROJECTION APPARATUS | 2 |
Yu-Li Kang | TW | Tainan | 2010-02-18 / 20100037899 - Endotracheal tube fixation apparatus | 2 |
Chung-Chieh Kang | TW | Tainan County | 2010-03-18 / 20100066900 - IMAGE PROCESSING METHOD | 1 |
Che-Wei Kang | TW | Tainan County | 2010-05-13 / 20100121804 - PERSONALITY-SENSITIVE EMOTION REPRESENTATION SYSTEM AND METHOD THEREOF | 1 |
Chia-Feng Kang | TW | Taichung City | 2010-06-03 / 20100138911 - INTERNET-BASED AUTOMATIC SECURITY SYSTEM AND METHOD | 1 |
Wen-Cheng Kang | TW | Chiayi City | 2010-07-29 / 20100190631 - METHOD FOR RECOVERING REFORMING CATALYST, CATALYST AND ADSORBENT | 1 |
Hen-Ta Kang | TW | Taichung City | 2015-04-23 / 20150109245 - TOUCH PANEL | 21 |
Shung-Wen Kang | TW | Taipei County | 2008-10-16 / 20080253125 - High power LED lighting assembly incorporated with a heat dissipation module with heat pipe | 1 |
Hsin-Fa Kang | TW | Tainan | 2014-06-26 / 20140173866 - TOOL FOR DETACHING PISTON BOLT FROM CYLINDER | 2 |
Yuh-Huey Kang | TW | Tu-Cheng | 2011-01-06 / 20110003493 - USB MEMORY CARD HAVING AN INSULATOR FOR RETAINING RESELIENT CONTACTS | 1 |
Mu-Kai Kang | TW | Pingtung City | 2014-11-27 / 20140347411 - DRIVING METHOD FOR DISPLAY | 3 |
Liang-Hao Kang | TW | Taipei County | 2013-10-03 / 20130256008 - TOUCH PANEL AND METHOD FOR MANUFACTURING A TOUCH SENSOR LAYER OF THE TOUCH PANEL | 4 |
Chen-Hsiang Kang | TW | Kaohsiung City | 2011-03-24 / 20110072176 - METER SYSTEM WITH MASTER/SLAVE METERS | 1 |
Tzung-Hung Kang | TW | Hsin-Chu Hsien | 2011-03-17 / 20110063153 - DATA READOUT SYSTEM HAVING NON-UNIFORM ADC RESOLUTION AND METHOD THEREOF | 2 |
Chin-Feng Kang | TW | Hsin-Chu | 2012-08-30 / 20120217887 - LED LIGHTING SYSTEMS, LED CONTROLLERS AND LED CONTROL METHODS FOR A STRING OF LEDS | 3 |
Yu-Cheng Kang | TW | Chung Ho City, Taipei | 2011-04-21 / 20110092109 - FLASH MEMORY DEVICE AND ASSEMBLY THEREOF WITH IMPROVED PLANAR CONTACT PORTIONS | 1 |
Teng-Chieh Kang | TW | Luzhou City | 2011-05-05 / 20110102376 - Image-Based Coordinate Input Apparatus and Method Utilizing Buffered Imates | 1 |
Yu-Cheng Kang | TW | Jhonghe City | 2009-04-09 / 20090091900 - Electronic information storage device | 1 |
Chi-Chih Kang | TW | Tainan County | 2011-05-12 / 20110111429 - FLUORESCENT CARBAZOLE COMPOUNDS FOR CANCER DIAGNOSIS | 1 |
Iou-Jiun Kang | TW | Wandan Township | 2008-12-11 / 20080306090 - THIOUREA DERIVATIVES | 2 |
Iou-Jiun Kang | TW | Pingtung County | 2009-07-09 / 20090176766 - IMIDAZOLIDINONE AND IMIDAZOLIDINETHIONE DERIVATIVES | 1 |
Jen-Ho Kang | TW | Jhunan Township | 2010-03-18 / 20100068848 - ONE-STEP DIFFUSION METHOD FOR FABRICATING A DIFFERENTIAL DOPED SOLAR CELL | 1 |
Ho-Cheng Kang | TW | Sinjhuang City | 2010-04-01 / 20100083290 - COMPACT DISC HANDLING APPARATUS | 1 |
Ku-Yen Kang | TW | Hsinchu City | 2014-07-31 / 20140212781 - STACKED TYPE FUEL CELL | 11 |
Tzung-Hung Kang | TW | Hsinchu County | 2010-08-05 / 20100194614 - MULTIPLYING DIGITAL-TO-ANALOG CONVERTER FOR High SPEED AND LOW SUPPLY VOLTAGE | 5 |
Huang Soon Kang | TW | Hsin Chu | 2013-03-28 / 20130078810 - METHOD AND APPARATUS FOR PERFORMING A POLISHING PROCESS IN SEMICONDUCTOR FABRICATION | 4 |
Ting-Wei Kang | TW | Tapei Hsien | 2010-08-26 / 20100214179 - MULTIBAND ANTENNA AND COMMUNICATION DEVICE HAVING THE SAME | 1 |
Ming-Shiang Kang | TW | Hsin Shih Hsiang | 2010-10-21 / 20100264713 - Seat back reclining member | 2 |
Hung-Jui Kang | TW | Tanzih Township | 2010-11-18 / 20100289718 - Mounting Bracket for Satellite Dish Antenna and Satellite Disk Antenna Assembly using the same | 1 |
Hung Yi Kang | TW | Tanzih Township, | 2010-11-18 / 20100289718 - Mounting Bracket for Satellite Dish Antenna and Satellite Disk Antenna Assembly using the same | 1 |
Hen-Ta Kang | TW | Tai Chung City | 2013-12-19 / 20130337233 - Glass-Strengthening Coating Material, Strengthened Glass Block and Touch-Sensitive Display Device Protected by Strengthened Glass | 4 |
Ho-Cheng Kang | TW | Shinjuang City | 2012-09-06 / 20120224463 - AUTOMATION DISK FEEDING DEVICE | 3 |
Mu Kai Kang | TW | Pintung City | 2010-12-23 / 20100321619 - TRANSFLECTIVE DISPLAY DEVICE AND METHOD FOR ASSEMBLING THE SAME | 1 |
Sang-Moo Kang | US | Norcross | 2015-09-24 / 20150266929 - VIRUS-LIKE PARTICLES, METHODS OF PREPARATION, AND IMMUNOGENIC COMPOSITIONS | 2 |
Jong-Hoon Kang | KR | Gyeonggi-Do | 2012-11-08 / 20120282769 - METHODS OF FORMING INTEGRATED CIRCUIT DEVICES HAVING ELECTRICALLY CONDUCTIVE LAYERS THEREIN WITH PARTIALLY NITRIDATED SIDEWALLS | 5 |
Jong-Hoon Kang | KR | Suwon-Si | 2014-03-06 / 20140065793 - METHODS OF MANUFACTURING A SEMICONDUCTOR DEVICE | 4 |
Yun-Tae Kang | KR | Geoje-Si | 2010-03-18 / 20100066086 - APPARATUS AND SYSTEM FOR PITCH ANGLE CONTROL OF WIND TURBINE | 1 |
Hee-Dong Kang | KR | Chungcheongnam-Do | 2009-08-20 / 20090206778 - Control Apparatus For Linear Compressor | 1 |
Shin Hyuk Kang | KR | Chungcheongnam-Do | 2011-05-05 / 20110102722 - INDIUM TIN OXIDE SPUTTERING TARGET AND TRANSPARENT CONDUCTIVE FILM FABRICATED USING THE SAME | 1 |
Byung Ok Kang | KR | Chungcheongnam-Do | 2011-03-10 / 20110060592 - IPTV SYSTEM AND SERVICE METHOD USING VOICE INTERFACE | 1 |
Sang-Ook Kang | KR | Chungcheongnam-Do | 2010-06-03 / 20100133996 - Novel anthracene typed compound and the organic electroluminescence display device using the same | 1 |
Byung-Man Kang | KR | Chungcheongnam-Do | 2013-10-31 / 20130284367 - SUBSTRATE PROCESSING APPARATUS AND METHOD OF SUPPLYING PROCESSING SOLUTION | 3 |
Jeon-Il Kang | KR | Chungcheongnam-Do | 2009-10-22 / 20090260899 - PRODUCT HAVING ABSOLUTE POSITIONING CODE PATTERN ON A SURFACE THEREOF AND METHOD FOR GENERATING ABSOLUTE POSITIONING CODE PATTERN | 1 |
Seog Jin Kang | KR | Chungcheongnam-Do | 2010-09-09 / 20100224131 - AUTOMATIC SUCKLING SYSTEM FOR CALVES WITH MINIMAL WEANING STRESS | 1 |
Joung Ah Kang | KR | Chungcheongnam-Do | 2010-11-11 / 20100282500 - COPPER FOIL ATTACHED TO THE CARRIER FOIL, A METHOD FOR PREPARING THE SAME AND PRINTED CIRCUIT BOARD USING THE SAME | 1 |
Hee-Ryong Kang | KR | Chungcheongnam-Do | 2011-01-27 / 20110021582 - BENZOPHENONE THIAZOLE DERIVATIVES USEFUL FOR INHIBITING FORMATION OF MICROTUBULE AND METHOD FOR PRODUCING THE SAME | 1 |
Sang-Yeol Kang | KR | Gyeonggi-Do | 2013-06-13 / 20130149833 - Methods of Manufacturing Semiconductor Devices | 4 |
Sang-Yeol Kang | KR | Seoul | 2015-01-29 / 20150031186 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE HAVING DIELECTRIC LAYER WITH IMPROVED ELECTRICAL CHARACTERISTICS | 11 |
Sang-Yeol Kang | KR | Suwon-Si | 2016-03-03 / 20160064386 - SEMICONDUCTOR DEVICES AND METHODS OF FABRICATING THE SAME | 4 |
Chang Hoon Kang | KR | Seoul | 2010-11-11 / 20100285199 - SUGAR-FREE JELLY COMPRISING GELATIN | 1 |
Sang-Beom Kang | KR | Hwaseong-Si | 2014-01-23 / 20140022836 - SEMICONDUCTOR MEMORY DEVICE HAVING RESISTIVE MEMORY CELLS AND METHOD OF TESTING THE SAME | 32 |
Hyun-Jeong Kang | KR | Seoul | 2016-03-17 / 20160081114 - METHOD AND APPARATUS FOR OPERATING RESOURCE IN WIRELESS COMMUNICATION SYSTEM | 124 |
Hee-Won Kang | KR | Seongnam-Si | 2014-09-25 / 20140286271 - APPARATUS AND METHOD FOR TRANSMITTING AND RECEIVING FAST FEEDBACK INFORMATION IN BROADBAND WIRELESS COMMUNICATION SYSTEM | 50 |
Jung-Tae Kang | KR | Suwon-Si | 2011-01-06 / 20110002113 - BACKLIGHT ASSEMBLY AND DISPLAY DEVICE HAVING THE SAME | 7 |
Won-Jae Kang | KR | Gyeonggi-Do | 2010-09-02 / 20100221996 - APPARATUS AND METHOD FOR RF PAM GAIN STATE CONTROL IN A MOBILE COMMUNICATION SYSTEM | 1 |
Donghoon Kang | KR | Daejeon | 2011-09-22 / 20110228873 - APPARATUS FOR TRANSMITTING DIGITAL BROADCAST AND METHOD FOR TRANSMITTING DATA USING THE SAME IN DIGITAL BROADCASTING SYSTEM | 4 |
Dae Hoon Kang | KR | Seoul | 2009-09-03 / 20090218354 - LIQUEFIED NATURAL GAS STORAGE TANK FOR FLOATING MARINE STRUCTURE | 1 |
Seung G. Kang | US | West Lafayette | 2010-05-06 / 20100113610 - METHODS FOR CONTROLLING INFLAMMATORY AND IMMUNOLOGICAL DISEASES | 1 |
Kongbin Kang | US | Providence | 2016-04-14 / 20160104026 - METHODS AND APPARATUS FOR IDENTIFYING SUBJECT MATTER IN VIEW DATA | 6 |
Kyung A. Kang | US | Louisville | 2014-06-19 / 20140170076 - ANTI-NUCLEOLIN AGENT-CONJUGATED NANOPARTICLES | 3 |
Tae Goo Kang | US | 2011-05-05 / 20110104817 - INTEGRATED MICRO DEVICE, A METHOD FOR DETECTING BIOMARKERS USING THE INTEGRATED MICRO DEVICE, A METHOD FOR MANUFACTURING AN INTEGRATED MICRO DEVICE, AND AN INTEGRATED MICRO DEVICE ARRANGEMENT | 1 | |
Jin U. Kang | US | Ellicott City | 2016-04-14 / 20160100906 - INTERFEROMETRIC FORCE SENSOR FOR SURGICAL INSTRUMENTS | 24 |
Young C. Kang | US | Alpharetta | 2012-02-16 / 20120040056 - NON-DAIRY, COCONUT-BASED BEVERAGE | 3 |
Byoung-Sam Kang | US | Gainesville | 2011-03-24 / 20110068372 - SENSORS USING HIGH ELECTRON MOBILITY TRANSISTORS | 2 |
Christopher Kang | US | Nashville | 2011-03-10 / 20110059538 - DIFFRACTION GRATINGS COMPRISING POROUS MATERIALS AND DIFFRACTION-BASED SENSORS COMPRISING POROUS MATERIALS | 1 |
Xiaosong Kang | US | Portage | 2014-04-24 / 20140114524 - HYBRID ELECTRIC VEHICLE BATTERY THERMAL MANAGEMENT | 2 |
Hee Chol Kang | US | Eugene | 2016-02-04 / 20160031821 - SDP-Containing Heterobifunctional Agents | 16 |
Pilsoo Kang | US | Bloomington | 2011-01-20 / 20110015386 - PERMETHYLATION OF OLIGOSACCHARIDES | 3 |
Shuhul Kang | US | Gaithersburg | 2010-12-16 / 20100315627 - Apparatus and Method for Enhancing the Electromagnetic Signal of a Sample | 1 |
Zhengfang Kang | US | Raleigh | 2014-07-24 / 20140206046 - Methods of Hydrolyzing and Fermenting Cellulosic Material | 3 |
Jungmee Kang | US | Stow | 2013-12-12 / 20130331538 - MELT PROCESSIBLE POLYUREAS AND POLYUREA-URETHANES, METHOD FOR THE PRODUCTION THEREOF AND PRODUCTS MADE THEREFROM | 5 |
Kyoung Ku Kang | US | 2010-10-07 / 20100253886 - POLARIZING FILM INCLUDING ANTISTATIC COATING LAYER | 1 | |
Minkoo Kang | US | New Milford | 2015-07-16 / 20150200395 - METHOD OF MAKING A CATHODE SLURRY AND A CATHODE | 4 |
Bogyu Kang | US | Troy | 2015-05-28 / 20150145363 - MAGNETIC WHEEL BEARING | 3 |
Bogyu Kang | US | Rochester Hills | 2010-09-23 / 20100236507 - SWITCHABLE CAM FOLLOWER OF A VALVE TRAIN OF AN INTERNAL COMBUSTION ENGINE | 1 |
Thomas Kang | US | Philadelphia | 2010-08-26 / 20100212677 - SMOKING ARTICLE WITH REMOVABLY SECURED ADDITIONAL WRAPPER AND PACKAGING FOR SMOKING ARTICLE | 1 |
Seungkoo Kang | US | Gainesville | 2010-03-11 / 20100063180 - FIRE PROTECTION AND/OR FIRE FIGHTING ADDITIVES, ASSOCIATED COMPOSITIONS, AND ASSOCIATED METHODS | 1 |
Alan H.i. Kang | US | Honolulu | 2010-03-04 / 20100056000 - METHOD AND SYSTEM FOR PROVIDING AND USING A POWER PADDLE | 1 |
Dae-Kyung Kang | US | Rockville | 2010-02-18 / 20100041060 - IRON-REGULATING PROTEIN-2(IRP-2) AS A DIAGNOSTIC FOR NEURODEGENERATIVE DISEASE | 1 |
Iksoon Kang | US | Madison | 2016-03-17 / 20160073651 - Simultaneous Multiple Acervation Process | 4 |
Myung-Chol Kang | US | Langley | 2010-01-21 / 20100016225 - CONJUGATES COMPRISED OF POLYMER AND HIV gp-41-DERIVED PEPTIDES AND THEIR USE IN THERAPY | 1 |
Shin G. Kang | US | Simsbury | 2013-06-27 / 20130160857 - METHOD AND SYSTEM FOR TREATING AN EFFLUENT STREAM GENERATED BY A CARBON CAPTURE SYSTEM | 6 |
Sean Kang | US | Mckinney | 2009-11-26 / 20090292628 - SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR PERFORMING ITEM LEVEL TRANSACTION PROCESSING | 1 |
Sukhvinder S. Kang | US | Concord | 2016-03-17 / 20160076819 - THERMOSIPHON WITH BENT TUBE SECTION | 7 |
Alan Kang | US | 2009-10-08 / 20090250897 - FORCE CHANNELING MOUNTAIN BIKE REAR SUSPENSION | 1 | |
Huining Kang | US | Albuquerque | 2014-10-30 / 20140322166 - GENE EXPRESSION SIGNATURES FOR DETECTION OF UNDERLYING PHILADELPHIA CHROMOSOME-LIKE (PH-LIKE) EVENTS AND THERAPEUTIC TARGETING IN LEUKEMIA | 3 |
Huiman Kang | US | Madison | 2016-05-12 / 20160133292 - DENSITY MULTIPLICATION AND IMPROVED LITHOGRAPHY BY DIRECTED BLOCK COPOLYMER ASSEMBLY | 4 |
Yong Q. Kang | US | Elkton | 2009-07-23 / 20090187369 - Estimation of subsurface thermal structure using sea surface height and sea surface temperature | 2 |
Jonathan Borui Kang | US | Tampa | 2009-06-04 / 20090140901 - RISA CONTROLLER FOR AN RF INTEGRATED CIRCUIT (RFIC) HAVING IMPROVED EXECUTION SPEED | 1 |
Kyung Aih Kang | US | Louisville | 2009-02-12 / 20090042210 - Sensors and methods for detecting diseases caused by a single point mutation | 1 |
Sewon Kang | US | Ann Arbor | 2009-01-22 / 20090022677 - Methods for identifying treatments that treat and/or prevent UV irradiation induced photoaging | 1 |
Henry Kang | US | Corvallis | 2013-02-28 / 20130050347 - FLUID EJECTION DEVICE AND METHODS OF FABRICATION | 3 |
Ann I. Kang | US | Portland | 2008-11-06 / 20080274417 - Variable Mask Field Exposure | 1 |
Chunran Kang | US | Lubbock | 2008-10-09 / 20080245290 - Bookmark Apparatus | 1 |
Laegu Kang | US | Austin | 2008-08-28 / 20080206940 - FORMING A SEMICONDUCTOR DEVICE HAVING EPITAXIALLY GROWN SOURCE AND DRAIN REGIONS | 1 |
Young-Min Kang | KR | Seoul | 2009-08-27 / 20090213637 - Ferroelectric random access memory device | 2 |
Kyeongok Kang | KR | Daejeon | 2015-11-19 / 20150334502 - SOUND SIGNAL DESCRIPTION METHOD, SOUND SIGNAL PRODUCTION EQUIPMENT, AND SOUND SIGNAL REPRODUCTION EQUIPMENT | 29 |
Sanghyeon Kang | KR | Seoul | 2016-02-25 / 20160053234 - METHOD FOR PREVENTION AND TREATMENT OF SALMONELLA INFECTION | 16 |
Ling-Ling Kang | US | Palo Alto | 2011-10-27 / 20110264076 - POLYOXAESTER SUSPENDING VEHICLES FOR USE WITH IMPLANTABLE DELIVERY SYSTEMS | 2 |
Sang-Kyu Kang | KR | Anyang City | 2009-12-17 / 20090309107 - Nitride Micro Light Emitting Diode With High Brightness and Method For Manufacturing the Same | 1 |
Sang-Kyu Kang | KR | Gyeonggi-Do | 2010-09-16 / 20100232213 - CONTROL SIGNAL TRANSMITTING SYSTEM OF A SEMICONDUCTOR DEVICE | 1 |
Sang-Kyu Kang | KR | Yongin-Si | 2011-01-13 / 20110007576 - SYNCHRONOUS DYNAMIC RANDOM ACCESS MEMORY SEMICONDUCTOR DEVICE FOR CONTROLLING OUTPUT DATA | 1 |
Yong-Mook Kang | KR | Suwon-Si | 2012-04-19 / 20120094180 - ACTIVE MATERIAL FOR RECHARGEABLE LITHIUM BATTERY AND RECHARGEABLE LITHIUM BATTERY COMPRISING SAME | 5 |
Yong-Mook Kang | KR | Seoul | 2015-10-22 / 20150296753 - FLOATING ASSEMBLY USING CONTAINERS | 6 |
Yong-Mook Kang | KR | Yongin-Si | 2013-07-25 / 20130189585 - NEGATIVE ACTIVE MATERIAL FOR A RECHARGEABLE LITHIUM BATTERY, A METHOD OF PREPARING THE SAME, AND A RECHARGEABLE LITHIUM BATTERY COMPRISING THE SAME | 7 |
Chang-Woo Kang | KR | Nam-Gu | 2009-02-12 / 20090040617 - WINDOW COVER FOR A DISPLAY DEVICE, METHOD OF MANUFACTURING THE SAME AND DISPLAY DEVICE HAVING THE WINDOW COVER | 1 |
Uk Kang | KR | Gyeonggi-Do | 2011-12-29 / 20110315894 - Apparatus For and Method of Measuring Bio-Chips Using Uniform Total Internal Reflection Illumination | 3 |
Sang-Chul Kang | KR | Gyeonggi-Do | 2008-12-18 / 20080310226 - Multi-Bit Flash Memory Devices Having a Single Latch Structure and Related Programming Methods, Systems and Memory Cards | 1 |
Yungmo Kang | US | San Diego | 2012-05-17 / 20120121434 - TURBINE BLADE ASSEMBLY INCLUDING A DAMPER | 4 |
Wootag Kang | US | San Diego | 2014-06-19 / 20140173344 - PROGRAMMABLE BUILT-IN-SELF TESTER (BIST) IN MEMORY CONTROLLER | 4 |
Seung Kang | US | San Diego | 2016-04-21 / 20160111634 - AMORPHOUS ALLOY SPACER FOR PERPENDICULAR MTJS | 5 |
Sugbong Kang | US | San Diego | 2016-02-18 / 20160049971 - IMPULSE NOISE MITIGATION UNDER OUT-OF-BAND INTERFERENCE CONDITIONS | 12 |
Inyup Kang | US | San Diego | 2016-03-17 / 20160080174 - COMPUTING SYSTEM WITH CHANNEL ESTIMATION MECHANISM AND METHOD OF OPERATION THEREOF | 61 |
Young Jun Kang | US | San Diego | 2010-04-22 / 20100098689 - 4-1 bb ligand in inflammatory diseases | 1 |
Insung Kang | US | San Diego | 2016-04-28 / 20160119876 - MOBILITY BASED POWER CONSERVATION | 45 |
Sung Min Kang | KR | Seoul | 2013-11-14 / 20130299603 - FOG REMOVAL SYSTEM | 7 |
Young-Kwon Kang | KR | Gyeonggi-Do | 2010-04-22 / 20100099205 - METHOD OF MANUFACTURING LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Yuhong Kang | US | Christiansburg | 2008-10-09 / 20080245413 - SELF ASSEMBLED PHOTOVOLTAIC DEVICES | 1 |
Jianke Kang | US | Arlington | 2013-10-10 / 20130263449 - CHECK VALVE DIAPHRAGM MICROPUMP | 2 |
Jiman Kang | US | Falls Church | 2009-08-20 / 20090210967 - Glutamate Receptors as Regulators of Carbon Transport, Mobilization, Distribution, Reallocation, and Partitioning in Higher Plants | 1 |
Yaowei Kang | US | Blacksburg | 2009-12-24 / 20090318292 - Bacillus Subtilis Strain | 2 |
Jin Ho Kang | US | Newport News | 2016-04-14 / 20160104831 - Pyroelectric Sandwich Thermal Energy Harvesters | 19 |
Jin Ho Kang | US | Yorktown | 2008-11-06 / 20080275172 - Nanocomposites from stable dispersions of carbon nanotubes in polymeric matrices using dispersion interaction | 1 |
Sang-Mo Kang | US | San Francisco | 2010-07-22 / 20100183591 - MODULATION OF NKG2D | 1 |
Eun-Ju Kang | KR | Daejeon | 2015-09-03 / 20150249276 - STRUCTURE FOR ELECTROCHEMICAL DEVICE TO IMPROVE SAFETY AND ELECTROCHEMICAL DEVICE COMPRISING THE SAME | 4 |
Chang-Ho Kang | KR | Suwon-Si | 2010-01-28 / 20100021829 - DEPOSITION MASK AND METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY USING THE SAME | 1 |
Justin Kang | GB | Ipswich | 2011-06-02 / 20110128975 - MULTIPLE CARRIER COMPRESSION SCHEME | 3 |
Bo Kang | US | Union City | 2010-04-01 / 20100080175 - WEB BASED SMART SENSOR NETWORK TRACKING AND MONITORING SYSTEM | 1 |
Jae Young Kang | KR | Gyeonggi | 2010-05-13 / 20100116635 - Emblem-Unified Trunk Opening and Closing Device | 1 |
Sun-Ae Kang | KR | Kyunggi_do | 2009-03-12 / 20090069736 - Polyurethane Foam Dressing for Wound Filler and Method for Manufacturing Thereof | 1 |
Inkuk Kang | US | Sunnyvale | 2010-06-03 / 20100133646 - SELF-ALIGNED SI RICH NITRIDE CHARGE TRAP LAYER ISOLATION FOR CHARGE TRAP FLASH MEMORY | 1 |
David Kang | US | Encinitas | 2010-05-27 / 20100130958 - Device and Methods for Subcutaneous Delivery of High Viscosity Fluids | 1 |
Jack Kang | US | Sunnyvale | 2013-09-19 / 20130247072 - METHOD AND APPARATUS FOR BANDWIDTH ALLOCATION MODE SWITCHING BASED ON RELATIVE PRIORITIES OF THE BANDWIDTH ALLOCATION MODES | 6 |
Gary Kang | US | Fremont | 2011-02-24 / 20110043894 - DISPLAY DEVICES HAVING MICRO-REFLECTORS AND COLOR FILTERS | 1 |
Judy Kang | US | Santa Monica | 2011-05-12 / 20110107497 - SCRATCH PREVENTING BABY MITTS AND METHOD OF MAKING SAME | 1 |
Michael S. Kang | US | San Francisco | 2013-03-07 / 20130056022 - BARE ALUMINUM BAFFLES FOR RESIST STRIPPING CHAMBERS | 2 |
David Young-June Kang | US | San Francisco | 2012-06-14 / 20120150688 - PAYMENT SERVICE TO EFFICIENTLY ENABLE ELECTRONIC PAYMENT | 5 |
Jinsuk Kang | US | Palo Alto | 2014-12-18 / 20140368680 - CONVERSION GAIN MODULATION USING CHARGE SHARING PIXEL | 4 |
Gary Yih-Ming Kang | US | Fremont | 2014-03-06 / 20140065369 - MICROSTRUCTURE FILM | 5 |
Michael Kang | US | Berkeley | 2010-10-14 / 20100262903 - SYSTEMS AND METHODS FOR CONTEXTUAL MARK-UP OF FORMATTED DOCUMENTS | 1 |
Michael S. Kang | US | San Ramon | 2014-12-18 / 20140367047 - EDGE RING ASSEMBLY FOR PLASMA ETCHING CHAMBERS | 3 |
Joseph Kang | US | Los Angeles | 2010-08-26 / 20100212677 - SMOKING ARTICLE WITH REMOVABLY SECURED ADDITIONAL WRAPPER AND PACKAGING FOR SMOKING ARTICLE | 1 |
Ryan Kang | US | Granada Hills | 2010-08-26 / 20100213205 - BEVERAGE CUP SLEEVE WITH INTEGRATED FOLD-OUT HANDLES | 1 |
Byung C. Kang | US | Downey | 2010-08-26 / 20100214482 - SYSTEM AND METHOD FOR BROADCAST TOWER LOCATION IN A DEVICE HAVING A TELEVISION SIGNAL RECEIVER | 1 |
Alan Kang | US | Anaheim | 2010-04-22 / 20100096831 - CENTER OF MASS TECHNOLOGY FOR MOUNTAIN BIKE FRAMES | 1 |
Sien Kang | US | Dublin | 2016-04-21 / 20160111500 - TECHNIQUES FOR FORMING OPTOELECTRONIC DEVICES | 5 |
Sun Goo Alan Kang | US | Los Angeles | 2010-02-04 / 20100027925 - THRUST BEARING | 1 |
Alan Kang | US | Torrance | 2009-11-05 / 20090274548 - CONTAMINANT-DEFLECTOR LABYRINTH SEAL AND METHOD OF OPERATION | 1 |
Inkuk Kang | US | San Jos | 2009-10-29 / 20090269916 - METHODS FOR FABRICATING MEMORY CELLS HAVING FIN STRUCTURES WITH SEMICIRCULAR TOP SURFACES AND ROUNDED TOP CORNERS AND EDGES | 1 |
Sukwon Greg Kang | US | Torrance | 2009-09-03 / 20090218961 - POWER SENSING IN A FLASHLIGHT | 2 |
James Kang | US | Laguna Hills | 2013-12-26 / 20130342413 - ANTENNA STRUCTURES MADE OF BULK-SOLIDIFYING AMORPHOUS ALLOYS | 3 |
Angray S. Kang | US | Encinitas | 2009-08-13 / 20090202553 - Antibodies against anthrax protective antigen | 1 |
Douglas Kang | US | Rancho Santa Margarita | 2013-08-15 / 20130207044 - Sharply Resolving Labeled Protein Molecular Weight Standards | 3 |
Peter Kang | US | San Francisco | 2009-06-25 / 20090163262 - SCHEME FOR INSERTING A MIMICKED PERFORMANCE INTO A SCENE AND PROVIDING AN EVALUATION OF SAME | 1 |
Myeong Joon Kang | US | San Ramon | 2009-05-21 / 20090132739 - Portable handheld memory card and methods for use therewith | 1 |
Jaewon Samuel Kang | US | Santa Clara | 2009-04-30 / 20090109329 - Data connector for an electronics device | 1 |
Tae Cho Kang | US | Buena Park | / - | 1 |
Byung Kang | US | Downey | 2009-03-05 / 20090059000 - SYSTEM AND METHOD FOR CAPTURING DEBUG INFORMATION USING A PERIPHERAL DEVICE | 1 |
David Kang | US | Fullerton | 2009-01-15 / 20090015295 - Envelope detector having reduced harmonic interference | 1 |
Sean Kang | US | San Ramon | 2015-12-24 / 20150371889 - METHODS FOR SHALLOW TRENCH ISOLATION FORMATION IN A SILICON GERMANIUM LAYER | 6 |
Kitaek Kang | US | Dublin | 2008-10-16 / 20080254553 - In Situ, Ex Situ and Inline Process Monitoring, Optimization and Fabrication | 2 |
Young Su Kang | KR | Yongin-Si | 2009-05-21 / 20090128227 - HIGH VOLTAGE GENERATING DEVICE OF SEMICONDUCTOR DEVICE | 2 |
Young Su Kang | KR | Seoul | 2009-11-19 / 20090285026 - PROGRAM AND VERIFY METHOD OF NONVOLATILE MEMORY DEVICE | 2 |
Kyung Su Kang | KR | Gangwon-Do | 2010-04-29 / 20100105769 - PHARMACEUTICAL COMPOSITION CONTAINING DAURINOL FOR THE PREVENTION AND TREATMENT OF CANCERS | 1 |
Hyun-Koo Kang | KR | Yongin-Si | 2016-03-17 / 20160080314 - ACCESS POINT AND CONTROL METHOD THEREOF | 8 |
Jeong Hoon Kang | KR | Seoul | 2016-04-28 / 20160116512 - METHOD AND SYSTEM FOR MONITORING ENERGY CONSUMPTION | 22 |
Sung Hwan Kang | KR | Daegu Metropolitan City | 2009-06-25 / 20090163185 - Method and system for creating, receiving and playing multiview images, and related mobile communication device | 1 |
Moon Soo Kang | KR | Daegu | 2009-04-09 / 20090091672 - Liquid crystal display device | 1 |
Yoo-Hwa Kang | KR | Daegu | 2010-03-25 / 20100074256 - SERVICE RECOGNITION METHOD OF ROUTER IN IPV6 ENVIRONMENT | 1 |
Duckwon Kang | KR | Daegu | 2008-12-18 / 20080307836 - DOOR HANDLE MODULE AND DOOR LOCK USING THE SAME | 1 |
Youn K. Kang | KR | Daegu | 2011-10-20 / 20110257356 - Synthesis of Poly-(P-Aryleneethynylene)s in Neat Water Under Aerobic Conditions | 2 |
Yeon Su Kang | KR | Daegu | 2010-09-30 / 20100251053 - SYSTEM AND METHOD FOR REUSING RADIO RESOURCES | 2 |
Jun Chul Kang | KR | Daegu | 2014-11-20 / 20140340020 - METHOD AND APPARATUS FOR CHARGE CONTROL OF A PORTABLE TERMINAL HAVING A SOLAR BATTERY | 4 |
Hyun-Gu Kang | KR | Daegu | 2010-12-16 / 20100317723 - Nucleolin Specific Aptamer and Use thereof | 2 |
Sang-Soo Kang | KR | Daegu | 2013-06-13 / 20130150384 - METHOD FOR TREATMENT OF BRAIN CANCERS | 4 |
Hong-Gyu Kang | KR | Daegu | 2011-05-05 / 20110107454 - Method for Preparing a Genetically Modified Grass Having Infertileness | 1 |
Hyunwoo Kang | KR | Daegu | 2009-12-03 / 20090296704 - METHOD FOR MULTI-PATH SOURCE ROUTING IN SENSOR NETWORK | 1 |
Sung Ho Kang | KR | Daegu | 2010-04-08 / 20100085084 - CLOCK-SHARED DIFFERENTIAL SIGNALING INTERFACE AND RELATED METHOD | 1 |
Jun Tae Kang | KR | Daegu | 2015-07-30 / 20150216025 - METHOD FOR DRIVING MULTI ELECTRIC FIELD EMISSION DEVICES AND MULTI ELECTRIC FIELD EMISSION SYSTEM | 17 |
Dong Min Kang | US | 2008-12-04 / 20080296535 - Structured catalyst for POX reforming of gasoline for fuel-cell powered vehicles applications and a method of preparing the same | 1 | |
Dong Min Kang | KR | Daejeon | 2015-12-31 / 20150380482 - SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF | 21 |
Tae Jin Kang | KR | Suwon-Si | 2011-06-02 / 20110128049 - WRITE DRIVING DEVICE | 7 |
Tae Jin Kang | KR | Gunpo-Si | 2011-07-14 / 20110171015 - CENTRIFUGAL COMPRESSOR AND FABRICATING METHOD THEREOF | 2 |
Tae Jin Kang | KR | Seoul | 2015-08-06 / 20150221359 - SEMICONDUCTOR DEVICES | 6 |
Jung-Sun Kang | KR | Yongin-City | 2008-08-28 / 20080205526 - DEVICE FOR AND METHOD OF ESTIMATING MOTION IN VIDEO ENCODER | 1 |
Dong-Hun Kang | KR | Yongin-City | 2015-07-02 / 20150188084 - FLEXIBLE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 8 |
Taek-Kyo Kang | KR | Yongin-City | 2015-02-19 / 20150047560 - MASK FOR DEPOSITING AN ORGANIC LAYER AND MASK ASSEMBLY FOR THE SAME | 13 |
Jungwhun Kang | KR | Yongin-City | 2010-06-10 / 20100139380 - System for Calculating SCR Conversion Efficiency for Diesel Vehicles and Method Thereof | 2 |
Dong-Wook Kang | KR | Yongin-City | 2015-07-09 / 20150193058 - TOUCH SCREEN PANEL | 7 |
Jin-Goo Kang | KR | Yongin-City | 2015-03-05 / 20150060781 - DISPLAY APPARATUS AND RELATED MANUFACTURING METHOD | 12 |
Tae-Min Kang | KR | Yongin-City | 2014-11-06 / 20140326404 - LAMINATING APPARATUS AND LAMINATING METHOD USING THE SAME | 11 |
Jin-Gyu Kang | KR | Yongin-City | 2015-05-07 / 20150123098 - FLEXIBLE DISPLAY AND MANUFACTURING METHOD THEREOF | 5 |
Jae-Wook Kang | KR | Yongin-City | 2015-11-26 / 20150340417 - ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 7 |
Mangil Kang | KR | Yongin-City | 2010-04-29 / 20100102843 - SEMICONDUCTOR TEST HEAD APPARATUS USING FIELD PROGRAMMABLE GATE ARRAY | 1 |
Jin-Kyu Kang | KR | Yongin-City | 2014-11-20 / 20140339517 - ORGANIC LIGHT-EMITTING DIODE DISPLAY, AN ELECTRONIC DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING SAID ORGANIC LIGHT-EMITTING DIODE DISPLAY | 2 |
Dae-Cheol Kang | KR | Namyangju-Si | 2008-12-18 / 20080309363 - PROBE ASSEMBLY WITH WIRE PROBES | 3 |
Dong Hun Kang | KR | Gyeonggi-Do | 2010-03-18 / 20100064468 - FLAT WIPER BLADE FOR THE VEHICLE | 1 |
Dong Hun Kang | KR | Seoul | 2014-05-08 / 20140124810 - LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE PACKAGE HAVING THE SAME | 3 |
Jung-Sun Kang | KR | Seongnam-Si | 2008-08-28 / 20080205858 - Memory structures and methods for video codec | 1 |
Hak-Hee Kang | KR | Seongnam-Si | 2009-03-05 / 20090062217 - Promoter For The Production Of Hyaluronic Acid Containing Ginsenoside Compound K | 1 |
Moon-Shik Kang | KR | Seongnam-Si | 2009-06-18 / 20090153068 - BACKLIGHT ASSEMBLY, DISPLAY APPARATUS HAVING THE BACKLIGHT ASSEMBLY, AND METHOD THEREOF | 4 |
Dong Ku Kang | KR | Seongnam-Si | 2015-03-05 / 20150063030 - METHOD OF TESTING NON-VOLATILE MEMORY DEVICE AND METHOD OF MANAGING NON-VOLATILE MEMORY DEVICE | 10 |
Yool Kang | KR | Seongnam-Si | 2009-10-15 / 20090258473 - Nonvolatile memory device and method of manufacturing the same | 1 |
Moon-Sik Kang | KR | Seongnam-Si | 2010-02-25 / 20100045645 - BACKLIGHT ASSEMBLY HAVING EXTERNAL ELECTRODE FLUORESCENT LAMP, METHOD OF DRIVING THEREOF AND LIQUID CRYSTAL DISPLAY HAVING THE SAME | 1 |
Yoon-Sok Kang | KR | Seongnam-Si | 2015-03-19 / 20150079465 - COMPOSITE CATHODE ACTIVE MATERIAL, METHOD OF PREPARING THE SAME, AND CATHODE AND LITHIUM BATTERY CONTAINING THE SAME | 11 |
Deok-Man Kang | KR | Seongnam-Si | 2013-02-28 / 20130048604 - PHOTORESIST COMPOSITION AND METHOD OF FORMING A FINE PATTERN USING THE SAME | 6 |
Tae Ho Kang | KR | Seongnam-Si | 2010-12-23 / 20100321289 - MOBILE DEVICE HAVING PROXIMITY SENSOR AND GESTURE BASED USER INTERFACE METHOD THEREOF | 2 |
Shin-Tack Kang | KR | Seongnam-Si | 2013-11-07 / 20130294004 - SUBSTRATE FOR A DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 7 |
Chang-Seok Kang | KR | Seongnam-Si | 2015-12-24 / 20150372004 - VERTICAL MEMORY DEVICES AND METHODS OF MANUFACTURING THE SAME | 12 |
Yeon-June Kang | KR | Seongnam-Si | 2008-09-18 / 20080223653 - Poroelastic acoustical foam having enhanced sound-absorbing performance | 1 |
Chankoo Kang | KR | Seongnam-Si | 2008-10-09 / 20080247357 - Method For Adaptive Delay Threshold-Based Priority Queueing Scheme For Packet Scheduling in Mobile Broadband Wireless Access System | 1 |
Byung Chang Kang | KR | Seongnam-Si | 2008-10-16 / 20080256346 - CENTRAL PROCESSING UNIT HAVING BRANCH INSTRUCTION VERIFICATION UNIT FOR SECURE PROGRAM EXECUTION | 1 |
Nam Seok Kang | KR | Seongnam-Si | 2008-12-04 / 20080297049 - PLASMA DISPLAY PANEL AND METHOD FOR FABRICATING THE SAME | 2 |
Myung-Ji Kang | KR | Seongnam-Si | 2014-08-21 / 20140235294 - METHOD AND APPARATUS FOR PROVIDING PHONEBOOK USING IMAGE IN A PORTABLE TERMINAL | 2 |
Byounghwan Kang | KR | Seongnam-Si | 2009-03-12 / 20090064529 - INTAKE-EXHAUST TYPE HAIR STYLING DRYER | 1 |
Kyong-Rim Kang | KR | Seongnam-Si | 2009-06-25 / 20090162986 - Copolymers, polymer resin composition for buffer layer method of forming a pattern using the same and method of manufacturing a capacitor using the same | 2 |
Doek-Man Kang | KR | Seongnam-Si | 2010-03-04 / 20100055851 - PHOTORESIST COMPOSTION, METHOD FOR FORMING THIN FILM PATTERNS, AND METHOD FOR MANUFACTURING A THIN FILM TRANSISTOR USING THE SAME | 2 |
Sun-Jeong Kang | KR | Seongnam-Si | 2010-03-18 / 20100070067 - APPARATUS FOR RECOGNIZING AND PROCESSING INFORMATION OF ELECTRONIC PARTS | 1 |
Ki-Min Kang | KR | Seongnam-Si | 2014-07-10 / 20140192372 - METHOD OF PROCESSING IMAGE AND IMAGE FORMING APPARATUS USING THE SAME | 4 |
Uk Song Kang | KR | Seongnam-Si | 2015-10-29 / 20150309743 - SEMICONDUCTOR MEMORY DEVICES AND MEMORY SYSTEMS INCLUDING THE SAME | 25 |
Hee-Sung Kang | KR | Seongnam-Si | 2016-01-07 / 20160005624 - METHOD OF FORMING A PATTERN IN A SEMICONDUCTOR DEVICE AND METHOD OF FORMING A GATE USING THE SAME | 3 |
In-Tae Kang | KR | Seongnam-Si | 2013-06-20 / 20130159369 - APPARATUS AND METHOD FOR PERFORMING DISCRETE FOURIER TRANSFORM | 8 |
Heonjoong Kang | KR | Seongnam-Si | 2012-12-13 / 20120316346 - SELENALZOLE DERIVATIVE HAVING LIGAND WHICH ACTIVATES PEROXISOME PROLIFERATOR ACTIVATED RECEPTOR (PPAR), PREPARING METHOD THEREOF AND USAGE OF THE CHEMICAL COMPOUNDS | 5 |
Dohwan Kang | KR | Seongnam-Si | 2011-10-27 / 20110264683 - SYSTEM AND METHOD FOR MANAGING INFORMATION MAP | 2 |
Seok Ho Kang | KR | Seongnam-Si | 2011-10-27 / 20110264683 - SYSTEM AND METHOD FOR MANAGING INFORMATION MAP | 2 |
Heewon Kang | KR | Seongnam-Si | 2014-07-10 / 20140194620 - 18F-LABELED PRECURSOR OF PET RADIOACTIVE MEDICAL SUPPLIES, AND PREPARATION METHOD THEREOF | 5 |
Seen-Suk Kang | KR | Seongnam-Si | 2011-03-03 / 20110050647 - TOUCH SYSTEM AND DISPLAY DEVICE COMPRISING THE SAME | 1 |
Sun-Won Kang | KR | Seongnam-Si | 2015-11-19 / 20150332993 - PRINTED CIRCUIT BOARD HAVING TRACES AND BALL GRID ARRAY PACKAGE INCLUDING THE SAME | 9 |
Changseok Kang | KR | Seongnam-Si | 2016-02-11 / 20160043100 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FABRICATING THE SAME | 11 |
Ho Chang Kang | KR | Seongnam-Si | 2011-04-21 / 20110092997 - MICRO-COIL ASSEMBLY | 1 |
Sunwon Kang | KR | Seongnam-Si | 2015-06-04 / 20150155266 - SEMICONDUCTOR PACKAGE | 5 |
In Chul Kang | KR | Seongnam-Si | 2010-06-03 / 20100138292 - METHOD FOR PROVIDING AND SEARCHING INFORMATION KEYWORD AND INFORMATION CONTENTS RELATED TO CONTENTS AND SYSTEM THEREOF | 1 |
Tae-Soo Kang | KR | Seongnam-Si | 2008-10-09 / 20080246077 - Method of fabricating semiconductor memory device and semiconductor memory device fabricated by the method | 1 |
Dongku Kang | KR | Seongnam-Si | 2016-02-04 / 20160035427 - DATA STORAGE DEVICE AND OPERATION METHOD THEREOF | 7 |
Yoonsok Kang | KR | Seongnam-Si | 2016-04-07 / 20160099463 - COMPOSITE NEGATIVE ACTIVE MATERIAL AND METHOD OF PREPARING THE SAME, NEGATIVE ELECTRODE INCLUDING COMPOSITE NEGATIVE ACTIVE MATERIAL, AND LITHIUM SECONDARY BATTERY INCLUDING NEGATIVE ELECTRODE | 6 |
Hee-Soo Kang | KR | Yong-In Shi | 2012-01-12 / 20120009759 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE HAVING SHARED BIT LINE STRUCTURE | 2 |
Hee-Soo Kang | KR | Seoul | 2015-05-28 / 20150147860 - METHODS OF FABRICATING SEMICONDUCTOR DEVICES | 13 |
Hee-Soo Kang | KR | Yongin-Si | 2012-01-19 / 20120015512 - METHOD OF MANUFACTURING NON-VOLATILE MEMORY DEVICE | 7 |
Hee-Soo Kang | KR | Gyeonggi-Do | 2011-07-14 / 20110170356 - Methods of Programming Data in a Non-Volatile Memory Device and Methods of Operating a Nand Flash Memory Device Using the Same | 8 |
Junwon Kang | KR | Seoul | 2009-10-15 / 20090259013 - LIQUID CRYSTAL POLY(PHENYLENE DISULFONIC ACIDS) | 1 |
Jung Sik Kang | KR | Gyeonggi-Do | 2011-05-26 / 20110120818 - DISC BRAKE | 2 |
Byung-Ho Kang | KR | Daejeon | 2009-03-19 / 20090074393 - Method and apparatus for auto focusing | 1 |
Byung-Ho Kang | KR | Yongin-Si | 2009-07-23 / 20090185050 - Apparatus and method for acquiring image based on expertise | 1 |
Ju Wan Kang | KR | Suseong-Gu | 2015-06-25 / 20150181704 - CIRCUIT BOARD INCLUDING ALIGNED NANOSTRUCTURES | 2 |
Yun Sung Kang | KR | Kyungki-Do | 2008-11-20 / 20080286141 - Method for Preparing Nano-Sized Metal Powder Feedstock and Method for Producing Sintered Body Using the Feedstock | 1 |
Byungsoo Kang | US | Irvine | / - | 1 |
Min-Soo Kang | KR | Suwon-Si | 2010-12-16 / 20100318728 - SOLID STATE DRIVE DEVICE | 2 |
Min-Soo Kang | KR | Yongin-Si | 2008-10-09 / 20080250177 - MEMORY DEVICE INCLUDING CONNECTOR FOR INDEPENDENTLY INTERFACING HOST AND MEMORY DEVICES | 1 |
Seok-Jin Kang | KR | Suwon-Si | 2011-04-28 / 20110097113 - Light emitting diode array integrated with lens, line printer head, and method of manufacturing the light emitting diode array | 3 |
Jae-Wook Kang | KR | Suwon-Si | 2009-11-19 / 20090284152 - PLASMA DISPLAY PANEL | 1 |
Min-Su Kang | KR | Changwon | 2009-05-21 / 20090126418 - Drum type washing machine | 1 |
Min-Su Kang | KR | Changwon-City | 2009-06-25 / 20090161961 - Apparatus and method for trimming | 1 |
Min-Su Kang | KR | Suwon-Si | 2010-07-01 / 20100166316 - METHOD AND APPARATUS FOR PROCESSING A DIGITAL IMAGE INCLUDING A FACE DETECTION FINCTION | 3 |
Sin-Ho Kang | KR | Suwon-Si | 2011-04-28 / 20110096272 - COLOR MIXING LENS AND LIQUID CRYSTAL DISPLAY DEVICE HAVING THE SAME | 4 |
Sin-Ho Kang | KR | Gyeonggi-Do | 2011-04-28 / 20110096264 - COLOR MIXING LENS AND LIQUID CRYSTAL DISPLAY DEVICE HAVING THE SAME | 1 |
Byung Chul Kang | KR | Gyeonggi-Do | 2009-05-14 / 20090120589 - SEMICONDUCTOR DIE BONDING APPARATUS HAVING MULTIPLE BONDING HEAD UNITS | 1 |
Young Sik Kang | KR | Daejeon | 2015-04-16 / 20150103465 - ULTRA THIN FILM CAPACITOR AND MANUFACTURING METHOD THEREOF | 4 |
Shung-Wen Kang | TW | Taipei | 2009-03-19 / 20090071635 - Thermal spreader for simultaneously enhancing capillary effect and structural strength | 2 |
Chao-Feng Kang | TW | Taipei | 2010-11-04 / 20100277434 - DISPLAY MODULE AND REACTION DEVICE | 3 |
Shih-Chung Kang | TW | Taipei | 2015-11-19 / 20150332146 - DEVICE AND METHOD FOR COMPARISON OF MULTIPLE TROPICAL CYCLONE ROUTES | 12 |
Eun-Jung Kang | KR | Suwon-Si | 2011-06-23 / 20110154205 - RUI SERVER, RUI CLIENT AND CONTROL METHOD THEREOF | 3 |
Jung Min Kang | KR | Daejeon | 2015-11-12 / 20150324580 - APPARATUS AND METHOD FOR ANALYZING MALICIOUS CODE IN REAL ENVIRONMENT | 8 |
Chang Ho Kang | KR | Yangsan-City | 2011-02-10 / 20110031486 - EVAPORATION MASK, METHOD OF FABRICATING ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME, AND ORGANIC ELECTROLUMINESCENT DEVICE | 1 |
Liangchuan Kang | CN | Beijing | 2008-12-25 / 20080317147 - METHOD FOR TRANSMITTING SCATTERED PILOT SIGNALS IN MULTI-CARRIER SYSTEM | 1 |
Yu Kang | CN | Beijing | 2012-02-23 / 20120046490 - PROCESS FOR PREPARING 2,3-DI-NON-STRAIGHT-ALKYL-2-CYANOSUCCINIC ACID DIESTERS | 2 |
Ruijuan Kang | CN | Beijing | 2008-12-18 / 20080311646 - Carbon Supply Device for Cultivating Miro Algae in Large and Its Application Method and Use | 1 |
Hua Kang | CN | Beijing | 2009-01-01 / 20090007109 - METHOD AND APPARATUS FOR RECOGNIZING PROCESSES IN GOS BY VMM | 1 |
Liping Kang | CN | Beijing | 2012-12-13 / 20120316122 - PHARMACEUTICAL COMPOSITIONS FOR COMBATING THROMBOTIC DISEASES AND THEIR PREPARATION AND USES | 2 |
Zhiyun Kang | CN | Beijing | 2009-03-19 / 20090076114 - Cis-1,2-substituted stilbene derivatives and their use in preparation of drugs for treatment and/or prevention of diabetes | 1 |
Jianfeng Kang | CN | Beijing | 2012-08-09 / 20120201227 - FREQUENCY QUALITY CRITERIA FOR INTER-FREQUENCY HANDOVER IN A TD-CDMA COMMUNICATION SYSTEM | 2 |
Junjie Kang | CN | Beijing | 2011-01-20 / 20110013221 - Methods and Systems for Processing Print Jobs | 1 |
Zhanhui Kang | CN | Beijing | 2016-03-24 / 20160085871 - SEARCHING FOR INFORMATION BASED ON GENERIC ATTRIBUTES OF THE QUERY | 3 |
Jian Feug Kang | CN | Beijing | 2011-04-07 / 20110080863 - Cell-Selective Network Access | 1 |
Jian Feng Kang | CN | Beijing | 2015-10-15 / 20150296442 - Cell-Selective Network Access | 5 |
Jiangeng Kang | CN | Beijing | 2009-08-20 / 20090207811 - FREQUENCY QUALITY CRITERIA FOR INTER-FREQUENCY HANDOVER IN A TD-CDMA COMMUNICATION SYSTEM | 1 |
Youmin Kang | CN | Beijing | 2015-03-26 / 20150086593 - Allergy Inhibitor Compositions And Kits And Methods Of Using The Same | 4 |
Lishan Kang | CN | Beijing | 2012-05-03 / 20120107267 - INTERLEUKIN-21 VARIANTS HAVING ANTAGONISTIC BINDING TO THE IL-21 RECEPTOR | 2 |
Dong Han Kang | KR | Suseong-Gu | 2012-06-28 / 20120167176 - METHOD AND APPARATUS FOR PAIRING BETWEEN BLUETOOTH DEVICES | 4 |
Kejun Kang | CN | Beijing | 2016-03-24 / 20160084775 - LOW-ANGLE SELF-SWINGING, LARGE-SCALE MULTI-SLICE SPIRAL COMPUTED TOMOGRAPHY APPARATUS AND INSPECTION METHOD | 28 |
Paulo Kang | US | Pasadena | 2008-10-02 / 20080242186 - TOY AIRCRAFT WITH MODULAR POWER SYSTEMS AND WHEELS | 1 |
Paulo Kang | US | Burbank | 2009-04-02 / 20090088046 - TOY VEHICLE | 2 |
Chang-Jin Kang | KR | Gyeonggi-Do | 2009-10-22 / 20090261405 - Non-Volatile Memory Devices | 3 |
Chang-Jin Kang | KR | Suwon-Si | 2010-10-28 / 20100270647 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE HAVING CAPACITOR | 3 |
Seung Hyun Kang | KR | Seoul | 2015-09-24 / 20150271802 - METHOD OF DETERMINING TRANSPORT BLOCK SIZE AND APPARATUSES THEREOF | 9 |
Seung Hyun Kang | KR | Gyeongki-Do | 2011-02-17 / 20110038266 - METHOD OF TRANSMITTING AND RECEIVING CONTROL INFORMATION IN WIRELESS COMMUNICATION SYSTEM | 2 |
Jianming Kang | CN | Shanghai | 2014-11-20 / 20140344898 - STAND-IN AUTHORIZATION SYSTEM AND STAND-IN AUTHORIZATION METHOD | 2 |
Le Kang | CN | Xi'An High-Tech Zone | 2008-09-25 / 20080235656 - METHOD AND APPARATUS FOR MASHING UP WEB APPLICATIONS | 1 |
Zi Kang | CN | Shenzhen | 2008-10-02 / 20080240114 - Data Frame Forwarding Method By Data Relay Entity And Data Relay Entity | 1 |
Hui Kang | CN | Nanshan Shenzhen | 2008-10-23 / 20080261902 - Pharmaceutical composition containing polydatin and its application | 1 |
Hui Kang | CN | Guangdong | 2008-11-27 / 20080293643 - Crystalline Form I of 3,4'5-Trihydroxy-Stilbene-3-B-D Glucoside | 1 |
Bingyuan Kang | CN | Zhaoyuan | 2009-02-05 / 20090036810 - Leg and foot massage device capable of achieving foot swing | 1 |
Xiaoming Kang | CN | Shenzhen | 2009-04-16 / 20090098460 - NEGATIVE PLATE FOR LITHIUM ION BATTERIES AND A METHOD OF PREPARING THE SAME | 1 |
Quansheng Kang | CN | Shenzhen | 2009-04-23 / 20090106760 - METHOD AND APPARATUS FOR SELECTING A WORKFLOW ROUTE | 1 |
Shaoli Kang | CN | Shanghai | 2009-05-21 / 20090129360 - METHOD FOR CODE CHANNEL ALLOCATION AND METHOD FOR MULTIUSER DETECTION IN A WIRELESS COMMUNICATION SYSTEM | 2 |
Haixiao Kang | CN | Lanzhou | 2009-06-18 / 20090156866 - Method for producing 1,2-propylene glycol using bio-based glycerol | 1 |
Kai Kang | CN | Shanghai | 2014-10-09 / 20140302240 - INSECTICIDAL PAINTS | 3 |
Youshu Kang | CN | Shanghai | 2009-11-12 / 20090280029 - High Throughput Materials-Processing System | 1 |
Hong-Hao Kang | CN | Shenzhen City | 2009-11-12 / 20090278625 - BROADBAND FILTER | 1 |
Jiao Kang | CN | Shenzen | 2009-12-31 / 20090327112 - METHOD, DEVICE AND CONTROL SYSTEM FOR ONLINE CHARGING ON ROAMING | 1 |
Xiang-Zhe Kang | CN | Hang-Zhou | 2010-02-11 / 20100034467 - IMAGE RECOGNITION AND DISTANCE CALCULATION METHODS AND DEVICES | 1 |
Baogang Kang | CN | Chengdu | 2010-04-01 / 20100080227 - Network device with proxy address resolution protocol | 1 |
Yong Kang | CN | Wuhan | 2010-05-06 / 20100109616 - System and method for reactive power compensation and flicker management | 1 |
Xiao-Tian Kang | CN | Foshan | 2014-03-06 / 20140063614 - ZOOM LENS ADJUSTMENT STRUCTURE | 5 |
Sheng Kang | CN | Shenzhen City | 2010-12-02 / 20100302722 - RETAINING APPARATUS FOR DATA STORAGE DEVICE | 3 |
Maoqing Kang | CN | Shanxi | 2010-11-25 / 20100298592 - CATALYST FOR PRODUCING N-SUBSTITUTED CARBAMATES, AND THE PREPARATION AND APPLICATION OF THE SAME | 2 |
Zhihong Kang | CN | Shenzhen | 2010-12-30 / 20100329155 - method and apparatus for realizing source routing in the blocked cross network | 1 |
Zhihong Kang | CN | Guangdong Province | 2011-01-06 / 20110002688 - SYSTEM AND METHOD FOR IMPLEMENTING AN AUTOMATIC DISCOVERY FUNCTION IN A DWDM NETWORK | 1 |
Cai Kang | CN | Guangdong | 2011-02-17 / 20110037937 - LCD APPARATUS WITH HEAT DISSIPATION ARRANGEMENTS | 1 |
Xiaoxu Kang | CN | Shanghai | 2016-04-21 / 20160112807 - MEMS MICROPHONE STRUCTURE AND METHOD OF MANUFACTURING THE SAME | 6 |
Zhanfang Kang | CN | Guangzhou | 2011-09-08 / 20110218196 - COMPOUNDS OF ESTROGEN-RELATED RECEPTOR MODULATORS AND THE USES THEREOF | 2 |
Chao-Guo Kang | CN | Shenzhen City | 2011-04-28 / 20110097436 - SLOPING PIN STRUCTURE AND DIE ASSEMBLY USING THE SAME | 1 |
Wei Kang | CN | Kunshan | 2010-04-01 / 20100081305 - ELECTRICAL CARD CONNECTOR | 1 |
Jong Won Kang | KR | Seoul | 2010-02-18 / 20100041124 - MULTI-STAGE CSTR BIOREACTOR SYSTEM EQUIPPED WITH CELL RECYCLE UNIT | 1 |
Do Hyung Kang | KR | Jeju-Do | 2010-02-18 / 20100041926 - LIQUEFIED EXTRACT OF MARINE ALGAE FOR PRODUCING BIO-ETHANOL UNDER HIGH PRESSURE AND METHOD FOR PRODUCING THE SAME | 1 |
Do Hyung Kang | KR | Ansan | 2013-05-16 / 20130118061 - METHOD OF EXTRACTING TRIGLYCERIDES OR FATTY ACID METHYLESTERS FROM LIPIDS OF MICROALGAE BELONGING TO HETEROKONTOPHYTA OR HAPTOPHYTA AND METHOD OF PRODUCING BIODIESEL USING THE EXTRACTS | 2 |
Jung-Soo Kang | KR | Daejeon | 2016-03-24 / 20160087487 - BIDIRECTIONAL POWER CONVERTING APPARATUS | 20 |
Jung-Hwan Kang | KR | Seoul | 2009-06-11 / 20090148307 - Apparatus and method for controlling linear compressor with inverter unit | 1 |
Joon Seok Kang | KR | Gyeonggi-Do | 2014-02-06 / 20140034358 - ELECTRODE PATTERN AND METHOD OF MANUFACTURING THE SAME, PRINTED CIRCUIT BOARD USING ELECTRODE PATTERN AND METHOD OF MANUFACTURING THE SAME | 2 |
Joon Seok Kang | KR | Suwon-Si, Gyeonggi-Do | 2010-06-10 / 20100142170 - Chip embedded printed circuit board and manufacturing method thereof | 1 |
Joon Seok Kang | KR | Gyunggi-Do | 2013-12-05 / 20130319734 - PACKAGE SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 7 |
Joon Seok Kang | KR | Suwon-Si | 2014-12-04 / 20140353004 - INSULATION RESIN COMPOSITION FOR PRINTED CIRCUIT BOARD HAVING IMPROVED THERMAL CONDUCTIVITY AND ELECTRICAL PROPERTIES, INSULATING FILM, PREPREG AND PRINTED CIRCUIT BOARD | 14 |
Joon Seok Kang | KR | Suwon | 2014-10-23 / 20140313676 - ELECTRONIC COMPONENT PACKAGE | 9 |
Chang-Wook Kang | KR | Suwon-Si | 2010-04-01 / 20100079709 - LIQUID CRYSTAL DISPLAY | 1 |
Ho-Cheol Kang | KR | Geonggi-Do | 2011-01-13 / 20110006290 - ARRAY SUBSTRATE FOR LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Ho-Cheol Kang | KR | Gumpo | 2010-05-27 / 20100127249 - ELECTROPHORETIC DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Young Hoon Kang | KR | Suwon-Si | 2011-04-28 / 20110097949 - CONNECTING TERMINAL FOR LITZ WIRE, MOUNTING METHOD FOR THE SAME AND MANUFACTURING METHOD FOR COOKING APPLIANCE | 2 |
Min-Cheol Kang | KR | Suwon-Si | 2012-01-26 / 20120023137 - METHOD AND APPARATUS FOR STORING DATA IN MOBILE TERMINAL | 3 |
Tae Hoon Kang | KR | Daejeon | 2010-11-18 / 20100292476 - Berberrubine Derivatives Having Antifungal Activities | 1 |
Wei-Hung Kang | TW | Hsinchu | 2010-10-07 / 20100252845 - WHITE-LIGHT LIGHT EMITTING DIODE CHIPS AND FABRICATION METHODS THEREOF | 4 |
Yi-Hao Kang | TW | Hsinchu | 2012-08-23 / 20120212841 - PROJECTION LENS AND PROJECTION APPARATUS | 9 |
Jen-Ho Kang | TW | Hsinchu | 2008-09-11 / 20080220158 - Materials for high density optical recording media | 1 |
Wen Shih Kang | TW | Hsinchu | 2010-03-04 / 20100054095 - POWER SAVING METHOD FOR OPTICAL DISK DRIVE OF RUGGED ELECTRONIC DEVICE | 1 |
Cing-Jiuh Kang | TW | Hsinchu | 2016-05-12 / 20160130393 - THERMOPLASTIC POLYESTER ELASTOMER AND METHOD FOR MANUFACTURING THE SAME | 5 |
Chin-Feng Kang | TW | Hsinchu | 2008-11-20 / 20080284714 - CONTROL CIRCUIT OF AREA CONTROL DRIVING CIRCUIT FOR LED LIGHT SOURCE AND CONTROLLING METHOD THEREOF | 1 |
Jeong Il Kang | KR | Seoul | 2010-10-14 / 20100261332 - WAFER CLEANING METHOD AND WAFER BONDING METHOD USING THE SAME | 1 |
Dong-Woo Kang | KR | Gangnam-Gu | 2009-12-10 / 20090302413 - SEMICONDUCTOR DEVICE AND STI FORMING METHOD THEREFOR | 1 |
Dong-Woo Kang | KR | Suwon-Si | 2010-01-14 / 20100009097 - Deposition Apparatus and Deposition Method Using the Same | 1 |
Dong-Woo Kang | KR | Kyoungsangnam-Do | 2008-10-09 / 20080244922 - Drying Duct Assembly and Washing Machine Having the Same | 1 |
Sung-Woon Kang | KR | Suwon-Si | 2010-04-15 / 20100091066 - LIQUID COMPOSTION FOR CLEANING A NOZZLE SURFACE, METHOD OF CLEANING A NOZZLE SURFACE USING THE LIQUID COMPOSITION, AND INKJET RECORDING APPARATUS INCLUDING THE LIQUID COMPOSITION | 1 |
Sang Hoon Kang | KR | Suwon-Si | 2012-02-23 / 20120044149 - MISINPUT AVOIDANCE METHOD FOR MOBILE TERMINAL | 4 |
Eun Jeong Kang | KR | Cheonan | 2008-12-04 / 20080297918 - SIDE EMITTING LENS, AND BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY INCLUDING THE SAME | 1 |
Moon-Sung Kang | KR | Yongin-Si | 2014-09-11 / 20140251433 - ELECTROLYTE FOR DYE-SENSITIZED SOLAR CELL AND DYE-SENSITIZED SOLAR CELL USING THE SAME | 17 |
Moon-Sung Kang | KR | Suwon-Si | 2011-06-02 / 20110126908 - DYE SENSITIZED SOLAR CELL | 8 |
Sook-Young Kang | KR | Seoul | / - | 1 |
Hee Bum Kang | KR | Seoul | 2010-04-29 / 20100103515 - REFLECTIVE SCREEN AND MANUFACTURING METHOD THEREOF | 1 |
Hyo Sik Kang | KR | Gwangiu | 2011-04-21 / 20110089790 - Refrigerator and method of manufacturing the same | 1 |
Andrew H. Kang | US | Memphis | 2014-04-17 / 20140107035 - METHODS OF TREATING FIBROSING DISEASES BY INDUCTION OF IMMUNE TOLERANCE | 3 |
Chul-Kyu Kang | KR | Yongin-City | 2015-12-17 / 20150364116 - PIXEL, DISPLAY DEVICE INCLUDING THE PIXEL, AND METHOD OF DRIVING THE DISPLAY DEVICE | 28 |
Chul-Kyu Kang | KR | Suwon-Si | 2016-04-07 / 20160099299 - ORGANIC LIGHT EMITTING DISPLAY DEVICE | 9 |
Jeong-Il Kang | KR | Yongin-Si | 2016-02-04 / 20160036334 - ELECTRONIC APPARATUS, POWER SUPPLY AND POWER CONTROL METHOD THEREOF | 37 |
Tae Sun Kang | KR | Seoul | 2015-10-29 / 20150305437 - MIDSOLE FOR REDUCING LOAD APPLIED ON KNEE | 2 |
Chang-Ik Kang | US | Fremont | 2008-10-23 / 20080259488 - Method and apparatus improving prevention of off-track writing in a hard disk drive | 1 |
Chang-Ik Kang | US | Santa Clara | 2013-05-09 / 20130114162 - HARD DISK DRIVE SYSTEM WITH OFF TRACK DETECTION MECHANISM AND METHOD OF MANUFACTURE THEREOF | 5 |
Seung H. Kang | US | San Diego | 2016-05-05 / 20160126453 - THERMALLY TOLERANT PERPENDICULAR MAGNETIC ANISOTROPY COUPLED ELEMENTS FOR SPIN-TRANSFER TORQUE SWITCHING DEVICE | 134 |
Joo Kang | KR | Jeollanam-Do | 2013-04-25 / 20130098209 - Method for Treating Return Ores Using Plasma | 2 |
Min Su Kang | KR | Seoul | 2009-04-09 / 20090092084 - Channel management method and channel selection method for wireless node in wireless ad-hoc network | 1 |
Dae Youn Kang | KR | Pusan | 2008-11-13 / 20080277403 - Beverage can with an external reservoir for additional sweet | 1 |
Byung Hoon Kang | KR | Seoul | 2014-06-12 / 20140160584 - LENS ACTUATING MODULE | 7 |
Kyoung-Seok Kang | KR | Changwon-Shi | 2011-01-20 / 20110011258 - LINEAR COMPRESSOR | 1 |
Tae-Gyu Kang | KR | Daejeon | 2016-01-28 / 20160029457 - ZIGBEE LIGHTING CONTROL APPARATUS AND METHOD | 45 |
Sung-Gyu Kang | KR | Suwon-Si | 2015-02-05 / 20150035911 - PRINTING SYSTEM, PRINTING APPARATUSES, AND METHODS OF FORMING NOZZLES OF PRINTING APPARATUSES | 15 |
Hyun-Ho Kang | KR | Ahnsan-Si | 2009-08-20 / 20090207328 - Liquid Crystal Display Having High Luminance and High Display Quality | 1 |
Hyun-Jin Kang | KR | Suwon-Si | 2011-01-20 / 20110013279 - LENS BARRIER APPARATUS | 2 |
Young Jin Kang | KR | Jeollabuk-Do | 2010-02-18 / 20100040129 - CHANNEL EQUALIZATION AND CARRIER RECOVERY METHOD AND RECEIVER IN HIGH SPEED WIRELESS COMMUNICATION SYSTEM | 1 |
Kyung-Hoon Kang | KR | Suwon-Si | 2015-09-03 / 20150248265 - SYSTEM AND METHOD OF MOBILE PRINTING USING NEAR FIELD COMMUNICATION | 9 |
Sung Ho Kang | KR | Daejeon | 2015-08-06 / 20150217625 - HEAT PUMP SYSTEM FOR VEHICLE | 6 |
Dong Hwan Kang | KR | Gwangmyeong-Si | 2011-04-21 / 20110092884 - Device for Skin Treatment | 1 |
Yi Kang | US | Fremont | 2008-09-11 / 20080222485 - ERROR CORRECTION METHODS AND APPARATUS FOR MOBILE BROADCAST SERVICES | 1 |
Hyun Joo Kang | KR | Suwon-Si | 2011-09-08 / 20110219312 - APPARATUS AND METHOD FOR DISPLAYING USER INTERFACE FOR TRANSMITTING CONTENTS | 14 |
Chun-Un Kang | KR | Seoul | 2015-06-04 / 20150156557 - DISPLAY APPARATUS, METHOD OF DISPLAYING IMAGE THEREOF, AND COMPUTER-READABLE RECORDING MEDIUM | 14 |
Sung-Chan Kang | KR | Seoul | 2010-07-01 / 20100167498 - SUBSTRATE LEVEL BONDING METHOD AND SUBSTRATE LEVEL PACKAGE | 1 |
Sun-Kyung Kang | KR | Bucheon-Si | 2009-06-04 / 20090140331 - METHOD OF FABRICATING HIGH VOLTAGE DEVICE | 1 |
Sung-Chul Kang | KR | Bucheon-Si | 2009-07-02 / 20090170044 - Decoratively illuminating apparatus using a candle | 1 |
Su-Hyoung Kang | KR | Bucheon-Si | 2016-05-19 / 20160141310 - THIN FILM TRANSISTOR SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 26 |
Chul Gu Kang | KR | Bucheon-Si | 2009-07-02 / 20090170278 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 1 |
In-Goo Kang | KR | Bucheon-Si | 2009-08-06 / 20090194859 - SEMICONDUCTOR PACKAGE AND METHODS OF FABRICATING THE SAME | 1 |
Jun-Seok Kang | KR | Bucheon-Si | 2015-03-12 / 20150070222 - SIGNAL TRANSFER APPARATUS HAVING ANTENNA UNIT | 4 |
Jeong-Uk Kang | KR | Bucheon-Si | 2014-10-30 / 20140325095 - MONITORING AND CONTROL OF STORAGE DEVICE BASED ON HOST-SPECIFIED QUALITY CONDITION | 6 |
Jeonguk Kang | KR | Bucheon-Si | 2016-03-17 / 20160078107 - STORAGE DEVICE AND STREAM FILTERING METHOD THEREOF | 5 |
Yun-Deok Kang | KR | Bucheon-Si | 2010-08-12 / 20100203729 - COMPOSITION FOR CHEMICAL MECHANICAL POLISHING | 1 |
In-Su Kang | KR | Daejeon | 2010-08-12 / 20100205229 - SYSTEM AND METHOD FOR INSTANCES REGISTERING BASED ON HISTORY | 2 |
Yeon Joo Kang | KR | Seoul | 2011-04-21 / 20110093778 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 1 |
Hyun Chul Kang | KR | Daejeon | 2016-01-28 / 20160029457 - ZIGBEE LIGHTING CONTROL APPARATUS AND METHOD | 28 |
Min-Goo Kang | KR | Gyeonggi-Do | 2011-04-21 / 20110093817 - IMAGE DISPLAY AND METHOD FOR CONTROLLING THE SAME | 1 |
Dong Hee Kang | KR | Seoul | 2010-09-02 / 20100222070 - COMMUNICATION SYSTEM INCLUDING A FEMTO BASE STATION AND A COMMUNICATION TERMINAL, AND A COMMUNICATION METHOD THEREOF | 2 |
Sang Hoon Kang | KR | Hwaseong-Si | 2008-12-11 / 20080303589 - HIGH-ORDER LOW-PASS FILTER CIRCUIT AND METHOD | 1 |
Hee-Beom Kang | KR | Hwaseong-Si | 2009-03-19 / 20090074081 - METHOD FOR FORMATTING DIGITAL BROADCAST TRANSPORT STREAM PACKET FOR IMPROVED RECEIVING PERFORMANCE, DIGITAL BROADCAST TRANSMITTER, AND SIGNAL PROCESSING METHOD THEREOF | 3 |
Sangbeom Kang | KR | Hwaseong-Si | 2012-11-29 / 20120299133 - MAGNETIC DEVICES AND METHODS OF FABRICATING THE SAME | 2 |
Daehyuk Kang | KR | Hwaseong-Si | 2012-01-05 / 20120003831 - Methods of Forming Nonvolatile Memory Devices Using Nonselective and Selective Etching Techniques to Define Vertically Stacked Word Lines | 3 |
Dae-Hyuk Kang | KR | Hwaseong-Si | 2012-06-14 / 20120148944 - PHOTOMASKS AND METHODS OF MANUFACTURING THE SAME | 10 |
Dongsoo Kang | KR | Hwaseong-Si | 2015-08-13 / 20150227481 - SYSTEM INTERCONNECT AND OPERATING METHOD OF SYSTEM INTERCONNECT | 4 |
Dong-Soo Kang | KR | Hwaseong-Si | 2015-02-19 / 20150049570 - MEMORY DEVICE, MEMORY SYSTEM INCLUDING THE SAME, OPERATING METHOD THEREOF | 4 |
Byoungjoong Kang | KR | Hwaseong-Si | 2011-01-13 / 20110006826 - PHASE SIGNAL GENERATING APPARATUSES | 1 |
Byoung Joong Kang | KR | Hwaseong-Si | 2012-10-11 / 20120257656 - TRANSCEIVERS HAVING LOOPBACK SWITCHES AND METHODS OF CALIBRATING CARRIER LEAKAGE THEREOF | 2 |
Dong-Kil Kang | KR | Hwaseong-Si | 2008-08-28 / 20080202587 - SYSTEM AND METHOD TO SUPPLY CHEMICAL DURING SEMICONDUCTOR DEVICE FABRICATION | 1 |
Woon-Byung Kang | KR | Hwaseong-Si | 2008-10-02 / 20080242000 - WAFER-LEVEL-CHIP-SCALE PACKAGE AND METHOD OF FABRICATION | 1 |
Shin-Wook Kang | KR | Hwaseong-Si | 2011-11-10 / 20110274177 - METHOD AND APPARATUS FOR PROCESSING VIDEO FRAME BY USING DIFFERENCE BETWEEN PIXEL VALUES | 4 |
Hyun-Il Kang | KR | Hwaseong-Si | 2009-04-23 / 20090104884 - Apparatus and method for receiving signal in multiple input multiple output system | 2 |
Kyoungho Kang | KR | Hwaseong-Si | 2009-06-04 / 20090139399 - APPARATUS AND METHOD FOR COLLECTING AND DETECTING AIRBORNE PARTICLES | 1 |
Bumsuk Kang | KR | Hwaseong-Si | 2009-06-18 / 20090152894 - STRUCTURE OF MOUNTING GARNISH TO DOOR FRAME OF VEHICLE | 1 |
Seong Hyuk Kang | KR | Hwaseong-Si | 2009-10-29 / 20090266328 - INTAKE MANIFOLD FOR VEHICLE | 1 |
Taehan Kang | KR | Hwaseong-Si | 2009-12-03 / 20090294204 - Apparatus for Driving Steering Shaft in Motor-Driven Power Steering | 1 |
Eung Cheon Kang | KR | Hwaseong-Si | 2009-12-10 / 20090301855 - ROTATABLE INPUTTING APPARATUS | 4 |
Seok-Myong Kang | KR | Hwaseong-Si | 2015-10-08 / 20150282769 - IMAGE DISPLAY APPARATUS AND OPERATING METHOD THEREOF | 10 |
Sang-Gu Kang | KR | Hwaseong-Si | 2015-08-13 / 20150226783 - PROBE CARD AND WAFER TEST SYSTEM INCLUDING THE SAME | 2 |
Gyung Yeun Kang | KR | Hwaseong-Si | 2010-07-08 / 20100170276 - Switch assembly and air conditioner having the same | 1 |
Seok Woo Kang | KR | Hwaseong-Si | 2010-11-11 / 20100286774 - ARTIFICIAL EARDRUM USING SILK PROTEIN AND METHOD OF FABRICATING THE SAME | 1 |
Kyoung-Ho Kang | KR | Hwaseong-Si | 2010-11-11 / 20100286538 - APPARATUS AND METHOD FOR MEASURING BLOOD PRESSURE | 4 |
Mi-Kyeong Kang | KR | Hwaseong-Si | 2010-12-02 / 20100306491 - Data storage device | 1 |
Soonnam Kang | KR | Hwaseong-Si | 2010-12-02 / 20100303362 - Image Data Processing Methods | 1 |
Eun Ju Kang | KR | Hwaseong-Si | 2010-12-09 / 20100310944 - ELECTROLYTE OF HIGH TEMPERATURE PROPERTY AND OVERCHARGE-PREVENTION PROPERTY AND SECONDARY BATTERY EMPLOYED WITH THE SAME | 1 |
Un Byoung Kang | KR | Hwaseong-Si | 2016-05-19 / 20160141260 - PRE-PACKAGE AND METHODS OF MANUFACTURING SEMICONDUCTOR PACKAGE AND ELECTRONIC DEVICE USING THE SAME | 27 |
Kiho Kang | KR | Hwaseong-Si | 2011-01-13 / 20110008957 - METAL INTERCONNECTION METHOD OF SEMICONDUCTOR DEVICE | 1 |
Dong-Jun Kang | KR | Hwaseong-Si | 2011-07-14 / 20110170548 - APPARATUS AND METHOD FOR REORDERING DATA PACKETS IN COMMUNICATION SYSTEM | 2 |
Sang Chul Kang | KR | Hwaseong-Si | 2014-10-30 / 20140321209 - NON-VOLATILE MEMORY DEVICE AND RELATED READ METHOD | 5 |
Hwan Jun Kang | KR | Hwaseong-Si | 2011-04-07 / 20110080515 - Camera module | 1 |
Seong-Min Kang | KR | Hwaseong-Si | 2012-06-14 / 20120151082 - APPARATUS AND METHOD FOR PROVIDING STREAMING SERVICE IN A PORTABLE TERMINAL | 3 |
Chang Ky Kang | KR | Hwaseong-Si | 2013-03-07 / 20130056571 - SEAT BELT PRETENSIONER FOR VEHICLE AND METHOD FOR PROTECTING PASSENGER USING THE SAME | 2 |
Seung Gon Kang | KR | Hwaseong-Si | 2012-11-01 / 20120274887 - CHOLESTERIC LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 6 |
Jin Goo Kang | KR | Gyeonggi-Do | 2010-07-29 / 20100188438 - Backlight and Liquid Crystal Display Device | 1 |
Jin Goo Kang | KR | Kyeonggi-Do | 2010-05-06 / 20100109566 - Control Circuit for Light Emitting Diode and Light Emitting Device Having the Same | 1 |
Ji Hun Kang | KR | Hadong-Gun | 2010-12-09 / 20100310860 - SYNTHETIC METHOD FOR ANTI-OXIDATION CERAMIC COATINGS ON GRAPHITE SUBSTRATES | 1 |
Kyung Min Kang | US | Los Angeles | 2008-11-13 / 20080277403 - Beverage can with an external reservoir for additional sweet | 1 |
Joo-Young Kang | KR | Suwon-Si | 2015-02-05 / 20150036927 - APPARATUS AND METHOD OF COMPENSATING CHROMATIC ABERRATION OF IMAGE | 2 |
Joo-Young Kang | KR | Young-Si | 2009-09-24 / 20090238552 - IMAGE CAPTURING LENS SYSTEM | 1 |
Joo-Young Kang | KR | Daejeon | 2013-07-18 / 20130184197 - FUNCTIONAL SHEET FOR DELIVERING LAUNDRY ACTIVES IN LOW-TEMPERATURE WATER | 4 |
Tae-Sung Kang | KR | Seoul | 2008-09-11 / 20080219194 - METHOD AND APPARATUS FOR SCHEDULING MULTIPLE USERS IN A COMMUNICATION SYSTEM | 1 |
Sung-Woo Kang | KR | Seoul | 2009-02-12 / 20090039988 - MOLDED CASE CIRCUIT BREAKER WITH CONTACT ON MECHANISM | 1 |
Hee-Jin Kang | KR | Daejeon | 2014-11-06 / 20140326429 - CONTAINER FOR STORING, TRANSPORTING, AND DISASSOCIATING HYDRATE PELLETS AND METHOD FOR STORING, TRANSPORTING, AND DISASSOCIATING HYDRATE PELLETS BY USING SAME | 3 |
Jin-Tae Kang | KR | Gyeonggi-Do | 2008-11-13 / 20080277720 - NON-VOLATILE MEMORY DEVICE, METHOD OF FABRICATING THE SAME, AND SEMICONDUCTOR PACKAGE INCLUDING THE SAME | 2 |
Min Seok Kang | KR | Seoul | 2008-10-23 / 20080259861 - Preamble transmission method for wireless communication system | 2 |
Ji-Hoon Kang | KR | Seoul | 2016-05-12 / 20160129802 - ELECTRIC VEHICLE CAPABLE OF DISPLAYING RECHARGING POWER AND FEE | 3 |
Seung-Won Kang | KR | Suwon-Si | 2011-08-04 / 20110190017 - APPARATUS AND METHOD FOR ALLOCATING CHANNEL AND POWER IN COMMUNICATION SYSTEM | 6 |
Sung-Il Kang | KR | Changwon-City | 2012-11-08 / 20120279775 - CIRCUIT BOARD VIAHOLES AND METHOD OF MANUFACTURING THE SAME | 5 |
Kyoung-Won Kang | KR | Daejeon | 2015-03-26 / 20150086842 - BATTERY PACK OF IRREGULAR STRUCTURE | 7 |
Tae Young Kang | KR | Gyeonggi-Do | 2015-04-30 / 20150116269 - MOBILE DEVICE AND METHOD FOR PROVIDING USER INTERFACE (UI) THEREOF | 2 |
Tae Young Kang | KR | Daejeon | 2012-05-03 / 20120105285 - APPARATUS AND METHOD FOR ESTIMATING ANGLE OF ARRIVAL IN REAL TIME | 16 |
Sung Weon Kang | KR | Daejeon | 2015-12-03 / 20150349625 - POWER SUPPLY AND POWER CONVERSION CIRCUIT THEREOF | 69 |
Tae Wook Kang | KR | Daejeon | 2016-05-12 / 20160128891 - METHOD AND APPARATUS FOR PROVIDING SPACE INFORMATION | 60 |
Yun Gyu Kang | KR | Gyeonggi-Do | 2012-02-09 / 20120033849 - SENSORY SIGNAL OUTPUT APPARATUS | 3 |
Young-Seog Kang | KR | Gyeonggi-Do | 2009-04-23 / 20090103799 - PATTERN MATCHING METHOD IN MANUFACTURING SEMICONDUCTOR MEMORY DEVICES | 1 |
Yool Kang | KR | Gyeonggi-Do | 2014-01-02 / 20140004705 - METHOD OF FORMING FINE PATTERNS OF SEMICONDUCTOR DEVICE BY USING DOUBLE PATTERNING PROCESS WHICH USES ACID DIFFUSION | 2 |
Yeon-Il Kang | KR | Gyeonggi-Do | 2010-08-05 / 20100193006 - SOLAR CELL MODULE AND METHOD OF MANUFACTURING THE SAME | 1 |
Won Gu Kang | KR | Gyeonggi-Do | 2010-01-21 / 20100014447 - SYSTEM FOR OFFERING MOBILE TELECOMMUNICATION SERVICE USING INTERNET NETWORK WITH UTP CABLE | 1 |
Wi-Seob Kang | KR | Gyeonggi-Do | 2009-08-27 / 20090215257 - SEMICONDUCTOR DEVICES HAVING A TRENCH IN A SIDE PORTION OF A CONDUCTING LINE PATTERN AND METHODS OF FORMING THE SAME | 1 |
Un-Byoung Kang | KR | Gyeonggi-Do | 2010-01-28 / 20100019338 - STACK TYPE SEMICONDUCTOR CHIP PACKAGE HAVING DIFFERENT TYPE OF CHIPS AND FABRICATION METHOD THEREOF | 3 |
Sung Hyung Kang | KR | Gyeonggi-Do | 2010-01-28 / 20100022382 - DIELECTRIC COMPOSITION AND CERAMIC ELECTRONIC COMPONENT MANUFACTURED THEREFROM | 1 |
Sung Gyun Kang | KR | Gyeonggi-Do | 2015-05-14 / 20150132819 - Thermococcus onnurineus MC02 and method of hydrogen production using thereof | 9 |
Sung Gwon Kang | KR | Gyeonggi-Do | 2008-10-09 / 20080249602 - Artificial Blood Vessel Stent | 1 |
Sung Chan Kang | KR | Gyeonggi-Do | 2009-07-23 / 20090185437 - CLOCK-BASED DATA STORAGE DEVICE, DUAL PULSE GENERATION DEVICE, AND DATA STORAGE DEVICE | 1 |
Sun-Ah Kang | KR | Gyeonggi-Do | 2010-04-29 / 20100101600 - COSMETIC CASE | 1 |
Suk Jae Kang | KR | Gyeonggi-Do | 2009-03-05 / 20090060810 - Preparation Method of Vanadium/Titania-Based Catalyst Showing Excellent Nitrogen Oxide-Removal Performance at Wide Temperature Winow Through Introduction of Ball Milling, and Use Thereof | 1 |
Suk In Kang | KR | Gyeonggi-Do | 2011-04-14 / 20110085665 - Method For Generating Dynamic Group Key | 1 |
Su-Kil Kang | KR | Gyeonggi-Do | 2009-12-10 / 20090305637 - System and Method for Minimizing Interference Between Rfid Reader | 1 |
Sukil Kang | KR | Gyeonggi-Do | 2011-03-17 / 20110063085 - METHOD FOR DETERMINING OPTIMAL FRAME SIZE FOR TAG COLLISION PREVENTION IN RFID SYSTEM | 1 |
Song-Kwon Kang | KR | Gyeonggi-Do | 2008-12-18 / 20080308018 - Apparatus for Producing Refuse-Derived Solid Fuel Using Combustible Waste | 1 |
Sok-Kuh Kang | KR | Gyeonggi-Do | 2010-03-25 / 20100072752 - POWER GENERATION SYSTEM USING HELICAL TURBINE | 2 |
Sin Cheol Kang | KR | Gyeonggi-Do | 2013-04-25 / 20130102622 - Novel Quinazoline-2,4-Dione Derivative, and Medical Compositions for the Prophylaxis and Treatment of Cranial Nerve Disease Containing the Same | 2 |
Shin-Ha Kang | KR | Gyeonggi-Do | 2009-06-18 / 20090154435 - PORTABLE INTERNET RADIO ACCESS STATION INCLUDING MULTIPLE MANAGEMENT PROCESSORS AND METHOD OF CONTROLLING THE MULTIPLE MANAGEMENT PROCESSORS | 1 |
Shin-Deok Kang | KR | Gyeonggi-Do | 2015-07-16 / 20150200656 - DUTY CYCLE CORRECTION CIRCUIT AND OPERATION METHOD THEREOF | 6 |
Seong Gyu Kang | KR | Gyeonggi-Do | 2010-01-14 / 20100010685 - SYSTEM FOR CALCULATING AND COLLECTING ELECTRIC CHARGE FEE FOR ELECTRIC VEHICLE | 1 |
Seok-Woong Kang | KR | Gyeonggi-Do | 2010-10-07 / 20100255562 - METHOD AND APPARATUS FOR TREATMET OF SLUDGE | 1 |
Seogjoo Kang | KR | Gyeonggi-Do | 2009-08-27 / 20090214771 - Manufacturing Method of Antimicrobial Fiber Using Nano Silver Powder | 1 |
Se Chan Kang | KR | Gyeonggi-Do | 2008-11-13 / 20080280839 - Anti-Cancer Activity of Androsace Umbellata Merr. Extract and Contained Triterpene Saponin | 1 |
Pil Gyun Kang | KR | Gyeonggi-Do | 2009-04-16 / 20090098912 - ZOOM CONTROL FOR A DISPLAY SCREEN OF A MOBILE COMMUNICATION TERMINAL | 1 |
Namkoo Kang | KR | Gyeonggi-Do | 2010-08-26 / 20100217763 - METHOD FOR AUTOMATIC CLUSTERING AND METHOD AND APPARATUS FOR MULTIPATH CLUSTERING IN WIRELESS COMMUNICATION USING THE SAME | 1 |
Nam-Jung Kang | KR | Gyeonggi-Do | 2010-08-05 / 20100193966 - Contact Structures and Semiconductor Devices Including the Same | 3 |
Nam Jae Kang | KR | Gyeonggi-Do | 2009-01-08 / 20090011099 - METHOD FOR PRODUCING EXTRUDED NOODLES | 1 |
Myoung-Joo Kang | KR | Gyeonggi-Do | 2010-09-09 / 20100228027 - NOVEL COMPOUNDS OF REVERSE TURN MIMETICS AND THE USE THEREOF (2) | 1 |
Myoung Gon Kang | KR | Gyeonggi-Do | 2010-04-22 / 20100097862 - FLASH MEMORY DEVICES WITH MEMORY CELLS STRINGS INCLUDING DUMMY TRANSISTORS WITH SELECTIVE THRESHOLD VOLTAGES | 1 |
Myeong Soon Kang | KR | Gyeonggi-Do | 2010-07-15 / 20100176380 - ORGANIC PHOTOELECTRIC DEVICE AND MATERIAL USED THEREIN | 1 |
Myeong-Kyun Kang | KR | Gyeonggi-Do | 2013-12-19 / 20130335823 - Optical Film Stack | 2 |
Moon-Soon Kang | KR | Gyeonggi-Do | 2010-12-23 / 20100321379 - SERVER FOR PROVIDING THREE-DIMENSIONAL CONTENTS AND METHOD THEREOF, AND TERMINAL FOR THREE-DIMENSIONAL DISPLAY | 1 |
Moon-Sik Kang | KR | Gyeonggi-Do | 2010-08-12 / 20100201917 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Min-Sub Kang | KR | Gyeonggi-Do | 2009-03-19 / 20090077696 - Methods, Systems and Computer Program Products for Measuring Critical Dimensions of Fine Patterns Using Scanning Electron Microscope Pictures and Secondary Electron Signal Profiles | 1 |
Lai O. Kang | KR | Gyeonggi-Do | 2011-01-20 / 20110013372 - PORTABLE TERMINAL | 1 |
Kyung-Doo Kang | KR | Gyeonggi-Do | 2011-06-30 / 20110156171 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 3 |
Kyoung Il Kang | KR | Gyeonggi-Do | 2012-11-22 / 20120295455 - GROUNDING UNIT FOR HIGH-FREQUENCY CONNECTOR AND HIGH-FREQUENCY CONNECTOR MODULE HAVING THE SAME | 5 |
Kyoung Ii Kang | KR | Gyeonggi-Do | 2011-02-10 / 20110030995 - Printed Circuit Board Removing Bonding Sheet Around Signal Transmission Line | 1 |
Kwon-Jin Kang | KR | Gyeonggi-Do | 2010-05-27 / 20100130272 - SLIDE TYPE PORTABLE TERMINAL | 1 |
Ku-Hyun Kang | KR | Gyeonggi-Do | 2010-08-05 / 20100193006 - SOLAR CELL MODULE AND METHOD OF MANUFACTURING THE SAME | 1 |
Kook-Hee Kang | KR | Gyeonggi-Do | 2009-08-27 / 20090214497 - Novel Acid Tolerant Lactobacillus Sakei Probio-65 with the Ability of Growth Suppression of Pathogenic Microorganisms and the Anti-Allergic Effect | 1 |
Ki Joon Kang | KR | Gyeonggi-Do | 2009-12-31 / 20090326264 - REDUCING METHOD OF WATER FROM REACTOR OUTLET GAS IN THE OXIDATION PROCESS OF AROMATIC COMPOUND | 1 |
Ju-Sik Kang | KR | Gyeonggi-Do | 2012-10-25 / 20120271044 - VANADYL PHTHALOCYANINE COMPOUNDS AND NEAR-INFRARED ABSORPTION FILTERS USING SAME | 4 |
In Won Kang | KR | Gyeonggi-Do | 2010-12-02 / 20100303475 - RECEIVER OF MULTIMEDIA DATA | 2 |
In-Ku Kang | KR | Gyeonggi-Do | 2009-04-30 / 20090107701 - PRINTED CIRCUIT BOARD HAVING ADHESIVE LAYER AND SEMICONDUCTOR PACKAGE USING THE SAME | 2 |
Im-Kuk Kang | KR | Gyeonggi-Do | 2011-05-12 / 20110108832 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Hyun Kyoo Kang | KR | Gyeonggi-Do | 2009-07-23 / 20090184452 - Print Type Binder for Paper Money, System Including the Binder, and Motion Method Thereof | 1 |
Hyun Gu Kang | KR | Gyeonggi-Do | 2014-10-23 / 20140315119 - HIGHLY CONDUCTIVE ANION-EXCHANGE COMPOSITE MEMBRANE WITH CROSSLINKED POLYMER ELECTROLYTE FOR ALKALINE FUEL CELL AND METHOD FOR PREPARING THE SAME | 2 |
Hyung Dong Kang | KR | Gyeonggi-Do | 2011-03-17 / 20110061706 - THIN FILM TYPE SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME, AND THIN FILM TYPE SOLAR CELL MODULE AND POWER GENERATION SYSTEM USING THE SAME | 3 |
Hwan-Min Kang | KR | Gyeonggi-Do | 2014-04-10 / 20140098799 - APPARATUS AND METHOD FOR TRANSMITTING TIME INTERVAL RECONFIGURATION IN A MOBILE COMMUNICATION SYSTEM | 3 |
Hoon-Il Kang | KR | Gyeonggi-Do | 2010-02-18 / 20100038568 - ELECTROMOTIVE REFRIGERANT CONTROL VALVE | 1 |
Hokyu Kang | KR | Gyeonggi-Do | 2008-10-09 / 20080246148 - Electrical Interconnect Structures Having Carbon Nanotubes Therein and Methods of Forming Same | 1 |
Heuikeun Kang | KR | Gyeonggi-Do | 2009-08-20 / 20090208983 - Diagnose device for measuring the ratio of proteins with similar structure | 1 |
Heonjoong Kang | KR | Gyeonggi-Do | 2010-04-29 / 20100105928 - METHODS FOR MASSIVE CULTURE OF DINOPHYSIS ACUMINATA AND ISOLATION OF PECTENOTOXIN-2 | 3 |
Han Vit Kang | KR | Gyeonggi-Do | 2010-06-03 / 20100136947 - METHOD AND SYSTEM FOR EMERGENCY CALL SERVICE IN UNLICENSED MOBILE ACCESS NETWORK | 1 |
Gil-Sung Kang | KR | Gyeonggi-Do | 2008-10-23 / 20080261562 - System and Method for Providing Bidirectional Message Communication Services with Portable Terminals | 1 |
Eui Su Kang | KR | Gyeonggi-Do | 2010-07-15 / 20100176380 - ORGANIC PHOTOELECTRIC DEVICE AND MATERIAL USED THEREIN | 1 |
Dong-Ku Kang | KR | Gyeonggi-Do | 2010-11-04 / 20100277979 - MSB-BASED ERROR CORRECTION FOR FLASH MEMORY SYSTEM | 4 |
Dong Gu Kang | KR | Gyeonggi-Do | 2011-07-28 / 20110182120 - NON-VOLATILE MEMORY DEVICES AND SYSTEMS INCLUDING MULTI-LEVEL CELLS USING MODIFIED READ VOLTAGES AND METHODS OF OPERATING THE SAME | 3 |
Do-Heang Kang | KR | Gyeonggi-Do | 2010-05-13 / 20100119842 - INJECTION PREFORM PLASTIC HAVING SURFACE EFFECT OF METALLIC APPEARANCE | 1 |
Deog Gwen Kang | KR | Gyeonggi-Do | 2010-06-03 / 20100136947 - METHOD AND SYSTEM FOR EMERGENCY CALL SERVICE IN UNLICENSED MOBILE ACCESS NETWORK | 1 |
Dae-Hyuk Kang | KR | Gyeonggi-Do | 2009-11-12 / 20090280641 - METHOD OF FORMING A CONTACT STRUCTURE | 1 |
Chul Hoon Kang | KR | Gyeonggi-Do | / - | 1 |
Choun-Sung Kang | KR | Gyeonggi-Do | 2011-01-20 / 20110013376 - BACKLIGHT UNIT | 1 |
Chan Koo Kang | KR | Gyeonggi-Do | 2010-05-13 / 20100119628 - Anti-aging cosmetic composition | 1 |
Byoung-Un Kang | KR | Gyeonggi-Do | 2010-04-08 / 20100087067 - METHOD FOR PACKAGING SEMICONDUCTOR | 3 |
Byeong Woo Kang | KR | Gyeonggi-Do | 2012-05-03 / 20120106531 - METHOD AND APPARATUS FOR INDICATING DESTINATION STATIONS IN WLAN SYSTEM SUPPORTING MULTI-USER MULTIPLE INPUT MULTIPLE OUTPUT | 12 |
Byeongwoo Kang | KR | Gyeonggi-Do | 2016-03-31 / 20160095127 - METHOD OF RESOURCE BLOCK (RB) BUNDLING | 4 |
Bo Kyu Kang | KR | Gyeonggi-Do | 2010-11-11 / 20100285063 - NOVEL CANINE INFLUENZA VIRUS AND VACCINE THEREFORE | 1 |
Bang-Kwon Kang | KR | Gyeonggi-Do | 2010-09-02 / 20100221452 - Surface coating method for hydrophobic and superhydrophobic treatment in atmospheric pressure plasma | 2 |
Ki-Seok Kang | KR | Suwon-Si | 2009-05-21 / 20090129589 - SECURITY SYSTEM AND METHOD FOR USE IN NETWORK | 1 |
Bong Sup Kang | KR | Seoul | 2008-09-18 / 20080226975 - Lithium Secondary Battery Having Partitioned Electrolyte | 1 |
Jae Ho Kang | KR | Yongin-Si | 2009-07-23 / 20090186665 - HELMET TYPE HANDS FREE SYSTEM WITH RADIO COMMUNICATION FUNCTION | 3 |
Sang-Kyun Kang | KR | Suwon-Si | 2014-12-25 / 20140379130 - MOVABLE MEDICAL APPARATUS AND METHOD FOR CONTROLLING MOVEMENT OF THE SAME | 4 |
Sang-Kyun Kang | KR | Seongnam-Si | 2010-10-21 / 20100267426 - MOBILE COMMUNICATION TERMINAL | 1 |
Sang-Kyun Kang | KR | Yongin-Si | 2009-03-12 / 20090068522 - MONOPOLAR TYPE MEMBRANE ELECTRODE ASSEMBLY HAVING SENSING ELEMENT | 1 |
Sang-Kyun Kang | KR | Seoul | 2013-03-14 / 20130062173 - WATER-PROOF APPARATUS AND METHOD FOR WATER-PROOFING | 15 |
Tae-Ho Kang | KR | Dongnae-Gu | 2009-03-19 / 20090071774 - PISTON VALVE ASSEMBLY OF CONTINUOUS DAMPING CONTROL DAMPER | 1 |
Tae-Ho Kang | US | Raleigh | 2009-11-19 / 20090286055 - Methods and Devices for Providing Flexible Electronics | 1 |
Tae-Wook Kang | KR | Kyungsangbuk-Do | 2009-02-12 / 20090038771 - Casting Roll Moving Apparatus of Twin Roll Type Continuous Strip Casting Process | 1 |
Tae-Wook Kang | KR | Gyeongsangbuk-Do | 2009-12-31 / 20090321035 - CASTING ROLL FOR TWIN ROLL STRIP CASTER | 1 |
Tae-Wook Kang | KR | Daejeon-City | 2010-07-08 / 20100172394 - METHOD OF AND APPARATUS FOR TRANSMITTING AND RECEIVING SIGNAL AT VARIABLE DATA RATE IN HUMAN BODY COMMUNICATIONS | 1 |
Tae-Wook Kang | KR | Daejon | 2011-02-17 / 20110040492 - SYSTEM AND METHOD FOR MEASURING PHASE RESPONSE CHARACTERISTIC OF HUMAN-BODY IN HUMAN-BODY COMMUNICATION | 1 |
Tae-Wook Kang | KR | Yongin-City | 2015-12-31 / 20150380650 - DONOR MASK AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS | 31 |
Tae-Wook Kang | KR | Yongin-Si | 2013-12-05 / 20130322310 - TELEMATICS COMMUNICATION SYSTEM AND METHOD | 2 |
Hyon-Goo Kang | KR | Suwon-Si | 2013-05-23 / 20130130695 - APPARATUS AND METHOD FOR MANAGING NEIGHBOR LIST IN MOBILE COMMUNICATION SYSTEM | 18 |
Seung-Hyun Kang | KR | Yongin-Si | 2014-07-03 / 20140186315 - COSMETIC COMPOSITION CONTAINING GREEN TEA COMPONENT | 6 |
Dong Ho Kang | KR | Daejeon | 2016-03-31 / 20160094517 - APPARATUS AND METHOD FOR BLOCKING ABNORMAL COMMUNICATION | 10 |
Min Hun Kang | KR | Seoul | 2015-11-05 / 20150319282 - MOBILE TERMINAL AND METHOD OF CONTROLLING THE MOBILE TERMINAL | 9 |
Min-Soo Kang | KR | Daejeon Metropolitan City | 2012-01-12 / 20120007064 - ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR PREPARING THE SAME | 14 |
Chang-Seok Kang | KR | Gyeonggi-Do | 2013-09-05 / 20130228849 - NONVOLATILE MEMORY DEVICE AND FABRICATING METHOD THEREOF | 11 |
Bong-Joo Kang | KR | Seoul | 2008-09-18 / 20080227245 - THIN FILM TRANSISTOR ARRAY PANEL AND A METHOD FOR MANUFACTURING THE SAME | 1 |
Mi-Hyun Kang | KR | Suwon-Si | 2009-04-23 / 20090101990 - Simiconductor integrated circuit device and method of manufacturing the same | 1 |
Hyunjoo Kang | KR | Daejeon | 2015-04-30 / 20150115846 - PIEZOELECTRIC COOLING CONTROL APPARATUS AND METHOD | 5 |
Wooshik Kang | KR | Yongin-Si | 2009-01-29 / 20090029658 - ULTRA-WIDEBAND RANGING METHOD AND SYSTEM USING NARROWBAND INTERFERENCE SUPRESSION WAVEFORM | 1 |
Man-Seok Kang | KR | Suwon-Si | 2013-06-27 / 20130163678 - METHOD AND APPARATUS FOR DISPLAYING VIDEO DATA | 17 |
Han Jun Kang | KR | Suwon-Si | 2014-04-03 / 20140090708 - BACK SHEET FOR A SOLAR CELL MODULE, AND SOLAR CELL MODULE COMPRISING SAME | 7 |
Han Jun Kang | KR | Gyeonggi-Do | 2011-09-01 / 20110212361 - CELL PACKAGING MATERIAL AND METHOD FOR PRODUCING THE SAME | 2 |
Chang-Hwan Kang | KR | Seoul | 2011-02-03 / 20110030008 - Apparatus and method for providing mini electronic program guide information in a digital broadcast receiver | 1 |
Young Chan Kang | US | Alpharetta | 2011-04-07 / 20110081455 - NEUTRALIZED JUICE-BASED BEVERAGES AND METHOD OF MAKING SAME | 2 |
Hee-Jung Kang | KR | Gyeonggi-Do | 2010-07-01 / 20100168592 - APPARATUS FOR ANALYSING PULSE USING ARRAY OF PRESSURE SENSORS | 2 |
Dae Ho Kang | KR | Daejeon | 2015-05-21 / 20150141608 - CURABLE COMPOSITION | 38 |
Yongsoo Kang | KR | Seoul | 2010-03-04 / 20100051097 - GEL ELECTROLYTE AND DYE-SENSITIZED SOLAR CELL USING THE SAME | 1 |
Sung Chul Kang | KR | Seoul | 2015-08-13 / 20150223895 - ENDOSCOPE ROBOT HAVING JOINT STRUCTURE WITH HIGH CURVATURE | 20 |
Hyosig Kang | US | Weston | 2016-04-28 / 20160113730 - INERTIALLY TRACKED OBJECTS | 37 |
Soo-Young Kang | KR | Seoul | 2013-01-31 / 20130027745 - IMAGE FORMING APPARATUS, CONTROL METHOD THEREOF AND OPERATIONAL PANEL PRINTED CIRCUIT BOARD ASSEMBLY | 6 |
Kyu-Seok Kang | KR | Seoul | 2010-07-22 / 20100185988 - Apparatus and method for providing graphic user interface | 1 |
Tae Wook Kang | KR | Hwaseong-City | 2009-05-28 / 20090134174 - BAFFLE UNIT | 1 |
Min Soo Kang | KR | Seoul | 2014-06-05 / 20140157292 - OBJECTIVE LENS DRIVING UNIT, AND OPTICAL PICKUP DEVICE AND OPTICAL DISC DRIVE INCLUDING THE OBJECTIVE LENS DRIVING UNIT | 7 |
Min Soo Kang | KR | Daejeon | 2015-12-10 / 20150357709 - CIRCULAR ARRAY ANTENNA | 30 |
Hee-Jae Kang | KR | Cheonlanam-Do | 2008-08-21 / 20080196799 - Steel Sheet for Deep Drawing Having Excellent Secondary Work Embrittlement Resistance, Fatigue Properties and Plating Properties, and Method for Manufacturing the Same | 1 |
Hee-Jae Kang | KR | Pohang | 2009-11-05 / 20090272468 - Method for Manufacturing Bake-Hardenable High-Strength Cold-Rolled Steel Sheet | 1 |
Hee-Jae Kang | KR | Gwangyang | 2011-03-31 / 20110073223 - STEEL SHEET FOR GALVANIZING WITH EXCELLENT WORKABILITY, AND METHOD FOR MANUFACTURING THE SAME | 2 |
Jung-Won Kang | KR | Seoul | 2013-11-07 / 20130294506 - METHOD AND APPARATUS FOR DEFINING AND RECONSTRUCTING ROIS IN SCALABLE VIDEO CODING | 15 |
Dong-Won Kang | KR | Seoul | 2009-01-08 / 20090012976 - Data Tree Storage Methods, Systems and Computer Program Products Using Page Structure of Flash Memory | 2 |
Shin-Jae Kang | KR | Kyounggi Province | 2008-12-25 / 20080315174 - VARIABLE RESISTANCE NON-VOLATILE MEMORY CELLS AND METHODS OF FABRICATING SAME | 1 |
Shin-Jae Kang | KR | Gyeonggi-Do | 2015-09-17 / 20150264237 - APPARATUS FOR DRIVING ACTUATOR OF CAMERA MODULE IN MOBILE DEVICE | 5 |
Shin-Jae Kang | KR | Yongin-Si | 2013-06-27 / 20130164928 - Semiconductor Device and Method for Forming the Same | 6 |
Sang-Won Kang | KR | Seoul | 2012-12-27 / 20120328617 - METHOD FOR INHIBITING ANGIOGENESIS | 3 |
Sung-Taeg Kang | US | Austin | 2016-05-05 / 20160126327 - METHOD OF MAKING A SPLIT GATE MEMORY CELL | 45 |
Yong Hee Kang | KR | Icheon City | 2009-09-24 / 20090236731 - STACKABLE INTEGRATED CIRCUIT PACKAGE SYSTEM | 2 |
Yong Hee Kang | KR | Kyoung-Gi-Do | 2013-03-28 / 20130075900 - Semiconductor Device and Method of Forming Insulating Layer on Conductive Traces for Electrical Isolation in Fine Pitch Bonding | 2 |
Dong-Hyun Kang | KR | Yongin-Si | 2011-11-24 / 20110287308 - POUCH FOR RECHARGEABLE BATTERY, FABRICATING METHOD OF THE SAME, AND RECHARGEABLE BATTERY INCLUDING THE POUCH | 2 |
Dong-Hyun Kang | KR | Anyang-Si | 2010-04-22 / 20100100941 - CONTEXT-AWARE ROLE-BASED ACCESS CONTROL SYSTEM AND CONTROL METHOD THEREOF | 1 |
Dong-Hyun Kang | KR | Suwon-Si | 2011-03-31 / 20110074284 - COMPOSITION FOR PROTECTIVE LAYER OF PLASMA DISPLAY PANEL, PLASMA DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME | 7 |
Ji-Won Kang | KR | Daejeon | 2014-01-16 / 20140015539 - SYSTEM AND METHOD FOR DETECTING A LOCATION OF FAULT IN A CABLE | 2 |
Won Kyung Kang | KR | Seoul | 2014-09-11 / 20140258611 - SEMICONDUCTOR DEVICE AND METHOD OF OPERATING THE SAME | 8 |
Sung Koo Kang | KR | Gyunggi-Do | 2014-02-13 / 20140043724 - MULTILAYER CERAMIC ELECTRONIC PART AND FABRICATING METHOD THEREOF | 5 |
Sung-Gwon Kang | KR | Gyunggi-Do | 2011-05-26 / 20110125254 - DRUG RELEASING MEMBRANE FOR STENT AND DRUG RELEASING STENT FOR EXPANDING INTRALUMINAL COMPRISING THE SAME | 4 |
Seon Ha Kang | KR | Gyunggi-Do | 2014-04-03 / 20140090245 - METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD | 3 |
Jung Eun Kang | KR | Gyunggi-Do | 2014-04-10 / 20140096380 - HYBRID HEAT-RADIATING SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 12 |
Hak Hee Kang | KR | Gyunggi-Do | 2010-01-21 / 20100015187 - MACRO-SIZED LIPID CAPSULE EMULSION COMPOSITION AND COSMETIC COMPOSITION CONTAINING THE SAME | 1 |
Yong Gu Kang | KR | Taejeon-Si | / - | 1 |
Yong Gu Kang | KR | Ichon-Si | 2011-06-02 / 20110128804 - TEST CIRCUIT, SEMICONDUCTOR MEMORY APPARATUS USING THE SAME, AND TEST METHOD OF THE SEMICONDUCTOR MEMORY APPARATUS | 2 |
Bong-Jin Kang | KR | Yongin-Si | 2014-02-20 / 20140050020 - ARCHITECTURE OF MAGNETO-RESISTIVE MEMORY DEVICE | 2 |
Hyung-Seok Kang | KR | Gyeonggi-Do | 2009-02-26 / 20090052252 - METHODS OF APPLYING READ VOLTAGES IN NAND FLASH MEMORY ARRAYS | 1 |
Hyung-Seok Kang | KR | Yongin-Si | 2009-09-17 / 20090231922 - Nonvolatile Memory Device and Read Method Thereof | 1 |
Hong Goo Kang | KR | Seoul | 2015-08-06 / 20150221311 - AUDIO SIGNAL PROCESSING METHOD AND DEVICE | 24 |
Jin-Gyu Kang | KR | Icheon | 2011-09-01 / 20110212557 - METHOD OF MANUFACTURING AN ARRAY SUBSTRATE FOR LCD DEVICE HAVING DOUBLE-LAYERED METAL STRUCTURE | 2 |
Young Bae Kang | KR | Yangsan-Si | 2010-12-16 / 20100314309 - LIFT TYPE PORE-CONTROLLABLE FIBER FILTER | 1 |
Sun-Won Kang | KR | Seoul | 2012-05-03 / 20120104631 - SEMICONDUCTOR MODULE | 18 |
Pil-Kyu Kang | KR | Anyang-Si | 2015-08-27 / 20150243637 - SEMICONDUCTOR DEVICES HAVING THROUGH-VIAS AND METHODS FOR FABRICATING THE SAME | 40 |
Pil-Kyu Kang | KR | Seoul | 2011-03-31 / 20110076838 - Gettering structures and methods and their application | 3 |
Pil-Kyu Kang | KR | Gyeonggi-Do | 2015-10-01 / 20150279825 - SEMICONDUCTOR DEVICES HAVING HYBRID STACKING STRUCTURES AND METHODS OF FABRICATING THE SAME | 5 |
Jeong Hoon Kang | KR | Gunpo-Si | 2008-09-04 / 20080213510 - Liquid Crystal Aligning Agent and Liquid Crystal Alignment Layer Formed Using the Same | 1 |
Deung Ku Kang | KR | Gunpo-Si | 2010-03-04 / 20100056115 - MOBILE TERMINAL AND METHOD FOR PLAYING MULTIMEDIA OBJECTS | 1 |
Yun Cheol Kang | KR | Gunpo-Si | 2013-11-07 / 20130292296 - CRADLE | 3 |
Hyun-Jae Kang | KR | Gunpo-Si | 2011-03-31 / 20110076846 - SEMICONDUCTOR DEVICE HAVING FINE CONTACTS AND METHOD OF FABRICATING THE SAME | 1 |
Sang-Eun Kang | KR | Gunpo-Si | 2010-05-20 / 20100124903 - IMAGE SECURITY METHOD AND DISPLAY METHOD OF PORTABLE TERMINAL | 1 |
Uk Kang | KR | Gunpo-Si | 2009-04-09 / 20090093868 - Laser Apparatus for Medical Treatment Using Pulse Trains | 3 |
Yong Kang | US | Richardson | 2009-10-22 / 20090260206 - TURBINE VANE AIRFOIL RECONFIGURATION METHOD | 1 |
Byung Ok Kang | KR | Daejeon | 2014-06-12 / 20140163986 - VOICE-BASED CAPTCHA METHOD AND APPARATUS | 13 |
Hae Young Kang | KR | Seoul | 2009-12-24 / 20090317943 - Alignment of Semiconducting Nanowires on Metal Electrodes | 1 |
Kyung Won Kang | KR | Seoul | 2016-01-28 / 20160029037 - DIGITAL E8-VSB RECEPTION SYSTEM AND E8-VSB DATA DEMULTIPLEXING METHOD | 51 |
In Goo Kang | KR | Hwasung | 2008-12-04 / 20080299706 - Wafer level package fabrication method | 1 |
Young-Seok Kang | KR | Suwon-Si | 2014-05-01 / 20140119502 - RADIATION IMAGING APPARATUS AND METHOD OF OPERATING THE SAME | 2 |
Dong-Chul Kang | KR | Dongan-Gu | 2009-08-13 / 20090203885 - Astrocyte Modulated Genes And Uses Thereof | 1 |
Dong-Hun Kang | KR | Busan | 2012-09-27 / 20120240423 - TAPE MEASURE WITH SELF-REGULATING SPEED CONTROL MECHANISM | 6 |
Nam-Hyun Kang | KR | Busan | 2008-10-09 / 20080245774 - Laser-rotate arc hybrid welding system and thereof method | 1 |
Chel Soo Kang | KR | Busan | 2008-10-16 / 20080252026 - Truck For a Skateboard | 1 |
Sung-Won Kang | KR | Busan | 2008-11-27 / 20080293316 - Suede Like Artificial Leather with Excellent Strength and Elongation Properties | 1 |
Dong Youn Kang | KR | Busan | 2009-06-11 / 20090146911 - PRESENTATION APPARATUS HAVING DUAL DISPLAYS | 1 |
Hong Ki Kang | KR | Busan | 2009-09-03 / 20090217948 - DISHWASHER AND METHOD OF CONTROLLING THE SAME | 1 |
Daesil Kang | KR | Busan | 2009-11-26 / 20090289641 - Area-variable type capacitive displacement sensor having mechanical guide | 1 |
Soo Kyung Kang | KR | Busan | 2010-01-28 / 20100022003 - Therapeutic cell medicine comprising skin tissue derived stem cell | 1 |
Sung Goo Kang | KR | Busan | 2010-02-04 / 20100028310 - Composition for Transplantation Comprising Adipose Stem Cells or Adipocytes | 1 |
Min Hee Kang | KR | Busan | 2015-04-02 / 20150089832 - DRYING APPARATUS, WASHING MACHINE HAVING THE SAME AND METHOD OF CONTROLLING THE DRYING APPARATUS | 4 |
Jae-Seon Kang | KR | Busan | 2013-11-14 / 20130303600 - ANTI-ANGIOGENIC COMPOSITION CONTAINING MACROLACTIN A AND A DERIVATIVE THEREOF AS ACTIVE INGREDIENTS | 6 |
Sung-Chan Kang | KR | Busan | 2010-07-15 / 20100176857 - APPARATUS FOR OUTPUTTING COMPLEMENTARY SIGNALS USING BOOTSTRAPPING TECHNOLOGY | 1 |
Ji Hee Kang | KR | Busan | 2010-08-12 / 20100203025 - LACTIC ACID BACTERIA ISOLATED FROM MOTHER'S MILK WITH PROBIOTIC ACTIVITY AND INHIBITORY ACTIVITY AGAINST BODY WEIGHT AUGMENTATION | 1 |
Chi Dug Kang | KR | Busan | 2010-09-16 / 20100233192 - Manufacturing Method of Activated Lymphocytes for Immunotherapy | 1 |
In Ho Kang | KR | Busan | 2010-10-21 / 20100265013 - Miniaturized Band-Pass Filter | 1 |
Hyung Chul Kang | KR | Busan | 2010-10-21 / 20100263228 - SOLE FOR SHOES ENABLING EXCHANGE OF SHOCK-ABSORBING MEMBER | 1 |
Se-Eun Kang | KR | Busan | 2011-01-27 / 20110021442 - CELL PREAMBLE RUNX3 RECOMBINANT PROTEINS, POLYNUCLEOTIDES ENCODING THE SAME, AND ANTICANCER COMPOSITIONS INCLUDING THE SAME | 2 |
Dong Seok Kang | KR | Busan | 2011-10-27 / 20110261907 - WIRELESS WAKE-UP SYSTEM AND OPERATION METHOD THEREOF | 3 |
Suejeong Kang | KR | Busan | 2011-03-24 / 20110067512 - COAXIAL MULTI-CLUTCH TRANSMISSION | 2 |
Seungmo Kang | KR | Busan | 2015-09-17 / 20150260262 - MULTIPLE HYDRAULIC MULTI-PLATE CLUTCH TRANSMISSION FOR VEHICLE | 3 |
Myungkoo Kang | KR | Busan | 2015-10-01 / 20150273997 - POWERTRAIN FOR INTERNAL-COMBUSTION ENGINE VEHICLE WITH COMBINATION OF PISTON ENGINE AND ROTARY ENGINE | 6 |
Beom-Soo Kang | KR | Pusan | 2012-11-22 / 20120291512 - SHEET-MATERIAL FORMING DEVICE AND METHOD | 2 |
Yoon-Ho Kang | KR | Yongin-Si | 2014-11-13 / 20140335664 - METHOD OF MANUFACTURING COLOR FILTER SUBSTRATE AND METHOD OF MANUFACTURING THIN FILM TRANSISTOR SUBSTRATE | 17 |
Hyun Joo Kang | KR | Daejeon | 2016-01-28 / 20160029457 - ZIGBEE LIGHTING CONTROL APPARATUS AND METHOD | 18 |
Chan Seok Kang | KR | Daejeon | 2014-08-21 / 20140232400 - OBJECT DISCRIMINATION METHOD USING ULTRA-LOW MAGNETIC FIELD NUCLEAR MAGNETIC RESONANCE AND AN OBJECT DISCRIMINATION APPARATUS OF THE SAME | 5 |
Seok Jin Kang | KR | Ansan | 2008-11-13 / 20080278947 - Luminous Element For Backlight Unit | 1 |
Seok Jin Kang | KR | Gyeonggi-Do | 2009-05-28 / 20090136890 - BRACKET FOR REVISING A SET OF TEETH, BRACKET POSITIONING JIG, SYSTEM FOR REVISING A SET OF TEETH USING THE SAME, AND METHOD OF MAKING THE JIG | 1 |
Seok Jin Kang | KR | Seoul | 2014-10-23 / 20140313732 - LIGHTING DEVICE | 15 |
Seok Jin Kang | KR | Gwangmyeong-Si | 2011-02-03 / 20110026242 - BACKLIGHT PANEL EMPLOYING WHITE LIGHT EMITTING DIODE HAVING RED PHOSPHOR AND GREEN PHOSPHOR | 3 |
Dae Ki Kang | US | Escondido | 2010-09-30 / 20100249265 - Scratch-resistant coatings with improved adhesion to inorganic thin film coatings | 1 |
Sung Soo Kang | US | Redmond | 2009-11-12 / 20090282083 - CONFIGURATION OF MULTIPLE DATABASE AUDITS | 1 |
Sung Soo Kang | KR | Daejeon | 2014-06-19 / 20140173116 - APPARATUS AND METHOD FOR SHARING RESOURCE BETWEEN TERMINALS | 6 |
Nam Wook Kang | KR | Seoul | 2016-02-11 / 20160042166 - METHOD AND DEVICE FOR PROVIDING SECURITY CONTENT | 17 |
Hyun Jae Kang | KR | Seoul | 2016-04-14 / 20160105220 - NFC CARD READER, SYSTEM INCLUDING NFC CARD READER, AND A METHOD OF OPERATING THE SAME | 7 |
Dae-Ho Kang | KR | Seo-Gu | 2010-03-11 / 20100059710 - Novel pyran derivative, its preparation method, liquid crystal composition and liquid crystal display device comprising the same | 1 |
Joon Kang | KR | Seoul | 2013-07-11 / 20130176517 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Ho Cheol Kang | KR | Gyeonggi-Do | 2011-12-01 / 20110291071 - QUANTUM DOT LIGHT EMITTING DIODE DEVICE AND DISPLAY DEVICE THEREWITH | 4 |
Joo-Young Kang | KR | Yongin-Si | 2016-03-03 / 20160058419 - ULTRASOUND IMAGING APPARATUS AND METHOD OF CONTROLLING THE SAME | 27 |
Sang-Wook Kang | KR | Seoul | 2014-09-18 / 20140262762 - FACILITATED OLEFIN TRANSPORT MEMBRANE INCLUDING ALUMINIUM SALT | 4 |
Ki-Won Kang | KR | Daejeon | 2013-09-19 / 20130240805 - Uranium Dioxide Nuclear Fuel Containing Mn and Al as Additives and Method of Fabricating the Same | 6 |
Ki-Won Kang | KR | Seoul | 2010-05-06 / 20100111506 - METHOD FOR CREATING, UPDATING, AND RECORDING SEAMLESS PRESENTATION INFORMATION OF MOVING PICTURE DATA IN A REWRITABLE RECORDING MEDIUM | 1 |
Hun-Sik Kang | KR | Daejon | 2010-06-17 / 20100150257 - APPARATUS AND METHOD FOR ESTIMATING TIMING OFFSET IN A WIRELESS COMMUNICATION SYSTEM | 5 |
Hun-Sik Kang | KR | Daejeon | 2015-11-12 / 20150326321 - OPTICAL TRANSMISSION AND RECEPTION APPARATUS AND METHOD FOR UPLINK TRANSMISSION IN ORTHOGONAL FREQUENCY DIVISION MULTIPLE ACCESS-PASSIVE OPTICAL NETWORK (OFDMA-PON) | 12 |
Byong Min Kang | KR | Yongin-Si | 2016-04-28 / 20160117554 - APPARATUS AND METHOD FOR EYE TRACKING UNDER HIGH AND LOW ILLUMINATION CONDITIONS | 23 |
Dong-Ju Kang | KR | Anyang-Si | 2009-03-05 / 20090060571 - FUSING UNIT AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 1 |
Jin-A Kang | KR | Anyang-Si | 2010-01-28 / 20100021807 - ENERGY STORAGE DEVICE | 2 |
Kyung-Min Kang | KR | Anyang-Si | 2010-03-25 / 20100074011 - Non-volatile memory device and page buffer circuit thereof | 1 |
Eiu-Su Kang | KR | Anyang-Si | 2010-08-12 / 20100200054 - Compound for organic photoelectric device and organic photoelectric device including the same | 1 |
Byeong Woo Kang | KR | Anyang-Si | 2016-05-19 / 20160142116 - METHOD OF ALLOCATING RESOURCES FOR TRANSMITTING UPLINK SIGNAL IN MIMO WIRELESS COMMUNICATION SYSTEM AND APPARATUS THEREOF | 67 |
Soo Yong Kang | KR | Anyang-Si | 2008-12-04 / 20080298895 - Connection structure of plate-type vertical drain and circular horizontal drainpipe and method of constructing horizontal drain layer in soft ground using the same | 1 |
Won Duck Kang | KR | Anyang-Si | 2009-01-01 / 20090000760 - MOLD FOR AIR-SLIP TYPE NONCIRCULAR CONTINUOUS CASTING AND CASTING METHOD OF ALUMINUM ALLOY USING THE SAME | 1 |
Dong-Min Kang | KR | Anyang-Si | 2012-05-10 / 20120112123 - ETCHING COMPOSITION FOR AN UNDER-BUMP METALLURGY LAYER | 2 |
Kwan-Sik Kang | KR | Anyang-Si | 2009-10-29 / 20090271320 - SYSTEM FOR ELECTRONIC BUSINESS TRANSACTIONS | 1 |
Nae Wan Kang | KR | Anyang-Si | 2009-11-05 / 20090274478 - IMAGE FORMING APPARATUS AND SENSING DEVICE THEREOF | 2 |
Yeon-Soo Kang | KR | Anyang-Si | 2010-08-05 / 20100198181 - DISPOSABLE HYGIENE PRODUCTS APPLYING AN ELASTIC SPANDEX FIBER WITH HIGH POWER RETENTION | 1 |
Seunghyun Kang | KR | Anyang-Si | 2015-03-12 / 20150071189 - METHOD FOR PERFORMING DEVICE TO DEVICE DIRECT COMMUNICATION, METHOD FOR SUPPORTING THE SAME, AND DEVICE THEREFOR | 16 |
Byeongwoo Kang | KR | Anyang-Si | 2013-08-29 / 20130223380 - METHOD AND APPARATUS OF TRANSMITTING REFERENCE SIGNAL FOR UPLINK TRANSMISSION | 3 |
Won-Hyoung Kang | KR | Anyang-Si | 2011-03-17 / 20110063274 - BACKLIGHT ASSEMBLY AND DISPLAY APPARATUS HAVING THE SAME | 1 |
Sang-Wook Kang | KR | Anyang-Si | 2015-01-22 / 20150025998 - APPARATUS AND METHOD FOR RECOMMENDING PLACE | 6 |
Sung Hyun Kang | KR | Suwon-Si | 2011-03-17 / 20110063213 - REMOTE TOUCHPAD DEVICE FOR VEHICLE AND CONTROL METHOD THEREOF | 1 |
Hyun Ah Kang | KR | Daejeon | 2012-08-09 / 20120202248 - NOVEL HANSENULA POLYMORPHA GENE CODING FOR DOLICHYL-PHOSPHATE- MANNOSE DEPENDENT ALPHA-1,3 MANNOSYLTRANSFERASE AND PROCESS FOR THE PRODUCTION OF RECOMBINANT GLYCOPROTEINS WITH HANSENULA POLYMORPHA MUTANT STRAIN DEFICIENT IN THE SAME GENE | 7 |
Seung-Hoon Kang | KR | Gyeonggi-Do | 2014-09-11 / 20140256711 - Novel Compound Having Angiogenesis Inhibitory Activity, Method for Preparing Same, and Pharmaceutical Composition Comprising Same | 2 |
Sang-Jin Kang | KR | Seoul | 2008-12-11 / 20080305168 - In-Situ Melting and Gelling Tablet Composition For Oral Care | 2 |
Joon-Hyuk Kang | KR | Seoul | 2015-08-27 / 20150244495 - APPARATUS AND METHOD FOR TRANSMITTING JAMMING SIGNAL | 3 |
Man-Seok Kang | KR | Gyeonggi-Do | 2008-10-16 / 20080253734 - INFORMATION STORAGE MEDIUM CONTAINING MULTI-PATH DATA, AND STORAGE APPARATUS AND PLAYER THEREOF | 4 |
Myung Sam Kang | KR | Gyunggi-Do | 2014-04-03 / 20140090245 - METHOD OF MANUFACTURING PRINTED CIRCUIT BOARD | 17 |
Jeong-Uk Kang | KR | Gyeonggi-Do | 2009-01-08 / 20090012976 - Data Tree Storage Methods, Systems and Computer Program Products Using Page Structure of Flash Memory | 1 |
Tae Kyung Kang | KR | Guro-Gu | 2009-10-29 / 20090267575 - DEVICE AND METHOD FOR DETECTING ZERO CROSSING AND VOLTAGE AMPLITUDE FROM SINGLE PULSE SIGNAL | 1 |
Tae Kyung Kang | KR | Seoul | 2014-06-19 / 20140172178 - CONTROL METHOD OF AN IN-HOUSE DEVICE USING A CONTROL KIT AND CONTROL METHOD FOR A WATER PURIFIER USING A CONTROL KIT | 5 |
Se Jin Kang | KR | Seoul | 2013-11-07 / 20130293178 - MOTOR CONTROL PROFILER | 7 |
Seong Pil Kang | KR | Daejeon | 2015-11-26 / 20150337196 - METHOD FOR INHIBITING STRUCTURE II GAS HYDRATE FORMATION | 7 |
Dong Seok Kang | KR | Gyeonggi-Do | 2010-11-11 / 20100285063 - NOVEL CANINE INFLUENZA VIRUS AND VACCINE THEREFORE | 1 |
Seunghyun Kang | KR | Seoul | 2010-10-28 / 20100272042 - METHOD AND APPARATUS FOR RELEASING UPLINK RADIO RESOURCE IN WIRELESS COMMUNICATION SYSTEM | 1 |
Young-Jin Kang | KR | Jeonbuk | 2010-02-25 / 20100046599 - APPARATUS AND METHOD FOR ACQUIRING INITIAL COEFFICIENT OF DECISION FEEDBACK EQUALIZER USING FAST FOURIER TRANSFORM | 1 |
Hyunmin Kang | US | Chapel Hill | 2008-08-21 / 20080199960 - Methods for the Delivery of Oligomeric Compounds | 1 |
Hyunmin Kang | US | Los Angeles | 2009-12-31 / 20090326832 - GRAPHICAL MODELS FOR THE ANALYSIS OF GENOME-WIDE ASSOCIATIONS | 1 |
Hyunmin Kang | US | Pomona | 2010-11-04 / 20100280098 - RECEPTOR TARGETED OLIGONUCLEOTIDES | 1 |
Hee Bok Kang | KR | Cheongiu-Si | 2009-01-08 / 20090010052 - One-transistor type dram | 1 |
Hee Bok Kang | KR | Cheongju | 2014-08-28 / 20140242758 - WAFER AND METHOD FOR FORMING THE SAME | 19 |
Hee Bok Kang | KR | Daejeongwangyeok-Si | 2010-10-07 / 20100252872 - NONVOLATILE FERROELECTRIC MEMORY DEVICE | 4 |
Mun-Seok Kang | KR | Suwon | 2009-03-05 / 20090058836 - VIDEO DATA REVISION METHOD FOR ELECTRON EMISSION DISPLAY DEVICE | 1 |
Sang-Gu Kang | KR | Suwon | 2009-11-19 / 20090285024 - FLASH MEMORY DEVICE, PROGRAMMING METHOD THEREOF AND MEMORY SYSTEM INCLUDING THE SAME | 1 |
Sang Won Kang | KR | Suwon | 2010-01-28 / 20100019258 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 3 |
Kyoung-Doo Kang | KR | Suwon | 2008-09-18 / 20080224611 - ELECTRODE SHEET, METHOD OF MANUFACTURING THE ELECTRODE SHEET AND PLASMA DISPLAY PANEL HAVING THE ELECTRODE SHEET | 1 |
Jin Yong Kang | KR | Suwon | 2014-03-06 / 20140064623 - IMAGE FEATURE EXTRACTION APPARATUS AND IMAGE FEATURE EXTRACTION METHOD, AND IMAGE PROCESSING SYSTEM USING THE SAME | 2 |
Pil Geun Kang | KR | Suwon | 2010-12-09 / 20100308366 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE INCLUDING ELECTRODES OF A MULTILAYER STRUCTURE | 1 |
Myung-Jin Kang | KR | Suwon | 2011-02-10 / 20110031461 - PHASE CHANGE MEMORY DEVICE | 1 |
Pil Joong Kang | KR | Suwon | 2014-03-20 / 20140078225 - INKJET PRINT HEAD | 10 |
Han-Saem Kang | KR | Suwon | 2013-06-06 / 20130141323 - ELECTOPHORETIC DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 2 |
Yun Sung Kang | KR | Suwon | 2015-05-21 / 20150135497 - INERTIAL SENSOR AND METHOD OF MANUFACTURING THE SAME | 12 |
Soo-Hyung Kang | KR | Yongin-Si | 2010-04-29 / 20100105616 - POLYETHYLENE GLYCOL-G-CSF CONJUGATE | 2 |
Jung Hoon Kang | KR | Changwon-Shi | 2009-07-02 / 20090165218 - Washing machine and method for controlling the same | 1 |
Dongwook Kang | KR | Daejeon | 2009-04-16 / 20090100433 - DISK SCHEDULING METHOD AND APPARATUS | 1 |
Ji-Hyun Kang | KR | Seoul | 2014-10-02 / 20140298274 - METHOD AND ELECTRONIC DEVICE FOR PROCESSING DATA | 4 |
Ji-Hyun Kang | KR | Gyeonggi-Do | 2010-10-28 / 20100272689 - Protease Having Algicidal Activity, Gene Encoding the Same and Algicidal Formulation Comprising the Same | 1 |
Ji-Hyun Kang | KR | Kyunggi-Do | 2010-05-13 / 20100120102 - ENANTIOSELECTIVE EPOXIDE HYDLROLASE AND METHOD FOR PREPARING AND ENANTIOPURE EPOXIDE USING THE SAME | 1 |
Myung-Jin Kang | KR | Gyeunggi-Do | 2009-02-05 / 20090035514 - Phase change memory device and method of fabricating the same | 1 |
Myung-Jin Kang | KR | Gyeonggi-Do | 2009-10-08 / 20090250682 - PHASE CHANGE MEMORY DEVICE | 1 |
Myung-Jin Kang | KR | Suwon-Si | 2011-10-27 / 20110263093 - Methods of Forming Variable-Resistance Memory Devices and Devices Formed Thereby | 5 |
Yong Hoon Kang | KR | Seoul | 2015-07-16 / 20150198751 - OPTICAL FILM AND DIGITAL PEN SYSTEM USING THE SAME | 10 |
Yong Hoon Kang | KR | Suwon-Si | 2010-05-27 / 20100128552 - HIGH-VOLTAGE SAWTOOTH CURRENT DRIVING CIRCUIT AND MEMORY DEVICE INCLUDING SAME | 4 |
Jung-Woo Kang | KR | Daejeon | 2008-09-11 / 20080219995 - IL-32 MONOCLONAL ANTIBODIES AND USES THEREOF | 1 |
Byung-Tae Kang | KR | Seoul | 2011-10-06 / 20110243210 - APPARATUS AND METHOD FOR POWER SAVING USING REQUANTIZATION IN BASEBAND MODEM | 7 |
Chang Hwan Kang | KR | Gyeonggi-Do | 2010-01-14 / 20100008074 - STORAGE CASE | 1 |
Ji-Hye Kang | US | Frederick | 2009-06-04 / 20090143408 - COMPOSITIONS AND METHODS FOR INHIBITING CYTOCHROME P450 2D6 | 1 |
Yang-Jun Kang | KR | Gyungsangnam-Do | 2010-04-22 / 20100098566 - LINEAR COMPRESSOR | 2 |
Yang-Jun Kang | KR | Changwon-Shi | 2011-08-11 / 20110194957 - LINEAR COMPRESSOR | 8 |
Yang-Jun Kang | KR | Changwon-Si | 2011-03-10 / 20110058968 - RECIPROCATING COMPRESSOR | 1 |
Raphael Kl Kang | US | Englewood | 2008-09-25 / 20080234173 - PRESERVATIVE COMPOSITIONS | 1 |
Xinshan Kang | US | Pine Brook | 2014-08-21 / 20140235623 - MODULATION OF CHEMOSENSORY RECEPTORS AND LIGANDS ASSOCIATED THEREWITH | 6 |
Inuk Kang | US | Matawan | / - | 1 |
Xiaoqiang Kang | US | Plainsboro | 2009-09-17 / 20090232823 - ANTI-TYRP1 ANTIBODIES | 1 |
Yibin Kang | US | Princeton | 2015-11-19 / 20150329918 - JAGGED1 AS A MARKER AND THERAPEUTIC TARGET FOR BREAST CANCER BONE METASTASIS | 4 |
James Kang | US | Cliffside Park | 2010-10-07 / 20100254992 - ANTI-MCP-1 ANTIBODIES, COMPOSITIONS, METHODS AND USES | 1 |
Jichao Kang | US | Pennington | 2011-01-06 / 20110003744 - Glycopegylated Erythropoietin Formulations | 1 |
Lin Kang | US | Edison | 2015-12-24 / 20150366910 - NATURAL KILLER CELLS FROM PLACENTA | 10 |
Je-Mo Kang | US | Princeton | 2011-03-17 / 20110065088 - METHOD AND DEVICES FOR RAPID DIAGNOSIS OF FOOT-AND-MOUTH DISEASE | 1 |
Min Suk Kang | US | Fort Lee | 2010-02-11 / 20100035811 - Phosphoinositide Modulation For The Treatment Of Neurodegenerative Diseases | 1 |
Yun (kenneth) Kang | US | Livingston | 2011-10-13 / 20110250220 - MODIFIED GP140 ENVELOPE POLYPEPTIDES OF HIV-1 ISOLATES, COMPOSITIONS, STABILIZED TRIMERIC COMPLEXES, AND USES THEREOF | 2 |
Joseph Kang | US | Belle Mead | 2010-08-26 / 20100218065 - Method and apparatus of HARQ process selection | 2 |
Joseph H. Kang | US | Belle Mead | 2016-04-07 / 20160099790 - Cost Effective Network Interference Cancellation For Wireless Networks | 19 |
Inuk Kang | US | Freehold | 2012-09-06 / 20120224867 - METHOD AND APPARATUS FOR ALL-OPTICAL DISCRETE FOURIER TRANSFORM INCLUDING ALL-OPTICAL OFDM DEMULTIPLEXING | 3 |
Eun Hee Kang | US | Fort Lee | 2011-05-12 / 20110112068 - HUMAN IMMUNODEFICIENCY VIRUS PROTEASE INHIBITORS | 1 |
In-Soo Kang | KR | Chungbuk | 2010-09-16 / 20100230810 - FLIP CHIP SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF | 2 |
Jong-Hyuk Kang | KR | Hwasung-City | 2010-04-01 / 20100078698 - Vertical semiconductor device, dram device including the same | 1 |
Jong-Hyuk Kang | KR | Seoul | 2015-09-24 / 20150270330 - METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES | 4 |
Jong-Hyuk Kang | KR | Gyeonggi-Do | 2010-05-27 / 20100128203 - LAMP, METHOD FOR MANUFACTURING THE SAME AND LIQUID CRYSTAL DISPLAY APPARATUS HAVING THE SAME | 1 |
Sungil Kang | KR | Sungnam-Si | 2008-12-04 / 20080296320 - Standard Capacity Discharge Case of Liquid Content | 2 |
Ho-Jin Kang | KR | Suwon-Si | 2013-04-11 / 20130088947 - OPTICAL RECORDING DEVICE AND METHOD | 2 |
Myung-Ho Kang | KR | Seoul | 2015-04-02 / 20150090777 - LABEL FOR PRODUCT AUTHENTICATION, METHOD FOR GENERATING AUTHENTICATION CODE OF LABEL, METHOD AND SYSTEM FOR AUTHENTICATING LABEL, PORTABLE TERMINAL FOR AUTHENTICATING LABEL, AND COMPUTER-READABLE RECORDING MEDIUM FOR AUTHENTICATING LABEL | 3 |
Seung Woo Kang | KR | Daejeon | 2011-12-22 / 20110310751 - Mobile Apparatus Supporting Context Monitoring, Method of Monitoring Context Using the Same and Context Monitoring System Having the Same | 2 |
Seung Woo Kang | KR | Seoul | 2012-05-17 / 20120122814 - Composition for Prevention or Treatment of Hypertrophic Scars or Keloids | 5 |
Sung-Hwan Kang | KR | Seoul | 2009-07-02 / 20090170609 - GAME SERVICE METHOD FOR PROVIDING ONLINE GAME USING UCC AND GAME SERVER THEREFOR | 1 |
Seung Youl Kang | KR | Daejeon | 2014-04-17 / 20140104676 - METHOD OF FABRICATING DISPLAY APPARATUS AND DISPLAY APPARATUS FABRICATED THEREBY | 45 |
Eunjung Kang | KR | Seoul | 2010-01-21 / 20100016780 - TAMPON APPLICATOR | 1 |
Kyoung-Soo Kang | KR | Daejeon | 2011-02-24 / 20110044890 - Hydrogen Production Method from Water by Thermochemical Cycles Using Germanium Oxide | 1 |
Seok Won Kang | KR | Gyeonggi-Do | 2011-03-10 / 20110059923 - NOVEL PHOSPHATE OR A PHARMACEUTICALLY ACCEPTABLE SALT THEREOF, A PRODUCTION METHOD THEREFOR AND A PHARMACEUTICAL COMPOSITION FOR PREVENTING AND TREATING CENTRAL NERVOUS SYSTEM DISORDERS CONTAINING THE SAME AS AN ACTIVE COMPONENT | 1 |
Sung-Wook Kang | US | Santa Clara | 2015-07-30 / 20150212120 - OPERATING SURFACE CHARACTERIZATION FOR INTEGRATED CIRCUITS | 3 |
Hyun-Tae Kang | KR | Gyeonggi-Do | 2008-09-25 / 20080230929 - OVERLAY MARK OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE INCLUDING THE OVERLAY MARK | 1 |
Byung-Ho Kang | KR | Daejeon-Si | 2009-07-30 / 20090189992 - Apparatus and method for learning photographing profiles of digital imaging device for recording personal life history | 1 |
Boksun Kang | KR | Daejeon-Si | 2008-10-16 / 20080253879 - Blower for vehicles | 1 |
Sungho Kang | KR | Daejeon-Si | 2016-02-04 / 20160033193 - HEAT PUMP SYSTEM FOR VEHICLE AND METHOD OF CONTROLLING THE SAME | 6 |
Sae-Kyoung Kang | KR | Daejeon-Si | 2014-10-09 / 20140301736 - DIRECTLY MODULATED MULTI-LEVEL OPTICAL SIGNAL GENERATOR AND METHOD THEREOF | 11 |
Ho-Yong Kang | KR | Daejeon-Si | 2010-06-10 / 20100146356 - WIRELESS SENSOR NODE | 1 |
Soo Hyung Kang | KR | Gyeonggi-Do | 2010-05-27 / 20100129459 - BIODEGRADABLE MICROSPHERE COMPOSITION SUITABLE FOR THE CONTROLLED RELEASE OF GLUCOSE CONTROLLING PEPTIDE AND FORMULATION THEREOF | 1 |
Do Hyun Kang | KR | Changwon-Si | 2009-01-08 / 20090009010 - Low-Noise, High-Speed, High Precision and High-Thrust Flux Reversal Motor for Linear or Rotary Motion System | 2 |
Haeyong Kang | KR | Changwon-Si | 2011-01-27 / 20110018729 - HOME APPLIANCE AND SIGNAL OUTPUT METHOD THEREOF | 1 |
Yu Beom Kang | KR | Changwon-Si | 2011-10-20 / 20110252836 - TUB HAVING STRUCTUALLY STRENGTHENED REAR WALL AND WASHING MACHINE WITH THE SAME THEREIN | 2 |
Byeong Gyu Kang | KR | Changwon-Si | 2008-10-09 / 20080246382 - Refrigerator | 1 |
Dong Won Kang | KR | Changwon-Si | 2009-02-12 / 20090038084 - Method for Controlling Operation of the Washing Machine | 1 |
Myong Ho Kang | KR | Changwon-Si | 2010-03-25 / 20100071735 - DISHWASHER | 1 |
Ki Ho Kang | KR | Changwon-Si | 2010-11-04 / 20100275657 - SEMI-DRY METHOD OF WASHING MACHINE AND THE VENTILATING STRUCTURE, CONTROL APPARATUS FOR THE SAME | 1 |
Hae Yong Kang | KR | Changwon-Si | 2010-12-16 / 20100318324 - SYSTEM AND METHOD FOR DIAGNOSING HOME APPLIANCE | 6 |
Jong Min Kang | KR | Changwon-Si | 2011-02-03 / 20110029206 - SWING CONTROL SYSTEM AND METHOD FOR CONSTRUCTION MACHINE USING ELECTRIC MOTOR | 3 |
Kyoung-Seok Kang | KR | Changwon-Si | 2011-03-10 / 20110058968 - RECIPROCATING COMPRESSOR | 1 |
Min Gu Kang | KR | Changwon-Si | 2010-05-13 / 20100116003 - Ball balancer and laundry apparatus having the same | 1 |
Eun Hee Kang | KR | Gyeonsangnam-Do | 2010-12-30 / 20100330108 - PHARMACEUTICAL COMPOSITION FOR TREATING OBESITY-RELATED DISEASE COMPRISING INSULINOTROPIC PEPTIDE CONJUGATE | 1 |
Sung Wook Kang | KR | Seoul | 2015-10-29 / 20150309013 - REAL-TIME BIOSIGNAL MEASUREMENT APPARATUS FOR CARDIAC ISCHEMIA AND REPERFUSION | 39 |
Jiao Kang | CN | Shenzhen | 2016-02-25 / 20160057293 - POLICY CONTROL METHOD AND SYSTEM, AND RELEVANT APPARATUS | 17 |
Seung Hyun Kang | KR | Gyeonggi-Do | 2014-06-05 / 20140151991 - BOLTING STRUCTURE OF SUB-FRAME | 12 |
Wenbing Kang | JP | Kakegawa | 2012-08-30 / 20120219919 - Composition for Coating over a Photoresist Pattern Comprising a Lactam | 2 |
Jun-Koo Kang | JP | Fukuoka | 2008-11-27 / 20080291698 - Control Method and Controller for Pwm Cyclo-Converter | 1 |
Yongsong Kang | JP | Toyohashi-City | 2009-03-05 / 20090062997 - CONTROL DEVICE OF AUTOMATIC TRANSMISSION, AND CONTROL METHOD OF AUTOMATIC TRANSMISSION | 1 |
Zibo Kang | JP | Aichi-Ken | 2009-06-18 / 20090157370 - COMPUTING METHOD OF MOTOR MODEL, MOTOR SIMULATION METHOD, MOTOR SIMULATION APPARATUS, MOTOR-MODEL COMPUTING PROGRAM, SIMULATION METHOD AND SIMULATION PROGRAM | 1 |
Yukiyasu Kang | JP | Kaizu | 2009-07-02 / 20090169875 - CHROMIUM-FREE RUST-INHIBITIVE SURFACE TREATMENT AGENT FOR METAL PARTS WITH ZINC SURFACES AND METAL PARTS WITH ZINC SURFACES COATED WITH RUST-INHIBITIVE SURFACE COATED FILM | 1 |
Ilgoo Kang | JP | Shizuoka | 2009-07-02 / 20090165982 - Method for Predicting and Preventing Shrinkage Cavity of Iron Casting | 1 |
Se-Jin Kang | JP | Seoul | 2009-09-24 / 20090240896 - MICROPROCESSOR COUPLED TO MULTI-PORT MEMORY | 1 |
Song Yun Kang | JP | Kobe City | 2009-10-01 / 20090241310 - RLSA CVD DEPOSITION CONTROL USING HALOGEN GAS FOR HYDROGEN SCAVENGING | 1 |
Hui Young Kang | JP | Kawasaki | 2009-10-08 / 20090250598 - Light guide mechanism for guiding a light to an illuminance sensor | 1 |
Young Gwang Kang | JP | Suita | 2011-07-07 / 20110163247 - EXTREME ULTRA VIOLET LIGHT SOURCE APPARATUS | 2 |
Zibo Kang | JP | Nagoya-Shi | 2009-12-31 / 20090326908 - Simulation support method, computer-readable storage medium storing simulation support program, and simulation support apparatus | 1 |
Songyun Kang | JP | Amagasaki-Shi | 2014-05-22 / 20140138356 - Plasma Processing Apparatus, Plasma Processing Method and Storage Mediuim | 2 |
Eui-Chul Kang | JP | Tsukuba-Shi | 2015-07-23 / 20150206618 - SILVER NANOPARTICLES, METHOD FOR PRODUCING SAME, SILVER NANOPARTICLE DISPERSION LIQUID, AND BASE PROVIDED WITH SILVER MATERIAL | 10 |
Woobum Kang | JP | Osaka | 2015-04-30 / 20150116477 - Microscopic Imaging Device, Microscopic Imaging Method, and Microscopic Imaging Program | 12 |
Wen-Bing Kang | JP | Shizuoka | 2010-10-07 / 20100255430 - SILICON-CONTAINING COMPOSITION FOR FINE PATTERN FORMATION AND METHOD FOR FINE PATTERN FORMATION USING THE SAME | 2 |
Songyun Kang | JP | Yamanashi-Ken | 2010-12-09 / 20100307684 - PLASMA PROCESSING APPARATUS | 2 |
Song Yun Kang | JP | Hyogo-Ken | 2011-01-27 / 20110017706 - PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS | 1 |
Yoonmyung Kang | JP | Osaka | 2011-03-03 / 20110048049 - HEAT EXCHANGER AND AIR CONDITIONING SYSTEM | 3 |
Hee-Cheol Kang | KR | Suwon-Si | 2009-07-02 / 20090166561 - LASER PATTERNING APPARATUS | 1 |
Hee-Cheol Kang | KR | Yongin-City | 2013-01-10 / 20130009177 - ORGANIC LAYER DEPOSITION APPARATUS AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE BY USING THE SAME | 14 |
Hwa-Young Kang | KR | Suwon-Si | 2015-08-27 / 20150244916 - ELECTRONIC DEVICE AND CONTROL METHOD OF THE SAME | 6 |
Jun Kyu Kang | KR | Seoul | 2015-03-05 / 20150063338 - RESTRICTING THE OPERATION OF AN ELECTRONIC DEVICE | 5 |
Tae-In Kang | KR | Kyungsangbuk-Do | 2010-10-28 / 20100270715 - Apparatus for Manufacturing Molten Irons by Hot Compacting Fine Direct Reduced Irons | 1 |
Wonsik Kang | KR | Gyoungsangnam-Do | 2011-03-03 / 20110050067 - DOOR HINGE ASSEMBLY FOR REFRIGERATOR AND METHOD OF ASSEMBLING THE SAME | 1 |
Kyoung Ho Kang | KR | Yongin-Si | 2009-06-04 / 20090139866 - DEVICE FOR SEPARATING MICRO PARTICLES AND A METHOD FOR FABRICATING THE DEVICE | 2 |
Jae Hyun Kang | KR | Sewon-City | 2008-11-20 / 20080283892 - Cylinder-Type Capacitor and Storage Device, and Method(s) for Fabricating the Same | 1 |
Hyun Seo Kang | KR | Gwangjoo-City | 2009-06-11 / 20090148160 - Optical diplexer module using mixed-signal multiplexer | 1 |
Hyun Seo Kang | KR | Gwangju-Si | 2015-12-24 / 20150372759 - BIDIRECTIONAL OPTICAL TRANSCEIVER MODULE AND METHOD OF ALIGNING THE SAME | 11 |
Hoon Kang | KR | Hwaseong-Si | 2014-07-17 / 20140198290 - Display Device and a Method of Manufacturing the Same | 2 |
Hoon Kang | KR | Jeju-Si | 2009-08-06 / 20090196383 - CORRELATION APPARATUS AND METHOD FOR FREQUENCY SYNCHRONIZATION IN BROADBAND WIRELESS ACCESS COMMUNICATION SYSTEM | 1 |
Hoon Kang | KR | Gyeonggi-Do | 2015-12-31 / 20150378224 - DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME | 4 |
Hoon Kang | KR | Gumi-Si | 2010-07-08 / 20100171913 - DUAL DISPLAY LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Hoon Kang | KR | Gyeonggio-Do | 2014-04-10 / 20140098204 - IMAGE DISPLAY DEVICE | 2 |
Hoon Kang | KR | Namyangju-Si | 2014-04-24 / 20140111857 - STEREOSCOPIC IMAGE DISPLAY AND ALIGNMENT METHOD THEREOF | 6 |
Sang-Ho Kang | KR | Suwon-Si | 2010-01-07 / 20100005540 - CONSTITUTIVE PROMOTER LIP3 | 1 |
Hoon Kang | KR | Suwon-Si | 2016-05-12 / 20160131952 - DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME | 36 |
Kyong Ho Kang | KR | Ansan-Si | 2008-12-18 / 20080307873 - Steering Angle Sensing Apparatus and Method Thereof | 1 |
Nam-Soo Kang | KR | Ansan-Si | 2014-07-24 / 20140204006 - Display device | 3 |
Hyun-Ho Kang | KR | Ansan-Si | 2016-05-19 / 20160140919 - CURVED LIQUID CRYSTAL DISPLAY AND MANUFACTURING METHOD THEREOF | 20 |
Seung-Hoon Kang | KR | Ansan-Si | 2009-07-16 / 20090181428 - METHOD FOR PRODUCING TARGET PROTEINS USING AMINO ACIDS AND PYRUVIC ACIDS IN CULTURE OF PLANT CELLS | 1 |
Bong-Chun Kang | KR | Ansan-Si | 2013-08-22 / 20130219246 - Method and Apparatus for Detecting Free Page and a Method and Apparatus for Decoding Error Correction Code Using the Method and Apparatus for Detecting Free Page | 3 |
Suk Jin Kang | KR | Ansan-Si | 2010-03-18 / 20100065876 - LED PACKAGE WITH METAL PCB | 3 |
Uk Kang | KR | Ansan-Si | 2010-09-23 / 20100241025 - COLD REFLEX TESTER | 3 |
Hyun-Gu Kang | KR | Ansan-Si | 2015-10-29 / 20150312977 - LED LUMINESCENCE APPARATUS AND METHOD OF DRIVING THE SAME | 15 |
Ji Myung Kang | KR | Ansan-Si | 2011-06-16 / 20110142174 - APPARATUS AND METHOD FOR DETECTING IMPULSE SIGNAL AND IMPULSE SEQUENCE | 2 |
Jae Hoon Kang | KR | Seoul | 2014-07-24 / 20140206040 - STREPTOCOCCUS DYSGALACTIAE ID9103 AND METHOD FOR PRODUCTION OF HYALURONIC ACID USING THE SAME | 7 |
Ki Ju Kang | KR | Chonnam | 2013-10-31 / 20130284858 - THREE-DIMENSIONAL CELLULAR LIGHT STRUCTURES WEAVING BY HELICAL WIRES AND THE MANUFACTURING METHOD OF THE SAME | 2 |
Ki Ju Kang | KR | Jeollanam-Do | 2012-06-21 / 20120151868 - 3-DIMENSIONAL LATTICE TRUSS STRUCTURE COMPOSED OF HELICAL WIRES AND METHOD FOR MANUFACTURING THE SAME | 3 |
Min-Kyu Kang | KR | Daiseo-Gu | 2009-12-31 / 20090321864 - CMOS IMAGE SENSOR AND METHOD FOR MANUFACTURING THE SENSOR | 1 |
Hee-Young Kang | KR | Incheon | 2009-07-02 / 20090170011 - Reflective photomask and method of determining layer thicknesses of the same | 1 |
Hyun Bin Kang | KR | Incheon | 2009-07-09 / 20090176992 - PROCESS FOR THE PREPARATION OF HIGHLY OPTICAL PURE CARVEDILOL | 1 |
Sung Koo Kang | KR | Incheon | 2009-12-10 / 20090300854 - METHOD OF COMPENSATING POWER FAILURE OF WASHING MACHINE | 1 |
Eun Ah Kang | KR | Incheon | 2010-01-14 / 20100008006 - POWER DEVICE AND SAFETY CONTROL METHOD THEREOF | 1 |
Suk Young Kang | KR | Incheon | 2010-07-22 / 20100181406 - FLY REEL SPOOL | 1 |
Young-Ho Kang | KR | Incheon | 2010-07-29 / 20100188388 - DISPLAY APPARATUS AND FABRICATING METHOD THEREOF | 1 |
Won Ho Kang | KR | Incheon | 2009-06-18 / 20090153358 - DATA LOGGER HAVING DERACHIBLE BATTERY FOR SUPPLYING POWER FOR OUTER SENSORS AND REBROADCASTING SYSTEM USING THEREOF | 1 |
Yung-Jin Kang | KR | Incheon | 2009-08-13 / 20090200767 - APPARATUS FOR MOUNTING STABILIZER BAR FOR VEHICLES | 1 |
Duk-Hwan Kang | KR | Incheon | 2013-11-21 / 20130307886 - APPARATUS FOR DRIVING A DISPLAY PANEL WITH COMPENSATION FOR HEAT CAUSED BY PROXIMITY TO LIGHT SOURCE, AND METHOD THEREOF | 5 |
Byong Min Kang | KR | Incheon | 2010-04-22 / 20100097389 - Image processing method | 2 |
Sung Min Kang | KR | Incheon | 2013-04-04 / 20130081698 - METHOD OF CONTROLLING WATER DROPLET MOVEMENT USING MICROFLUIDIC DEVICE | 2 |
Jin-Gyu Kang | KR | Incheon | 2012-08-09 / 20120202305 - ETCHANT FOR ETCHING DOUBLE-LAYERED COPPER STRUCTURE AND METHOD OF FORMING ARRAY SUBSTRATE HAVING DOUBLE-LAYERED COPPER STRUCTURES | 2 |
Seok Woon Kang | KR | Incheon | 2010-07-22 / 20100181406 - FLY REEL SPOOL | 1 |
Doo In Kang | KR | Incheon | 2016-01-28 / 20160027572 - METHOD OF MANUFACTURING A MULTILAYERED CHIP POWER INDUCTOR | 2 |
Ji-Won Kang | KR | Incheon | 2011-05-26 / 20110122782 - METHOD FOR BEAMFORMING | 5 |
Min Gyu Kang | KR | Incheon | 2013-11-28 / 20130314842 - THIN FILM CONDENSER FOR HIGH-DENSITY PACKAGING, METHOD FOR MANUFACTURING THE SAME, AND HIGH-DENSITY PACKAGE SUBSTRATE INCLUDING THE SAME | 2 |
Ho-Jin Kang | KR | Incheon | 2013-05-02 / 20130110983 - METHOD AND SYSTEM FOR REMOTELY MANAGING DIGITAL CONTENTS | 2 |
Bum Joo Kang | KR | Incheon | 2011-01-27 / 20110020180 - Batch Chemical Analyzer | 1 |
Na Young Kang | KR | Incheon | 2011-06-30 / 20110158637 - DOME SECURITY CAMERA | 3 |
Hee Won Kang | KR | Incheon | 2010-07-22 / 20100182185 - ANALOG-TO-DIGITAL CONVERSION CIRCUIT | 1 |
Chul-Hyun Kang | KR | Incheon | 2010-05-27 / 20100130348 - PHOTOCATALYTIC COMPOSITION FOR ANTI-REFLECTION AND THE GLASS SUBSTRATE COATED WITH THE COMPOSITION | 1 |
In Hye Kang | KR | Gyeonggi-Do | 2010-07-01 / 20100166709 - Novel Bacteriophage and Antibacterial Composition Comprising the Same | 2 |
In Hye Kang | KR | Suwon-Si | 2014-01-16 / 20140017205 - BACTERIOPHAGE AND ANTIBACTERIAL COMPOSITION COMPRISING THE SAME | 8 |
Young-Gu Kang | KR | Seoul | 2008-08-28 / 20080204125 - Internal voltage generator | 1 |
Sung-Yong Kang | KR | Daejeon | 2010-07-15 / 20100177393 - Reflective structure, display apparatus including the reflective structure, and method of manufacturing the reflective structure and display apparatus | 1 |
Sung-Yong Kang | KR | Suwon-Si | 2010-09-23 / 20100238373 - BACKLIGHT ASSEMBLY, LIQUID CRYSTAL DISPLAY APPARATUS HAVING THE SAME AND DEVICE FOR FORMING A PARTICLE INTERCEPTOR IN THE BACKLIGHT ASSEMBLY | 1 |
In Chul Kang | KR | Seoul | 2009-05-28 / 20090138377 - Clothes fitting service method of providing information about fitting of clothes | 2 |
Jin Han Kang | KR | Seoul | 2008-11-27 / 20080293039 - VP7 gene of human rotavirus and composition for diagnosis of human rotavirus infection comprising primer or probe specific to thereof | 1 |
Seok Chan Kang | KR | Gwangmyeong-City | / - | 1 |
Seok Chan Kang | KR | Seoul | 2011-03-03 / 20110053084 - Photosensitive Compound and Photosensitive Composition Including the Same | 1 |
Yun-Seung Kang | KR | Seoul | 2015-09-10 / 20150255304 - METHODS OF FORMING PATTERNS IN SEMICONDUCTOR DEVICES | 4 |
Jung-Hun Kang | KR | Seoul | 2010-10-07 / 20100252203 - LABELING AND PREPARATION DEVICE FOR TEST TUBES | 1 |
Min-Sung Kang | KR | Gyeonggi-Do | 2008-08-28 / 20080203455 - SEMICONDUCTOR DEVICE EMPLOYING TRANSISTOR HAVING RECESSED CHANNEL REGION AND METHOD OF FABRICATING THE SAME | 1 |
Min-Sung Kang | KR | Yongin-Si | 2013-09-19 / 20130241065 - SEMICONDUCTOR DEVICE | 4 |
Gu-Gwan Kang | KR | Uiwang-Si | 2010-05-27 / 20100127731 - Antifuse circuit of inverter type and method of programming the same | 1 |
Jeong Ku Kang | KR | Uiwang-Si | 2009-06-04 / 20090140216 - Anisotropic conductive film composition, anisotropic conductive film including the same, and associated methods | 1 |
Tae Gon Kang | KR | Uiwang-Si | 2016-03-31 / 20160090470 - Thermoplastic Resin Composition and Molded Article Using the Same | 8 |
Dong-Min Kang | KR | Uiwang-Si | 2015-03-05 / 20150060788 - COMPOUND FOR AN ORGANIC OPTOELECTRIC DEVICE, ORGANIC OPTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE OPTOELECTRIC DEVICE | 12 |
Eui-Su Kang | KR | Uiwang-Si | 2015-04-16 / 20150102301 - ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE | 25 |
Choun Sung Kang | KR | Uiwang-Si | 2011-01-20 / 20110013110 - Backlight unit and liquid crystal display device having the same | 1 |
Kyoung Ku Kang | KR | Uiwang-Si | 2016-02-25 / 20160053138 - WINDOW FILM AND DISPLAY INCLUDING THE SAME | 25 |
Min-Su Kang | KR | Uiwang-Si | 2011-03-03 / 20110053635 - APPARATUS TO MODIFY APPLICATIONS OF MOBILE TERMINAL | 1 |
Han Ju Kang | KR | Uiwang-Si | 2015-12-03 / 20150344377 - Chip with Stereoscopic Texture for Resin-Based Reinforced Natural Stone and Resin-Based Reinforced Natural Stone Containing the Same | 3 |
Jaehyuk Kang | KR | Gyeonggi-Do | 2011-03-03 / 20110053666 - MOBILE TERMINAL | 2 |
Daeho Kang | KR | Seo-Gu | 2009-07-02 / 20090169774 - Retardation film, and polarizing plate and liquid crystal display comprising the same | 1 |
Daeho Kang | KR | Daejeon | 2010-06-03 / 20100137484 - OPTICALLY ANISOTROPIC COMPOUND AND RESIN COMPOSITION COMPRISING THE SAME | 4 |
Yu-Chan Kang | KR | Seoul | 2014-10-02 / 20140295097 - NORBORNENE MONOMER, POLYNORBORNENE DERIVATIVE, LIQUID CRYSTAL PHOTOALIGNMENT FILM CONTAINING THE SAME AND LIQUID CRYSTAL DISPLAY CONTAINING THE SAME | 6 |
Kyong Ho Kang | KR | Gyeonggi-Do | 2011-02-10 / 20110030463 - STEERING ANGLE SENSING APPARATUS AND METHOD THEREOF | 1 |
Jie Kang | DE | Mettmann | 2014-06-05 / 20140154748 - THERMOSTABLE CHIMERIC NUCLEIC ACID POLYMERASES AND USES THEREOF | 3 |
Jong Hoon Kang | KR | Seoul | 2014-12-04 / 20140357071 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE HAVING DOPED LAYER | 6 |
Myung Sun Kang | KR | Suwon-Si | 2014-11-13 / 20140331720 - DRUM TYPE WASHING MACHINE | 25 |
Yong-Ha Kang | KR | Yongin-Si | 2010-09-16 / 20100231227 - Reliability evaluation circuit and reliability evaluation system | 1 |
Sung Koo Kang | KR | Suwon-Si | 2016-04-14 / 20160104552 - CONDUCTIVE RESIN COMPOSITION AND MULTILAYER CERAMIC CAPACITOR HAVING THE SAME | 4 |
Dong-Goo Kang | KR | Suwon-Si | 2014-09-25 / 20140284492 - PHOTON COUNTING DETECTOR TO GENERATE HIGH-RESOLUTION IMAGES AND HIGH-CONTRAST IMAGES, AND PHOTON COUNTING AND DETECTING METHOD USING THE SAME | 40 |
Sunggu Kang | US | San Jose | 2016-04-28 / 20160117009 - OPAQUE THIN FILM PASSIVATION | 27 |
Kevin Kang | US | San Jose | 2016-04-21 / 20160106560 - VASCULAR IMPLANT FOR TREATING ANEURYSMS | 4 |
Inkuk Kang | US | San Jose | 2015-09-10 / 20150255480 - Method to Improve Charge Trap Flash Memory Top Oxide Quality | 6 |
Dong-In Kang | US | San Jose | 2010-11-04 / 20100281489 - Method and system for dynamically parallelizing application program | 1 |
Xinhai Kang | US | San Jose | 2010-08-19 / 20100211736 - METHOD AND SYSTEM FOR PERFORMING I/O OPERATIONS ON DISK ARRAYS | 3 |
Chung-Shin Kang | US | San Jose | 2011-07-07 / 20110167397 - SYSTEM AND METHOD FOR MULTI-EXPOSURE PATTERN DECOMPOSITION | 2 |
Inchul Kang | US | San Jose | 2008-12-04 / 20080297411 - System and Method for GPS Signal Acquisition | 1 |
Jinman Kang | US | San Jose | 2008-11-06 / 20080273751 - Detection and Tracking of Moving Objects from a Moving Platform in Presence of Strong Parallax | 1 |
Sung Gu Kang | US | San Jose | 2008-10-30 / 20080266214 - SUB-PIXEL CURRENT MEASUREMENT FOR OLED DISPLAY | 1 |
Yong Kang | US | San Jose | 2008-10-30 / 20080267116 - Routing method and system for a wireless network | 1 |
Seong Woo Kang | US | San Jose | 2010-11-11 / 20100284113 - ACTUATOR LATCH FOR MINIMIZING EFFECT OF NON-OPERATIONAL ROTARY SHOCK IN A LOAD-UNLOAD (LUL) HARD DISK DRIVE | 5 |
Teck-Gyu Kang | US | San Jose | 2016-02-25 / 20160056058 - MICROELECTRONIC PACKAGES AND METHODS THEREFOR | 19 |
Sean Kang | US | San Jose | 2010-09-16 / 20100232070 - APPARATUS OF A SLIDER LIMITER FOR PROTECTING READ-WRITE HEAD FROM NON-OPERATIONAL SHOCK IN A HARD DISK DRIVE | 2 |
Dong-Ku Kang | KR | Yongin-Si | 2013-10-31 / 20130286741 - OVER-SAMPLING READ OPERATION FOR A FLASH MEMORY DEVICE | 13 |
Chang-Hee Kang | KR | Gyeongsan-Si Gyeongsangbuk-Do | 2010-09-02 / 20100218923 - COOLING / HEATING PANEL | 1 |
Seung-Ho Kang | KR | Daejeon-Shi | 2011-01-27 / 20110020689 - BATTERY SYSTEM HAVING BATTERY MODULE, THERMAL SWITCH, HEATING SOURCE AND PIN STRUCTURE | 1 |
Seok-Ju Kang | KR | Buk-Gu | 2010-06-10 / 20100140596 - ORGANIC THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Sang-Min Kang | KR | Siheung-Si | 2010-11-11 / 20100284171 - BACKLIGHT ASSEMBLY AND DISPLAY DEVICE HAVING THE SAME | 2 |
Sang-Min Kang | KR | Cheonon-Si | 2010-03-11 / 20100061117 - Backlight assembly and display device having the same | 1 |
Min Ju Kang | KR | Jeonju-Si | 2010-04-15 / 20100094715 - SYSTEM FOR CREATING MENU BOARD AND FOOD ORDERING SYSTEM USING ELECTRONIC MENU BOARD MODULE | 1 |
Hye Won Kang | KR | Daejeon | 2010-01-14 / 20100008998 - SUBMICRON NANOPARTICLE OF POORLY WATER SOLUBLE CAMPTOTHECIN DERIVATIVES AND PROCESS FOR PREPARATION THEREOF | 1 |
Won Seok Kang | KR | Seoul | 2014-01-16 / 20140016878 - METHOD FOR DIRECTIONAL ADAPTIVE IMAGE INTERPOLATION AND AN ELECTRONIC DEVICE THEREOF | 7 |
Myung-Sam Kang | KR | Seo-Gu | 2013-02-21 / 20130042472 - METHOD OF MANUFACTURING A PRINTED CIRCUIT BOARD HAVING EMBEDDED ELECTRONIC COMPONENTS | 4 |
Myung-Sam Kang | KR | Suwon-Si | 2016-04-07 / 20160100485 - PRINTED CIRCUIT BOARD AND MANUFACTURING METHOD THEREOF | 22 |
Myung-Sam Kang | KR | Hwaseong-Si | 2016-05-19 / 20160143132 - CIRCUIT BOARD AND METHOD OF MANUFACTURING THE SAME | 12 |
Byung Jun Kang | KR | Daejeon | 2012-02-09 / 20120032781 - REMOTE PERSONAL AUTHENTICATION SYSTEM AND METHOD USING BIOMETRICS | 2 |
Mun-Seok Kang | KR | Suwon-Si | 2010-12-16 / 20100315441 - LIGHT EMITTING DEVICE AND DRIVING METHOD THEREOF | 4 |
Mun-Seok Kang | KR | Yongin-Si | 2011-02-17 / 20110037748 - LIGHT EMITTING DEVICE AND DRIVING METHOD FOR THE SAME | 1 |
Sang Beom Kang | KR | Gyeonggi-Do | 2013-02-28 / 20130051114 - DATA READ CIRCUIT, A NON-VOLATILE MEMORY DEVICE HAVING THE SAME, AND A METHOD OF READING DATA FROM THE NON-VOLATILE MEMORY DEVICE | 3 |
Jung-Ho Kang | KR | Yongin-Si | 2009-07-09 / 20090174842 - DISPLAY DEVICE | 4 |
Jung-Ho Kang | KR | Suwon-Si | 2011-01-27 / 20110020140 - MICRO PUMP | 1 |
Jeung-Ku Kang | KR | Daejeon | 2016-03-17 / 20160079005 - METHOD FOR FABRICATING A HIGH EFFICIENCY BIO-PHOTOVOLTAIC CELLS BY USING PLASMONIC SILVER NANOPARTICLES AND NATURAL EXTRACTED GRAMINOIDS | 24 |
Dongho Kang | KR | Daejeon | 2009-04-09 / 20090094670 - SECURITY APPARATUS AND METHOD FOR ALL-IN-ONE MOBILE DEVICE USING SECURITY PROFILE | 1 |
Seok Hoon Kang | KR | Buk-Gu | 2010-09-16 / 20100232177 - LIGHT EMITTING DEVICE PACKAGE AND METHOD OF FABRICATING THE SAME | 1 |
Seung-Woo Kang | KR | Yuseong-Gu | 2008-10-09 / 20080248789 - MOBILE MIDDLEWARE SUPPORTING CONTEXT MONITORING AND CONTEXT MONITORING METHOD USING THE SAME | 1 |
Yoo Jin Kang | KR | Seoul | 2011-02-17 / 20110039534 - METHOD FOR CONTROLLING MOBILE TERMINAL AND MOBILE TERMINAL THEREOF | 1 |
Sung-Weon Kang | KR | Daejon | 2011-02-17 / 20110040492 - SYSTEM AND METHOD FOR MEASURING PHASE RESPONSE CHARACTERISTIC OF HUMAN-BODY IN HUMAN-BODY COMMUNICATION | 9 |
Tae June Kang | KR | Seoul | 2011-09-15 / 20110223065 - BIOMOLECULAR SENSOR WITH PLURAL METAL PLATES AND MANUFACTURING METHOD THEREOF | 10 |
Yoon Mo Kang | US | Scottsdale | 2008-08-21 / 20080199448 - ENZYME COMPOSITION FOR IMPROVING FOOD DIGESTION | 1 |
Tao Kang | US | Tempe | 2009-05-21 / 20090132348 - METHOD FOR DEAL-BASED PRICING AND ESTIMATION OF DEAL WINNING PROBABILITY USING MULTIPLE PROSPECTIVE MODELS | 1 |
Chang Yong Kang | US | Chandler | 2009-05-28 / 20090135922 - POWER SAVINGS IN OFDM-BASED WIRELESS COMMUNICATION | 2 |
Lih-Sheng Kang | US | Chandler | 2008-09-04 / 20080211210 - Inflatable Cushioning Device | 1 |
Albert Kang | US | Chandler | 2016-02-18 / 20160047334 - THRUST REVERSER ACTUATOR WITH PRIMARY LOCK | 8 |
Li-Hung Kang | US | Gilbert | 2011-02-10 / 20110032037 - POWER AMPLIFIER BIAS CIRCUIT HAVING CONTROLLABLE CURRENT PROFILE | 2 |
Seong-Woon Kang | KR | Suwon-Si | 2016-05-19 / 20160139411 - WEARABLE DEVICE AND METHOD FOR OUTPUTTING VIRTUAL IMAGE | 4 |
Hyo Jin Kang | KR | Daejeon | 2014-08-28 / 20140242606 - PROBE FOR iFRET AND USE THEREOF | 3 |
Chung Gu Kang | KR | Seoul | 2015-08-06 / 20150219463 - REAL-TIME TRANSPORTATION NETWORK TOPOLOGY CONTROL-COMBINED TRAFFIC FLOW CONTROL AND DYNAMIC ROUTE GUIDANCE SYSTEM USING IN-VEHICLE NAVIGATOR WITH BIDIRECTIONAL COMMUNICATION AND PARKING GUIDANCE AND RESERVATION SYSTEM USING THE SAME | 22 |
Sung K. Kang | US | Yorktown Heights | 2015-11-19 / 20150334830 - AXIOCENTRIC SCRUBBING LAND GRID ARRAY CONTACTS AND METHODS FOR FABRICATION | 3 |
Pengiu Kang | US | Yorktown Heights | 2011-02-10 / 20110033087 - VIDEO CONTENT ANALYSIS | 1 |
Pengju Kang | US | Yorktown Heights | 2015-04-30 / 20150120130 - VEHICLE CONTROL SYSTEM | 14 |
Yeona Kang | US | Coram | 2014-09-25 / 20140288848 - METHOD FOR DETERMINING AND PREDICTING PROTEIN AUTONOMOUS FOLDING | 4 |
Kiat C. Kang | US | Painted Post | 2010-10-28 / 20100269545 - GLASS FLOW MANAGEMENT BY THERMAL CONDITIONING | 1 |
Amy Kang | US | New York | 2010-09-09 / 20100228637 - Architecture for an Online Advertisement Bidding System | 2 |
Sung Kwon Kang | US | Chappaqua | 2010-03-11 / 20100062597 - Interconnection for flip-chip using lead-free solders and having improved reaction barrier layers | 3 |
Seoungil Kang | US | Poughkeepsie | 2014-12-25 / 20140374928 - TUBE DIFFUSER | 5 |
Donwoong Kang | US | Camillus | 2014-07-17 / 20140196332 - System and Method for Mounting a Badge on a Vehicle | 7 |
Nina Weiyu Kang | US | Brooklyn | 2011-06-16 / 20110145247 - INTERPRETING LOCAL SEARCH QUERIES | 2 |
Nina Kang | US | Brooklyn | 2014-03-27 / 20140089336 - SYSTEM AND METHOD OF DISPLAYING SEARCH RESULTS BASED ON DENSITY | 4 |
Chang Goo Kang | US | Ossining | 2010-02-18 / 20100042313 - Phone map | 1 |
Kwang S. Kang | US | Astoria | 2009-01-01 / 20090006560 - Terminating An Application Message Subscription | 2 |
William Kang | US | New York | 2008-11-13 / 20080277424 - Flip-top shaker | 1 |
Hee Bok Kang | KR | Cheongju-Si | 2013-12-12 / 20130329502 - NONVOLATILE MEMORY DEVICE AND METHOD FOR CONTROLLING THE SAME | 20 |
Kyoung-Taek Kang | KR | Seoul | 2010-12-02 / 20100300032 - PLATE PRODUCT USING NATURAL STONE FOR FLOOR AND WALL FINISHING | 1 |
Chia Hao Kang | TW | Tainan City | 2010-03-18 / 20100069795 - METHOD AND SYSTEM FOR CONTOUR FITTING AND POSTURE IDENTIFICATION, AND METHOD FOR CONTOUR MODEL ADAPTATION | 1 |
Wei-Chang Kang | TW | Tainan City | 2010-07-29 / 20100191035 - Percutaneous Intra-Aortic Ventricular Assist Device | 3 |
Yu-Li Kang | TW | Tainan City | 2010-09-23 / 20100241032 - METHOD OF STOPPING A BLEEDING FROM A FISTULA USING A TOURNIQUET DEVICE | 4 |
Hsin-Fa Kang | TW | Tainan City | 2012-09-20 / 20120234141 - TOOL FOR ASSEMBLING AND DISASSEMBLING THE FRONT AND THE REAR WHEEL AXLE OF A MOTORCYCLE | 6 |
Chang-Hoon Kang | KR | Jeollanam-Do | 2009-04-23 / 20090105066 - Catalyst for hydrocarbon steam cracking, method of preparing the same and method of preparing olefin by using the same | 1 |
Hyun-Ju Kang | KR | Yuseong-Gu | 2008-10-23 / 20080260167 - SOUND QUALITY DISPLAY APPARATUS, SOUND QUALITY DISPLAY METHOD, COMPUTER READABLE MEDIUM ON WHICH SOUND QUALITY DISPLAY PROGRAM IS RECORDED AND SOUND CAMERA | 1 |
Byeong-Gyu Kang | KR | Gyeongsangnam-Do | 2011-08-04 / 20110185759 - WATER FUNNEL AND ICE MAKER FOR REFRIGERATOR HAVING THE SAME | 12 |
Hee-Won Kang | KR | Seongam-Si | 2009-08-27 / 20090215390 - METHOD AND APPARATUS FOR ESTIMATING/REMOVING ECHO SIGNAL USING CHANNEL COEFFICIENT PREDICTING TECHNIQUE IN MULTI-CARRIER SYSTEM | 1 |
Dong Won Kang | KR | Daejeon | 2014-10-02 / 20140296999 - SENSOR NODE AND RELIABLE METHOD FOR TRACKING BOUNDARY OF CONTINUOUS OBJECTS USING ASSISTANCE NODE IN WIRELESS SENSOR NETWORK | 15 |
Ha-Yun Kang | KR | Asan-Si | 2015-02-12 / 20150042928 - DISPLAY DEVICE | 4 |
Eun-Jeong Kang | KR | Asan-Si | 2009-08-20 / 20090207345 - REFLECTIVE PLATE AND LIQUID CRYSTAL DISPLAY APPARATUS HAVING THE SAME | 2 |
Young Goo Kang | KR | Asan-Si | 2010-02-25 / 20100048902 - DI-(4-vinylpyridine) metal phthalocyanine compound, composition comprising the same and preparation method thereof | 2 |
Seung-Jae Kang | KR | Asan-Si | 2016-05-19 / 20160140920 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Tae-Gyu Kang | KR | Asan-Si | 2011-02-03 / 20110024919 - WIRING SUBSTRATE FOR A SEMICONDUCTOR CHIP AND SEMICONDUCTOR PACKAGE HAVING THE WIRING SUBSTRATE | 1 |
Nam-Soo Kang | KR | Asan-Si | 2010-05-13 / 20100118023 - LIQUID CRYSTAL DISPLAY | 2 |
Dong Yeon Kang | KR | Asan-Si | 2010-05-27 / 20100131892 - System and method for controlling semiconductor manufacturing equipment using user interface | 1 |
Sae-Ra Kang | KR | Asan-Si | 2011-05-12 / 20110108609 - Methods of fabricating graphene using alloy catalyst | 2 |
Moon Sun Kang | KR | Suwon-Si | 2015-05-28 / 20150144545 - FILTERING MEMBRANE MODULE AND FILTERING APPARATUS HAVING THE SAME | 3 |
Moon Sun Kang | KR | Gyeonggi-Do | 2010-10-28 / 20100270227 - SYSTEM AND METHOD FOR INSTALLING FILTERING MEMBRANE MODULE TO FRAME STRUCTURE | 1 |
Seong-Hoon Kang | KR | Suwon-Si | 2016-04-28 / 20160119160 - CONTROL DEVICE, METHOD OF CONTROLLING THE SAME, AND INTEGRATED CONTROL SYSTEM | 19 |
Seong-Hoon Kang | KR | Daejeon | 2016-04-14 / 20160104879 - METHOD FOR PREPARING CORE-SHELL STRUCTURED PARTICLE BY USING CONTINUOUS COUETTE-TAYLOR CRYSTALLIZER | 12 |
Hong Suk Kang | KR | Seoul | 2015-06-11 / 20150163340 - PORTABLE TERMINAL AND A METHOD FOR OPERATING THE SAME | 2 |
Nam Seok Kang | KR | Seoul | 2008-09-25 / 20080231186 - PLASMA DISPLAY PANEL, METHOD FOR MANUFACTURING THE SAME, AND RELATED TECHNOLOGIES | 1 |
Han Kang | KR | Seoul | 2010-10-28 / 20100273552 - Method for Authentication of Game Executing Authority Recording Medium for Storing Authentication Program of Game Executing Authority, and Server for Storing Authentication Program of Game Executing Authority | 2 |
Hong Seok Kang | KR | Seoul | 2010-09-09 / 20100228994 - SECURITY METHOD OF KEYBOARD INPUT DIRECTLY CONTROLLING THE KEYBOARD CONTROLLER | 1 |
Tae Young Kang | KR | Seoul | 2015-12-03 / 20150350272 - METHOD AND APPARATUS FOR SHARING CONTENT | 42 |
Minsoo Kang | KR | Daejeon-City | 2008-11-06 / 20080272369 - Organic electronic device | 1 |
Dae-Ho Kang | KR | Daejeon-City | 2008-11-27 / 20080293879 - Coating composition for dielectric insulating film, dielectric insulating film prepared therefrom, and electric or electronic device comprising the same | 1 |
Kyeongok Kang | KR | Daejeon-City | 2009-03-12 / 20090067503 - METHOD AND APPARATUS FOR VIDEO DATA ENCODING AND DECODING | 1 |
Shin Gak Kang | KR | Daejeon-City | 2009-03-26 / 20090080344 - Method for Configuring 1:N Overlay Multicast Network of Multicast Agent in Wireless LAN Environment and Multicast Agent Therefor | 1 |
Hoyong Kang | KR | Daejeon-City | 2009-06-18 / 20090156158 - SUPER REGENERATIVE RECEIVER AND METHOD OF SAVING POWER OF THE SAME | 1 |
Bub-Joo Kang | KR | Daejeon-City | 2012-12-06 / 20120307782 - METHOD OF FREQUENCY CHANNEL ASSIGNMENT USING EFFECTIVE SPECTRUM SENSING IN MULTIPLE FREQUENCY ASSIGNMENT SYSTEM | 4 |
Ho-Yong Kang | KR | Daejeon-City | 2011-01-13 / 20110006824 - WAKE-UP RECEIVER AND WAKE-UP METHOD USING DUTY CYCLING AND POWER OFF TECHNIQUE | 2 |
Byung-Yong Kang | KR | Daejeon-City | 2011-02-03 / 20110026923 - APPARATUS AND METHOD FOR OLT AND ONU FOR WAVELENGTH AGNOSTIC WAVELENGTH-DIVISION MULTIPLEXED PASSIVE OPTICAL NETWORKS | 1 |
Taegyu Kang | KR | Daejeon-City | 2010-06-03 / 20100135669 - VISIBLE LIGHT COMMUNICATION APPARATUS AND VISIBLE LIGHT COMMUNCIATION METHOD | 1 |
Dong-Oh Kang | KR | Daejeon-City | 2010-06-10 / 20100146507 - SYSTEM AND METHOD OF DELIVERY OF VIRTUAL MACHINE USING CONTEXT INFORMATION | 2 |
Whan-Koo Kang | KR | Daejeon-City | 2008-08-21 / 20080199920 - S-Adenosylmethionine-6-N-Lysine-Methyltransferase From Neurospora Crassa, A Gene Encoding The Same, A Vector And Host Cell Containing The Same, And Method For Producing Trimethyllysine Using The Host Cell | 1 |
Hye-Won Kang | KR | Daejeon-City | 2008-10-23 / 20080260850 - Delivery System For Bioactive Agents on the Basis of a Polymeric Drug Carrier Comprising an Amphiphilic Block Polymer and a Polylacticacid Derivative | 1 |
Sung Soo Kang | KR | Daejeon-City | 2009-06-11 / 20090147776 - Integrated switch and switching method for simultaneously processing voice and IP data in the same channel | 1 |
Sungsoo Kang | KR | Daejeon-City | 2009-06-18 / 20090154672 - PATH SETTING METHOD OF INTEGRATED SWITCH FOR SIMULTANEOUSLY PROVIDING REAL-TIME MOBILITY OF IP ADDRESS AND TELEPHONE NUMBER | 2 |
Dongho Kang | KR | Daejeon-City | 2009-06-25 / 20090163174 - DEVICE AND METHOD FOR DETECTING AND PREVENTING SENSITIVE INFORMATION LEAKAGE FROM PORTABLE TERMINAL | 1 |
Kyeong-Ok Kang | KR | Daejeon-City | 2009-06-25 / 20090161759 - METHOD AND APPARATUS FOR VIDEO CODING ON PIXEL-WISE PREDICTION | 1 |
Mangu Kang | KR | Daejeon-City | 2009-08-27 / 20090211630 - DYE-SENSITIZED SOLAR CELL AND METHOD OF MANUFACTURING THE SAME | 4 |
Sang-Jin Kang | KR | Daejeon-City | 2009-11-05 / 20090275527 - SKIN AGING TREATMENT COMPRISING PAEONIFLORIN | 2 |
Sook-Yang Kang | KR | Daejeon-City | 2009-12-17 / 20090313357 - METHOD FOR ESTABLISHING CONNECTION BETWEEN USER-NETWORK OF OTHER TECHNOLOGY AND DOMAIN NAME SYSTEM PROXY SERVER FOR CONTROLLING THE SAME | 1 |
You Sung Kang | KR | Daejeon-City | 2010-01-07 / 20100001840 - METHOD AND SYSTEM FOR AUTHENTICATING RFID TAG | 1 |
Hyunduk Kang | KR | Daejeon-City | 2010-01-14 / 20100008226 - METHOD OF ADJUSTING PCS THRESHOLD AND TERMINAL APPARATUS FOR HIGH DENSITY WIRELESS NETWORK | 1 |
Jong-Phil Kang | KR | Daejeon-City | 2010-01-28 / 20100021480 - BIOACTIVE SUBSTANCE-BLOOD PROTEIN CONJUGATE AND STABILIZATION OF A BIOACTIVE SUBSTANCE USING THE SAME | 1 |
Tae-Kyo Kang | KR | Daejeon-City | 2010-04-22 / 20100098205 - MODULAR REACTOR HEAD AREA ASSEMBLY | 1 |
Dongwook Kang | KR | Daejeon-City | 2010-06-17 / 20100153678 - MEMORY MANAGEMENT APPARATUS AND METHOD | 2 |
Taegun Kang | KR | Daejeon-City | 2010-07-01 / 20100168914 - DIAGNOSIS AND MANAGEMENT SERVER FOR MULTI-KINDS ROBOTS | 4 |
Min-Soo Kang | KR | Daejeon-City | 2011-10-06 / 20110241956 - CASSEGRAIN ANTENNA FOR HIGH GAIN | 5 |
Kyuchang Kang | KR | Daejeon-City | 2010-06-10 / 20100146507 - SYSTEM AND METHOD OF DELIVERY OF VIRTUAL MACHINE USING CONTEXT INFORMATION | 2 |
Dongoh Kang | KR | Daejeon-City | 2010-06-10 / 20100145872 - SERVER AND METHOD FOR MANAGING HEALTH CLUB | 1 |
Sang Mi Kang | KR | Daejeon-City | 2011-05-12 / 20110109837 - PRISM SHEET, BACK LIGHT UNIT INCLUDING THE PRISM SHEET, AND LIQUID CRYSTAL DISPLAY DEVICE INCLUDING THE BACK LIGHT UNIT | 1 |
Eun-Young Kang | KR | Seoul | 2011-11-17 / 20110281428 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE | 2 |
Nam-Soo Kang | KR | Ansan-City | 2008-08-21 / 20080198123 - LIQUID CRYSTAL DISPLAY, APPARATUS FOR DRIVING A LIQUID CRYSTAL DISPLAY, AND METHOD OF GENERATING GRAY VOLTAGES | 1 |
Bong-Su Kang | KR | Seoul | 2008-12-25 / 20080316334 - Apparatus and method for processing image | 3 |
Seung Kyu Kang | KR | Daejeon | 2014-01-23 / 20140024636 - SULFAMIDE DERIVATIVE HAVING AN ADAMANTYL GROUP AND ITS PHARMACEUTICALLY ACCEPTABLE SALT | 2 |
Hyun-Jun Kang | KR | Gyeonggi-Do | 2008-09-04 / 20080212958 - Protecting Apparatus for Small Image Photographing Devices | 1 |
Byeong-Gyu Kang | JP | Changwon City | 2010-03-18 / 20100064721 - ELEVATION ADJUSTMENT APPARATUS FOR SHELF IN REFRIGERATOR | 1 |
Byeong-Gyu Kang | KR | Changwon City | 2011-01-27 / 20110016905 - ICE-MAKING ASSEMBLY FOR REFRIGERATOR | 7 |
Suk Won Kang | KR | Seoul | 2011-01-27 / 20110018725 - HANDHELD SALINITY ANALYZER | 1 |
Jung-Min Kang | KR | Seoul, | 2014-08-21 / 20140233922 - METHOD AND APPARATUS FOR STORING BROADCAST PROGRAM | 13 |
Young-Soo Kang | KR | Seoul | 2015-09-10 / 20150256926 - MOBILE DEVICE AND METHOD FOR CONTROLLING SPEAKER | 7 |
Young-Soo Kang | KR | Cheongju | 2011-05-12 / 20110108951 - SEMICONDUCTOR DEVICE WITH MIM CAPACITOR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Ting Kang | CA | Kirkland | 2012-06-14 / 20120149632 - GLYCOPEPTIDE AND LIPOGLYCOPEPTIDE ANTIBIOTICS WITH IMPROVED SOLUBILITY | 3 |
Changshen Kang | CA | Ontario | / - | 1 |
Karam Singh Kang | CA | Kingston | 2010-06-24 / 20100159275 - Clad can stock | 3 |
Singh Japal Kang | CA | British Columbia | 2010-06-24 / 20100158926 - ANTIBODIES TO ERBB2 | 1 |
Michael Kang | CA | North Vancouver | 2012-04-19 / 20120092360 - Dual Modulation Using Concurrent Portions of Luminance Patterns in Temporal Fields | 3 |
Jaspal Singh Kang | CA | Burnaby | 2010-10-14 / 20100260765 - TARGETED BINDING AGENTS DIRECTED TO KDR AND USES THEREOF - 035 | 2 |
Jaspal Singh Kang | CA | Surrey | 2015-11-19 / 20150329850 - Generating Targeted Sequence Diversity in Proteins | 15 |
Jaspal Singh Kang | CA | British Columbia | 2013-04-11 / 20130089544 - Antibodies Directed to ERBB2 | 4 |
Yuanli Kathy Kang | CA | Mississauga | 2009-05-28 / 20090135628 - METHOD AND APPARATUS OF CAPACITOR DIVIDER BASED OFFLINE AC-DC CONVERTER | 1 |
Chil-Yong Kang | CA | London | 2014-12-18 / 20140370043 - VESICULAR STOMATITIS VIRUS FOR PRIME BOOST VACCINE | 3 |
Sun-Jin Kang | KR | Suwon-Si | 2010-09-02 / 20100219340 - APPARATUS AND METHOD FOR MEASURING SEMICONDUCTOR DEVICE | 1 |
Ho-Sung Kang | FR | Antony | 2010-07-15 / 20100176621 - Device for Energy Absorption Upon Impact for Automotive Vehicle Seat, Seat and Automotive Vehicle Comprising the Device | 1 |
Tae Han Kang | KR | Hwaseong-City | 2009-06-04 / 20090140552 - FRONT END MODULE OF A VEHICLE | 1 |
Young Sun Kang | KR | Gyeonggi-Do | 2011-01-27 / 20110021942 - APPARATUS AND METHOD OF ANALYZING CONSTITUENTS OF GAS IN ORAL CAVITY AND ALVEOLAR GAS | 1 |
Moonsoo Kang | KR | Daejeon | 2010-04-22 / 20100098048 - METHOD FOR HYBRID MEDIUM ACCESS CONTROL FOR VEHICLE COMMUNICATION | 1 |
Kyu-Min Kang | KR | Daejon | 2009-06-11 / 20090147667 - APPARATUS AND METHOD FOR DETECTING PACKET OF ZERO-PADDED OFDM SIGNAL | 1 |
Hyunduk Kang | KR | Daejon | 2009-06-18 / 20090154409 - METHOD FOR EVALUATING SPECTRUM UTILIZATION EFFICIENCY IN RADIO SYSTEM SHARING FREQUENCY | 2 |
Kun-Seok Kang | KR | Daejon | 2010-02-25 / 20100050035 - METHOD FOR PREVENTING CONSECUTIVE PACKET ERRORS IN SELECTIVE HYBRID ARQ SYSTEM | 7 |
Bub-Joo Kang | KR | Daejon | 2010-07-15 / 20100177645 - METHOD FOR MANAGING MULTIPLE FREQUENCY ASSIGNMENT USING TERMINAL'S RECEIVED PERFORMANCE IN OFDMA WRAN SYSTEM | 1 |
Chan Goo Kang | KR | Daejon | 2010-09-16 / 20100235256 - SYSTEM FOR ONLINE CONTENTS MARKETPLACE AND THE OPERATION METHOD THEREOF | 1 |
Kyeongok Kang | KR | Daejon | 2012-11-01 / 20120275609 - MULTI-OBJECT AUDIO ENCODING AND DECODING METHOD AND APPARATUS THEREOF | 6 |
Seung Woo Kang | KR | Daejon | 2011-01-27 / 20110022595 - ASPECT-LEVEL NEWS BROWSING SERVICE SYSTEM AND METHOD FOR MITIGATING EFFECTS OF MEDIA BIAS | 1 |
Byung-Su Kang | KR | Daejon | 2010-06-03 / 20100136939 - GAIN CONTROL APPARATUS AND METHOD IN RECEIVER OF MULTIBAND OFDM SYSTEM | 1 |
Ku-Hyun Kang | KR | Suwon-Si | 2012-05-17 / 20120122262 - THIN FILM SOLAR CELL MODULE AND METHOD OF MANUFACTURING THE SAME | 11 |
Young Kwon Kang | KR | Suwon-Si | 2009-04-30 / 20090109364 - EXPOSING DEVICE, METHODS FOR FORMING PATTERN, CHANNEL, AND HOLE BY USING THE SAME, AND LIQUID CRYSTAL DISPLAY DEVICE THEREWITH AND METHOD FOR FABRICATING THE SAME | 1 |
Young Kwon Kang | KR | Seoul | 2010-02-18 / 20100040416 - METHOD FOR CONSTRUCTION OF WASTE LANDFILLS | 1 |
Hee-Jun Kang | KR | Suwon-Si | 2009-06-04 / 20090140995 - CHARACTER INPUT METHOD AND APPARATUS IN PORTABLE TERMINAL HAVING TOUCH SCREEN | 1 |
Sang-Kil Kang | KR | Gyeonggi-Do | 2015-09-10 / 20150255466 - SEMICONDUCTOR DEVICE WITH LINE-TYPE AIR GAPS AND METHOD FOR FABRICATING THE SAME | 3 |
Nam Soo Kang | KR | Seoul | 2009-05-28 / 20090133752 - Organic Photovoltaic Device With Improved Power Conversion Efficiency And Method Of Manufacturing Same | 2 |
Sung Bum Kang | KR | Seoul | 2011-01-20 / 20110013015 - VISION INSPECTION SYSTEM AND INSPECTION METHOD USING THE SAME | 1 |
Seung-Soo Kang | KR | Uijeongbu-Si | 2015-09-10 / 20150256894 - METHOD FOR PROVIDING SEARCH SERVICE CONVERTIBLE BETWEEN SEARCH WINDOW AND IMAGE DISPLAY WINDOW AND DISPLAY APPARATUS APPLYING THE SAME | 5 |
Bongkoo Kang | KR | Pohang-Si | 2008-09-04 / 20080211404 - PLASMA DISPLAY PANEL, AND METHOD OF DRIVING AND MANUFACTURING THE SAME | 1 |
Hyo Jin Kang | KR | Pohang-Si | 2010-08-19 / 20100209945 - METHOD FOR PREPARING ANTIBODY MONOLAYERS WHICH HAVE CONTROLLED ORIENTATION USING PEPTIDE HYBRID | 2 |
Bong Koo Kang | KR | Pohang-Si | 2014-11-20 / 20140340022 - BALANCING CONTROL CIRCUIT FOR BATTERY CELL MODULE USING LC SERIES RESONANT CIRCUIT | 3 |
Hee-Jae Kang | KR | Pohang-Si | 2008-09-04 / 20080210346 - Method of Manufacturing Super Formable High Strength Steel Sheet | 1 |
In-Seok Kang | KR | Pohang-Si | 2011-01-20 / 20110015094 - APPARATUS AND METHOD FOR EJECTING DROPLETS USING CHARGE CONCENTRATION AND LIQUID BRIDGE BREAKUP | 6 |
Jin Kyu Kang | KR | Seoul | 2016-04-21 / 20160111165 - METHODS OF OPERATING A NONVOLATILE MEMORY DEVICE | 8 |
Hee-Bok Kang | KR | Kyoungki-Do | 2010-02-04 / 20100027362 - SEMICONDUCTOR MEMORY DEVICE FOR LOW VOLTAGE | 1 |
Hee-Bok Kang | KR | Gyeonggi-Do | 2012-02-09 / 20120031979 - RFID TAG | 3 |
Su Jin Kang | KR | Seoul | 2015-07-02 / 20150189345 - LOCATION BASED CONTENT PROVIDING SCHEME | 4 |
Sung Kwun Kang | KR | Cheonan-Si | 2011-01-20 / 20110015407 - METHOD FOR THE PREPARATION OF ATORVASTATIN AND INTERMEDIATES USED THEREIN | 1 |
Jae-Sung Kang | KR | Cheonan-Si | 2008-10-09 / 20080247243 - Semiconductor memory device including post package repair control circuit and post package repair method | 1 |
Seog Jin Kang | KR | Cheonan-Si | 2009-02-26 / 20090050179 - Cleaner composition consisting of alkalic agent, sodium polyacrylate and sterilizer and cleaning method using the same | 1 |
Kyung Kang | KR | Cheonan-Si | 2009-10-01 / 20090244035 - DISPLAY APPARATUS | 1 |
Dong Keum Kang | KR | Cheonan-Si | 2009-10-01 / 20090243704 - Internal voltage generator | 1 |
Dae-Hoon Kang | KR | Cheonan-Si | 2009-12-03 / 20090298499 - MOBILE TELECOMMUNICATION SERVICE SYSTEM AND METHOD USING PUBLIC FREQUENCY | 1 |
Jun Kee Kang | KR | Cheonan-Si | 2009-12-24 / 20090317981 - Substrate treating apparatus and method for selectively etching substrate surface | 1 |
Sang-Min Kang | KR | Cheonan-Si | 2009-12-24 / 20090316345 - DISPLAY DEVICE AND CASE FOR THE SAME | 1 |
Sun Goo Kang | KR | Cheonan-Si | 2009-12-31 / 20090327511 - Message conversion apparatus for integrated monitoring of industrial equipment | 1 |
Yong Gu Kang | KR | Cheonan-Si | 2012-12-20 / 20120319422 - CONSOLE BOX | 3 |
Young Soon Kang | KR | Cheonan-Si | 2010-05-27 / 20100131892 - System and method for controlling semiconductor manufacturing equipment using user interface | 1 |
Hee-Gyoung Kang | KR | Cheonan-Si | 2011-05-12 / 20110111306 - NON-AQUEOUS ELECTROLYTE SOLUTION FOR LITHIUM SECONDARY BATTERY AND LITHIUM SECONDARY BATTERY HAVING THE SAME | 1 |
Jong Ho Kang | KR | Gyeonggi-Do | 2008-11-20 / 20080288806 - Clock generation circuit and semiconductor memory apparatus having the same | 1 |
Sung-Jin Kang | KR | Seoul | 2008-11-13 / 20080279297 - Method and Apparatus for Decoding Transmission Signals in a Wireless Communication System | 1 |
Tae-Hoon Kang | KR | Suwon-Si | 2010-09-02 / 20100220927 - METHOD OF AND APPARATUS FOR CLASSIFYING IMAGE USING HISTOGRAM ANALYSIS, AND METHOD OF AND APPARATUS FOR RECOGNIZING TEXT IMAGE USING THE HISTOGRAM ANALYSIS | 2 |
Tae-Hoon Kang | KR | Seoul | 2016-01-28 / 20160028969 - DIGITAL PHOTOGRAPHING APPARATUS AND METHOD OF CONTROLLING THE DIGITAL PHOTOGRAPHING APPARATUS | 10 |
Moon Hee Kang | US | Atlanta | 2012-09-06 / 20120222741 - SOLAR CELL WITH IMPROVED PERFORMANCE | 3 |
Sang Hee Kang | KR | Seoul | 2009-12-03 / 20090299666 - Fourier Transform-Based Phasor Estimation Method and Apparatus Capable of Eliminating Influence of Exponentially Decaying DC Offsets | 1 |
Jeong Ki Kang | KR | Daejeon | 2008-11-27 / 20080292787 - Edging Process of Lens Using Transparent Coating Layer for Protecting Lens | 1 |
In Ho Kang | KR | Seoul | 2010-12-23 / 20100322004 - SEMICONDUCTOR MEMORY DEVICE AND ERASE METHOD IN THE SAME | 4 |
Doris Kang | US | Shrewsbury | 2012-07-05 / 20120171626 - COMPOSITIONS COMPRISING BASE-REACTIVE COMPONENT AND PROCESSES FOR PHOTOLITHOGRAPHY | 3 |
Joung-Mo Kang | US | Belmont | 2012-10-04 / 20120250875 - Portable Loudspeaker | 2 |
Seok Ho Kang | US | Marlborough | 2009-08-27 / 20090215976 - Photosensitive dielectric resin compositions, films formed therefrom and semiconductor and display devices encompassing such films | 1 |
Kisuk Kang | US | Somerville | 2010-10-21 / 20100264381 - OXIDES HAVING HIGH ENERGY DENSITIES | 1 |
Dongkyun Kang | US | Somerville | 2015-08-20 / 20150231841 - SYSTEM, METHOD, AND COMPUTER-ACCESSIBLE MEDIUM FOR FRABRICATION MINATURE EDOSCOPE USING SOFT LITHOGRAPHY | 7 |
Byoungwoo Kang | US | Cambridge | 2013-10-17 / 20130273425 - MIXED PHOSPHATE-DIPHOSPHATE ELECTRODE MATERIALS AND METHODS OF MANUFACTURING SAME | 5 |
Jing X. Kang | US | North Andover | 2009-07-09 / 20090178148 - Compositions and methods for modifying the content of polyunsaturated fatty acids in mammalian cells | 1 |
Byoung Heon Kang | US | Shrewsbury | 2014-05-22 / 20140142121 - MITOCHONDRIA-TARGETED ANTI-TUMOR AGENTS | 2 |
Tae Min Kang | KR | Seoul | 2015-09-24 / 20150270229 - SEMICONDUCTOR CHIP AND SEMICONDUCTOR PACKAGE HAVING THE SAME | 18 |
Young Seok Kang | KR | Yongin-Si | 2010-12-30 / 20100333141 - APPARATUS AND METHOD FOR EDITING A LIST OF DIGITAL BROADCAST CHANNELS | 2 |
Chun Soo Kang | KR | Seoul | 2011-06-30 / 20110159663 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING SPACER PATTERNING TECHNIQUE | 3 |
Chun Soo Kang | KR | Yongin-Si | 2013-08-01 / 20130196477 - METHODS OF FABRICATING A SEMICONDUCTOR DEVICE INCLUDING FINE PATTERNS | 7 |
Seung Won Kang | KR | Seoul | 2008-10-09 / 20080248753 - Method and apparatus for retransmitting data based on antenna scheduling in MIMO system | 1 |
Seung Won Kang | KR | Daejeon | 2010-05-06 / 20100112413 - SEPARATOR FOR HIGH-TEMPERATURE FUEL CELL | 1 |
Dae Im Kang | KR | Daejeon | 2013-07-04 / 20130168336 - STRUCTURE AND METHOD FOR ATTACHING TACTILE SENSOR TO CURVED SURFACE | 16 |
Jin Seok Kang | KR | Daejeon | 2014-05-08 / 20140127671 - Simultaneous Diagnosis Kit For a Disease Due to a Respiratory Virus | 2 |
Chang Won Kang | KR | Gyeonggi-Do | 2011-07-14 / 20110172970 - APPARATUS FOR REMOVING THE PARTIAL DISCHARGE NOISE OF AN ELECTRICAL POWER FACILITY AND APPARATUS FOR DETECTING A PARTIAL DISCHARGE GENERATED SECTION | 2 |
Kyu-Tae Kang | KR | Suwon-Si | 2015-08-27 / 20150244932 - TEST APPARATUS AND CONTROL METHOD THEREOF | 5 |
Sung-Kee Kang | KR | Yongin-Si | 2009-04-16 / 20090096358 - White organic light emitting device and color display apparatus employing the same | 1 |
Yung Ho Kang | US | Cupertino | 2010-12-30 / 20100332366 - METHOD AND SYSTEM TO FACILITATE ON-LINE TRADING | 2 |
Byung-Kwon Kang | KR | Suwon-Si | 2015-08-06 / 20150219879 - PHOTOGRAPHIC LENS AND ELECTRONIC APPARATUS | 8 |
Sungsoo Kang | KR | Seoul | 2010-12-23 / 20100322186 - IP HANDOFF METHOD IN MOBILE AGENT PLATFORM ENVIRONMENT | 1 |
Sung-Weon Kang | KR | Daejeon-City | 2010-12-23 / 20100322294 - HUMAN BODY COMMUNICATION DEVICE, HUMAN BODY COMMUNICATION SYSTEM AND METHOD USING THE SAME | 9 |
Dong-Jun Kang | KR | Daejeon | 2009-12-17 / 20090311441 - FABRICATION METHOD OF MICRO-OPTICAL ELEMENTS USING PHOTOIMAGEABLE HYBRID MATERIALS | 3 |
Ho-Chul Kang | KR | Gyeonggi-Do | 2010-06-10 / 20100139562 - SUBSTRATE TREATMENT APPARATUS | 1 |
Dong-Kyun Kang | KR | Goyang-Si | 2008-08-21 / 20080199231 - FUSING UNIT AND IMAGE FORMING APPARATUS USING THE SAME | 1 |
Dongwoo Kang | KR | Goyang-Si | 2012-08-23 / 20120212590 - IMAGE DISPLAY DEVICE | 2 |
Woo Jin Kang | KR | Goyang-Si | 2011-09-29 / 20110232497 - APPARATUS FOR PURIFYING AND HUMIDIFYING AIR | 3 |
Ho Il Kang | KR | Goyang-Si | 2009-10-01 / 20090243856 - SYSTEM FOR MANAGING CHEMICALS USING RFID | 1 |
Hyun Guy Kang | KR | Goyang-Si | 2013-12-26 / 20130345763 - PIN ASSEMBLY FOR OPERATION CAPABLE OF INTRODUCING DRUG | 2 |
Dong Hun Kang | KR | Goyang-Si | 2010-01-14 / 20100006466 - CORPORATE BODY OF TRANSPARENT RESIN AND PAPER COVER AND ADHESION METHOD THEREOF | 1 |
Se Hun Kang | KR | Goyang-Si | 2015-09-24 / 20150265731 - METHOD TO IMPROVE THE TUMOR DIAGNOSTIC EFFICIENCY OF MULTIVALENT LIGANDS BY REGULATING THE STOICHIOMETRIC RATIO BETWEEN INNER SURFACE FUNCTIONALITIES AND LIGAND MOIETIES FOR TUMOR TARGETING | 3 |
Hyun-Kyoo Kang | KR | Goyang-Si | 2010-12-16 / 20100313781 - FEEDFORWARD CONTROL OF DOWNSTREAM REGISTER ERRORS FOR ELECTRONIC ROLL-TO-ROLL PRINTING SYSTEM | 1 |
Khil-Ohk Kang | KR | Gyeonggi-Do | 2012-05-03 / 20120105141 - INTERNAL VOLTAGE GENERATION CIRCUIT AND INTEGRATED CIRCUIT INCLUDING THE SAME | 16 |
Myung-Hee Kang | KR | Seoul | 2015-04-02 / 20150095983 - OPERATION OF MOBILE DEVICE AS TRUSTED MOBILE WEB CLIENT OR TRUSTED MOBILE WEB SERVER | 4 |
Tae-Kyoung Kang | KR | Yongin-Si | 2008-12-04 / 20080297050 - PLASMA DISPLAY PANEL | 2 |
Tae-Kyoung Kang | KR | Chungcheongbuk-Do | 2010-06-24 / 20100157494 - ELECTROSTATIC DISCHARGE PROTECTION CIRCUIT | 2 |
Tae-Kyoung Kang | KR | Suwon-Si | 2010-12-16 / 20100315393 - PLASMA DISPLAY DEVICE | 6 |
Tae-Kyoung Kang | KR | Asan-Si | 2009-06-11 / 20090146925 - ADDRESS DATA PROCESSING DEVICE AND METHOD FOR PLASMA DISPLAY PANEL, AND RECORDING MEDIUM FOR STORING THE METHOD | 1 |
Hyun Soo Kang | KR | Seoul | 2010-06-10 / 20100142236 - POWER CONVERSION SYSTEM FOR ELIMINATING LOW FREQUENCY RIPPLE CURRENT AND CONTROL METHOD THEREOF | 1 |
Dong Wook Kang | KR | Daejeon | 2015-11-19 / 20150331569 - DEVICE FOR CONTROLLING USER INTERFACE, AND METHOD OF CONTROLLING USER INTERFACE THEREOF | 6 |
Dong Wook Kang | KR | Seoul | 2015-05-21 / 20150138317 - SYSTEM AND METHOD FOR PROVIDING THREE-DIMENSIONAL (3D) BROADCAST SERVICE BASED ON RETRANSMISSION NETWORKS | 15 |
Young-Mi Kang | KR | Yongin-Si | 2013-01-31 / 20130027403 - CONTENT INFORMATION DISPLAY METHOD AND APPARATUS | 5 |
Sing Bing Kang | US | Redmond | 2016-03-10 / 20160073094 - DEPTH MAP ENHANCEMENT | 36 |
Bo-Soo Kang | KR | Seoul | 2010-12-09 / 20100308297 - Heterojunction diode, method of manufacturing the same, and electronic device including the heterojunction diode | 8 |
Seok-Won Kang | KR | Gwangju-Si | 2010-12-09 / 20100309194 - METHOD OF DIMMING A LIGHT SOURCE AND DISPLAY APPARATUS FOR PERFORMING THE METHOD | 6 |
Hakryun Kang | KR | Gwangju-Si | 2010-01-07 / 20100001538 - CASE LOCKING DEVICE | 1 |
Hyung-Seo Kang | KR | Gwangju-Si | 2010-04-08 / 20100086310 - BIDIRECTIONAL OPTICAL TRANSCEIVER | 1 |
Dong-Wook Kang | KR | Yongin-Si | 2014-02-13 / 20140047482 - THREE-DIMENSIONAL ELECTRONIC PROGRAMMING GUIDE PROVIDING APPARATUS AND METHOD | 3 |
Chia-Feng Kang | TW | Taipei City | 2008-10-02 / 20080240059 - RESOURCE ALLOCATION METHOD OF SUBSCRIBER OF SERVICE NEGOTIATION SYSTEM | 1 |
Fang-Wei Kang | TW | Taipei City | 2010-02-11 / 20100036609 - NAVIGATION SYSTEMS AND NAVIGATION METHODS THEREOF | 3 |
Chia-Cheng Kang | TW | Taipei City | 2008-10-23 / 20080258109 - WHITE LIGHT EMITTING DIODE COMPONENT HAVING TWO PHOSPHORS AND RELATED PHOSPHOR AND FORMATION METHOD | 2 |
Hsin-Yu Kang | TW | Taipei City | 2008-11-13 / 20080281999 - ELECTRONIC SYSTEM WITH DIRECT MEMORY ACCESS AND METHOD THEREOF | 1 |
Shih-Chang Kang | TW | Taipei City | 2010-06-10 / 20100142520 - Mobile Communication Method and System Thereof | 1 |
Chih-Wei Kang | TW | Taipei City | 2010-12-09 / 20100309388 - METHOD FOR PERFORMING CHANNEL SCAN WITHIN A MULTI-CHANNEL BROADCASTING PROGRAM RECEIVER, AND ASSOCIATED MULTI-CHANNEL BROADCASTING PROGRAM RECEIVER | 1 |
Seung Hyun Kang | KR | Anyang-Si | 2016-03-31 / 20160094313 - METHOD AND APPARATUS FOR TRANSMITTING UPLINK DATA IN A WIRELESS ACCESS SYSTEM | 29 |
Jung-Suk Kang | KR | Seoul | 2012-01-19 / 20120014670 - METHOD AND APPARATUS FOR RECORDING MANUFACTURER INFORMATION ON A RECORDING MEDIUM AND FOR DETERMINING WHETHER THE MANUFACTURER INFORMATION IS EFFECTIVE | 9 |
Byung Young Kang | KR | Gyeonggi-Do | 2009-05-28 / 20090134608 - VEHICULAR AIR BAG DOOR | 1 |
Byung Young Kang | KR | Seoul | 2011-05-26 / 20110124719 - COMPOSITION FOR PROMOTING PRODUCTION OF HYALURONIC ACID CONTAINING KAEMPFEROL AND QUERCETIN | 5 |
Jae-Hyun Kang | KR | Gangnam-Gu | 2009-01-29 / 20090029559 - PHOTO MASK OF SEMICONDUCTOR DEVICE AND METHOD OF FORMING PATTERN USING THE SAME | 1 |
Jae-Hyun Kang | KR | Suwon-Si | 2013-07-11 / 20130176472 - DIGITAL PHOTOGRAPHING APPARATUS AND METHOD OF CONTROLLING THE SAME | 8 |
Jun-Han Kang | KR | Daejeon | 2015-12-31 / 20150375212 - OXIDATION CATALYST FOR PRODUCTION OF BUTADIENE AND METHOD OF PREPARING THE SAME | 8 |
Tae-Jun Kang | KR | Seoul | 2009-03-05 / 20090059535 - Cooling device coated with carbon nanotube and of manufacturing the same | 1 |
Myung Hee Kang | KR | Gyeonggi-Do | 2009-05-21 / 20090130811 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE WITH UNIFORM CONCENTRATION ION DOPING IN RECESS GATE CHANNEL REGION | 1 |
Seong Ho Kang | KR | Seoul | 2009-04-30 / 20090109136 - APPARATUS FOR DRIVING PLASMA DISPLAY PANEL AND PLASMA DISPLAY APPARATUS THEREOF | 1 |
Seong Ho Kang | KR | Buk-Gu | 2009-07-02 / 20090167642 - Method and apparatus for driving plasma display panel | 1 |
Seong Ho Kang | KR | Gyunggi-Do | 2011-09-29 / 20110236008 - CAMERA MODULE | 2 |
Seong Ho Kang | KR | Seongnam-Si | 2016-03-24 / 20160088201 - CAMERA MODULE | 4 |
Seong Ho Kang | KR | Kyungsangbuk-Do | 2010-12-02 / 20100302224 - PLASMA DISPLAY DEVICE | 3 |
Seong Ho Kang | KR | Seongnam | 2010-05-27 / 20100128371 - ACTUATOR, METHOD OF CONTROLLING THE SAME, AND CAMERA MODULE INCLUDING THE ACTUATOR | 1 |
Ho Joong Kang | KR | Gyeonggi-Do | 2009-09-24 / 20090239440 - SMART CUP HAVING PLAY MODULE AND METHOD OF MANUFACTURING THEREOF | 1 |
Ho Joong Kang | KR | Seoul | 2014-05-29 / 20140146292 - PROJECTION DISPLAY DEVICE FOR PROJECTING AN INPUT UNIT IMAGE | 3 |
Tae Hun Kang | KR | Gyeonggi-Do | 2012-03-15 / 20120060335 - SOLID ELECTROLYTIC CONDENSER AND APPARATUS AND METHOD FOR FORMING INSULATING LAYER OF THE SOLID ELECTROLYTIC CONDENSER | 2 |
Hee Bok Kang | KR | Chungcheongbuk-Do | 2015-02-26 / 20150053775 - INTEGRATED CIRCUIT AND MANUFACTURING METHOD THEREOF | 40 |
Ji-Ho Kang | KR | Suwon-Si | 2009-10-15 / 20090257181 - Organic light emitting diode display and method of manufacturing the same | 5 |
Kyung Suk Kang | KR | Yongin-Si | 2009-08-27 / 20090212409 - Stackable Semiconductor Package and Stack Method Thereof | 1 |
Sung-Taeg Kang | KR | Seoul | 2010-12-02 / 20100304540 - SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME | 1 |
Chung Seock Kang | KR | Gyeonggi-Do | 2009-02-26 / 20090054576 - Intermediate Transfer Belt and Manufacturing Method Thereof | 2 |
Chung Seock Kang | KR | Yongin-Si | 2013-08-15 / 20130208345 - ELECTROPHORESIS DISPLAY DEVICE AND PREPARATION METHOD OF THE SAME | 8 |
Chung Seock Kang | KR | Suji-Gu | 2010-04-08 / 20100085735 - OPTICAL SHEETS | 1 |
Hyung Suk Kang | KR | Seoul | 2010-12-02 / 20100306688 - IMAGE DISPLAY DEVICE AND OPERATION METHOD THEREFOR | 1 |
Un-Byoung Kang | KR | Hwasung-Si | 2008-11-20 / 20080284041 - SEMICONDUCTOR PACKAGE WITH THROUGH SILICON VIA AND RELATED METHOD OF FABRICATION | 1 |
Seong Hyuk Kang | KR | Hwasung-Si | 2009-06-18 / 20090151802 - Impulse charger for motor vehicle engines | 1 |
Won-Sik Kang | KR | Hwasung-Si | 2010-02-25 / 20100045656 - Display driver with charge pumping signals synchronized to different clocks for multiple modes | 1 |
Sang Beom Kang | KR | Hwasung-Si | 2013-05-23 / 20130128683 - Semiconductor Devices and Methods for Changing Operating Characteristics and Semiconductor Systems Including the Same | 7 |
Mi Kyeong Kang | KR | Hwasung-Si | 2010-10-28 / 20100274976 - Method of operating data storage device and device thereof | 2 |
Han Seong Kang | KR | Hwasung-Si | 2015-06-25 / 20150173553 - STEAM COOKING APPARATUS | 10 |
Xue Jun Kang | SG | Singapore | 2008-09-18 / 20080224173 - Fabrication Transistors | 2 |
Tien Yew Kang | SG | Singapore | 2015-08-13 / 20150229160 - POWER FAILURE PREVENTION SYSTEM AND CIRCUITS | 3 |
Raymond Kang | SG | Singapore | 2009-08-13 / 20090201652 - CIRCUIT WITH AN INTEGRATED SHIELD AND HEARING AID | 1 |
Xuejun Kang | SG | Science Park I | 2010-07-01 / 20100167501 - SEPARATION OF SEMICONDUCTOR DEVICES | 1 |
Xuejun Kang | SG | Singapore | 2010-11-25 / 20100295014 - IMPROVEMENTS IN EXTERNAL LIGHT EFFICIENCY OF LIGHT EMITTING DIODES | 2 |
Dae Sung Kang | KR | Gwangju | 2015-05-21 / 20150137070 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 15 |
Hyo Sik Kang | KR | Gwangju | 2015-07-09 / 20150192353 - GUARD ASSEMBLY AND REFRIGERATOR INCLUDING THE SAME | 6 |
Nam-Goo Kang | KR | Gwangju | 2012-06-07 / 20120138917 - BLUE-LIGHT-EMITTING IRIDIUM COMPLEX, IRIDIUM COMPLEX MONOMER, PHOSPHORUS POLYMER, AND ORGANIC ELECTROLUMINESCENCE DEVICE USING SAME | 2 |
Seok Hoon Kang | KR | Gwangju | 2013-07-11 / 20130175567 - LIGHT EMITTING DEVICE PACKAGE AND METHOD OF FABRICATING THE SAME | 3 |
Hyung-Sik Kang | KR | Gwangju | 2015-04-02 / 20150093793 - NOVEL METHOD FOR PRODUCING METABOLITES FROM OMEPRAZOLE USING BACTERIAL CYTOCHROME P450, AND COMPOSITION FOR SAME | 2 |
Dae-Woong Kang | KR | Seoul | 2011-07-07 / 20110163367 - Semiconductor Devices Comprising a Plurality of Gate Structures | 2 |
Sang Won Kang | KR | Gyeonggi-Do | 2016-03-03 / 20160063767 - METHOD FOR PROVIDING VISUAL REALITY SERVICE AND APPARATUS FOR THE SAME | 3 |
Sang Won Kang | KR | Sungnam | 2010-09-16 / 20100230657 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE | 2 |
In-Sun Kang | KR | Seoul | 2009-01-08 / 20090013139 - APPARATUS AND METHOD TO PREVENT DATA LOSS IN NONVOLATILE MEMORY | 1 |
Byoung-Il Kang | KR | Daejeon Metropolitan City | 2014-08-28 / 20140239523 - OPTICAL FILM AND METHOD OF MANUFACTURING THE SAME | 2 |
Byoung-Il Kang | KR | Yuseong-Gu | 2010-11-25 / 20100296031 - OPTICAL FILM, PROTECTION FILM FOR POLARIZER, POLARIZING PLATE FABRICATED THEREFROM, AND DISPLAY DEVICE EMPLOYING THEREOF | 1 |
Ho-Seong Kang | KR | Gyeonggi-Do | 2008-12-25 / 20080318351 - METHOD OF SETTING RECIPES OF A DEFECT TEST | 1 |
Jun-Mo Kang | US | Ann Arbor | 2015-12-17 / 20150361916 - METHOD AND APPARATUS FOR CONTROLLING OPERATION OF AN INTERNAL COMBUSTION ENGINE OPERATING IN HCCI COMBUSTION MODE | 62 |
Jung Shik Kang | KR | Seoul | 2016-05-19 / 20160137926 - GTL-FPSO SYSTEM FOR CONVERSION OF STRANDED GAS IN STRANDED GAS FIELDS AND ASSOCIATED GAS IN OIL-GAS FIELDS, AND PROCESS FOR PRODUCTION OF SYNTHETIC FUEL USING THE SAME | 8 |
Ishak Kang | US | El Cerrito | 2012-10-04 / 20120254425 - ONLINE RESOURCE SERVER FOR ALLOWING DEVICE CONTROL AND ACCESS TO DIGITAL CONTENT THROUGH PLUGGABLE USER INTERFACES | 3 |
Young Jong Kang | KR | Seoul | 2008-08-21 / 20080196341 - Modular Column System Using Internally Confined Hollow Column Unit and Method of Constructing the Same | 1 |
Moon Sik Kang | KR | Guri-Si | 2010-02-25 / 20100045898 - BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY DEVICE HAVING THE SAME | 2 |
Eun-Chul Kang | KR | Seoul | 2013-01-17 / 20130015881 - INTERLOCK CIRCUIT AND INTERLOCK SYSTEM INCLUDING THE SAME | 3 |
Won Sik Kang | KR | Seoul | 2015-09-17 / 20150262543 - DISPLAY DRIVING CIRCUIT AND A DISPLAY DEVICE HAVING THE SAME | 24 |
Tae-Hun Kang | KR | Yongin-Si | 2010-05-27 / 20100127731 - Antifuse circuit of inverter type and method of programming the same | 1 |
Hyun-Gu Kang | KR | Pohang-City | 2010-06-10 / 20100144035 - Delivery system for nucleic acids using cationic polymer conjugates | 1 |
Hyun-Gu Kang | KR | Kyungsangbukdo | 2011-05-12 / 20110111477 - LONG ACTING FORMULATION OF BIOPHARMACEUTICAL | 2 |
Jong-Min Kang | KR | Daejeon | 2009-07-23 / 20090183670 - APPARATUS FOR MANUFACTURING HIGH-QUALITY SEMICONDUCTOR SINGLE CRYSTAL INGOT AND METHOD USING THE SAME | 1 |
Jin Gu Kang | KR | Seoul | 2012-08-09 / 20120202675 - LOW TEMPERATURE CO-FIRED CERAMICS WITH LOW DIELECTRIC LOSS FOR MILLIMETER-WAVE APPLICATION | 3 |
Sang Heon Kang | KR | Ansan | 2010-11-18 / 20100292364 - Filler material for crowns, crown material containing same, and method of manufacturing thereof | 1 |
Kyoung-Moon Kang | KR | Gwangmyeong-Si | 2013-05-09 / 20130112914 - Slurry Composition For Polishing And Method Of Manufacturing Phase Change Memory Device Using The Same | 3 |
Kyoung-Moon Kang | KR | Gwangmyeong-City | 2009-01-29 / 20090029630 - Polishing pad, platen, method of monitoring, method of manufacturing, and method of detecting | 1 |
Kyoung-Moon Kang | KR | Gyeonggi-Do | 2009-02-12 / 20090042494 - PAD CONDITIONER OF SEMICONDUCTOR WAFER POLISHING APPARATUS AND MANUFACTURING METHOD THEREOF | 1 |
Young Gwang Kang | KR | Gumi-Si | 2008-10-23 / 20080259002 - PLASMA DISPLAY APPARATUS | 1 |
Seoung Ho Kang | KR | Gumi-Si | 2009-01-08 / 20090009424 - METHOD AND APPARATUS FOR DISPLAYING BROADCAST DATA USING PICTURE-IN-PICTURE | 1 |
Jun-Chul Kang | KR | Gumi-Si | 2009-01-08 / 20090009407 - MULTI-BAND ANTENNA FOR MOBILE PHONE | 1 |
Suk June Kang | KR | Gumi-Si | 2009-02-26 / 20090053875 - MANUFACTURING METHOD FOR SSOI SUBSTRATE | 1 |
Byung Koo Kang | KR | Gumi-Si | 2012-05-31 / 20120135552 - ARRAY SUBSTRATE FOR IN-PLANE SWITCHING MODE LIQUID CRYSTAL DISPLAY DEVICE INCLUDING PIXEL AND COMMON ELECTRODES ON THE SAME LAYER AND METHOD OF MANUFACTURING THE SAME | 2 |
Jin Ug Kang | KR | Gumi-Si | 2009-12-31 / 20090327472 - DRM TIME SETTING METHOD AND APPARATUS OF PORTABLE TERMINAL | 1 |
Chang Taek Kang | KR | Gumi-Si | 2010-01-14 / 20100009716 - DUAL STANDBY PORTABLE TERMINAL AND COMMUNICATION METHOD THEREOF | 5 |
Moon-Ku Kang | KR | Gumi-Si | 2010-01-28 / 20100021199 - MONOLITHIC SEALING MEMBER FOR IMAGE FORMING APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
In Shik Kang | KR | Gumi-Si | 2010-01-28 / 20100020725 - METHOD AND MOBILE TERMINAL FOR SEARCHING HOME NETWORK | 1 |
Na Young Kang | KR | Gumi-Si | 2010-01-28 / 20100020725 - METHOD AND MOBILE TERMINAL FOR SEARCHING HOME NETWORK | 1 |
Seung-Chul Kang | KR | Gumi-Si | 2011-10-13 / 20110250712 - COLOR ELECTROPHORETIC DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 5 |
Seok Dong Kang | KR | Gumi-Si | 2010-08-19 / 20100207915 - PLASMA DISPLAY APPARATUS | 1 |
Min Goo Kang | KR | Gumi-Si | 2010-11-18 / 20100293573 - APPARATUS AND METHOD FOR SEARCHING BROADCASTING CHANNEL | 1 |
Jeongho Kang | KR | Gumi-Si | 2010-06-03 / 20100134451 - Liquid crystal display device and driving method thereof | 1 |
Catherine Elizabeth Kang | US | Chicago | 2010-11-11 / 20100285113 - ENHANCED STABILITY OF INVERSE THERMAL GELLING COMPOSITE HYDROGELS | 1 |
Hu Kang | US | Evanston | 2011-11-17 / 20110278512 - Twisted Pi-Electron System Chromophore Compounds with Very Large Molecular Hyperpolarizabilities and Related Compositions and Devices | 2 |
Sun-Ho Kang | US | Naperville | 2015-11-19 / 20150333328 - Electrode Materials For Rechargeable Battery | 12 |
Yanling Kang | US | Northbrook | 2014-04-17 / 20140102210 - Pressure Sensor With Robustness Against Mounting Stress | 3 |
Un Jung Kang | US | Northbrook | 2009-02-05 / 20090036393 - Vesicular monoamine transporter gene therapy in Parkinson's disease | 1 |
Seesong Kang | US | Northbrook | 2014-09-11 / 20140255902 - DISPLAY BOARD ASSEMBLY | 2 |
Dong-Min Kang | KR | Gangwon-Do | 2010-11-11 / 20100286064 - POLYPEPTIDE INHIBITING TRANSMIGRATION OF LEUKOCYTES OR GROWTH AND/OR METASTASIS OF CANCER CELLS, AND FUSION PROTEIN THEREOF | 1 |
Sung Joo Kang | KR | Seoul | 2014-10-16 / 20140310209 - APPARATUS AND METHOD FOR SHARING TOPIC BETWEEN AUTONOMIC COMPUTING DEVICES | 5 |
Sung Joo Kang | KR | Daejeon | 2015-09-10 / 20150253747 - APPARATUS AND METHOD FOR ADAPTIVELY CONTROLLING A TARGET SYSTEM ACCORDING TO OPERATING ENVIRONMENT | 9 |
Sang-Gu Kang | KR | Seoul | 2008-12-04 / 20080297185 - Multi probe card unit, probe test device including the multi probe card unit, and methods of fabricating and using the same | 2 |
Sang-Gu Kang | KR | Gyeonggi-Do | 2010-02-11 / 20100034019 - SYSTEMS AND METHODS FOR PERFORMING A PROGRAM-VERIFY PROCESS ON A NONVOLATILE MEMORY BY SELECTIVELY PRE-CHARGING BIT LINES ASSOCIATED WITH MEMORY CELLS DURING THE VERIFY OPERATIONS | 2 |
Sung Su Kang | KR | Changwon City | 2010-09-16 / 20100229332 - VACUUM CLEANER | 2 |
Yong-Jin Kang | KR | Suwon-Si | 2016-03-17 / 20160081135 - DISPLAY APPARATUS AND CONTROL METHOD OF THE SAME | 13 |
Hee-Sung Kang | KR | Sungnam-Si | 2015-01-15 / 20150017804 - METHOD OF FORMING A PATTERN IN A SEMICONDUCTOR DEVICE AND METHOD OF FORMING A GATE USING THE SAME | 4 |
Kyu-Min Kang | KR | Daejeon | 2016-05-12 / 20160135135 - METHOD AND APPARATUS FOR COMMUNICATION FOR COEXISTING WITH WIRELESS-LAN IN NON-LICENSED BAND | 17 |
Young Ii Kang | KR | Daejeon | 2009-06-04 / 20090140439 - METHOD OF MANUFACTURING A CHIP AND A CHIP STACK | 1 |
Sang Gu Kang | KR | Suwon-Si | 2012-12-20 / 20120320675 - SEMICONDUCTOR MEMORY DEVICE AND RELATED METHOD OF PROGRAMMING | 17 |
Ho-Suk Kang | KR | Seoul | 2014-05-08 / 20140127558 - COMPOSITE ANODE ACTIVE MATERIAL, METHOD OF PREPARING THE COMPOSITE ANODE ACTIVE MATERIAL, AND LITHIUM BATTERY INCLUDING THE COMPOSITE ANODE ACTIVE MATERIAL | 18 |
Tae Gon Kang | KR | Suwon-Si | 2009-10-08 / 20090253855 - Polycarbonate-Polysiloxane Copolymer Resin Composition with High Impact Strength at Low Temperature and Mechanical Strength and Method for Preparing the Same | 1 |
Dong-Jo Kang | KR | Gyeonggi-Do | 2009-02-26 / 20090053538 - INTERCONNECTION STRUCTURE HAVING OXYGEN TRAP PATTERN IN SEMICONDUCTOR DEVICE | 1 |
Myeong-Joon Kang | KR | Pyungtaek | 2010-10-14 / 20100262838 - DIGITAL DATA FILE ENCRYPTION APPARATUS AND METHOD | 9 |
Ju-Hyun Kang | KR | Daejeon | 2015-12-31 / 20150375634 - CURRENT MEASURING RELAY DEVICE | 15 |
Han-Gu Kang | KR | Gyeonggi-Do | 2008-12-25 / 20080319963 - Method for Providing Information in Data Communication Network Using Private Page | 1 |
Han-Gu Kang | KR | Gyeong-Do | 2009-03-05 / 20090063405 - Method for Providing Information Using Data Communication Network | 1 |
Jaehwan Kang | KR | Daejeon | 2010-01-28 / 20100022895 - DIAGNOSIS SYSTEM OF DEFICIENT AND FORCEFUL PULSE | 1 |
Ji Hoon Kang | KR | Mokpo-Si | 2010-08-26 / 20100217112 - PET-MRI COMBINATION APPARATUS | 1 |
Ji Hoon Kang | KR | Suwon-Si | 2011-09-08 / 20110216439 - HEAD PARKING RAMP AND HARD DISK DRIVE HAVING THE SAME | 2 |
Jeong-Woo Kang | KR | Suwon-Si | 2012-11-01 / 20120274553 - DISPLAY APPARATUS AND CONTROL METHOD THEREOF | 3 |
Shin-Chul Kang | KR | Seoul | 2010-09-30 / 20100245253 - KEYPAD FOR ELECTRONIC DEVICE AND MANUFACTURING METHOD THEREOF | 8 |
Sang-Seok Kang | KR | Suwon-Si | 2015-09-17 / 20150262620 - MEMORY MODULE AND MEMORY SYSTEM | 9 |
Sang-Seok Kang | KR | Gyeonggi-Do | 2015-03-05 / 20150062999 - MEMORY DEVICE, MEMORY MODULE INCLUDING THE MEMORY DEVICE, METHOD OF FABRICATING THE MEMORY MODULE, AND METHOD OF REPAIRING THE MEMORY MODULE | 3 |
Tae-Joon Kang | KR | Gwangmyung-Si | 2009-07-02 / 20090172435 - METHOD OF MINIMIZING ELECTRIC POWER CONSUMPTION IN NON-BEACON NETWORK | 2 |
Ki-Bong Kang | KR | Kyungsangbook-Do | 2009-01-22 / 20090020196 - Cold Rolled Steel Sheet Having Aging Resistance and Superior Formability, and Process for Producing the Same | 1 |
Min-Jong Kang | US | Woodbridge | 2010-09-30 / 20100247538 - IL-18 and Protein Kinase R Inhibition for the Treatment of COPD | 1 |
Young-Ju Kang | KR | Seoul | 2010-07-15 / 20100176084 - SQUEEZE FOR SCREEN PRINTER | 3 |
Seung-Joo Kang | KR | Seoul | 2008-12-18 / 20080311648 - Biosensor for analyzing quantitatively analyte with a predetermined size and larger than, and manufacturing method thereof | 1 |
Sang-Ki Kang | KR | Jeonju-City | 2009-05-28 / 20090136872 - PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR PREPARING THE SAME, AND DRY FILM RESIST COMPRISING THE SAME | 1 |
Sang-Ki Kang | KR | Gyeonggi-Do | 2015-08-06 / 20150222849 - METHOD AND APPARATUS FOR TRANSMITTING FILE DURING VIDEO CALL IN ELECTRONIC DEVICE | 6 |
Sang-Ki Kang | KR | Suwon-Si | 2014-03-20 / 20140079141 - METHOD AND APPARATUS FOR REMOVING ACOUSTIC INCIDENT SIGNAL | 4 |
Kyung-Koo Kang | KR | Gyeonggi-Do | 2016-02-11 / 20160039804 - NOVEL OXAZOLIDINONE DERIVATIVE AS CETP INHIBITOR, ITS PREPARATION METHOD, AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 6 |
Kyu-Ho Kang | KR | Seoul | 2010-09-16 / 20100235932 - ANIMAL MODELS CARRYING TUMORS EXPRESSING HUMAN LIVER CANCER-SPECIFIC ANTIGEN AND METHOD FOR ANALYZING PREVENTION AND TREATMENT EFFICACY OF DENDRITIC CELLS-DERIVED IMMUNOTHERAPEUTICS USING THE ABOVE | 1 |
Byung-Jin Kang | KR | Suwon-Si | / - | 1 |
Tae Hyun Kang | KR | Seoul | 2009-03-05 / 20090061383 - Dental clinical instrument | 1 |
Seongnam Kang | KR | Seoul | 2009-07-09 / 20090176888 - COMPOSITION COMPRISING PHYTOSPHINGOSINE OR DERIVATIVE THEREOF | 1 |
Ho Sung Kang | KR | Seoul | 2015-04-23 / 20150111070 - STARTING APPARATUS AND METHOD OF FUEL CELL VEHICLE | 4 |
Hyun Jong Kang | KR | Seoul | 2009-03-12 / 20090066522 - Emergency guidance lamp system for guiding to nearest exit in the event of fire | 1 |
Kui-Won Kang | KR | Icheon-Si | 2009-05-28 / 20090133902 - Printed circuit board | 1 |
Doo Sung Kang | KR | Gyeonggi-Do | 2009-01-15 / 20090018339 - Process For Preparing Crystalline Form A Of Lansoprazole | 1 |
Seung Bum Kang | KR | Cheongju-City | 2009-04-23 / 20090101148 - CONTINUOUS POSITIVE AIRWAY PRESSURE DEVICE BY CONTROLLING THE PRESSURE IN THE FACE MASK | 1 |
Ho-Min Kang | KR | Suwon-Si | 2011-05-12 / 20110107593 - TOUCH PANEL, DISPLAY DEVICE WITH THE SAME, AND METHOD FOR MANUFACTURING THE DISPLAY DEVICE | 3 |
Jeong-Kyu Kang | KR | Icheon-Si | 2010-01-28 / 20100019303 - METHOD FOR FORMING CONDUCTIVE PATTERN, SEMICONDUCTOR DEVICE USING THE SAME AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING THE SAME | 1 |
Jeong-Kyu Kang | KR | Kyoungki-Do | 2009-02-12 / 20090039463 - FUSE BOX AND METHOD FOR FABRICATING THE SAME AND METHOD FOR REPAIRING THE SAME IN SEMICONDUCTOR DEVICE | 1 |
Byeong-Chul Kang | KR | Busan-City | 2008-10-23 / 20080261206 - Oligonucleotide for Detection of a Microorganism, Diagnostic Kits and Methods for Detection of Microorganisms Using the Oligonucleotide | 1 |
Jong-Hyuk Kang | KR | Suwon-Si | 2015-11-12 / 20150323164 - BACKLIGHT UNIT AND DISPLAY APPARATUS HAVING THE SAME | 33 |
Mi-Sun Kang | KR | Gyeonggi-Do | 2010-03-04 / 20100053212 - PORTABLE DEVICE HAVING IMAGE OVERLAY FUNCTION AND METHOD OF OVERLAYING IMAGE IN PORTABLE DEVICE | 1 |
Mi-Sun Kang | KR | Seoul | 2010-08-26 / 20100215624 - Pharmaceutical Compositions for Treating Rheumatoid Arthritis Comprising Semi-Mature Dendritic Cell | 2 |
Byung Chang Kang | KR | Gyeonggi-Do | 2009-01-08 / 20090010215 - METHOD OF ALLOCATING WIRELESS RESOURCE FOR SPACE DIVISION MULTIPLE ACCESS COMMUNICATION AND WIRELESS RESOURCE ALLOCATION SYSTEM OF ENABLING THE METHOD | 1 |
Sung Woo Kang | US | Rockville | 2009-09-24 / 20090238806 - TREATMENT OF INTERVERTEBRAL DISC DEGENERATION | 1 |
Kuk-Jin Kang | KR | Seoul | 2009-03-12 / 20090070376 - METHOD OF CONTROLLING DISPLAY OF COMMENTS | 1 |
Kuk-Jin Kang | KR | Gangnam-Gu | 2009-04-09 / 20090094345 - NEWS SERVER FOR TRACKBACK BETWEEN NEWS AND BLOG AND METHOD FOR INTERCONNECTION THEREOF | 1 |
Tae Won Kang | KR | Seoul | 2012-12-20 / 20120319083 - NANOROD SEMICONDUCTOR DEVICE HAVING A CONTACT STRUCTURE, AND METHOD FOR MANUFACTURING SAME | 4 |
Joo-Ho Kang | KR | Seoul | 2010-03-18 / 20100068881 - Method of forming metallization in a semiconductor device using selective plasma treatment | 1 |
Hyun Kang | KR | Seongnam-Si | 2008-10-09 / 20080246666 - Mobile terminal | 1 |
Hyun Kang | KR | Suwon-Si | 2009-07-09 / 20090174627 - PLASMA DISPLAY AND DRIVING DEVICE AND METHOD THEREOF | 5 |
Hyun Kang | KR | Hwaseong-Si | 2010-05-06 / 20100111443 - IMAGE PROCESSING APPARATUS AND METHOD | 1 |
Hyun Kang | KR | Daejeon | 2014-03-13 / 20140070052 - SMART HELIPAD FOR SUPPORTING LANDING OF VERTICAL TAKEOFF AND LANDING AIRCRAFT, SYSTEM INCLUDING THE SMART HELIPAD, AND METHOD OF PROVIDING THE SMART HELIPAD | 8 |
Hyun Kang | US | Belmont | 2010-08-12 / 20100203087 - CHEMOREPULSION OF CELLS | 2 |
Sang-Woo Kang | KR | Seoul | 2016-04-14 / 20160104478 - VOICE RECOGNITION METHOD USING MACHINE LEARNING | 7 |
Hee Kang | KR | Seoul | 2014-06-05 / 20140153820 - IMAGE PROCESSING APPARATUS AND METHOD OF PROCESSING IMAGE | 5 |
Eui-Su Kang | KR | Anyang-City | 2010-06-24 / 20100155706 - Material for organic photoelectric device including electron transporting unit and hole transporting unit, and organic photoelectric device including the same | 1 |
Kwang Yong Kang | KR | Daejeon-City | 2010-08-05 / 20100193824 - 2-TERMINAL SEMICONDUCTOR DEVICE USING ABRUPT METAL-INSULATOR TRANSITION SEMICONDUCTOR MATERIAL | 13 |
Bong Soon Kang | KR | Busan | 2014-12-04 / 20140355831 - APPARATUS, METHOD AND COMPUTER-READABLE RECORDING MEDIUM FOR DETECTING MOVING OBJECT USING DEPTH MAP | 16 |
Jong-Ho Kang | KR | Seoul | 2016-03-10 / 20160068515 - NOVEL TRIAZOLONE DERIVATIVES OR SALTS THEREOF AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 2 |
Jong-Ho Kang | KR | Kyoungki-Do | 2009-05-07 / 20090116601 - Clock data recovery circuit and method for operating the same | 1 |
Hee Bok Kang | KR | Daejeon | 2010-07-29 / 20100188882 - NONVOLATILE FERROELECTRIC MEMORY AND CONTROL DEVICE USING THE SAME | 8 |
Seong Mo Kang | KR | Yongin-Si | 2009-02-26 / 20090050220 - Valve-Intensive Button for Adjusting Height of Cushion Seat for Vehicle | 1 |
Sung-Ku Kang | KR | Yongin-City | 2016-04-14 / 20160103520 - TOUCH SCREEN PANEL | 61 |
Hee Sung Kang | KR | Gyeonggi-Do | 2012-08-30 / 20120220120 - METHOD FOR FABRICATING BURIED BIT LINE IN SEMICONDUCTOR DEVICE | 3 |
Yong Gu Kang | KR | Cheongju-Si | 2009-10-01 / 20090244994 - Data strobe signal generating circuit capable of easily obtaining valid data window | 1 |
Min Jae Kang | KR | Cheongju-Si | 2010-07-15 / 20100176116 - Cooking apparatus and heating device including working coils thereof | 4 |
Hyoung-Gu Kang | KR | Cheongju-Si | 2010-07-22 / 20100182547 - Optical film, preparation method of the same, and liquid crystal display comprising the same | 1 |
In Soo Kang | KR | Cheongju-Si | 2012-06-14 / 20120146216 - SEMICONDUCTOR PACKAGE AND FABRICATION METHOD THEREOF | 2 |
Seon Ha Kang | KR | Cheongju-Si | 2010-01-28 / 20100021045 - Manufacturing method of printed circuit board and manufacturing apparatus for the same | 1 |
Tae-Hyeong Kang | KR | Cheongju-Si | 2010-05-20 / 20100123235 - PACKAGE ON PACKAGE SUBSTRATE | 1 |
Byung Suk Kang | KR | Seoul | 2010-04-15 / 20100095079 - DEVICE FOR PROCESSING INFORMATION AND WORKING METHOD THEREOF | 2 |
Kyung In Kang | KR | Yongin | 2008-12-18 / 20080308189 - LEAD FREE SOLDER CONTAINING Sn, Ag AND Bi | 1 |
Sin-Gu Kang | KR | Yongin | 2014-05-15 / 20140132495 - MODULE FOR DETERMINING THE DRIVING SIGNAL TIMING AND A METHOD FOR DRIVING A LIQUID CRYSTAL DISPLAY PANEL | 3 |
Jong Koo Kang | KR | Yongin | 2008-09-25 / 20080231297 - Method for calibrating semiconductor device tester | 1 |
Joo Rak Kang | KR | Yongin | 2009-06-11 / 20090147719 - METHOD OF TRANSMITTING DATA TO MULTI DESTINATIONS IN WIRELESS LAN SYSTEM | 1 |
Joong Seo Kang | KR | Yongin | 2009-07-09 / 20090173965 - METHOD OF MANUFACTURING NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE MANUFACTURED USING THE METHOD | 1 |
Dong Hoon Kang | KR | Yongin | 2010-07-22 / 20100183224 - METHOD AND SYSTEM FOR EVALUATING CURRENT SPREADING OF LIGHT EMITTING DEVICE | 5 |
Jong Hyun Kang | KR | Yongin | 2011-05-12 / 20110109518 - CAR REAR GLASS ANTENNA | 1 |
Bo-Ram Kang | KR | Suwon-Si | 2012-05-10 / 20120112123 - ETCHING COMPOSITION FOR AN UNDER-BUMP METALLURGY LAYER | 2 |
Sean S. Kang | US | San Ramon | 2015-10-08 / 20150287612 - SPACER FORMATION | 14 |
Byung Chan Kang | US | Downey | 2014-09-25 / 20140289776 - SYSTEM AND METHOD FOR FILTERING A TELEVISION CHANNEL LIST BASED ON CHANNEL CHARACTERISTICS | 6 |
Min-Gu Kang | KR | Yongin-Si | 2009-06-04 / 20090142892 - Method of fabricating semiconductor device having thin strained relaxation buffer pattern and related device | 1 |
Min-Gu Kang | KR | Seoul | 2016-04-14 / 20160103452 - SYSTEM AND METHOD FOR MANAGING WATER IN WATER PIPE NETWORK | 6 |
Min-Gu Kang | KR | Daejeon | 2014-10-16 / 20140306150 - Layered Lithium Nickel Oxide, Process for Producing the Same and Lithium Secondary Cell Employing It | 4 |
Sung Han Kang | ID | Jakarta Selatan | 2010-06-17 / 20100147326 - INTERDENTAL CLEANER WITH DENTAL FLOSS | 1 |
Byoung-Ho Kang | KR | Yongin-Si | 2009-03-19 / 20090074396 - Auto-focus method, medium, and apparatus for image-capturing | 2 |
Suk Jin Kang | KR | Seoul | 2011-07-28 / 20110180840 - LED PACKAGE | 3 |
Jinyoung Kang | KR | Seoul | 2009-11-19 / 20090287091 - Apparatus and method for generating high resolution image of human body using terahertz electromagnetic wave and endoscope using the same | 1 |
Sang-Kook Kang | KR | Gyeongsan-Si | 2010-07-01 / 20100164382 - APPARATUS AND METHOD FOR PROVIDING A FAIL-SAFE IN A HEAD LAMP APPARATUS | 1 |
Tae-Woo Kang | KR | Gyeonggi-Do | 2009-06-25 / 20090162989 - METHODS OF MANUFACTURING A SEMICONDUCTOR DEVICE USING A LAYER SUSPENDED ACROSS A TRENCH | 2 |
Min Hee Kang | US | Irvine | 2009-07-09 / 20090175623 - OPTICAL SIGNAL DETECTOR | 2 |
Dong Woo Kang | KR | Seoul | 2015-07-02 / 20150188377 - COMPRESSOR, MOTOR INCLUDED THEREIN, AND METHOD FOR MANUFACTURING THE MOTOR | 5 |
Dong Woo Kang | KR | Gumi-Si | 2011-11-24 / 20110287561 - THIN FILM TRANSISTOR ARRAY SUBSTRATE WITH IMPROVED TEST TERMINALS | 3 |
Dong Woo Kang | KR | Kyungsangnam-Do | 2010-02-25 / 20100043499 - CONTROL UNIT OF LAUNDRY PROCESSING APPARATUS | 1 |
Dong Woo Kang | KR | Gyeongsangnam-Do | 2013-01-31 / 20130026969 - TUBE-STRUCTURED BATTERY TO BE INSERTED INTO LIVING BODY | 3 |
Dong Woo Kang | KR | Dong-Gu | 2010-06-17 / 20100148278 - Semiconductor Device and Fabricating Method Thereof | 2 |
Dong Woo Kang | KR | Gyeonggi-Do | 2015-07-09 / 20150195027 - METHOD AND APPARATUS FOR TRANSCEIVING FOR BEAM FORMING IN WIRELESS COMMUNICATION SYSTEM | 6 |
Dong Woo Kang | KR | Gwagju-Si | 2010-07-01 / 20100167458 - Thin film type solar cell and method for manufacturing the same | 1 |
Dong Woo Kang | KR | Changwon-Si | 2013-07-25 / 20130185914 - LAUNDRY TREATING APPARAUS | 6 |
Dong Woo Kang | KR | Goyang-Si | 2016-04-28 / 20160117975 - DATA CONVERSION UNIT AND METHOD | 3 |
Myung-Sam Kang | KR | Daejeon | 2011-12-15 / 20110303636 - Method of manufacturing mounting substrate | 14 |
Sung K. Kang | US | Chappaqua | 2016-04-21 / 20160113119 - SUBSTRATE VIA FILLING | 14 |
Kwang Yong Kang | KR | Daejeon | 2013-06-20 / 20130156437 - TERAHERTZ TRANSMITTER | 17 |
Seung Beom Kang | KR | Chungcheongbuk-Do | 2012-06-28 / 20120166144 - DEVICE CHARACTERISTICS MEASUREMENT METHOD USING AN ALL-OPTOELECTRONIC TERAHERTZ PHOTOMIXING SYSTEM AND SPECTRAL CHARACTERISTICS MEASUREMENT METHOD OF TERAHERTZ MEASURING APPARATUS USING THE SAME | 3 |
Seog-Goo Kang | KR | Chungcheongbuk-Do | 2008-08-21 / 20080200593 - Functional Adhesive, Construction Material Using the Same, and Method For Preparing the Adhesive | 1 |
Seoung Hyun Kang | KR | Chungcheongbuk-Do | 2012-01-12 / 20120007187 - SEMICONDUCTOR DEVICE AND METHOD OF FORMING GATE AND METAL LINE THEREOF | 2 |
Kwang Choong Kang | KR | Chungcheongbuk-Do | 2010-04-15 / 20100089291 - FLAKE PIGMENT AND PREPARATION METHOD THEREOF AND COSMETIC USING THE SAME | 4 |
Young-Soo Kang | KR | Chungcheongbuk-Do | 2010-06-24 / 20100155889 - CAPACITOR AND METHOD FOR FABRICATING THE SAME | 1 |
Dong-Gu Kang | KR | Yongin-Si | 2008-08-28 / 20080205152 - FLASH MEMORY DEVICE FOR OVER-SAMPLING READ AND INTERFACING METHOD THEREOF | 1 |
Kap-Seok Kang | KR | Gyeongsangbuk-Do | 2010-06-24 / 20100159367 - A HALF TONE MASK HAVING MULTI-HALF PERMEATION PART AND A METHOD OF MANUFACTURING THE SAME | 1 |
Seung-Chul Kang | KR | Gyeongsangbuk-Do | 2010-06-24 / 20100156779 - ELECTROPHORETIC DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Byung-Gil Kang | KR | Gyeongsangbuk-Do | 2010-06-03 / 20100138194 - METHOD OF ANTHROPOMETRIC PRODUCT DESIGN AND MEDIA THAT CAN RECORD COMPUTER PROGRAM FOR METHOD THE SAME | 1 |
Koo-Woon Kang | KR | Gyeongsangbuk-Do | 2009-12-17 / 20090310057 - Liquid crystal display device | 1 |
Jeong Ho Kang | KR | Gyeongsangbuk-Do | 2010-02-04 / 20100027910 - METHOD FOR MINIMIZING DATA TRANSITION AND CIRCUIT FOR MINIMIZING DATA TRANSITION | 2 |
In Su Kang | KR | Gyeongsangbuk-Do | 2010-02-25 / 20100049763 - System for Providing Service of Knowledge Extension and Inference Based on DBMS, and Method for the Same | 3 |
Pilsung Kang | KR | Paju-Si | 2010-06-17 / 20100149082 - Liquid crystal display and method of driving the same | 2 |
Pilsung Kang | KR | Gyeonggi-Do | 2010-06-24 / 20100156885 - LIQUID CRYSTAL DISPLAY AND METHOD OF DRIVING THE SAME | 1 |
Hyun-Chang Kang | KR | Suwon-Si | 2008-11-13 / 20080278521 - Display device and driving method thereof | 1 |
Hyeon Jin Kang | KR | Seoul | 2016-04-14 / 20160105841 - Apparatus and Method for Transmitting/Receiving Power Transmitting Unit Presence Information in Wireless Charging Network | 19 |
Sung-Ki Kang | KR | Gyeonggi-Do | 2010-06-24 / 20100158137 - APPARATUS AND METHOD FOR SUPPRESSING NOISE IN RECEIVER | 1 |
Kyung Won Kang | KR | Suwon-Si | 2015-04-30 / 20150117988 - CASSETTE TRANSFER APPARATUS AND CASSETTE TRANSFERRING METHOD USING THE SAME | 2 |
Kyung Won Kang | KR | Busan Metropolitan City | 2010-01-28 / 20100022271 - APPARATUS AND METHOD FOR CONTROLLING CAMERA OF PORTABLE TERMINAL | 1 |
Seok-Heon Kang | KR | Seoul | 2008-11-20 / 20080285591 - Apparatus and Method for Deciding Transmission Format Using Variable Frame Length and Decoding Method Using the Same | 1 |
Tae-Wook Kang | KR | Suwon-Si | 2012-11-15 / 20120288975 - ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 11 |
Byung Soo Kang | KR | Yongin-Si | 2009-12-10 / 20090302580 - AIRBAG DEPLOYMENT SYSTEM | 1 |
Jang Hee Kang | KR | Daejeon | 2014-03-20 / 20140082674 - APPARATUS, SYSTEM, AND METHOD FOR PROCESSING DATA | 2 |
Min Kang | KR | Seoul | 2016-04-21 / 20160109793 - MASK, METHOD OF MANUFACTURING THE SAME, AND METHOD OF MANUFACTURING A DISPLAY PANEL USING THE SAME | 37 |
Tae Kyu Kang | KR | Daejeon | 2015-11-26 / 20150341284 - LINK ESTABLISHING METHOD ON MULTI-CORE FIBER, LINK ESTABLISHING DEVICE, AND LINK PARTNER DEVICE | 7 |
Taejoon Kang | KR | Daejeon | 2013-05-23 / 20130128366 - OPTICAL NANOANTENNA USING SINGLE-CRYSTALLINE SILVER NANOWIRE, METHOD OF MANUFACTURING THE SAME AND OPTICAL NANOANTENNA USING SINGLE-CRYSTALLINE METAL NANOWIRE | 3 |
Hyeong Won Kang | KR | Seoul | 2012-06-14 / 20120146822 - SUCCESSIVE APPROXIMATION REGISTER ANALOG-TO-DIGITAL CONVERTER AND ANALOG-TO-DIGITAL CONVERSION METHOD USING THE SAME | 4 |
Tae-Min Kang | KR | Suwon-Si | 2014-11-13 / 20140335445 - MASK AND METHOD OF MANUFACTURING THE SAME | 13 |
Eun-Jeong Kang | KR | Cheonan-Si | 2010-05-13 / 20100117102 - LIGHT EMITTING DIODES AND BACKLIGHT UNIT HAVING THE SAME | 11 |
James Kang | US | Wilmington | 2010-05-13 / 20100120850 - Quinoline Derivatives, Pharmaceutical Compositions Comprising Them, and Their Use in Treating Central Nervous System and Peripheral Diseases | 12 |
Kyeong-Ok Kang | KR | Daejon | 2014-07-03 / 20140185810 - OBJECT-BASED 3-DIMENSIONAL AUDIO SERVICE SYSTEM USING PRESET AUDIO SCENES | 17 |
Jaspal S. Kang | CA | Surrey | 2015-07-16 / 20150197577 - PSMA ANTIBODIES AND USES THEREOF | 12 |
Sung-Kwan Kang | KR | Seoul | 2011-11-03 / 20110266627 - SEMICONDUCTOR DEVICE | 3 |
Dae Hyun Kang | KR | Seoul | 2009-12-10 / 20090305197 - Apparatus and System For Simulating of Shooting a Grenade Launcher | 1 |
Dae Hyun Kang | KR | Namyangju-Si | 2010-04-15 / 20100094542 - Method for displaying intersection enlargement in navigation device | 1 |
Ki-Hun Kang | KR | Sungnam-Si | 2008-11-27 / 20080291249 - Ink recharging system for ink cartridge, bulk ink cartridge used in said system, and ink recharging method using them | 1 |
Duk-Jin Kang | KR | Suwon-Si | 2008-12-04 / 20080301728 - USER INTERFACE FOR THE IMAGE PROCESSING APPARATUS | 1 |
Ki Dong Kang | KR | Seoul | 2016-05-19 / 20160138931 - NAVIGATION DEVICE, SYSTEM FOR INPUTTING LOCATION TO NAVIGATION DEVICE, AND METHOD FOR INPUTTING LOCATION TO THE NAVIGATION DEVICE FROM A TERMINAL | 4 |
Jin-Goo Kang | KR | Suwon-Si | 2013-05-09 / 20130113732 - TOUCH SCREEN AND MOBILE DEVICE WITH THE SAME | 3 |
Yong Gu Kang | KR | Ichon | 2009-04-09 / 20090091363 - DLL CIRCUIT | 1 |
Dong Keum Kang | KR | Ichon | 2012-01-19 / 20120013318 - VOLTAGE DOWN CONVERTER | 3 |
Khil Ohk Kang | KR | Ichon | 2011-11-17 / 20110280087 - CIRCUIT FOR SUPPLYING A REFERENCE VOLTAGE IN A SEMICONDUCTOR MEMORY DEVICE FOR TESTING AN INTERNAL VOLTAGE GENERATOR THEREIN | 3 |
Hyun Seok Kang | KR | Ichon | 2009-12-31 / 20090321708 - PHASE CHANGE MEMORY DEVICE HAVING PROTECTIVE LAYER AND METHOD FOR MANUFACTURING THE SAME | 2 |
Shin-Deok Kang | KR | Ichon | 2010-04-22 / 20100097865 - DATA TRANSMISSION CIRCUIT AND A SEMICONDUCTOR INTEGRATED CIRCUIT USING THE SAME | 5 |
Seung Kyung Kang | KR | Gyeonggi-Do | 2009-08-06 / 20090194525 - HEATING ELEMENT USING CARBON NANO TUBE | 1 |
Seung Kyung Kang | KR | Anseong-Si | 2010-04-15 / 20100090171 - HIGH CONDUCTIVE PASTE COMPOSITE AND METHOD OF PRODUCTING THE SAME | 1 |
Jae Sung Kang | KR | Seongnam-Si | 2009-01-08 / 20090013363 - BROADCAST SYSTEM AND BROADCAST RECEPTION METHOD AND APPARATUS THEREOF | 3 |
Jae Sung Kang | KR | Suwon-Si | 2010-04-15 / 20100091002 - Switching circuit, DC-DC converter and display driver integrated circuit including the same | 5 |
Sunhee Kang | KR | Daejeon | 2010-02-11 / 20100035866 - NOVEL SUBSTITUTED-1, 1-DIOXO-BENZO[1,2,4]THIADIAZIN-3ONES, PREPARATION METHOD THEREOF, AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 1 |
Shin-Chan Kang | KR | Seoul | 2008-11-20 / 20080288688 - Bus system and method of arbitrating the same | 1 |
Shin-Chan Kang | KR | Yongin-Si | 2010-04-08 / 20100088564 - SEMICONDUCTOR IC INCORPORATING A CO-DEBUGGING FUNCTION AND TEST SYSTEM | 2 |
Hye-Ran Kang | KR | Kyoungki-Do | 2008-09-25 / 20080230516 - Method for forming fine patterns using etching slope of hard mask layer in semiconductor device | 1 |
Hye-Ran Kang | KR | Icheon-Si | 2009-11-26 / 20090289297 - CHARGE TRAP-TYPE NON-VOLATILE MEMORY DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Jeong Suk Kang | KR | Jeonlabuk-Do | 2010-03-04 / 20100051397 - MOUNTING UNIT AND BRAKE DISK WITH THE MOUNTING UNIT | 1 |
Yoon-Ho Kang | KR | Gyeonggi-Do | 2009-01-29 / 20090027431 - INKJET APPARATUS AND DRIVING METHOD, AND MANUFACTURING METHOD OF DISPLAY APPARATUS USING THE SAME | 2 |
Yoon-Ho Kang | KR | Yonin-Si | 2009-06-11 / 20090147188 - DISPLAY AND METHOD OF MANUFACTURING THE SAME | 1 |
Yoon-Ho Kang | KR | Seoul | 2010-03-18 / 20100065848 - TFT Substrate and Method of Fabricating the Same | 1 |
Sang-Hwan Kang | KR | Gyeonggi-Do | 2014-07-10 / 20140194501 - COMPOSITION FOR EYELASH GROWTH | 2 |
Byung Woo Kang | KR | Seoul | 2014-06-12 / 20140160584 - LENS ACTUATING MODULE | 8 |
Yangiun Kang | KR | Gyungsangnam-Do | 2009-04-16 / 20090095572 - Oil Valve Assembly of Linear Compressor | 1 |
Yangjun Kang | KR | Gyungsangnam-Do | 2010-02-11 / 20100034676 - Mounting Structure of Linear Compressor | 3 |
Kyoung-Seok Kang | KR | Gyungsangnam-Do | 2010-02-11 / 20100034676 - Mounting Structure of Linear Compressor | 4 |
Byung Yoon Kang | KR | Suwon-Si | 2012-01-05 / 20120005488 - ENCRYPTION PROCESSOR OF MEMORY CARD AND METHOD FOR WRITING AND READING DATA USING THE SAME | 2 |
Byung Yoon Kang | KR | Gyeonggi-Do | 2009-07-09 / 20090177819 - INTEGRATED CIRCUIT CARDS INCLUDING MULTIPLE COMMUNICATION INTERFACES AND RELATED METHODS OF OPERATION | 3 |
Tae-Soo Kang | KR | Gyeonggi-Do | 2009-09-24 / 20090236655 - INTEGRATED CIRCUIT DEVICE GATE STRUCTURES | 2 |
Eui-Jeong Kang | KR | Chungcheongnam-Do | 2009-12-03 / 20090295309 - FEEDBACK CONTROL OF LIGHTING-EMITTING BLOCKS IN A DISPLAY APPARATUS | 2 |
Eui-Jeong Kang | KR | Asan-Si | 2015-09-24 / 20150268409 - BACKLIGHT ASSEMBLY AND DISPLAY APPARATUS HAVING THE SAME | 22 |
Su Hyuk Kang | KR | Seoul | 2011-06-09 / 20110133199 - ARRRAY SUBSTRATE FOR LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Seong-Jong Kang | KR | Suwon-Si | / - | 1 |
Khil-Ohk Kang | KR | Kyoungki-Do | 2011-10-06 / 20110242920 - VOLTAGE SENSING CIRCUIT CAPABLE OF CONTROLLING A PUMP VOLTAGE STABLY GENERATED IN A LOW VOLTAGE ENVIRONMENT | 13 |
Ki-Sang Kang | KR | Yongin-Si | 2009-08-06 / 20090199059 - Semiconductor memory test device and method thereof | 1 |
Ki-Sang Kang | KR | Gyeonggi-Do | 2009-08-20 / 20090206856 - WAFER BURN-IN SYSTEM WITH PROBE COOLING | 1 |
Su Won Kang | KR | Seoul | 2008-10-16 / 20080253470 - OFDM receiving circuit having multiple demodulation paths | 3 |
Seok-Won Kang | KR | Kwangju-Si | 2009-10-22 / 20090262057 - LIQUID CRYSTAL DISPLAY AND METHOD OF DRIVING THE SAME | 1 |
Joon Won Kang | US | Redmond | 2009-06-11 / 20090146773 - LATERAL SNAP ACTING MEMS MICRO SWITCH | 1 |
Dong-Ok Kang | KR | Kwacheon | 2008-12-11 / 20080302034 - TWO-WAY PRE-STRESS SYSTEM AND BENDING DEVICE THEREFOR | 2 |
Nam Hee Kang | KR | Gwangju-City | 2009-04-16 / 20090097993 - Hermetic compressor | 1 |
Pil-Yong Kang | KR | Yongin-Si | 2009-04-23 / 20090106843 - SECURITY RISK EVALUATION METHOD FOR EFFECTIVE THREAT MANAGEMENT | 1 |
Il Kwon Kang | KR | Suwon-Si | 2013-07-18 / 20130183060 - IMAGE FORMING APPARATUS | 3 |
Seong Ho Kang | KR | Gumi-Si | 2009-05-07 / 20090115702 - METHOD OF DRIVING PLASMA DISPLAY PANEL AND PLASMA DISPLAY APPARATUS THEREOF | 8 |
Kook-Jin Kang | KR | Daejeon | 2008-11-13 / 20080277274 - Electrolytic Sterilizing Apparatus for Ship Ballast Water | 1 |
Seung-Bum Kang | KR | Suwon-Si | 2008-08-28 / 20080209201 - APPARATUS AND METHOD FOR UPDATING BOOT LOGO IMAGE IN PORTABLE TERMINAL | 1 |
Kyoung-Doo Kang | KR | Suwon-Si | 2009-04-09 / 20090091236 - Plasma display panel having alignment structures and method of fabricating the same | 11 |
Myong-Ho Kang | KR | Changwon | 2009-02-12 / 20090038657 - DISHWASHER RACK AND COMPACT TYPE DISHWASHER HAVING THE SAME | 3 |
Myong-Ho Kang | KR | Gyeongaangnam-Do | 2009-03-12 / 20090065035 - DISHWASHER | 1 |
Tae Kyoung Kang | KR | Chungbuk | 2009-01-22 / 20090021505 - DISPLAY DRIVING CIRCUIT | 1 |
Min Hun Kang | KR | Seoul | 2015-11-05 / 20150319282 - MOBILE TERMINAL AND METHOD OF CONTROLLING THE MOBILE TERMINAL | 9 |
Mu Chang Kang | TW | Taipei | 2011-05-19 / 20110115345 - Extendable instrument cabinet | 1 |
Hsuan-Liang Kang | TW | Taipei | 2011-05-19 / 20110114803 - CIRCUIT SUPPORT APPARATUS FOR MEDICAL USE AND PIVOT MECHANISM THEREOF | 1 |
Hyun Jung Kang | KR | Taejon | 2011-05-19 / 20110117104 - MONOCLONAL ANTIBODY SPECIFIC TO ANTHRAX TOXIN | 1 |
Hyun Seo Kang | KR | Yongin-Si | 2014-07-03 / 20140186315 - COSMETIC COMPOSITION CONTAINING GREEN TEA COMPONENT | 3 |
Chan Koo Kang | KR | Yongin-Si | 2011-05-19 / 20110117220 - PREPARATION METHOD OF PLANT EXTRACT USING HIGH PRESSURE-ENZYMATIC DECOMPOSITION TECHNIQUE AND THE COSMETIC COMPOSITION CONTAINING THE EXTRACT | 1 |
Sang Won Kang | US | San Jose | 2014-12-18 / 20140367696 - FORMATION OF GROUP III-V MATERIAL LAYERS ON PATTERNED SUBSTRATES | 9 |
Min-Jee Kang | KR | Gumi-Si | 2011-05-19 / 20110117846 - METHOD FOR USING vNote IN PORTABLE TERMINAL | 1 |
Uk-Song Kang | KR | Yongin-Si | 2011-05-26 / 20110122716 - DYNAMIC RANDOM ACCESS MEMORY DEVICE AND METHOD OF DETERMINING REFRESH CYCLE THEREOF | 8 |
Joon Seok Kang | KR | Suwon-Si | 2014-12-04 / 20140353004 - INSULATION RESIN COMPOSITION FOR PRINTED CIRCUIT BOARD HAVING IMPROVED THERMAL CONDUCTIVITY AND ELECTRICAL PROPERTIES, INSULATING FILM, PREPREG AND PRINTED CIRCUIT BOARD | 14 |
Man Gu Kang | KR | Daejeon-City | 2009-04-02 / 20090084434 - NANOCOMPOSITE AND METHOD OF FABRICATING THE SAME AND DYE-SENSITIZED SOLAR CELL USING THE NANOCOMPOSITE | 1 |
Hyo-Rang Kang | KR | Yongin-Si | 2011-07-07 / 20110162960 - METHOD OF PREPARING AN ELECTRODE FOR A CAPACITIVE DEIONIZATION DEVICE, AN ELECTRODE FOR A CAPACITIVE DEIONIZATION DEVICE, AND A CAPACITIVE DEIONIZATION DEVICE HAVING THE ELECTRODE | 2 |
Gun Soon Kang | KR | Suwon-Si | 2011-05-26 / 20110119900 - MANUFACTURING METHOD OF CIRCLE TYPE TERMINAL USED IN DRIVING MOTOR OF HYBRID VEHICLE | 1 |
Eun-Suk Kang | KR | Suwon-Si | 2009-04-02 / 20090086566 - SEMICONDUCTOR MEMORY DEVICE CAPABLE OF PERFORMING PAGE MODE OPERATION | 1 |
Kui-Ho Kang | KR | Gumi-Si | 2009-04-02 / 20090087011 - PORTABLE TERMINAL WITH BUILT-IN ANTENNA | 1 |
Jihye Kang | US | Gaithersburg | 2011-05-26 / 20110124578 - AMINO ACID INHIBITORS OF CYTOCHROME P450 | 1 |
Sukill Kang | US | Knoxville | 2009-04-02 / 20090088325 - High performance electrical, magnetic, electromagnetic and electrooptical devices enabled by three dimensionally ordered nanodots and nanorods | 1 |
Jae Ho Kang | KR | Seoul | 2009-04-02 / 20090089279 - Method and Apparatus for Detecting Spam User Created Content | 1 |
Chang Seog Kang | KR | Gwangju | 2013-03-28 / 20130078551 - METHOD FOR MANUFACTURING UNIT CELLS OF SOLID OXIDE FUEL CELL | 2 |
Rui Kang | CN | Guangdong Province | 2011-05-26 / 20110122860 - METHOD FOR SUB-CHANNELIZATION AND RESOURCE MAPPING OF WIRELESS RESOURCES | 1 |
Byung-Jun Kang | KR | Seoul | 2011-05-26 / 20110123072 - FINGERPRINT VERIFICATION METHOD AND APPARATUS WITH HIGH SECURITY | 1 |
Jin Gu Kang | KR | Daejeon | 2013-11-28 / 20130312254 - METHOD FOR MANUFACTURING A VALUABLE-METAL SULFURIC-ACID SOLUTION FROM A WASTE BATTERY, AND METHOD FOR MANUFACTURING A POSITIVE ELECTRODE ACTIVE MATERIAL | 3 |
Jin-Hee Kang | KR | Yongin-City | 2014-05-08 / 20140124769 - FLAT PANEL DISPLAY DEVICE | 15 |
Sung Hyun Kang | KR | Hwaseong | 2012-05-31 / 20120133495 - HAPTIC SCROLL WHEEL SWITCH FOR VEHICLE | 2 |
Sang Sun Kang | KR | Hwaseong | 2012-05-17 / 20120120525 - Motor and recording disk drive device | 3 |
Myung Sam Kang | KR | Hwaseong | 2016-05-19 / 20160143129 - CIRCUIT BOARD | 10 |
Chang Won Kang | KR | Hwaseong | 2012-06-14 / 20120145283 - CARBURIZATION HEAT TREATMENT METHOD AND METHOD OF USE | 2 |
Moon-Sung Kang | KR | Suwon-Si | 2011-06-02 / 20110126908 - DYE SENSITIZED SOLAR CELL | 8 |
Tae-Wook Kang | KR | Yongin-City | 2015-12-31 / 20150380650 - DONOR MASK AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS | 31 |
Dal Mo Kang | KR | Daejeon | 2016-05-19 / 20160141712 - BATTERY MODULE ARRAY | 58 |
Hee Bok Kang | KR | Cheongju | 2014-08-28 / 20140242758 - WAFER AND METHOD FOR FORMING THE SAME | 19 |
Jeonhwan Kang | KR | Daegu | 2011-06-02 / 20110126656 - SHIFT LEVER WITH BUTTON TYPE SHIFT KNOB | 1 |
Taekyu Kang | US | Wilmington | 2012-07-05 / 20120171629 - Flameless Combustion Burner | 3 |
Dae Lyun Kang | KR | Suwon | 2013-09-12 / 20130239131 - MOTOR HAVING A DISK SUPPORT MEMBER AND A DISK DRIVE DEVICE HAVING THE SAME | 8 |
Seoung Hyun Kang | KR | Ichon-Si | 2011-06-02 / 20110128800 - SEMICONDUCTOR MEMORY APPARATUS | 1 |
Tae Goo Kang | SG | Singapore | 2011-06-02 / 20110129910 - COOLING DEVICE, AND ASSEMBLY, AND METHODS FOR LOWERING TEMPERATURE IN A CHEMICAL REACTION | 1 |
Yu-Cheng Kang | TW | Chung Ho City | 2011-06-09 / 20110136356 - FLASH MEMORY DEVICE WITH SLIDABLE CONTACT MODULE | 2 |
Young Yun Kang | KR | Pohang-Si | 2011-10-06 / 20110244790 - RELAY AND METHOD FOR SIGNAL TRANSMISSION THEREOF | 2 |
Hyun-Joon Kang | KR | Hwaseong-Si | 2015-07-23 / 20150206560 - CIRCUIT FOR CONTROLLING WRITE LEVELING OF A TARGET MODULE AND A METHOD THEREOF | 6 |
Shin Gak Kang | KR | Daejeon | 2015-07-09 / 20150195159 - APPARATUS AND METHOD FOR PROCESSING MPEG MEDIA TRANSPORT SIGNALING MESSAGE | 21 |
Jung-Won Kang | KR | Seoul | 2013-11-07 / 20130294506 - METHOD AND APPARATUS FOR DEFINING AND RECONSTRUCTING ROIS IN SCALABLE VIDEO CODING | 15 |
Woo-Jun Kang | KR | Seoul | 2011-05-12 / 20110109518 - CAR REAR GLASS ANTENNA | 2 |
Hee Yong Kang | KR | Daejeon | 2010-04-08 / 20100088059 - ABNORMAL SIMULATION SIGNAL ANALYSIS METHODS AND ABNORMAL SIGNAL SIMULATION ANALYSIS MODULE FOR 4.about.20mA INSTRUMENTAL SYSTEM | 1 |
Sung Han Kang | KR | Hwaseong-Si | 2011-06-09 / 20110132299 - VARIABLE VALVE LIFT APPARATUS | 2 |
Jintae Kang | KR | Hwaseong-Si | 2011-06-09 / 20110134620 - MEMORY CARDS AND ELECTRONIC MACHINES | 1 |
Mon Soo Kang | KR | Daegu | 2011-06-09 / 20110134104 - LIQUID CRYSTAL DISPLAY DEVICE WITH GATE-IN-PANEL STRUCTURE | 1 |
Jeong-Ho Kang | KR | Daegu | 2012-07-19 / 20120185087 - TWO-WHEEL TYPE THROWING ROBOT | 2 |
Se-Chun Kang | KR | Suwon-Si | 2011-06-09 / 20110134140 - APPARATUS AND METHOD FOR KEY LAYOUT ARRANGEMENT IN PORTABLE TERMINAL | 1 |
Pil-Sang Kang | KR | Daejon | 2011-06-09 / 20110134424 - METHOD OF MEASURING GADOLINIA CONTENT USING INDUCTIVELY COUPLED PLASMA-ATOMIC EMISSION SPECTROMETRY | 1 |
Dong-Ho Kang | KR | Gumi-Si | 2011-06-09 / 20110136274 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Xinhai Kang | US | Milipitas | 2011-06-09 / 20110138112 - Virtualization of Storage Devices | 1 |
Juwan Kang | US | 2011-06-16 / 20110139236 - SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 1 | |
Sae-Kyoung Kang | US | 2011-06-16 / 20110142453 - OPTICAL TRANSCEIVER AND METHOD FOR CONTROLLING THE SAME | 1 | |
Dae Sung Kang | KR | Seoul | 2014-12-04 / 20140353580 - LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM | 13 |
Sung-Gyu Kang | KR | Yongin-Si | 2011-06-16 / 20110141195 - Nozzle plate and method of manufacturing the same | 1 |
Tae Young Kang | KR | Daejeon | 2012-05-03 / 20120105285 - APPARATUS AND METHOD FOR ESTIMATING ANGLE OF ARRIVAL IN REAL TIME | 16 |
Dong Won Kang | KR | Daejeon | 2014-10-02 / 20140296999 - SENSOR NODE AND RELIABLE METHOD FOR TRACKING BOUNDARY OF CONTINUOUS OBJECTS USING ASSISTANCE NODE IN WIRELESS SENSOR NETWORK | 15 |
Dong Wan Kang | KR | Seoul | 2015-06-25 / 20150180893 - BEHAVIOR DETECTION SYSTEM FOR DETECTING ABNORMAL BEHAVIOR | 6 |
Sung Sik Kang | KR | Gyeongsangnam-Do | 2011-06-23 / 20110146312 - REFRIGERATOR | 1 |
Hsuan-Liang Kang | TW | Taipei City | 2011-06-23 / 20110146688 - RESPIRATORY MASK | 1 |
Sang Woo Kang | KR | Daejeon | 2011-06-23 / 20110146775 - Quantum Dot Photovoltaic Device and Manufacturing Method Thereof | 1 |
Ming-Feng Kang | TW | Taoyuan Hsien | 2011-06-23 / 20110151765 - OPERATING CONDITION ADJUSTING SYSTEM AND METHOD OF PORTABLE DATA CENTER | 2 |
Pil-Sik Kang | KR | Bucheon-Si | 2011-08-25 / 20110205426 - QUICK SHOE FOR CAMERA TRIPOD | 2 |
Taegon Kang | US | Goleta | 2011-06-23 / 20110147722 - SEMICONDUCTOR LIGHT EMITTING DEVICE COMPRISING HIGH PERFORMANCE RESINS | 1 |
Jae-Wook Kang | KR | Jongin-City | 2011-06-23 / 20110147769 - Organic light emitting display and manufacturing method thereof | 1 |
Sin-Ho Kang | KR | Gyeongsangbuk-Do | 2011-06-23 / 20110147779 - LIGHT EMITTING DIODE PACKAGE AND METHOD OF FABRICATING THE SAME | 1 |
Jun Tae Kang | KR | Daejeon | 2016-01-07 / 20160005565 - PHOTOCATHODE COUPLED X-RAY TUBE | 3 |
Kyeongjin Kang | US | Lexington | 2013-08-29 / 20130224305 - METHODS OF IDENTIFYING INSECT-TRPA1 MODULATORS | 2 |
Chohee Kang | KR | Yongin-Si | 2011-07-21 / 20110177389 - ELECTRODE ASSEMBLY AND SECONDARY BATTERY INCLUDING THE SAME | 2 |
Hyun-Woo Kang | KR | Daegu | 2015-01-22 / 20150025598 - ELECTRICAL STIMULATION SYSTEM AND CONTROL METHOD OF ELECTRICAL STIMULATION SYSTEM | 3 |
Min Ah Kang | KR | Gumi-Si | 2011-08-18 / 20110201512 - Biomarkers Indicative of Colon Cancer and Metastasis and Diagnosis and Screening Therapeutics Using the Same | 2 |
Chul-Kyu Kang | KR | Yongin-City | 2015-12-17 / 20150364116 - PIXEL, DISPLAY DEVICE INCLUDING THE PIXEL, AND METHOD OF DRIVING THE DISPLAY DEVICE | 28 |
Taewook Kang | KR | Seoul | 2015-07-02 / 20150188404 - POWER SUPPLY AND GATE DRIVER THEREIN | 3 |
Ho Yong Kang | KR | Daejeon | 2015-10-22 / 20150305023 - EXTENDED DSME MAC FOR LOW POWER UTILITY MONITORING SERVICE | 9 |
Mi Young Kang | KR | Uijeongbu City | 2011-06-30 / 20110155099 - DEVICE FOR PREVENTING SUDDEN ACCELERATION OF AUTOMOBILE | 1 |
Man-Sug Kang | KR | Suwon-Si | 2015-01-15 / 20150017797 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE INCLUDING METAL-CONTAINING CONDUCTIVE LINE | 6 |
Kyung-Yeon Kang | KR | Suwon-Si | 2011-06-30 / 20110159427 - ELECTROPHOTOGRAPHIC TONER AND METHOD OF PREPARING THE SAME | 1 |
Yong Hee Kang | KR | Uiwang-Si | 2015-12-24 / 20150368280 - HIGH REFRACTIVE INDEX ACRYLIC COMPOUND AND METHOD FOR PREPARING THE SAME | 10 |
Myeong-Soon Kang | KR | Uiwang-Si | 2014-11-13 / 20140336384 - APPARATUS FOR PURIFYING ORGANIC COMPOUND AND METHOD OF PURIFYING ORGANIC COMPOUND | 14 |
Sung K. Kang | US | Armonk | 2011-06-30 / 20110156256 - ELECTROMIGRATION-RESISTANT UNDER-BUMP METALLIZATION OF NICKEL-IRON ALLOYS FOR SN-RICH SOLDER BUMPS OF PB-FREE FLIP-CHIP APPLICATIONS | 1 |
Byung Chang Kang | KR | Yongin-Si | 2015-10-01 / 20150282098 - METHOD FOR DETERMINING TRANSMISSION POWER IN MIMO SYSTEM BASED ON COOPERATIVE TRANSMISSION | 12 |
Sung Hyung Kang | KR | Hwaseong | 2011-06-30 / 20110157769 - DIELECTRIC CERAMIC COMPOSITION AND MULTILAYER CERAMIC CAPACITOR HAVING THE SAME | 1 |
Tae Jin Kang | KR | Gyeonggi-Do | 2016-05-12 / 20160131697 - BUILT-IN TEST CIRCUIT OF SEMICONDUCTOR APPARATUS | 3 |
Woo-Sung Kang | KR | Hwaseong-Si | 2016-05-05 / 20160125228 - ELECTRONIC DEVICE, AND METHOD FOR ANALYZING FACE INFORMATION IN ELECTRONIC DEVICE | 7 |
Seokho Kang | US | Sturbridge | 2016-04-21 / 20160109800 - PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS | 3 |
Hwan Bum Kang | KR | Changwon-Si | 2011-06-30 / 20110159969 - TRIPOD TYPE CONSTANT VELOCITY JOINT | 1 |
Jung Won Kang | KR | Daejeon | 2016-04-28 / 20160119632 - METHOD AND APPARATUS FOR PREDICTING INTER-LAYER BASED ON TEMPORAL SUB-LAYER INFORMATION | 33 |
Hee-Cheol Kang | KR | Yongin-City | 2013-01-10 / 20130009177 - ORGANIC LAYER DEPOSITION APPARATUS AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE BY USING THE SAME | 14 |
Jae Eun Kang | KR | Daejeon | 2011-07-07 / 20110166356 - METHOD FOR PREPARING MONTELUKAST SODIUM SALTS | 1 |
Jingle Kang | CN | Shandong | 2011-07-07 / 20110162815 - Aluminum Alloy Vacuum Casting Equipment | 1 |
Han-Young Kang | KR | Anyang-Si | 2011-07-07 / 20110162958 - PLASMA DECOMPOSITION APPARATUS AND METHOD FOR CARBON DIOXIDE | 1 |
Ho-Kyu Kang | KR | Yongin-Si | 2015-02-12 / 20150041944 - IMAGE SENSOR, FABRICATING METHOD THEREOF, AND DEVICE COMPRISING THE IMAGE SENSOR | 2 |
Seung Beom Kang | KR | Suwon-Si | 2011-07-07 / 20110163604 - POWER SUPPLY CIRCUIT OF TERMINAL AND METHOD FOR SUPPLYING POWER USING THE SAME | 1 |
Myung-Sam Kang | KR | Hwasung-Si | 2012-09-06 / 20120225521 - BOARD ON CHIP PACKAGE SUBSTRATE AND MANUFACTURING METHOD THEREOF | 3 |
Wenbing Kang | JP | Shizuoka | 2011-10-06 / 20110241173 - RESIST PATTERN FORMATING METHOD | 2 |
Sol Kang | KR | Chungcheongnam-Do | 2011-07-07 / 20110166394 - METHOD FOR CONTROLLING SIZE OF SPHERICAL CARRIER FOR OLEFIN POLYMERIZATION CATALYST | 1 |
Hee-Soo Kang | KR | Gyeonggi-Do | 2011-07-14 / 20110170356 - Methods of Programming Data in a Non-Volatile Memory Device and Methods of Operating a Nand Flash Memory Device Using the Same | 8 |
Young Ae Kang | KR | Seoul | 2013-12-12 / 20130332881 - METHOD OF DIVIDING SCREEN AREAS AND MOBILE TERMINAL EMPLOYING THE SAME | 3 |
Laegu Kang | US | Hopewell Junction | 2016-02-04 / 20160035630 - METHODS OF FORMING TRANSISTORS WITH RETROGRADE WELLS IN CMOS APPLICATIONS AND THE RESULTING DEVICE STRUCTURES | 11 |
Huan-Yao Kang | TW | Yulin County | 2011-07-14 / 20110169631 - REAL-TIME ALARM SYSTEM | 1 |
Seong-Ryong Kang | KR | Seongnam-Si | 2015-10-29 / 20150312146 - METHOD AND DEVICE FOR HOSTING APPLICATION BY ACCESS NODE | 8 |
Jun-Han Kang | KR | Yuseong-Gu | 2011-07-14 / 20110172483 - OXIDE CATALYST AND PHOSPHORIC OXIDE CATALYST FOR HYDROCARBON STEAM CRACKING, METHOD FOR PREPARING THE SAME AND METHOD FOR PREPARING OLEFIN BY USING THE SAME | 1 |
Chang-Hoon Kang | KR | Yeosu-Si | 2012-05-03 / 20120108417 - CATALYST FOR HYDROCARBON STEAM CRACKING, METHOD OF PREPARING THE SAME AND METHOD OF PREPARING OLEFIN BY USING THE SAME | 3 |
Songyun Kang | JP | Yamanashi | 2011-10-06 / 20110240222 - PLASMA PROCESSING APPARATUS | 2 |
Youn-Hee Kang | KR | Kyungsangbook-Do | 2011-07-21 / 20110174869 - JOINING METHOD OF HIGH CARBON STEEL FOR ENDLESS HOT ROLLING AND THE APPARATUS THEREFOR | 1 |
Dongho Kang | KR | Gyeonggi-Do | 2015-05-14 / 20150130347 - PROTECTING METHOD FOR FLAT PANEL DISPLAY AND PROTECTING FILM ATTACHED FLAT PANEL DISPLAY | 5 |
Chou-Yu Kang | TW | Miao-Li County | 2014-12-04 / 20140355113 - DISPLAY APPARATUS AND LIGHT EMITTING MODULE THEREOF | 6 |
Jun Kang | US | San Jose | 2011-07-21 / 20110176363 - JUNCTION LEAKAGE SUPPRESSION IN MEMORY DEVICES | 1 |
Ting Kang | CA | St. Laurent | 2011-07-21 / 20110178001 - PHOSPHONATED RIFAMYCINS AND USES THEREOF FOR THE PREVENTION AND TREATMENT OF BONE AND JOINT INFECTIONS | 1 |
Sunhee Kang | KR | Gyeonggi-Do | 2014-06-05 / 20140155387 - Anti-Inflammation Compounds | 4 |
Suk Youn Kang | KR | Yongin-Si | 2013-04-11 / 20130090298 - Thiazole Derivatives as SGLT2 Inhibitors and Pharmaceutical Composition Comprising Same | 5 |
Dong Young Kang | KR | Yongin-Si | 2011-07-28 / 20110180134 - Solar Cell and Method for Manufacturing the Same | 1 |
Yanggi Kang | KR | Suwon-Si | 2011-09-08 / 20110217044 - VISIBLE LIGHT MULTIPLEX COMMUNICATION SYSTEM | 2 |
Sung Koo Kang | KR | Suwon | 2013-12-05 / 20130321976 - NICKEL NANOPARTICLE, METHOD OF PREPARING THE SAME, AND MULTILAYER CERAMIC CAPACITOR USING THE SAME | 7 |
Dong Woo Kang | KR | Gyeongsangbuk-Do | 2011-07-28 / 20110181819 - LIQUID CRYSTAL DISPLAY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Sang Uk Kang | US | Gaithersburg | 2011-07-28 / 20110182854 - HCV PROTEASE INHIBITORS | 1 |
Seok Chan Kang | KR | Daegu | 2011-07-28 / 20110183115 - POSITIVE TYPED PHOTOSENSITIVE COMPOSITION | 1 |
Hyun-Sik Kang | KR | Daejeon | 2012-03-22 / 20120069751 - MOBILE TERMINAL AND HANDOVER METHOD OF THE MOBILE TERMINAL | 2 |
Dae Ho Kang | KR | Daejeon | 2015-05-21 / 20150141608 - CURABLE COMPOSITION | 38 |
Jin-Yeon Kang | KR | Daejeon | 2011-06-16 / 20110140189 - ELECTRICALLY ERASABLE PROGRAMMABLE READ-ONLY MEMORY AND MANUFACTURING METHOD THEREOF | 1 |
Moon Key Kang | KR | Anyang-Si | 2013-09-12 / 20130239060 - METHOD AND APPARATUS TO PROVIDE SHORT-CUT ICON | 3 |
Young-Jo Kang | KR | Seoul | 2013-03-21 / 20130070744 - APPARATUS AND METHOD FOR PROVIDING SECURITY OF A NETWORK CONNECTION | 2 |
Bo Kyu Kang | KR | Yongin-Si | 2011-08-04 / 20110189227 - PORCINE CIRCOVIRUS TYPE 2 AND USE THEREOF | 1 |
Tae-Kyoung Kang | KR | Seoul | 2011-08-04 / 20110189977 - APPARATUS AND METHOD FOR SHARING SCHEDULE INFORMATION BETWEEN MOBILE TERMINALS IN MOBILE COMMUNICATION SYSTEM | 1 |
Moon-Il Kang | KR | Gyeongsangnam-Do | 2011-08-04 / 20110190240 - NUCLEAR FACTOR KAPPA B PATHWAY INHIBITOR COMPOSITION AND USE OF SAME | 1 |
Yang-Jun Kang | KR | Changwon-Shi | 2011-08-11 / 20110194957 - LINEAR COMPRESSOR | 8 |
Chul Min Kang | US | Coral Springs | 2015-10-22 / 20150296983 - Wall Mounted Assembly | 6 |
Seung H. Kang | US | Sinking Spring | 2014-01-16 / 20140015127 - CONTACT SUPPORT PILLAR STRUCTURE FOR FLIP CHIP SEMICONDUCTOR DEVICES AND METHOD OF MANUFACTURE THEREFORE | 2 |
In-Nam Kang | KR | Ansan-Si | 2011-08-11 / 20110193069 - ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hong-Hao Kang | CN | Shanghai | 2011-08-11 / 20110194223 - THERMAL PROTECTION CIRCUIT AND ELECTRONIC DEVICE USING THE SAME | 1 |
Min-Kyoung Kang | KR | Suwon-Si | 2012-10-11 / 20120259932 - METHOD AND APPARATUS FOR TRANSMITTING MESSAGE | 2 |
Nam-Seon Kang | KR | Gwangju | 2011-08-18 / 20110201064 - CONVERSION TO BIOENERGY FROM BIOMASS OF PROTISTAN GRAZERS FEEDING ON AQUATIC PLANT AND/OR ALGAE WHICH CAN SURVIVE AND UPTAKE GREENHOUSE GASES IN THE MIXTURE OF TOXIC GASES AND SUBSTANCE | 1 |
Jung-In Kang | KR | Gwangju | 2011-08-18 / 20110198635 - Light Emitting Diode With Metal Piles and Multi-Passivation Layers and Its Manufacturing Method | 1 |
Nam Hun Kang | KR | Seoul | 2014-02-13 / 20140042655 - METHOD AND APPARATUS FOR CONSTRUCTING RAILWAY FOR RUBBER-WHEELED AUTOMATED GUIDEWAY TRANSIT SYSTEM | 3 |
Seunggon Kang | KR | Hwaseong-Si | 2011-08-18 / 20110199334 - RESISTIVE-FILM-BASED TOUCH-SENSITIVE SHEET, TOUCH-SENSITIVE PANEL, AND MANUFACTURING METHOD THEREOF | 1 |
Chang-Wook Kang | KR | Yongin-City | 2014-10-30 / 20140319480 - ORGANIC LIGHT EMITTING DIODE DISPLAY | 3 |
Xiangning Kang | CN | Beijing | 2011-08-18 / 20110201191 - METHOD FOR NONDESTRUCTIVE LIFT-OFF OF GaN FROM SAPPHIRE SUBSTRATE UTILIZING A SOLID-STATE LASER | 1 |
Min-Jee Kang | KR | Gyeongsangbuk-Do | 2011-08-18 / 20110201273 - METHOD AND APPARATUS FOR ADAPTIVELY USING VNOTE IN PORTABLE TERMINAL | 1 |
Xinhai Kang | US | Milpitas | 2015-09-10 / 20150253995 - INPUT/OUTPUT (I/O) COMMAND AGGREGATION | 6 |
Young Mee Kang | KR | Gyeonggi-Do | 2011-08-25 / 20110207311 - Method of Manufacturing Semiconductor Device | 1 |
Yun Sung Kang | KR | Suwon | 2015-05-21 / 20150135497 - INERTIAL SENSOR AND METHOD OF MANUFACTURING THE SAME | 12 |
Hee Sung Kang | KR | Seoul | 2011-08-25 / 20110203196 - External Wall Panel Unit for Saving Energy and External Wall Structure System Using the Same | 1 |
Min Kang | KR | Gyeonggi-Do | 2011-08-25 / 20110204121 - LEAD-FREE SOLDER ALLOY HAVING REDUCED SHRINKAGE CAVITIES | 1 |
Shin Won Kang | KR | Daegu | 2015-05-21 / 20150137190 - HYDROGEN ION SENSOR | 2 |
James S. Kang | US | Rancho Cucamonga | 2011-08-25 / 20110204801 - COMPUTER CONTROLLED POWER SUPPLY ASSEMBLY FOR A LED ARRAY | 1 |
Feng Kang | US | San Diego | 2013-01-17 / 20130019020 - SMART WIRELESS CONNECTIONAANM Kang; FengAACI San DiegoAAST CAAACO USAAGP Kang; Feng San Diego CA USAANM Treebs; LelandAACI San DiegoAAST CAAACO USAAGP Treebs; Leland San Diego CA USAANM Zhou; YiAACI San DiegoAAST CAAACO USAAGP Zhou; Yi San Diego CA US | 2 |
Yong-Gu Kang | KR | Gyeonggi-Do | 2016-04-07 / 20160099230 - MULTI-CHIP PACKAGE, TEST SYSTEM AND METHOD OF OPERATING THE SAME | 3 |
Kyung Su Kang | KR | Gangneung-Si | 2011-08-25 / 20110206784 - COMPOSITION FOR THE PREVENTION AND TREATMENT OF POSTMENOPAUSAL SYNDROME CONTAINING EXTRACTS OR FRACTIONS OF ACERIPHYLLUM ROSSII AS AN EFFECTIVE INGREDIENT | 1 |
Suk Woo Kang | KR | Gangneung-Si | 2011-08-25 / 20110206784 - COMPOSITION FOR THE PREVENTION AND TREATMENT OF POSTMENOPAUSAL SYNDROME CONTAINING EXTRACTS OR FRACTIONS OF ACERIPHYLLUM ROSSII AS AN EFFECTIVE INGREDIENT | 1 |
Yong Cheol Kang | KR | Jeollabuk-Do | 2011-09-01 / 20110210715 - Error Compensating Method for Instrument Transformer | 1 |
Sang Won Kang | US | Sunnyvale | 2011-09-01 / 20110210425 - FORMATION OF GROUP III-V MATERIAL LAYERS ON PATTERNED SUBSTRATES | 1 |
Chang-Sig Kang | KR | Hwaseong-Si | 2015-12-10 / 20150356932 - LIQUID CRYSTAL DISPLAY DEVICE FOR IMPROVING CROSSTALK CHARACTERISTICS | 4 |
Dong-Han Kang | KR | Incheon | 2012-11-01 / 20120275947 - ZR-TI-NI (CU) BASED BRAZING FILLER ALLOY COMPOSITIONS WITH LOWER MELTING POINT FOR THE BRAZING OF TITANIUM ALLOYS | 2 |
Do Hyun Kang | KR | Changwon | 2014-02-06 / 20140035393 - DOUBLY SALIENT PERMANENT MAGNET ELECTRIC MACHINE | 3 |
Jeongho Kang | KR | Kyungbuk | 2010-06-24 / 20100156885 - LIQUID CRYSTAL DISPLAY AND METHOD OF DRIVING THE SAME | 1 |
Jin-Goo Kang | KR | Gunpo-Si | 2013-01-10 / 20130010216 - TOUCH SCREEN PANEL LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Hyun Woo Kang | KR | Suwon-Si | 2011-09-08 / 20110217147 - Print-medium post-treatment apparatus and control method thereof | 1 |
Heon-Joong Kang | KR | Seongnam-Si | 2011-09-08 / 20110218240 - NOVEL COMPOUND WITH SPIRO CHIRAL CARBON BACKBONE, PREPARATION METHOD THEREOF, AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 1 |
Tae Jin Kang | KR | Jeonju-Si | 2013-03-07 / 20130059067 - NOVEL GLUCONACETOBACTER STRAIN HAVING CELLULOSE PRODUCING ACTIVITY | 2 |
Dae Sung Kang | KR | Seoul | 2014-12-04 / 20140353580 - LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, LIGHT EMITTING DEVICE PACKAGE AND LIGHTING SYSTEM | 13 |
Seong Soo Kang | KR | Changwon-Si | 2011-09-15 / 20110219799 - AIR CONDITIONER | 1 |
Kyung Soo Kang | KR | Seoul | 2014-09-25 / 20140285495 - Apparatus and Method for Generating Font by Using Glyph Interpolation | 2 |
Byung-Chul Kang | KR | Chungcheongnam-Do | 2013-10-31 / 20130284367 - SUBSTRATE PROCESSING APPARATUS AND METHOD OF SUPPLYING PROCESSING SOLUTION | 2 |
Sangmo Kang | KR | Busan | 2011-09-15 / 20110220507 - MICROCHANNEL-TYPE FLUID MIXING APPARATUS USING AC ELECTROOSMOTIC FLOWS (AC-EOF) AND INCLINED-ELECTRODE PATTERNS | 1 |
Seong-Yeun Kang | KR | Yongin-City | 2016-03-24 / 20160086563 - SOURCE DRIVE INTEGRATED CIRCUIT AND DISPLAY DEVICE INCLUDING THE SAME | 3 |
Myung-Koo Kang | KR | Yongin-City | 2011-09-15 / 20110222015 - LIQUID CRYSTAL DISPLAY | 1 |
Eugene Kang | KR | Yongin-City | 2011-09-15 / 20110224819 - METHOD FOR CONTROLLING CRITICAL DIMENSION IN SEMICONDUCTOR PRODUCTION PROCESS, AND SEMICONDUCTOR MANUFACTURING LINE SUPPORTING THE SAME | 1 |
So-Yeon Kang | KR | Seoul | 2011-09-15 / 20110222744 - FACE RECOGNITION APPARATUS AND METHOD USING PLURAL FACE IMAGES | 1 |
Hye-Won Kang | KR | Seoul | 2015-05-21 / 20150143018 - FLEXIBLE SERVER SYSTEM | 2 |
Bo Gyeong Kang | KR | Seoul | 2015-10-01 / 20150281961 - APPARATUS AND METHOD FOR AUTHENTICATION IN WIRELESS COMMUNICATION SYSTEM | 25 |
Hyung-Ku Kang | KR | Seoul | 2014-03-13 / 20140071186 - LIGHT SOURCE DEVICE, DISPLAY APPARATUS HAVING THE LIGHT SOURCE DEVICE AND METHOD OF DRIVING THE LIGHT SOURCE DEVICE | 9 |
Sung-Kee Kang | KR | Yongin-City | 2012-05-03 / 20120105341 - ORGANIC LIGHT EMITTING DISPLAY HAVING TOUCH SCREEN FUNCTION | 2 |
Dun-Yen Kang | US | Atlanta | 2015-03-26 / 20150087852 - FUNCTIONALIZED SINGLE-WALLED NANOTUBES AND METHODS THEREOF | 3 |
Ji-Su Kang | KR | Seoul | 2016-01-21 / 20160020318 - Semiconductor Device and Method for Fabricating the Same | 11 |
Sang-Wook Kang | KR | Yongin-Si | 2011-09-22 / 20110231608 - Multi-channel integrated circuit card and method of controlling the same | 1 |
Moon-Sung Kang | KR | Yongin-Si | 2014-09-11 / 20140251433 - ELECTROLYTE FOR DYE-SENSITIZED SOLAR CELL AND DYE-SENSITIZED SOLAR CELL USING THE SAME | 17 |
Hyung-Jong Kang | KR | Seoul | 2016-02-18 / 20160050328 - USER TERMINAL APPARATUS AND METHOD OF CONTROLLING THEREOF | 26 |
Un Byoung Kang | KR | Hwaseong-Si | 2016-05-19 / 20160141260 - PRE-PACKAGE AND METHODS OF MANUFACTURING SEMICONDUCTOR PACKAGE AND ELECTRONIC DEVICE USING THE SAME | 27 |
Won-Seok Kang | KR | Chungbuk | 2011-09-29 / 20110234191 - SWITCH CONTROL DEVICE, MULTI-CHANNEL CONVERTER INCLUDING THE SAME, AND SWITCH CONTROLLING METHOD | 1 |
Do Hyun Kang | KR | Gyeongsangnam-Do | 2011-09-29 / 20110234343 - Cylindrical Magnetic Levitation Stage | 1 |
Jin-A Kang | KR | Gunpo-Si | 2011-09-29 / 20110236733 - ENERGY STORAGE DEVICE | 1 |
Mi-Kyoung Kang | KR | Seoul | 2014-08-28 / 20140244838 - DEVICE MANAGEMENT IN MACHINE TO MACHINE NETWORK | 3 |
Dongkyun Kang | US | Cambridge | 2011-09-29 / 20110237892 - APPARATUS AND METHODS FOR COLOR ENDOSCOPY | 1 |
Sung-Jo Kang | KR | Seongnam-Si | 2011-09-29 / 20110239314 - Gene of porcine alpha-si casein, a promoter of the same and use thereof | 1 |
Pil-Kyu Kang | KR | Anyang-Si | 2015-08-27 / 20150243637 - SEMICONDUCTOR DEVICES HAVING THROUGH-VIAS AND METHODS FOR FABRICATING THE SAME | 40 |
Kyung Moon Kang | KR | Yeongdo-Gu | 2011-10-06 / 20110242137 - TOUCH SCREEN APPARATUS AND METHOD FOR PROCESSING INPUT OF TOUCH SCREEN APPARATUS | 1 |
Ho Kang | KR | Yuseong-Gu | 2011-10-06 / 20110240565 - APPARATUS AND METHOD FOR TREATING SHIP BALLAST WATER USING ELECTRON BEAMS | 1 |
Dong-Min Kang | KR | Seoul | 2016-05-19 / 20160137953 - CLEANING COMPOSITION AFTER CHEMICAL MECHANICAL POLISHING OF ORGANIC FILM AND CLEANING METHOD USING THE SAME | 8 |
Hwa-Yong Kang | KR | Suwon-Si | 2016-03-17 / 20160080653 - METHOD FOR ENHANCING NOISE CHARACTERISTICS OF IMAGE AND ELECTRONIC DEVICE THEREOF | 5 |
Byung-Ho Kang | KR | Suwon-Si | 2013-09-12 / 20130235684 - RANDOM ACCESS MEMORY DEVICES HAVING WORD LINE DRIVERS THEREIN THAT SUPPORT VARIABLE-FREQUENCY CLOCK SIGNALS | 3 |
Sang Min Kang | KR | Yongin-Si | 2011-10-06 / 20110242797 - BACKLIGHT UNIT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Jiwon Kang | KR | Incheon | 2011-10-06 / 20110243273 - TRANSMITTING APPARATUS IN MULTIPLE INPUT MULTIPLE OUTPUT SYSTEM | 2 |
Jae-Min Kang | KR | Seoul | 2015-02-12 / 20150042313 - CIRCUIT, DEVICE, AND METHOD TO MEASURE BIOSIGNAL USING COMMON MODE DRIVEN SHIELD | 7 |
Hyunsoon Kang | US | San Francisco | 2011-10-06 / 20110245328 - HCV NS5A Replicon Shuttle Vectors | 1 |
Eui-Jeong Kang | KR | Asan-Si | 2015-09-24 / 20150268409 - BACKLIGHT ASSEMBLY AND DISPLAY APPARATUS HAVING THE SAME | 22 |
Seong Keun Kang | KR | Gyeongsangnam-Do | 2011-10-13 / 20110247441 - SPINDLE DRIVE | 1 |
Kyoung-Seok Kang | KR | Gyeongsangnam-Do | 2011-10-13 / 20110250083 - LINEAR COMPRESSOR | 1 |
Jeong Won Kang | KR | Icheon | 2011-10-13 / 20110248378 - SEMICONDUCTOR DEVICE | 1 |
Seok-Won Kang | KR | Cheonan-Si | 2011-10-13 / 20110249217 - BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY MODULE HAVING THE SAME | 1 |
Sung-Hwan Kang | KR | Gwangmyeong-Si | 2011-10-13 / 20110250007 - CONTAINER FOR DISPENSING A CONSTANT AMOUNT OF LIQUID CONTENT | 1 |
Tae Min Kang | KR | Seoul | 2015-09-24 / 20150270229 - SEMICONDUCTOR CHIP AND SEMICONDUCTOR PACKAGE HAVING THE SAME | 18 |
Hyunho Kang | KR | Seoul | 2011-10-20 / 20110254856 - MOBILE TERMINAL AND METHOD OF CONTROLLING OPERATION OF THE MOBILE TERMINAL | 1 |
Keun-Seok Kang | KR | Suwon-Si | 2013-07-04 / 20130169765 - DISPLAY APPARATUS, IMAGE PROCESSING SYSTEM, DISPLAY METHOD AND IMAGING PROCESSING THEREOF | 4 |
Hu Kang | US | Tualatin | 2016-04-28 / 20160118246 - GAPFILL OF VARIABLE ASPECT RATIO FEATURES WITH A COMPOSITE PEALD AND PECVD METHOD | 24 |
Min Suk Kang | KR | Daejeon Metropolitan | 2011-12-01 / 20110294415 - DATA TRANSFER METHOD, DATA TRANSMISSION APPARATUS, AND COMMUNICATION SYSTEM IN MULTI-HOP RELAY SYSTEM | 2 |
Ji Eun Kang | KR | Seoul | 2016-05-05 / 20160125464 - TERMINAL, SERVICE PROVIDING DEVICE AND COUPON SERVER, ELECTRONIC WALLET SYSTEM COMPRISING THE SAME, CONTROL METHOD THEREOF AND NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM HAVING COMPUTER PROGRAM RECORDED THEREON | 6 |
Hye Jin Kang | KR | Seongnam-Si | 2011-12-08 / 20110302033 - SYSTEM AND METHOD FOR PROVIDING ADVERTISEMENT TO WIRELESS NETWORK SERVICE USER | 2 |
Sang-Kyun Kang | KR | Seoul | 2013-03-14 / 20130062173 - WATER-PROOF APPARATUS AND METHOD FOR WATER-PROOFING | 15 |
Teck-Gyu Kang | US | San Jose | 2016-02-25 / 20160056058 - MICROELECTRONIC PACKAGES AND METHODS THEREFOR | 19 |
In Soo Kang | KR | Chungcheongbuk-Do | 2011-11-24 / 20110285015 - BUMP STRUCTURE AND FABRICATION METHOD THEREOF | 2 |
Yong-Jin Kang | KR | Daejeon-City | 2011-10-27 / 20110260714 - INDUCTIVE ANGLE SENSOR WITH IMPROVED COMMON MODE NOISE REJECTION AND SIGNAL PROCESSING METHOD OF THE SAME | 1 |
Min Suk Kang | KR | Daejeon-Si | 2011-10-27 / 20110261785 - COMMUNICATION METHOD OF A VEHICULAR MOBILE TERMINAL, A MACRO BASE STATION, A NEIGHBOR MICRO BASE STATION, AND A SERVING MICRO BASE STATION IN A MULTI-CELL GROUP | 1 |
Kyung Chan Kang | KR | Daegu | 2014-11-06 / 20140330055 - METHOD AND APPARATUS FOR IMPROVING HEAT TRANSFER AND REACTION EFFICIENCY OF GAS HYDRATE REACTOR USING SCRAPER | 2 |
Jihoon Kang | KR | Jeollanam-Do | 2015-04-23 / 20150108982 - ANALOG SIGNAL CORRECTING CIRCUIT IMPROVING SIGNAL DISTORTION DUE TO CABLE | 3 |
Taeg-Hyun Kang | KR | Incheon Metropolitan City | 2010-09-30 / 20100244756 - High Voltage Integration Circuit With Freewheeling Diode Embedded in Transistor | 1 |
Taeg-Hyun Kang | KR | Icheon | 2010-03-18 / 20100065884 - Electrostatic Discharge Diode | 1 |
Taeg-Hyun Kang | KR | Incheon-City | 2011-11-03 / 20110269285 - FIELD TRANSISTORS FOR ELECTROSTATIC DISCHARGE PROTECTION AND METHODS FOR FABRICATING THE SAME | 1 |
Geun Kang | KR | Hwaseong-Si | 2015-07-30 / 20150211164 - WASHING MACHINE | 4 |
Gino Kang | US | Irvine | 2014-09-18 / 20140261558 - FEMALE LUER CONNECTOR DISINFECTING CAP | 4 |
Yonghan Kang | US | Austin | 2011-11-03 / 20110266867 - POWER-SHARING NETWORK COMMUNICATIONS DEVICE | 1 |
Chih-Chieh Kang | TW | Yung Kang City | 2011-11-03 / 20110267839 - RADIATION STRUCTURE WITHOUT LIGHT GUIDING BOARD | 1 |
Nam-Koo Kang | KR | Suwon-Si | 2013-08-08 / 20130203453 - APPARATUS AND METHOD FOR CONTROLLING POWER TO MITIGATE INTERFERENCE IN WIRELESS COMMUNICATION SYSTEM | 3 |
Yong-Chul Kang | KR | Daejeon | 2011-11-03 / 20110268238 - APPARATUS AND METHOD FOR AUTOMATICALLY AND REMOTELY MEASURING THE INTERNAL GAP OF A REACTOR | 1 |
Sung Woo Kang | KR | Daejeon | 2016-01-07 / 20160002376 - OLEFIN POLYMERIZATION METHOD | 2 |
Jihoon Kang | KR | Seoul | 2011-11-03 / 20110270077 - METHOD FOR REMOVING NOISE OF PET SIGNAL USING MODELING IN PET-MRI FUSION DEVICE AND PET SYSTEM IN PET-MRI FUSION DEVICE USING THE SAME | 2 |
Sung Chul Kang | KR | Seoul | 2015-08-13 / 20150223895 - ENDOSCOPE ROBOT HAVING JOINT STRUCTURE WITH HIGH CURVATURE | 20 |
Tony Yan Kang | CA | Burnaby | 2011-11-10 / 20110272514 - Collision sensor for wood processing equipment | 1 |
Byung Kil Kang | US | Westcovina | 2011-11-10 / 20110273613 - Video Display Correction to Provide On-Axis View at an Off-Axis Angle | 1 |
Sung Woo Kang | KR | Seo-Gu | 2011-11-10 / 20110274589 - Gas-Phase Polymerization Of Alpha-Olefin | 1 |
Yaowei Kang | US | Christiansburg | 2015-03-12 / 20150072861 - BRADYRHIZOBIUM STRAINS | 6 |
Ki-Nyeng Kang | KR | Yongin-City | 2015-12-03 / 20150349037 - ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 32 |
You Sung Kang | KR | Daejeon | 2015-11-12 / 20150324302 - WHITE BOX ENCRYPTION SYSTEM AND METHOD | 13 |
Wei-Tun Kang | TW | Miaoli County | 2011-11-17 / 20110277406 - PRESSURE SENSITIVE ADHERING FLOOR PANEL | 1 |
Min Hee Kang | KR | Suwon-Si | 2013-02-07 / 20130031798 - DRYING APPARATUS AND WASHING MACHINE HAVING THE SAME AND CONTROL METHOD THEREOF | 2 |
Sungil Kang | KR | Sungnam | 2014-10-02 / 20140291351 - VACUUM CONTAINER AND METHOD FOR MANUFACTURING SAME | 2 |
Soon-Won Kang | TW | Hsinchu | 2011-11-17 / 20110278656 - STACKED CAPACITOR FOR DOUBLE-POLY FLASH MEMORY | 1 |
Woo Yong Kang | KR | Daejeon | 2011-11-17 / 20110282577 - NAVIGATION SYSTEM AND METHOD OF RECOGNIZING TRAFFIC LANE USING THE SAME | 1 |
Ho-Fan Kang | US | Aliso Viejo | 2016-01-07 / 20160004446 - SYSTEM AND METHOD FOR PERFORMING DATA RETENTION IN SOLID-STATE MEMORY | 2 |
Ju-Hyun Kang | KR | Daejeon | 2015-12-31 / 20150375634 - CURRENT MEASURING RELAY DEVICE | 15 |
Seok Jin Kang | KR | Seoul | 2014-10-23 / 20140313732 - LIGHTING DEVICE | 15 |
Hyuk Kang | KR | Gyeongsangnam- Do | 2011-11-24 / 20110283616 - APPARATUS AND METHOD FOR CULTIVATING STRAWBERRIES AND SMALL LEAFY VEGETABLES USING MULTILAYERED DEVICE | 1 |
Myung Sun Kang | US | 2011-11-24 / 20110283744 - Washing machine having balancer | 1 | |
Yoon W. Kang | US | Knoxville | 2012-04-19 / 20120092091 - Radio Frequency (RF) Microwave Components and Subsystems Using Loaded Ridge Waveguide | 2 |
Yu Beom Kang | KR | Gunpo-Si | 2011-12-01 / 20110289984 - TUB HAVING STRUCTUALLY STRENGTHENED REAR WALL AND WASHING MACHINE WITH THE SAME THEREIN | 3 |
Michael Kang | US | San Ramon | 2015-11-05 / 20150318147 - GAS DISTRIBUTION SHOWERHEAD FOR INDUCTIVELY COUPLED PLASMA ETCH REACTOR | 6 |
Dong Jin Kang | KR | Hwaseong | 2011-11-24 / 20110284792 - STEEL-BASE SINTERING ALLOY HAVING HIGH WEAR-RESISTANCE FOR VALVE SEAT OF ENGINE AND MANUFACTURING METHOD THEREOF, AND VALVE SEAT OF ENGINE | 1 |
Kui Won Kang | KR | Cheongju-Si | 2011-11-24 / 20110286191 - Printed circuit board and semiconductor package with the same | 1 |
Yeon-Yi Kang | JP | Kanagawa-Ken | 2011-11-24 / 20110287220 - SURFACE STRUCTURE OF ARTICLE | 1 |
Jeong Wook Kang | KR | Seoul | 2012-04-26 / 20120100400 - INSTRUMENT CONNECTION TYPE UNIT PACK COMBINED CELL CARTRIDGE | 2 |
Seong Woo Anthony Kang | US | Cambridge | 2013-03-21 / 20130072485 - SOLUBLE MTOR COMPLEXES AND MODULATORS THEREOF | 2 |
Hyung-Jong Kang | KR | Seoul | 2016-02-18 / 20160050328 - USER TERMINAL APPARATUS AND METHOD OF CONTROLLING THEREOF | 26 |
Gijin Kang | KR | Seoul | 2010-07-08 / 20100174888 - Memory System | 1 |
Sang-Gi Kang | KR | Daejeon | 2010-02-04 / 20100026460 - APPARATUS AND METHOD FOR TRANSMIT LEAKAGE SIGNAL SUPPRESSION IN RFID READER | 1 |
Ki-Tae Kang | KR | Daejeon | 2015-09-17 / 20150257525 - Method for Manufacturing Bristles on Toothbrush and Toothbrush Using Same | 2 |
Bo Ram Kang | KR | Anyang | 2011-12-01 / 20110290530 - RIBBON FOR PHOTOVOLTAIC MODULE | 1 |
Hee-Won Kang | KR | Songnam-Shi | 2011-12-01 / 20110292880 - APPARATUS AND METHOD FOR GATING TRANSMISSION OF A DATA RATE CONTROL CHANNEL IN AN HDR MOBILE COMMUNICATION SYSTEM | 1 |
En-Tang Kang | SG | Singapore | 2011-12-01 / 20110294976 - SOLUBLE POLYMER WITH MULTI-STABLE ELECTRIC STATES AND PRODUCTS COMPRISING SUCH POLYMER | 1 |
Chang Yong Kang | US | Austin | 2011-12-08 / 20110298090 - Capacitors, Systems, and Methods | 1 |
Im-Soo Kang | KR | Hwaseong-Si | 2011-12-08 / 20110298590 - APPARATUS COMPRISING DISPLAY DRIVING INTEGRATED CIRCUIT AND RADIO-FREQUENCY IDENTIFICATION READER | 1 |
Hyun Wook Kang | US | San Jose | 2015-08-20 / 20150230864 - LASER ABLATION WITH ELECTROMAGNETIC ENERGY FEEDBACK | 4 |
Seungmo Kang | US | Palo Alto | 2011-12-08 / 20110299190 - DETECTING TOUCH DOWN AND/OR ASSESSING QUALITY IN A HARD DISK DRIVE | 1 |
Wangxing Kang | CN | Guangdong Province | 2011-12-08 / 20110302411 - METHOD AND SYSTEM FOR UPDATING AND USING DIGITAL CERTIFICATES | 1 |
Jie-Peng Kang | CN | Shenzhen City | 2012-01-12 / 20120008284 - REPAIR APPARATUS AND METHOD FOR CIRCUIT BOARD ASSEMBLY | 2 |
Eunsuk Kang | US | Cambridge | 2011-12-08 / 20110302550 - Providing Diverse Solutions Using Design Space Exploration | 1 |
Ho-Jae Kang | KR | Seoul | 2011-12-15 / 20110303931 - SEMICONDUCTOR LIGHT EMITTING DIODE AND METHOD FOR FABRICATING THE SAME | 1 |
Jeong Seok Kang | KR | Jeollabuk-Do | 2011-10-13 / 20110252404 - WEB-BASED SOFTWARE DEBUGGING APPARATUS AND METHOD FOR REMOTE DEBUGGING | 1 |
Jeong Seok Kang | KR | Jeonbuk | 2011-09-01 / 20110209823 - METHOD FOR MANUFACTURING OF CERAMIC BRAKE DISK ROTOR WITH INTERNAL COOLING CHANNEL | 1 |
Jeong Seok Kang | KR | Gochang-Gun | 2013-02-28 / 20130055276 - TASK SCHEDULING METHOD AND APPARATUS | 3 |
Hee Chang Kang | KR | Cheongwon-Gun | 2011-12-15 / 20110303209 - APPARATUS FOR CUTTING AND PROCESSING V-GROOVES | 1 |
Yun-Sik Kang | KR | Bucheon-Si | 2011-12-15 / 20110304624 - METHOD AND APPARATUS FOR RAY TRACING IN A 3-DIMENSIONAL IMAGE SYSTEM | 1 |
Dong-Uk Kang | KR | Gyeonggi-Do | 2011-12-15 / 20110304804 - DISPLAY DEVICE | 1 |
Jonghoon John Kang | US | 2011-12-15 / 20110305337 - SYSTEMS AND METHODS TO SECURE LAPTOPS OR PORTABLE COMPUTING DEVICES | 1 | |
Chulho Kang | US | San Ramon | 2011-12-15 / 20110305494 - PORTABLE AND EASE-OF-USE ERGONOMIC KEYBOARD | 1 |
Wen-Lung Kang | US | Cupertino | 2014-10-09 / 20140304671 - MANIPULATING PARAMETERIZED CELL DEVICES IN A CUSTOM LAYOUT DESIGN | 2 |
Yonghae Kang | KR | Yongin-Si | 2011-12-15 / 20110305943 - SECONDARY BATTERY AND METHOD FOR FABRICATING THE SAME | 1 |
Min A. Kang | KR | Gumi-Si | 2011-12-15 / 20110306513 - NOVEL BIOMARKER FOR LIVER CANCER AND APPLICATIONS FOR SAME | 1 |
Jin Yong Kang | KR | Hwaseong | 2011-12-22 / 20110308159 - Apparatus for detecting coordinates, and display device, security device, and electronic blackboard including the same | 1 |
Changsoo Paul Kang | US | Gaithersburg | 2011-12-22 / 20110313029 - DIAGNOSTIC AND THERAPEUTIC USES OF GNPTAB, GNPTG, AND NAGPA IN STUTTERING | 1 |
Hi-Seok Kang | US | 2011-12-22 / 20110308444 - FLOATING OFFSHORE STRUCTURE | 1 | |
Chi Shu Kang | TW | Taipei | 2013-01-31 / 20130027362 - FINGER COVER FOR OPERATING A CAPACITIVE TOUCH PANEL AND GLOVE COMPRISING THE SAME | 2 |
Sugbong Kang | US | Carlsbad | 2011-12-22 / 20110310948 - LOW-COMPLEXITY DIVERSITY USING PREEQUALIZATION | 1 |
Hyo Jung Kang | KR | Daegu | 2011-12-22 / 20110312917 - CLEANING COMPOSITION FOR TREATING TISSUE FOR TRANSPLANTATION DERIVED FROM HUMAN/ANIMAL | 1 |
Chunfang Kang | US | Glenview | 2011-12-22 / 20110313875 - SYSTEM AND METHOD OF ORGANIZING SECURED PURCHASING GROUPS FOR BUYERS OF SIMILAR INTERESTS | 1 |
Isabella Lin Kang | US | Glenview | 2011-12-22 / 20110313875 - SYSTEM AND METHOD OF ORGANIZING SECURED PURCHASING GROUPS FOR BUYERS OF SIMILAR INTERESTS | 1 |
Hee-Dong Kang | KR | Changwon-Shi | 2011-06-09 / 20110135518 - LINEAR COMPRESSOR | 4 |
Hyun-Wook Kang | KR | Gyeongsan-Si | 2011-12-29 / 20110318528 - MANUFACTURING METHOD FOR 3D STRUCTURE OF BIOMATERIALS USING STEREOLITHOGRAPHY TECHNOLOGY AND PRODUCTS BY THE SAME | 1 |
Daesung Kang | KR | Seoul | 2011-12-29 / 20110316004 - LIGHT EMITTING DEVICE | 1 |
Douglas Kang | US | San Jose | 2013-08-22 / 20130214702 - POWER CONVERTER WITH COMPENSATION CIRCUIT FOR ADJUSTING OUTPUT CURRENT PROVIDED TO A CONSTANT LOAD | 4 |
Byoung Sam Kang | US | Midlothian | 2014-07-03 / 20140186576 - INSULATING MATERIAL CONTAINING NANOCELLULOSE | 6 |
Wonmo Kang | US | Champaign | 2011-12-29 / 20110317157 - APPARATUS AND METHOD FOR IN SITU TESTING OF MICROSCALE AND NANOSCALE SAMPLES | 1 |
Jian Kang | CN | Shenzhen | 2011-12-29 / 20110317346 - Totally-enclosed integrative access system and power consumption reduction method thereof | 1 |
Min Koo Kang | KR | Changwon-Si | 2011-12-29 / 20110317766 - APPARATUS AND METHOD OF DEPTH CODING USING PREDICTION MODE | 1 |
Ji Won Kang | KR | Anyang-Si | 2016-05-12 / 20160135197 - INTERFERENCE REMOVAL METHOD IN MULTI-NODE SYSTEM AND TERMINAL USING THE SAME | 84 |
Xinhe Kang | CN | Beijing | 2015-04-30 / 20150119528 - FUNCTIONAL DIENE POLYMER, PREPARATION METHOD THEREOF, AND RUBBER COMPOSITION COMPRISING THE SAME | 2 |
Wooyong Kang | KR | Daejeon | 2012-11-08 / 20120283941 - METHOD OF DETERMINING DRIVE LANE USING STEERING WHEEL MODEL | 2 |
Hui Kang | US | Stony Brook | 2011-12-29 / 20110320391 - Method and Apparatus for Predicting Application Performance Across Machines with Different Hardware Configurations | 1 |
Moo Jong Kang | KR | Suwon | 2014-03-20 / 20140077915 - TRANSFORMER | 2 |
Hyongmyong Kang | JP | Tokyo | 2012-04-05 / 20120081583 - ABERRATION CORRECTION APPARATUS, ABERRATION CORRECTION METHOD, AND PROGRAM | 2 |
Dongwoo Kang | KR | Gyeonggi-Do | 2012-12-20 / 20120320036 - Stereoscopic Image Display Device and Driving Method Thereof | 2 |
Husen Kartasamita Kang | SG | Singapore | 2012-01-05 / 20120002203 - Sensitivity enhancement in grating coupled surface plasmon resonance by azimuthal control | 1 |
Soo-Kyung Kang | KR | Seoul | 2012-01-05 / 20120003186 - METHOD FOR DEDIFFERENTIATING ADIPOSE TISSUE STROMAL CELLS | 1 |
Sin-Hun Kang | KR | Daegu | 2012-01-05 / 20120003962 - GROUP HANDOVER METHOD AND APPARATUS IN BROADBAND WIRELESS COMMUNICATION SYSTEM THAT SUPPORTS MOBILE RELAY STATION | 1 |
Sang Deok Kang | KR | Kyunggi-Do | 2012-01-12 / 20120009871 - APPARATUS AND METHOD FOR SUPPORTING DIFFERENT TYPES OF UNIVERSAL INTEGRATED CIRCUIT CARDS | 1 |
Sung-Kwon Kang | US | Armonk | 2012-01-12 / 20120006885 - Multipath Soldered Thermal Interface Between a Chip and its Heat Sink | 1 |
Ho-Moon Kang | KR | Yongin-City | 2012-01-12 / 20120007137 - ORGANIC LIGHT-EMITTING APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
Jung-Suk Kang | KR | Seoul | 2012-01-19 / 20120014670 - METHOD AND APPARATUS FOR RECORDING MANUFACTURER INFORMATION ON A RECORDING MEDIUM AND FOR DETERMINING WHETHER THE MANUFACTURER INFORMATION IS EFFECTIVE | 9 |
Ki Young Kang | KR | Seoul | 2012-06-07 / 20120137739 - WASHING MACHINE | 9 |
Wangxing Kang | CN | Shenzhen City | 2013-09-26 / 20130254531 - IMS MULTIMEDIA COMMUNICATION METHOD AND SYSTEM, TERMINAL AND IMS CORE NETWORK | 2 |
Hyunho Kang | KR | Yongin-Si | 2012-01-19 / 20120017177 - MOBILE TERMINAL AND METHOD OF ORGANIZING A MENU SCREEN THEREIN | 1 |
Dongha Kang | KR | Seoul | 2011-08-04 / 20110189509 - FRAME MEMBER AND BATTERY PACK EMPLOYED WITH THE SAME | 1 |
Yang-Beom Kang | KR | Chungcheongbuk-Do | 2012-01-26 / 20120018840 - ELEMENT ISOLATION STRUCTURE OF SEMICONDUCTOR AND METHOD FOR FORMING THE SAME | 1 |
Liang-Hao Kang | TW | Taoyuan County | 2013-03-07 / 20130056761 - PIXEL ARRAY SUBSTRATE | 4 |
Yong-Hae Kang | KR | Yongin-Si | 2012-01-26 / 20120021277 - Rechargeable battery | 1 |
Min Su Kang | KR | Euiwang-Si | 2013-08-15 / 20130210354 - PORTABLE TERMINAL AND METHOD FOR PROVIDING SOCIAL NETWORK SERVICE USING HUMAN BODY COMMUNICATION | 2 |
Dong Kang | CN | Shanghai | 2012-01-26 / 20120023464 - AUTOMATIC IDENTIFICATION OF SYSTEMATIC REPEATING DEFECTS IN SEMICONDUCTOR PRODUCTION | 1 |
Wonmyeong Kang | KR | Pyeongtaek-Si | 2011-03-17 / 20110062125 - APPARATUS FOR FORMING PATTERN ON LIGHT GUIDE PANEL | 4 |
Kyong Min Kang | KR | Pyeongtaek-Si | 2010-06-24 / 20100156283 - NAPHTHYL CARBAZOLE DERIVATIVES, KL HOST MATERIAL, THE ORGANIC LIGHT EMITTING DEVICE EMPLOYING THE SAME, THE DISPLAY DEVICE AND THE ILLUMINATION DEVICE EMPLOYING THE SAME | 1 |
In-Won Kang | KR | Pyeongtaek-Si | 2009-04-02 / 20090089829 - METHOD AND SYSTEM FOR BLOCKING HAZARDOUS INFORMATION | 2 |
Haengjoon Kang | KR | Pyeongtaek-Si | 2013-12-05 / 20130326557 - METHOD FOR PROVIDING APPLICATION MENU IN IMAGE DISPLAY DEVICE, AND IMAGE DISPLAY DEVICE USING SAME | 4 |
Kyoung Ae Kang | KR | Kyunggi-Do | 2008-09-18 / 20080226611 - Gene Therapy Using TGF-beta | 1 |
Soo Jung Kang | KR | Seoul | 2010-05-13 / 20100120849 - Compositions for Treating Hyperproliferative Vascular Disorders and Cancers | 2 |
Sung-Bong Kang | KR | Seocho-Gu | 2008-10-30 / 20080270996 - APPARATUS AND METHOD FOR AUTOMATICALLY EXTRACTING INTERFACE OF EMBEDDED SOFTWARE | 2 |
Suyoung Kang | KR | Changwon-Si | 2012-02-02 / 20120023977 - AIR CONDITIONER AND METHOD FOR CONTROLLING THE SAME | 2 |
Yang-Jun Kang | JP | Gyungsangnam-Do | 2012-02-02 / 20120024148 - LINEAR COMPRESSOR | 1 |
Dae-Gun Kang | KR | Busan | 2012-02-02 / 20120024714 - TRIVALENT CHROMIUM PLATING SOLUTION AND PLATING METHOD USING THE SAME | 1 |
Jason Kai Siang Kang | US | Morganville | 2012-02-02 / 20120025977 - Firefighter Location and Rescue Equipment | 1 |
Hongjuan Kang | CN | Sichuan | 2012-02-02 / 20120027205 - IDENTITY AUTHENTICATION AND SHARED KEY GENERATION METHOD | 1 |
Xun Kang | US | Kirkland | 2012-10-04 / 20120254148 - SERVING MULTIPLE SEARCH INDEXES | 2 |
Inyup Kang | US | San Diego | 2016-03-17 / 20160080174 - COMPUTING SYSTEM WITH CHANNEL ESTIMATION MECHANISM AND METHOD OF OPERATION THEREOF | 61 |
Jung-Soo Kang | KR | Daejeon | 2016-03-24 / 20160087487 - BIDIRECTIONAL POWER CONVERTING APPARATUS | 20 |
Kyung-Hwa Kang | KR | Seoul | 2016-02-11 / 20160042792 - NONVOLATILE MEMORY DEVICES AND DRIVING METHODS THEREOF | 6 |
Byeong Woo Kang | KR | Gyeonggi-Do | 2012-05-03 / 20120106531 - METHOD AND APPARATUS FOR INDICATING DESTINATION STATIONS IN WLAN SYSTEM SUPPORTING MULTI-USER MULTIPLE INPUT MULTIPLE OUTPUT | 12 |
Doo Won Kang | KR | Anyang-Si | 2015-12-17 / 20150364286 - COMPLEX PROTECTION DEVICE | 10 |
Ki-Hyung Kang | KR | Suwon-Si | 2015-08-27 / 20150245015 - AUTOSTEREOSCOPIC MULTI-VIEW IMAGE DISPLAY APPARATUS | 9 |
Feiyu Kang | CN | Shenzhen | 2015-11-19 / 20150328157 - APPLICATION OF SILICON DIOXIDE AEROGEL AS NANO-DRUG CARRYING SYSTEM IN PHARMACY | 4 |
Soo Kyung Kang | KR | Pusan | 2010-09-16 / 20100233131 - SELENIUM DEDIFFERENTIATED CELL, PREPARATION METHOD AND USAGE THEREOF | 1 |
Ji Hee Kang | KR | Seoul | 2012-02-16 / 20120036650 - LAUNDRY MACHINE AND CONTROL METHOD THEREOF | 1 |
Po-Chuan Kang | TW | Hsinchu | 2014-12-11 / 20140362189 - THREE-DIMENSIONAL IMAGE APPARATUS AND OPERATION METHOD THEREOF | 2 |
Hong Kang | CN | Shanghai | 2012-02-16 / 20120041760 - VOICE RECORDING EQUIPMENT AND METHOD | 1 |
Joo-Hyun Kang | KR | Gyeongsangbuk-Do | 2012-02-16 / 20120042410 - ABSCISIC ACID CARRIER GENE AND TRANSGENIC PLANT EXPRESSING THE SAME | 1 |
Joon-Koo Kang | KR | Daejeon | 2016-02-11 / 20160040016 - COATING COMPOSITION | 30 |
Joon-Koo Kang | KR | Daejeon Metropolitan City | 2011-07-07 / 20110165404 - FLUORINE-BASED COMPOUNDS AND COATING COMPOSITIONS COMPRISING THE SAME | 2 |
Joon-Koo Kang | KR | Seo-Gu | 2012-02-23 / 20120045594 - OUTSTANDINGLY ABRASION RESISTANT AND POLLUTION RESISTANT COATING COMPOSITION AND COATING FILM | 1 |
Yonghee Kang | KR | Kyoung-Gi-Do | 2013-05-30 / 20130134586 - Semiconductor Device Having Vertically Offset Bond on Trace Interconnects on Recessed and Raised Bond Fingers | 6 |
Yonghee Kang | KR | Kyoungki-Do | 2013-09-12 / 20130234324 - Semiconductor Device and Method of Forming Vertically Offset Conductive Pillars Over First Substrate Aligned to Vertically Offset BOT Interconnect Sites Formed Over Second Substrate | 3 |
Ki Young Kang | KR | Seoul | 2012-06-07 / 20120137739 - WASHING MACHINE | 9 |
Yong-Heack Kang | KR | Daejeon-Si | 2012-02-23 / 20120042873 - HEATING CABINET | 2 |
Jin-Wook Kang | KR | Yongin-Si | 2013-06-06 / 20130141051 - ENERGY STORAGE SYSTEM AND METHOD FOR CONTROLLING THE SAME | 3 |
Yun Sung Kang | KR | Gyunggi-Do | 2013-07-04 / 20130169113 - INERTIAL SENSOR AND METHOD OF MANUFACTURING THE SAME | 7 |
Shu-Hsuan Kang | TW | San-Chung City | 2012-02-23 / 20120044152 - HANDWRITTEN BOARD WITH LIQUID CRYSTAL DISPLAY | 1 |
Michael J.s. Kang | CA | North Vancouver | 2012-02-23 / 20120044281 - High Dynamic Range Display with Three Dimensional and Field Sequential Color Synthesis Control | 1 |
Ki Man Kang | KR | Suncheon | 2009-06-25 / 20090159922 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Eui-Su Kang | KR | Uiwang-Si | 2015-04-16 / 20150102301 - ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE | 25 |
Uk Song Kang | KR | Seongnam-Si | 2015-10-29 / 20150309743 - SEMICONDUCTOR MEMORY DEVICES AND MEMORY SYSTEMS INCLUDING THE SAME | 25 |
Hyun-Jeong Kang | KR | Seoul-Si | 2012-03-08 / 20120058764 - APPARATUS AND METHOD FOR SUPPORTING LOCATION UPDATE REGISTRATION PROCESS IN MACHINE TO MACHINE COMMUNICATION SYSTEM | 1 |
Kyunghee Kang | KR | Gyeonggi-Do | 2012-05-03 / 20120105579 - MOBILE TERMINAL AND METHOD OF CONTROLLING AN IMAGE PHOTOGRAPHING THEREIN | 2 |
Soon Seng Kang | SG | Singapore | 2015-06-25 / 20150181758 - SLICE-IO HOUSING WITH SIDE VENTILATION | 6 |
Fang Kang | CN | Beijing | 2012-05-03 / 20120105770 - BACKLIGHT MODULE AND LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Kiwon Kang | KR | Anyang City | 2012-03-08 / 20120057369 - LIGHT EMITTING STRUCTURE FOR BACKLIGHTED SIGN | 1 |
Michael Kang | US | San Diego | 2012-03-08 / 20120060081 - SYSTEMS AND METHODS FOR DOCUMENT ANALYSIS | 1 |
Ji Young Kang | KR | Gyeonggi-Do | 2015-12-24 / 20150373170 - MOBILE TERMINAL HAVING A TOUCH SCREEN AND METHOD FOR PROVIDING A USER INTERFACE THEREIN | 5 |
Jung-Min Kang | KR | Suwon-Si | 2016-02-18 / 20160049877 - INDUCTANCE VARYING CIRCUIT AND POWER SUPPLY APPARATUS INCLUDING THE SAME | 2 |
Hyunjeong Kang | KR | Seoul | 2015-03-19 / 20150078189 - METHOD AND APPARATUS FOR CONTROLLING DRX OPERATION IN BEAM FORMING COMMUNICATION SYSTEM | 2 |
Dae-Hyuk Kang | KR | Hwaseong-Si | 2012-06-14 / 20120148944 - PHOTOMASKS AND METHODS OF MANUFACTURING THE SAME | 10 |
Sang-Seok Kang | KR | Suwon-Si | 2015-09-17 / 20150262620 - MEMORY MODULE AND MEMORY SYSTEM | 9 |
Hyung Su Kang | KR | Siheung-Si | 2009-12-03 / 20090295050 - Electromagnetic Active Engine Mount Apparatus | 2 |
Minchul Kang | KR | Seoul | 2012-12-13 / 20120312088 - APPARATUS FOR DETECTING STEERING TORQUE AND STEERING ANGLE AND STEERING SYSTEM HAVING THE SAME | 2 |
Dong-Uk Kang | KR | Suwon-Si | 2015-07-02 / 20150185529 - LIQUID CRYSTAL DISPLAY AND MANUFACTURING METHOD THEREOF | 5 |
Young Mo Kang | US | Urbana | 2012-03-15 / 20120063484 - DISTRIBUTED REFLECTOR IN A MICRORING RESONATOR | 1 |
Yu-Kai Kang | TW | Hsinchu | 2012-03-15 / 20120063756 - PHOTOGRAPHIC DEVICE HAVING OPTICAL IMAGE STABILIZATION MODULE AND OPTICAL IMAGE STABILIZATION PHOTOGRAPHIC DEVICE HAVING PERIPHERAL DRIVER CHIP | 1 |
Dae-Jung Kang | KR | Yongin-Si | 2014-07-24 / 20140206040 - STREPTOCOCCUS DYSGALACTIAE ID9103 AND METHOD FOR PRODUCTION OF HYALURONIC ACID USING THE SAME | 3 |
Bong-Su Kang | KR | Dongducheon-Si | 2012-04-12 / 20120089141 - DIRECTION-CONTROLLABLE ELECTRODE BODY FOR SELECTIVELY REMOVING BODILY TISSUE, AND GUIDE PIPE | 2 |
Pengju Kang | US | Yorktown Heights | 2015-04-30 / 20150120130 - VEHICLE CONTROL SYSTEM | 14 |
Won Sik Kang | KR | Seoul | 2015-09-17 / 20150262543 - DISPLAY DRIVING CIRCUIT AND A DISPLAY DEVICE HAVING THE SAME | 24 |
Jen-Hao Kang | TW | New Taipei City | 2013-01-24 / 20130024770 - APPARATUS AND METHOD CAPABLE OF OUTPUTTING SPATIAL INFORMATION OF DEVICE COMPONENT | 3 |
Gu Bae Kang | KR | Yongin-Si | 2015-06-04 / 20150153400 - DETECTION SYSTEM AND METHOD OF DISCONNECTION OF MOTOR POWER CABLE AND MOTOR CONTROL METHOD | 2 |
Jong Hee Kang | KR | Seoul | 2013-10-24 / 20130280335 - BIOMEDICAL IMPLANTS COMPRISING SURFACE-MODIFIED CERAMIC PARTICLES AND BIODEGRADABLE STEREO COMPLEX POLYMERS, ITS USE FOR SUPPRESSING INFLAMMATION AND IMPROVEMENT OF MECHANICAL PROPERTY, AND PREPARATION METHOD THEREOF | 2 |
Song Yun Kang | JP | Yamanashi | 2012-03-22 / 20120071006 - STARTING MATERIAL FOR USE IN FORMING SILICON OXIDE FILM AND METHOD FOR FORMING SILICON OXIDE FILM USING SAME | 1 |
Kyung-Ran Kang | KR | Busan | 2013-11-14 / 20130303600 - ANTI-ANGIOGENIC COMPOSITION CONTAINING MACROLACTIN A AND A DERIVATIVE THEREOF AS ACTIVE INGREDIENTS | 3 |
Jung Eun Kang | KR | Gyunggi-Do | 2014-04-10 / 20140096380 - HYBRID HEAT-RADIATING SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 12 |
Yi-Hao Kang | TW | Hsinchu | 2012-08-23 / 20120212841 - PROJECTION LENS AND PROJECTION APPARATUS | 9 |
Seung-Hee Kang | KR | Gyeongsangbuk-Do | 2012-03-29 / 20120073116 - Method for Manufacturing Electrophoretic Display Device | 1 |
Sang Kyu Kang | KR | Hwaseong-Si | 2012-05-17 / 20120117964 - INTAKE SYSTEM OF ENGINE | 2 |
Byung-Il Kang | KR | Seoul | 2016-02-18 / 20160047399 - METHOD, DEVICE, AND SYSTEM FOR CONTROLLING HYDRAULIC PUMP OF CONSTRUCTION MACHINE | 3 |
Daesil Kang | KR | Pohang-Si | 2012-03-29 / 20120074965 - AREA-VARYING CAPACITIVE SENSOR, AND SELF COMPENSATION AND SIGNAL LINEARIZATION METHOD THEREOF | 1 |
Du-Seong Kang | KR | Yongin-Si | 2015-04-16 / 20150106529 - TERMINAL APPARATUS AND METHOD FOR CONNECTING TO VIRTUAL SERVER IN VIRTUAL DESKTOP INFRASTRUCTURE | 2 |
Dong-Jun Kang | KR | Changwon-Si | 2014-03-20 / 20140076200 - METHOD FOR MANUFACTURING HYBRID PACKAGING MATERIAL | 2 |
Yung-Gu Kang | KR | Gumi-Si | 2012-03-29 / 20120078400 - Memory Expansion Pack For Providing Content To Portable Terminal | 1 |
Shih-Chung Kang | TW | Taipei | 2015-11-19 / 20150332146 - DEVICE AND METHOD FOR COMPARISON OF MULTIPLE TROPICAL CYCLONE ROUTES | 12 |
Su-Hyoung Kang | KR | Bucheon-Si | 2016-05-19 / 20160141310 - THIN FILM TRANSISTOR SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 26 |
Mikyung Kang | KR | Jeju Special Self-Governing Province | 2013-11-21 / 20130312003 - METHOD AND SYSTEM FOR DYNAMICALLY PARALLELIZING APPLICATION PROGRAM | 2 |
Sin Il Kang | KR | Cheongju-Si | 2012-04-05 / 20120080623 - ELECTRIC VALVE FOR REFRIGERANT CONTROL | 1 |
Won Gyu Kang | KR | Ulsan | 2012-04-05 / 20120080928 - Seat Latch Structure | 1 |
Shin-Tack Kang | KR | Yongin-Si | 2016-05-19 / 20160139473 - THIN FILM TRANSISTOR ARRAY PANEL, LIQUID CRYSTAL DISPLAY, AND METHOD TO REPAIR THE SAME | 11 |
Young Wook Kang | KR | Seoul | 2012-04-05 / 20120082076 - METHOD AND APPARATUS FOR TRANSMITTING A PACKET IN A WIRELESS NETWORK | 1 |
Myungchan Kang | US | Woodbury | 2016-01-28 / 20160022853 - POST-STEAM STERILIZATION MOISTURE-INDICATING ARTICLES | 12 |
Minjin Kang | US | San Diego | 2012-04-05 / 20120083527 - Anticancer Agents | 1 |
In Ho Kang | KR | Seongnam-Si | 2012-04-05 / 20120084318 - SYSTEM AND METHOD FOR PROVIDING DOCUMENT BASED ON PERSONAL NETWORK | 2 |
Moon-Gi Kang | KR | Seoul | 2014-06-19 / 20140169671 - APPARATUS AND METHOD FOR COLOR RESTORATION | 15 |
Seungbeom Kang | KR | Chungcheongbuk-Do | 2013-06-20 / 20130156436 - TERAHERTZ RECEIVER AND METHOD OF RECEIVING TERAHERTZ BAND SIGNAL THEREOF | 3 |
Mu-Kai Kang | TW | Pingtung County | 2015-07-09 / 20150194449 - PIXEL SUBSTRATE AND FABRICATION METHOD THEREOF | 6 |
Tae-Hee Kang | KR | Incheon | 2012-04-12 / 20120088226 - NUCLEIC ACID EXTRACTION METHOD | 1 |
Hyun-Wook Kang | US | Winston-Salem | 2015-04-30 / 20150119994 - INTEGRATED ORGAN AND TISSUE PRINTING METHODS, SYSTEM AND APPARATUS | 2 |
Dong-Jun Kang | KR | Gyeonggi-Do | 2012-04-12 / 20120089892 - METHOD AND APPARATUS FOR DATA MANAGEMENT THROUGH TIMER COMPENSATION IN A WIRELESS COMMUNICATION SYSTEM | 1 |
Sang-Yeol Kang | KR | Seoul | 2015-01-29 / 20150031186 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE HAVING DIELECTRIC LAYER WITH IMPROVED ELECTRICAL CHARACTERISTICS | 11 |
Kun Seok Kang | KR | Daejeon | 2015-05-28 / 20150146631 - RANDOM ACCESS METHOD AND RANDOM ACCESS CHANNEL STRUCTURE IN MOBILE COMMUNICATION SYSTEM HAVING LARGE CELL RADIUS | 12 |
Sang-Bom Kang | KR | Seoul | 2015-05-28 / 20150147860 - METHODS OF FABRICATING SEMICONDUCTOR DEVICES | 26 |
Hongkyu Kang | KR | Gwangju | 2015-06-25 / 20150179965 - INVERTED ORGANIC ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME | 4 |
Wangxing Kang | CN | Shenzhen | 2012-04-19 / 20120096263 - Security service control method and wireless local area network terminal | 1 |
Chihtsung Kang | CN | Guangdong | 2015-12-31 / 20150378199 - LIQUID CRYSTAL DISPLAY AND OPTICAL COMPENSATION METHOD APPLIED IN LIQUID CRYSTAL DISPLAY | 6 |
Tae-Min Kang | KR | Yongin-City | 2014-11-06 / 20140326404 - LAMINATING APPARATUS AND LAMINATING METHOD USING THE SAME | 11 |
Chang-Oh Kang | KR | Kyungsangbuk-Do | 2010-10-28 / 20100270715 - Apparatus for Manufacturing Molten Irons by Hot Compacting Fine Direct Reduced Irons | 1 |
Kyeong Ok Kang | KR | Daejeon | 2016-04-21 / 20160112820 - VIRTUAL SOUND IMAGE LOCALIZATION METHOD FOR TWO DIMENSIONAL AND THREE DIMENSIONAL SPACES | 44 |
Noh-Gyoung Kang | KR | Seoul | 2016-01-28 / 20160029400 - METHOD FOR OPERATING MULTI-TYPE BEACONS | 19 |
Jin-Goo Kang | KR | Yongin-City | 2015-03-05 / 20150060781 - DISPLAY APPARATUS AND RELATED MANUFACTURING METHOD | 12 |
Chun Ho Kang | KR | Icheon-Si | 2011-06-30 / 20110159676 - FABRICATING LOW CONTACT RESISTANCE CONDUCTIVE LAYER IN SEMICONDUCTOR DEVICE | 1 |
Jiwon Kang | KR | Chilgok-Gun | 2012-04-26 / 20120097426 - STRUCTURE FOR SHORTING LINE CONNECTING SIGNAL LINES OF FLAT PANEL DISPLAY DEVICE | 1 |
Dae-Young Kang | KR | Jeollanam-Do | 2012-04-26 / 20120100391 - HOT-DIP GALVANIZED STEEL SHEET HAVING EXCELLENT PLATING QUALITIES, PLATING ADHESION AND SPOT WELDABILITY AND MANUFACTURING METHOD THEREOF | 1 |
Tae-Hyeok Kang | KR | Yongin-City | 2012-08-23 / 20120212966 - ELECTRONIC DEVICE HAVING ORGANIC LIGHT EMITTING DIODE DISPLAY | 3 |
Qing Kang | CN | Xi'An | 2012-04-26 / 20120100754 - USB MODEM | 1 |
In-Cheol Kang | KR | Seoul | 2012-10-18 / 20120264942 - METHOD FOR SCREENING OSTEOPONTIN INHIBITOR AND INHIBITOR OBTAINED FROM THE METHOD | 2 |
Sung Won Kang | KR | Incheon | 2012-04-26 / 20120101517 - ACUPRESSURE MASSAGE PILLOW | 1 |
Gi-Ryong Kang | KR | Daegu | 2012-04-26 / 20120101941 - APPARATUS AND METHOD FOR GIRO CHARGE PAYMENT IN PORTABLE TERMINAL | 1 |
Jong-Phil Kang | KR | Daejeon | 2010-12-30 / 20100331260 - Stabilized bitamin c derivatives having a peptide molecule, preparation method thereof, and composition containing the same | 1 |
Dae Sung Kang | KR | Gwangju | 2015-05-21 / 20150137070 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 15 |
Wonchul Kang | KR | Daejeon | 2011-07-07 / 20110167149 - INTERNET FLOW DATA ANALYSIS METHOD USING PARALLEL COMPUTATIONS | 1 |
Ki Hwan Kang | KR | Seoul | 2012-05-03 / 20120104749 - TUBE COUPLING | 1 |
Woo-Shik Kang | KR | Suwon-Si | 2015-11-26 / 20150339391 - METHOD FOR SEARCHING AND DEVICE THEREOF | 5 |
Man-Gon Kang | KR | Changwon-Si | 2012-05-03 / 20120103449 - FLOW PATH SWITCHING VALVE | 1 |
Kunyoung Kang | KR | Changwon-Si | 2012-05-03 / 20120107695 - LITHIUM RECHARGEABLE BATTERY | 1 |
Hyun Min Kang | KR | Seongnam | 2015-09-17 / 20150258740 - LINERLESS PRESSURE VESSEL BY CENTRIFUGAL FORCED WEAVING AND METHOD FOR MANUFACTURING THEREOF | 8 |
Sung Sik Kang | SG | Singapore | 2012-05-03 / 20120104075 - METHOD OF OPERATING A CLAMPING SYSTEM OF A WIRE BONDING MACHINE | 1 |
Sung Tae Kang | KR | Seoul | 2012-05-03 / 20120105091 - STACKED FPGA BOARD FOR SEMICONDUCTOR VERIFICATION | 1 |
Yoon-Seok Kang | KR | Seoul | 2015-09-10 / 20150253534 - LENS DRIVING ASSEMBLY AND ELECTRONIC APPARATUS HAVING THE SAME | 8 |
Dae Won Kang | KR | Goyang-Si | 2014-08-21 / 20140233991 - FUSING DEVICE AND IMAGE FORMING APPARATUS HAVING THE SAME | 2 |
Ho-Sung Kang | KR | Bundang | 2012-05-03 / 20120108134 - Polyolefin-Based Artificial Leather | 1 |
Mingu Kang | KR | Daejeon | 2010-10-21 / 20100265517 - THREE-DIMENSIONAL SHAPE MEASURING APPARATUS | 2 |
Mingu Kang | KR | Gyeonggi-Do | 2012-05-10 / 20120113708 - Stable SRAM Bitcell Design Utilizing Independent Gate Finfet | 1 |
Hen-Ta Kang | TW | Taichung City | 2015-04-23 / 20150109245 - TOUCH PANEL | 21 |
Joo Seok Kang | KR | Seoul | 2011-08-25 / 20110208777 - METHOD AND SYSTEM FOR FACILITIES MANAGEMENT | 1 |
Kiat Chyai Kang | US | Painted Post | 2016-01-28 / 20160023448 - METHODS AND APPARATUS FOR FABRICATING AND CUTTING FLEXIBLE GLASS AND POLYMER COMPOSITE STRUCTURES | 9 |
Sangchul Kang | KR | Hwaseong-Si | 2016-02-04 / 20160036438 - NONVOLATILE MEMORY DEVICES WITH ON DIE TERMINATION CIRCUITS AND CONTROL METHODS THEREOF | 4 |
Dae Lim Kang | KR | Hwaseong-Si | 2015-06-11 / 20150162331 - TEST PATTERN OF SEMICONDUCTOR DEVICE | 2 |
Dae Wook Kang | KR | Gyeongsangnam-Do | 2012-05-10 / 20120112708 - Control Device for Doubly-Fed Induction Generator in Which Feedback Linearization Method is Embedded | 1 |
Guo Yao Kang | CN | Shenzhen | 2012-05-10 / 20120112872 - SURGE PROTECTION DEVICE USING METAL OXIDE VARISTORS (MOVs) AS THE ACTIVE ENERGY CONTROL MULTIPLE GAP DISCHARGING CHAIN | 1 |
Kyung Hwan Kang | KR | Seoul | 2012-05-24 / 20120130776 - TOLL FEE INFORMATION COMMUNICATION SYSTEM, TRAFFIC INFORMATION RECEIVING-ROUTING SYSTEM USING THE TOLL FEE INFORMATION COMMUNICATION SYSTEM AND TRAFFIC INFORMATION RECEIVING METHOD OF THE SAME | 2 |
Chang Soo Kang | KR | Gyeonggi-Do | 2012-05-10 / 20120113175 - Driving apparatus of inkjet printer head and driving method thereof | 1 |
Tae Jin Kang | KR | Yongin-Si | 2013-10-03 / 20130257474 - SEMICONDUCTOR MODULES | 4 |
Sang-Gee Kang | KR | Daejeon-Si | 2012-05-10 / 20120114029 - METHOD AND APPARATUS FOR MEASURING TIME DELAY IN ENVELOPE ELIMINATION AND RESTORATION TRANSMITTER | 1 |
Mingoo Kang | KR | Pyeongtaek-Si | 2012-05-17 / 20120124525 - METHOD FOR PROVIDING DISPLAY IMAGE IN MULTIMEDIA DEVICE AND THEREOF | 5 |
Kongbin Kang | US | Pawtucket | 2012-05-10 / 20120114214 - METHODS AND APPARATUS FOR IDENTIFYING SUBJECT MATTER IN VIEW DATA | 1 |
Yisheng (connie) Kang | US | Albany | 2014-02-06 / 20140038248 - PRODUCT OF FATTY ACID ESTERS FROM BIOMASS POLYMERS | 2 |
Hyunduk Kang | KR | Gwangju | 2014-08-07 / 20140219220 - SYSTEM AND METHOD FOR MANAGING RESOURCE IN COMMUNICATION SYSTEM | 7 |
Chao-An Kang | TW | Tu-Cheng | 2013-10-31 / 20130284380 - FEEDER | 5 |
Byeong-Doo Kang | KR | Asan-Si | 2013-09-12 / 20130235090 - BACKLIGHT UNIT AND DISPLAY APPARATUS HAVING THE SAME | 3 |
Ho Chul Kang | US | Parkville | 2012-05-17 / 20120121559 - TREATMENT AND PREVENTION OF PATHOLOGICAL CONDITIONS USING IDUNA RELATED TECHNIQUES AND COMPOSITIONS | 1 |
Doris H. Kang | US | Shrewsbury | 2016-05-12 / 20160130462 - TOPCOAT COMPOSITIONS AND PHOTOLITHOGRAPHIC METHODS | 3 |
Shaoli Kang | CN | Beijing | 2014-10-30 / 20140325301 - METHOD AND DEVICE FOR DATA EXCHANGING | 4 |
Min Gyu Kang | KR | Seoul | 2012-05-17 / 20120122513 - METHOD FOR COOPERATIVE CONTROL OF POWER AMONG BASE STATIONS AND BASE STATION DEVICE USING SAME | 1 |
Dae Chul Kang | KR | Hwaseong-Si | 2012-05-17 / 20120122522 - MOBILE TERMINAL DEVICE FOR RECEIVING DUAL BAND SIGNAL USING MULTIPLE RESONANCE ANTENNA | 1 |
Jung Il Kang | KR | Jeju-Do | 2012-05-24 / 20120129838 - Composition for Preventing and Treating Alopecia Disorder Containing Morgalanthamine Compounds as an Active Ingredient | 1 |
Yimin Kang | US | San Jose | 2015-02-05 / 20150037048 - LOW VOLTAGE PHOTODETECTORS | 7 |
Dae Hyun Kang | KR | Pohang-Si | 2013-09-19 / 20130241657 - MULTI-MODE DOHERTY POWER AMPLIFIER | 2 |
In-Yong Kang | KR | Seoul | 2012-05-24 / 20120127444 - Reflection Mask For EUV Lithography, System For EUV Lithography, And Method Of Fixing The Reflection Mask For EUV Lithography | 1 |
Hyun Seo Kang | KR | Gwangju | 2016-05-05 / 20160120144 - LIVESTOCK HOUSE MANAGEMENT SYSTEM AND MANAGEMENT METHOD THEREOF | 16 |
Il-Kwon Kang | KR | Gyeonggi-Do | 2012-05-24 / 20120131396 - DEVICE AND METHOD FOR REPAIR ANALYSIS | 2 |
Sungho Kang | KR | Seoul | 2016-04-07 / 20160097810 - SEMICONDUCTOR DEVICE AND METHOD FOR TESTING THE SAME | 7 |
Haitao Kang | CN | Shenzhen | 2012-05-24 / 20120127887 - Method for Implementing Virtual Network Port and Embedded Device for Implementing Virtual Network Port | 1 |
Su Jin Kang | US | Grafton | 2013-03-28 / 20130078569 - CALIXARENE AND PHOTORESIST COMPOSITION COMPRISING SAME | 2 |
Jin Ung Kang | US | Ellicott City | 2014-02-06 / 20140039261 - OPTICAL COHERENCE TOMOGRAPHY SYSTEM AND METHOD FOR REAL-TIME SURGICAL GUIDANCE | 4 |
Chih-Hsing Kang | TW | Taipei City | 2012-09-13 / 20120233451 - METHOD FOR FAST RESUMING COMPUTER SYSTEM AND COMPUTER SYSTEM | 2 |
Sung Hyun Kang | KR | Gyeonggi-Do | 2012-05-24 / 20120131505 - SYSTEM FOR PROVIDING A HANDLING INTERFACE | 1 |
Woo Chul Kang | KR | Daejeon | 2012-05-24 / 20120131586 - APPARATUS AND METHOD FOR CONTROLLING RESPONSE TIME OF APPLICATION PROGRAM | 1 |
Byung-Ok Kang | KR | Gyeryong-Si | 2012-06-14 / 20120150539 - METHOD FOR ESTIMATING LANGUAGE MODEL WEIGHT AND SYSTEM FOR THE SAME | 2 |
Sung Suk Kang | KR | Seoul | 2011-05-19 / 20110115877 - METHOD FOR USER AUTHENTICATION, AND VIDEO COMMUNICATION APPARATUS AND DISPLAY APPARATUS THEREOF | 1 |
Heejung Kang | KR | Gyeonggi-Do | 2012-04-12 / 20120089066 - DISPOSABLE STERILIZED CUPPING THERAPY APPARATUS | 1 |
Kyoung Dal Kang | KR | Gyeongsangnam-Do | 2012-05-31 / 20120131722 - HAT FOR PREVENTING VISUAL FIELD FROM BEING COVERED | 1 |
Ju Wan Kang | KR | Daegu | 2012-05-31 / 20120132459 - CIRCUIT BOARD INCLUDING ALIGNED NANOSTRUCTURES | 1 |
Kyung-Seok Kang | KR | Daejeon | 2013-09-26 / 20130248377 - APPARATUS FOR PREPARING ELECTROLYZED STERILIZING WATER, AND SYSTEM AND METHOD FOR PREPARING ELECTROLYZED STERILIZING WATER, CONTAINING SAME | 2 |
Sungyong Kang | KR | Anyang-Si | 2012-05-31 / 20120132583 - FLUORINE-BASED HOLLOW-FIBER MEMBRANE AND A PRODUCTION METHOD THEREFOR | 1 |
Min-Gu Kang | KR | Anyang-Si | 2012-05-31 / 20120133834 - CHANNEL CHANGER IN A VIDEO PROCESSING APPARATUS AND METHOD THEREOF | 1 |
Byung-Jin Kang | KR | Doaegu | 2014-02-13 / 20140042509 - SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME | 2 |
Ki Tae Kang | KR | Seoul | 2012-05-31 / 20120134133 - LED ILLUMINATION APPARATUS | 1 |
Ku-Hyun Kang | KR | Yongin-Si | 2014-12-04 / 20140352752 - SOLAR CELL AND METHOD OF MANUFACTURING THE SAME | 6 |
Ju Kang | KR | Yongin-Si | 2012-05-31 / 20120134961 - Interferon-alpha (IFN-alpha) Fused Protein Having IFN-alpha and Cytoplasmic Transduction Peptide (CTP) | 1 |
Min Seok Kang | KR | Goyang-Si | 2012-05-31 / 20120135952 - BUTYRIC ACID SALT OF N,N-DIMETHYL IMIDOCARBON IMIDIC DIAMIDE, METHOD OF PREPARING SAME, AND PHARMACEUTICAL COMPOSITIONS AND COMBINATIONS CONTAINING SAME | 1 |
Yun Jae Kang | KR | Gimpo-Si | 2012-12-20 / 20120318678 - APPARATUS AND METHOD FOR PRODUCING METAL NANOPARTICLES USING GRANULE-TYPE ELECTRODES | 2 |
Hyun Sung Kang | KR | Yongin-City | 2012-06-07 / 20120138084 - Cleaning device using UV-ozone and cleaning method using the device | 1 |
Jian Hong Kang | US | Sharon | 2012-06-07 / 20120138777 - OPTICAL INTERRUPTION SENSOR WITH OPPOSED LIGHT EMITTING DIODES | 1 |
Tae-Ho Kang | KR | Seoul | 2016-02-25 / 20160056091 - SEMICONDUCTOR PACKAGE AND ELECTRONIC APPARATUS INCLUDING THE SAME | 4 |
Chan-Ho Kang | KR | Suwon | 2014-10-30 / 20140320081 - CHARGING SYSTEM FOR MILD HYBRID VEHICLE | 2 |
Zhihong Kang | CN | Shenzhen, Guangdong Province | 2012-06-07 / 20120141117 - Network Protection Method and Device | 1 |
Kejun Kang | CN | Haidian District | 2012-06-07 / 20120140874 - Computer Tomography Imaging Device and Method | 1 |
Hongjuan Kang | CN | Mianyang | 2012-06-07 / 20120144200 - CONTENT SECURITY TRANSMISSION PROTECTION DEVICE AND SYSTEM THEREOF, AND CONTENT SECURITY TRANSMISSION METHOD | 1 |
Jang Hoon Kang | KR | Bucheon-Si | 2013-10-24 / 20130279731 - DOCKING STATION HAVING STRUCTURE FOR SOUND AMPLIFICATION AND SOUND QUALITY ENHANCEMENT | 2 |
Yu-Kai Kang | TW | Hsinchu City | 2012-06-28 / 20120162447 - Electronic Device, Image Capturing Device and Control Method Thereof | 2 |
Michael Ching Kwei Kang | US | Spring | 2012-06-07 / 20120141267 - Wind turbine blade automatic pitch control using centrifugal force | 1 |
Jie Kang | DE | Hann | 2012-06-07 / 20120142011 - Modified siNA | 1 |
Kyoung Soon Kang | KR | Daejeon-Si | 2012-06-14 / 20120151593 - DISTRIBUTED DENIAL OF SERVICE ATTACK DETECTION APPARATUS AND METHOD, AND DISTRIBUTED DENIAL OF SERVICE ATTACK DETECTION AND PREVENTION APPARATUS FOR REDUCING FALSE-POSITIVE | 3 |
Hyun-Joo Kang | KR | Daejeon-Si | 2013-08-15 / 20130212241 - SYSTEM AND METHOD FOR OPERATING NETWORK BASED ON NETWORK VIRTUALIZATION | 2 |
Jeom Ja Kang | KR | Daejeon | 2015-08-06 / 20150221303 - DISCUSSION LEARNING SYSTEM AND METHOD USING THE SAME | 11 |
Woo Suk Kang | KR | Seoul | 2009-05-14 / 20090122514 - LED MODULE FOR ILLUMINATION | 1 |
Min-Young Kang | KR | Gyeonggi-Do | 2012-06-14 / 20120144739 - METHOD AND SYSTEM FOR STERILIZATION OF THE SOIL FOR GROWING KOREAN GINSENG BY USING AN ELECTRON BEAM AND SYSTEM OF GROWING KOREAN GINSENG USING AN ELECTRON BEAM STERILIZATION | 1 |
Tae-Wook Kang | KR | Seongnam-Si | 2016-04-28 / 20160118624 - ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 5 |
Sung Ho Kang | KR | Cheonan-Si | 2012-06-14 / 20120146971 - DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Steven Kang | US | Los Angeles | 2015-03-12 / 20150071346 - PARALLEL VIDEO ENCODING BASED ON COMPLEXITY ANALYSIS | 2 |
Juntae Kang | KR | Daegu | 2012-06-14 / 20120148027 - FIELD EMISSION X-RAY TUBE AND METHOD OF OPERATING THE SAME | 1 |
Yoon-Mook Kang | KR | Yongin-Si | 2015-04-30 / 20150114447 - JUNCTION BOX AND PHOTOVOLTAIC MODULE INCLUDING THE SAME | 7 |
Kyung Phil Kang | KR | Gyunggi-Do | 2012-06-14 / 20120149517 - HUB MOTOR UNIT FOR ELECTRIC BICYCLE | 1 |
Ji Won Kang | KR | Gunpo-Si | 2012-06-14 / 20120150418 - APPARATUS AND METHOD FOR CONTROLLING FUEL INJECTION IN A BI-FUEL VEHICLE RUNNING ON TWO FUELS, I.E. GASOLINE AND LPG | 1 |
Nam-Wook Kang | KR | Cheongju-Si | 2012-08-23 / 20120216094 - Controller, A Method Of Operating The Controller And A Memory System | 2 |
Tae-Young Kang | KR | Uljeongbu-Si | 2012-06-14 / 20120151415 - METHOD FOR PROVIDING A USER INTERFACE USING MOTION AND DEVICE ADOPTING THE METHOD | 1 |
Dong In Kang | US | 2012-06-14 / 20120151502 - APPARATUS AND METHOD FOR DYNAMICALLY RECONFIGURING STATE OF APPLICATION PROGRAM IN A MANY-CORE SYSTEM | 1 | |
Mi Kyung Kang | KR | Jeju-Do | 2012-06-14 / 20120151502 - APPARATUS AND METHOD FOR DYNAMICALLY RECONFIGURING STATE OF APPLICATION PROGRAM IN A MANY-CORE SYSTEM | 1 |
Min Soo Kang | KR | Daejeon | 2015-12-10 / 20150357709 - CIRCULAR ARRAY ANTENNA | 30 |
Tae-Gyu Kang | KR | Daejeon | 2016-01-28 / 20160029457 - ZIGBEE LIGHTING CONTROL APPARATUS AND METHOD | 45 |
Gwigwon Kang | KR | Daejeon | 2016-02-04 / 20160030974 - Manufacturing Method of Porous Multilayered Polyolefin | 10 |
You-Sung Kang | KR | Seoul | 2011-02-17 / 20110041046 - APPARATUS AND METHOD FOR PROTECTING RFID DATA | 1 |
Sangkyun Kang | KR | Seoul | 2010-10-07 / 20100255406 - SOLID-STATE FUEL CELL INCLUDING CHEMICAL ELECTROLYTE PROTECTION LAYER AND METHOD OF MANUFACTURING SAME | 2 |
Byeong Woo Kang | KR | Anyang-Si | 2016-05-19 / 20160142116 - METHOD OF ALLOCATING RESOURCES FOR TRANSMITTING UPLINK SIGNAL IN MIMO WIRELESS COMMUNICATION SYSTEM AND APPARATUS THEREOF | 67 |
Pil Joong Kang | KR | Jinju | 2011-12-29 / 20110316941 - Ink path structure and inkjet head including the same | 1 |
Won-Kyung Kang | KR | Gyeonggi-Do | 2015-04-23 / 20150113355 - DATA STORAGE DEVICE | 3 |
Sungmo Kang | KR | Kyunggi-Do | 2011-12-01 / 20110291785 - POWER INDUCTOR STRUCTURE | 1 |
Chung-Chia Kang | TW | Tainan City | 2015-07-16 / 20150201182 - AUTO FOCUS METHOD AND AUTO FOCUS APPARATUS | 6 |
Kun-Seok Kang | KR | Daejeon-Si | 2012-06-21 / 20120155378 - APPARATUS AND METHOD FOR PROVIDING FREQUENCY SELECTIVELY IN SATELLITE COMMUNICATION SYSTEM | 1 |
Kang Kang | CN | Bejing | 2012-06-21 / 20120155553 - METHOD AND APPARATUS FOR HYBRID TRANSCODING OF A MEDIA PROGRAM | 1 |
Chul Ho Kang | KR | Daejeon | 2012-06-21 / 20120156315 - Composition for Treating Vitiligo or Canities Comprising Extract from Sophora Japonica as Active Ingredient | 1 |
Jinwook Kang | KR | Yongin-Si | 2012-06-21 / 20120156530 - APPARATUS AND METHOD FOR CONTROLLING TEMPERATURE OF BATTERY | 1 |
Hun-Sik Kang | KR | Daejeon | 2015-11-12 / 20150326321 - OPTICAL TRANSMISSION AND RECEPTION APPARATUS AND METHOD FOR UPLINK TRANSMISSION IN ORTHOGONAL FREQUENCY DIVISION MULTIPLE ACCESS-PASSIVE OPTICAL NETWORK (OFDMA-PON) | 12 |
Bong Ju Kang | KR | Seoul | 2009-10-22 / 20090264138 - METHOD AND SYSTEM FOR TRANSMITTING MULTIMEDIA CONTENTS IN COMMUNICATION NETWORK | 1 |
Hyung-Won Kang | KR | Seoul | 2015-07-23 / 20150207436 - PLEZOELECTRIC GENERATOR FOR SUPPLYING POWER TO PORTABLE TERMINAL | 2 |
Sungsoo Kang | KR | Daejeon | 2015-02-12 / 20150043411 - GATEWAY SYSTEM FOR SENSOR NETWORK AND DRIVING METHOD THEREOF | 4 |
Tae-Kyu Kang | KR | Daejeon-Si | 2013-10-31 / 20130287027 - APPARATUS AND METHOD FOR PROCESSING PACKET IN MPLS-TP NETWORK | 2 |
Hun Sik Kang | KR | Daejeon-Si | 2016-03-24 / 20160087750 - METHOD AND APPARATUS FOR TRANSMITTING ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) SIGNAL IN OPTICAL NETWORK | 9 |
Dong-Won Kang | KR | Daejeon-Si | 2013-08-01 / 20130194934 - PACKET PROCESSING APPARATUS AND METHOD FOR DETECTING DUPLICATE ACKNOWLEDGEMENT PACKET | 3 |
Jewon Kang | US | Wilmington | 2012-06-28 / 20120163451 - Method for Coding Videos Using Dictionaries | 1 |
Min Jung Kang | KR | Uiwang-Si | 2012-11-29 / 20120302663 - Polycarbonate Resin Composition and Molded Article Including the Same | 2 |
Chang Heon Kang | KR | Icheon-Si | 2010-04-29 / 20100103130 - Liquid Crystal Display with Touch Screen Function and Method for Detecting External Illuminance Using the Same | 1 |
Chang Heon Kang | KR | Ansan | 2012-07-05 / 20120172729 - DEVICE FOR MEASUREMENT FOR BIONIC INFORMATION HAVING HAND REST | 2 |
Yang-Gu Kang | KR | Daejeon | 2010-04-15 / 20100089262 - METHOD OF PRINTING FINE PATTERNS BY INTAGLIO PRINTING AND PRINTING SYSTEM FOR PRINTING FINE PATTERNS BY INTAGLIO PRINTING | 2 |
Yang-Gu Kang | KR | Daejeon Metropolitan City | 2010-06-24 / 20100155987 - METHOD AND GASKETS FOR CASTING ACRYLIC FILMS | 1 |
Yang-Gu Kang | KR | Yuseong-Gu | 2012-07-19 / 20120184640 - RESIN COMPOSITION FOR FORMING HARD COATING | 2 |
Ahin Kang | JP | Shiga | 2012-07-26 / 20120186305 - LAUNDRY DRYER AND WASHER DRYER | 2 |
Bo Soo Kang | KR | Ansan-Si | 2012-07-05 / 20120168706 - RESISTANCE RANDOM ACCESS MEMORY | 1 |
Min-Cheol Kang | KR | Gyeonggi-Do | 2014-08-07 / 20140217545 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 2 |
Tae Jin Kang | KR | Yongin-Si Gyeonggi-Do | 2015-01-15 / 20150016196 - DATA INPUT CIRCUIT | 4 |
Ji-Ho Kang | KR | Yongin-City | 2012-07-05 / 20120170244 - Organic Light Emitting Diode Display | 1 |
Bok-Moon Kang | US | Dublin | 2012-07-05 / 20120173942 - SEMICONDUCTOR MEMORY DEVICE, TEST CIRCUIT, AND TEST OPERATION METHOD THEREOF | 2 |
Yoon-Sok Kang | KR | Yongin-Si | 2013-05-23 / 20130130122 - ANODE ACTIVE MATERIAL FOR LITHIUM SECONDARY BATTERY, METHOD OF MANUFACTURING THE SAME, AND LITHIUM SECONDARY BATTERY INCLUDING THE ANODE ACTIVE MATERIAL | 2 |
Dong-Goo Kang | KR | Yongin-Si | 2012-11-01 / 20120275565 - METHOD OF DETECTING RADIATION SIGNALS FROM RADIATIONS IN DIFFERENT ENERGY BANDS AND APPARATUS THEREFOR | 2 |
Yoo-Jin Kang | KR | Gyeyang-Gu | 2012-07-05 / 20120170845 - APPARATUS AND METHOD FOR IMPROVING IMAGE QUALITY BASED ON DEFINITION AND CHROMA | 1 |
Chi-Yun Kang | TW | Taipei City | 2012-07-05 / 20120171593 - METAL CATALYST COMPOSITION MODIFIED BY NITROGEN-CONTAINING COMPOUND | 1 |
Young-Soo Kang | KR | Cheongju-Si | 2012-07-05 / 20120171840 - CAPACITOR AND METHOD FOR FABRICATING THE SAME | 1 |
Jiwon Kang | KR | Seoul | 2016-05-19 / 20160142126 - METHOD AND APPARATUS FOR BEAMFORMING USING POLARIZED ANTENNA IN A WIRELESS COMMUNICATION SYSTEM | 17 |
Taeik Kang | KR | Anyang-Si | 2012-07-05 / 20120172086 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 1 |
Xinshan Kang | CN | Beijing | 2013-08-29 / 20130225587 - COMPOUND FOR INCREASING KINASE ACTIVE AND APPLICATION THEREOF | 2 |
Sukwon Kang | KR | Seoul | 2011-09-08 / 20110218739 - APPARATUS AND METHOD FOR MEASURING PUNGENCY OF RED PEPPER POWDER | 1 |
Hao Kang | CN | Chang Qin | 2012-07-12 / 20120176033 - LIGHT EMITTING DIODE WITH OSCILLATOR | 1 |
Sam Kang | US | Pleasanton | 2012-07-12 / 20120176718 - TRANSIENT VOLTAGE SUPPRESSOR | 1 |
Brent Byunghoon Kang | US | Fairfax | 2014-12-04 / 20140359183 - Snoop-Based Kernel Integrity Monitoring Apparatus And Method Thereof | 2 |
Sun-Ho Kang | US | Naperville | 2015-11-19 / 20150333328 - Electrode Materials For Rechargeable Battery | 12 |
Sung Cheol Kang | KR | Gwangju | 2015-05-21 / 20150135760 - REFRIGERATOR | 5 |
Hyo Kang | KR | Seoul | 2014-11-06 / 20140326657 - SEMI-PERMEABLE FILM, MEMBRANE INCLUDING THE SEMI-PERMEABLE FILM, AND METHOD OF MANUFACTURING THE SEMI-PERMEABLE FILM | 12 |
Dong Jun Kang | KR | Seoul | 2013-10-17 / 20130272933 - METHOD FOR PRODUCING HIGH-PURITY LITHIUM CARBONATE | 2 |
Sung Cheol Kang | KR | Gwangju-City | 2014-01-02 / 20140000303 - REFRIGERATOR | 3 |
Taeghyun Kang | US | Scarborough | 2016-03-03 / 20160064374 - BIASED ESD CIRCUIT | 5 |
Seunghyun Kang | KR | Anyang | 2013-05-02 / 20130107851 - METHOD AND DEVICE FOR PERFORMING TERMINAL COOPERATIVE TRANSMISSION IN WIRELESS ACCESS SYSTEM | 2 |
Uan Sharon Kang | US | Westford | 2012-07-19 / 20120185280 - GENERIC METHOD OF HANDLING DICOM STRUCTURED REPORTING CONTENTS | 1 |
Hyungsuk Kang | KR | Kuri-Si | 2012-07-26 / 20120190451 - DEVICE AND METHOD FOR CONTROLLING THE MOVEMENT OF A GAME CHARACTER | 1 |
Mu-Kai Kang | TW | Wugu | 2012-07-26 / 20120188196 - DISPLAY APPARATUS AND TOUCH PANEL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Chai Kang | CN | Shenzhen | 2013-04-25 / 20130100374 - DISPLAY APPARATUS | 2 |
Kyung Man Kang | KR | Goyang-Si | 2012-07-26 / 20120189974 - FISH BONE TRAY | 1 |
So-Hee Kang | KR | Seo-Gu | 2012-07-26 / 20120190689 - PIPERAZINYL 3-AMINOPYRROLIDINE DERIVATIVES AS A CCR2 ANTAGONIST | 1 |
Jung Chul Kang | KR | Seoul | 2012-05-24 / 20120126267 - LIGHT EMITTING DIODE PACKAGE AND MANUFACTURING METHOD THEREOF | 1 |
Ju-Li Kang | KR | Cheonan-Si | 2012-08-02 / 20120194214 - EQUIPMENT AND METHOD TO CLASSIFY SEMICONDUCTOR PACKAGES | 1 |
Hyun Kook Kang | KR | Gwangju-Si | 2012-08-02 / 20120194319 - Automatic Teller Machine Capable of Performing Remote Controlling Function and Opening and Closing Operation Method of Automatic Teller Machine Using Same | 1 |
Soosung Kang | US | Wilmette | 2016-01-28 / 20160024042 - Prodrugs of Fluorinated Mevalonates to Inhibit the Mevalonate Pathway of Streptococcus pneumoniae | 3 |
Sin-Woo Kang | KR | Yeongdeungpo-Gu | 2012-03-08 / 20120056330 - SEMICONDUCTOR DEVICE | 1 |
Sin-Woo Kang | KR | Suwon-Si | 2015-07-16 / 20150200152 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 6 |
Kyungchan Kang | KR | Changwon-Si | 2012-08-09 / 20120199176 - SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kyung Seok Kang | KR | Yuseong-Gu | 2012-08-09 / 20120199486 - Ion-Selective Capacitive Deionization Composite Electrode, and Method for Manufacturing a Module | 1 |
Yong Cheol Kang | KR | Jeonju-Si | 2016-02-11 / 20160040653 - INERTIAL CONTROL METHOD OF WIND TURBINE | 6 |
Nam Seok Kang | JP | Tokyo | 2012-08-09 / 20120200108 - VEHICLE INSTRUMENT PANEL STRUCTURE | 1 |
Tae-Gil Kang | KR | Hwaseong-Si | 2013-10-31 / 20130286477 - THREE DIMENSIONAL IMAGE DISPLAY APPARATUS | 3 |
Jeong-Soo Kang | KR | Cheongju-Si | 2012-08-09 / 20120200770 - SOURCE DRIVER, CONTROLLER, AND METHOD FOR DRIVING SOURCE DRIVER | 1 |
Renke Kang | CN | Dalian | 2012-08-09 / 20120200848 - DEVICE AND METHOD FOR MEASURING VAPORIZATION-MELT RATIO | 1 |
Yong Kang | US | 2012-08-09 / 20120201201 - PREDICTIVE ROAMING BETWEEN SUBNETS | 1 | |
Dong Gyun Kang | KR | Yongin | 2012-08-09 / 20120201748 - COACERVATE HAVING AN IONIC POLYMER MIXED WITH THE ADHESIVE PROTEIN OF A MUSSEL OR OF A SPECIES OF THE VARIOME THEREOF | 1 |
Hee Young Kang | KR | Daejeon | 2012-08-09 / 20120201838 - METHOD FOR SCREENING ANTICANCER AGENT OR SIP1/ZEB2 INHIBITOR USING INTEGRIN ALPHA 5 | 1 |
Ji Yeon Kang | KR | Daejeon-City | 2012-08-09 / 20120202256 - NOVEL PREPARATION METHOD OF HUMAN METABOLITES OF SIMVASTATIN OR LOVASTATIN USING BACTERIAL CYTOCHROME P450 AND COMPOSITION THEREFOR | 1 |
Won-Hyoung Kang | KR | Asan-Si | 2016-02-11 / 20160042699 - LIGHT SOURCE DEVICE, DRIVING METHOD THEREOF AND DISPLAY DEVICE HAVING THE SAME | 2 |
Myung Woo Kang | KR | Seoul | 2015-10-01 / 20150272169 - Method for Manufacturing Seasoned Laver | 2 |
Tae Hyun Kang | US | Austin | 2015-07-02 / 20150183873 - ENGINEERED IMMUNOGLOBULIN FC POLYPEPTIDES | 2 |
Chih-Chieh Kang | TW | Tainan County | 2012-08-16 / 20120209092 - NON-INVASIVE APPARATUS AND METHOD FOR MEASURING HUMAN METABOLIC CONDITIONS | 1 |
Bong Cheol Kang | KR | Seoul | 2011-12-15 / 20110303934 - LIGHT EMITTING DEVICE | 2 |
Geun-Young Kang | KR | Jeju-City | 2010-05-27 / 20100131376 - SYSTEM AND METHOD FOR PROVIDING REGIONAL COMMUNITY-BASED MEMBERSHIP SERVICE | 1 |
Myung Gil Kang | KR | Daejeon | 2016-03-03 / 20160065294 - METHOD AND APPARATUS FOR ADAPTIVE BEAM HOPPING IN MULTI CELL MULTI USER COMMUNICATION SYSTEM | 5 |
Myung Jin Kang | KR | Yongin-Si | 2015-01-29 / 20150028399 - Semiconductor Devices and Methods of Manufacturing the Same | 6 |
Tae-Kyoung Kang | KR | Cheongju-Si | 2016-03-17 / 20160081154 - POWER FACTOR CORRECTION CONTROL CIRCUIT AND DRIVING METHOD THEREOF | 12 |
Yong Hun Kang | KR | Seoul | 2012-08-23 / 20120213637 - TURBOFAN OF AIR CONDITIONING SYSTEM | 1 |
Giwon Kang | KR | Seoul | 2013-08-22 / 20130219157 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 2 |
Sung-Guu Kang | KR | Gyeongsangnam-Do | 2012-08-23 / 20120214659 - REGENERATIVE CELL EXTRACTION UNIT AND REGENERATIVE CELL EXTRACTION SYSTEM | 1 |
Jin Yeong Kang | KR | Daejeon | 2014-07-10 / 20140192600 - EEPROM CELL AND EEPROM DEVICE | 11 |
Won Ho Kang | KR | Busan | 2012-08-30 / 20120216357 - CONSTRUCTING METHOD OF CABLE-STAYED BRIDGE AND TEMPORARY CABLE THEREFOR | 1 |
Yong Gu Kang | KR | Icheon-Si | 2012-08-30 / 20120218846 - TEST CIRCUIT, SEMICONDUCTOR MEMORY APPARATUS USING THE SAME, AND TEST METHOD OF THE SEMICONDUCTOR MEMORY APPARATUS | 1 |
Hyun-Jeong Kang | KR | Gangnam-Gu | 2012-08-30 / 20120218929 - APPARATUS AND METHOD FOR OPERATING CHANNEL QUALITY INDICATOR CHANNEL ON SLEEP MODE IN BROADBAND WIRELESS COMMUNICATION SYSTEM | 1 |
Kyongha Kang | US | Fremont | 2015-11-19 / 20150332721 - Stack Including a Magnetic Zero Layer | 4 |
Heegyoung Kang | KR | Cheonan-Si | 2014-04-17 / 20140106212 - CATHODE MATERIALS HAVING HIGH ENERGY DENSITY AND LITHIUM SECONDARY BATTERY CONTAINING THE SAME | 4 |
Sung Koo Kang | KR | Gyeonggi-Do | 2012-10-04 / 20120247551 - SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Do Hyoung Kang | KR | Ansan-City | 2012-09-06 / 20120223343 - LIGHT EMITTING DIODE PACKAGE | 1 |
Tae-Kyung Kang | KR | Gwanak-Gu | 2012-09-06 / 20120224838 - METHOD AND APPARATUS FOR SUPPLYING HOT WATER BY CONTROLLING THE NUMBER OF PULSES APPLIED TO A HEATER | 1 |
Ha-Geun Kang | KR | Busan | 2012-09-06 / 20120224998 - Exhaust Gas Denitrifying System having Noise-Reduction Structure | 1 |
Phillip Kang | US | Alameda | 2012-09-06 / 20120226320 - EXPANDABLE BONE FIXATION IMPLANT | 1 |
Changseok Kang | KR | Seongnam-Si | 2016-02-11 / 20160043100 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FABRICATING THE SAME | 11 |
Sang Hyeon Kang | KR | Seoul | 2015-11-12 / 20150322409 - METHOD FOR PREVENTION AND TREATMENT OF ESCHERICHIA COLI INFECTIONS USING A BACTERIOPHAGE WITH BROAD ANTIBACTERIAL SPECTRUM AGAINST ESCHERICHIA COLI | 6 |
Gun Kang | KR | Seoul | 2016-01-07 / 20160002444 - ULTRA-LIGHT POLYURETHANE ARTIFICIAL LEATHER COMPOSITION | 5 |
Dong Hoon Kang | KR | Gyeonggi-Do | 2014-12-18 / 20140368837 - ABSOLUTE POSITION DETECTION SYSTEM | 3 |
Kwang-Choong Kang | KR | Cheongju-Si | 2014-02-20 / 20140050769 - SILVER COATING PIGMENT, AND METHOD FOR PRODUCING SAME | 3 |
Chang-Won Kang | KR | Cheongju-Si | 2016-03-10 / 20160068682 - BOARD COMPRISING BIODEGRADABLE RESIN AND WOODEN FLOUR AND METHOD OF MANUFACTURING THE SAME | 9 |
Bruce S. Kang | US | Morgantown | 2013-05-16 / 20130122381 - HIGH TEMPERATURE RECHARGEABLE BATTERY FOR GREENHOUSE GAS DECOMPOSITION AND OXYGEN GENERATION | 2 |
Huaizhi Kang | CN | Beijing | 2012-09-13 / 20120228520 - Photoregulated Reversible Hydrogels for Delivery and Releasing of Drugs and Other Therapeutical Reagents | 1 |
Ji-Eun Kang | KR | Gyeonggi-Do | 2014-09-18 / 20140268496 - ELECTRICAL ENERGY STORAGE DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Hee Sam Kang | KR | Gyunggi-Do | 2012-09-13 / 20120230861 - SWASH PLATE AND METHOD OF MANUFACTURING THE SAME | 1 |
Na Young Kang | KR | Yesan-Gun | 2012-09-13 / 20120230910 - METHOD OF PREPARING ZSM-5 ZEOLITE USING NANOCRYSTALLINE ZSM-5 SEEDS | 1 |
Hak-Seok Kang | KR | Yongin-City | 2012-09-13 / 20120231351 - Positive active material for rechargeable lithium battery and recharge lithium battery including the same | 1 |
Yun Kyung Kang | KR | Gyeongsangbuk-Do | 2012-09-13 / 20120231355 - POLYMER ELECTROLYTE MEMBRANE FOR A FUEL CELL, AND METHOD FOR PREPARING SAME | 1 |
Hee-Jin Kang | KR | Gyeryong | 2015-09-10 / 20150251733 - LOW ENERGY CONSUMING GARBAGE PATCH GATHERING AND CLASSIFYING EQUIPMENT AND METHOD USING CATAMARAN | 2 |
Minwoo Kang | JP | Shimonoseki-Shi | 2012-09-20 / 20120234440 - METHOD FOR MANUFACTURING AN EXTRUDED MATERIAL OF HEAT TREATMENT TYPE AL-ZN-MG SERIES ALUMINUM ALLOY | 1 |
Hwan Jun Kang | KR | Gyunggi-Do | 2012-09-20 / 20120236248 - CAMERA MODULE | 1 |
Tae-Wook Kang | KR | Pohang-Si | 2012-09-20 / 20120237390 - Martensitic Stainless Steel Produced by a Twin Roll Strip Casting Process and Method for Manufacturing Same | 1 |
Soo-Young Kang | US | Newton | 2014-02-13 / 20140044670 - SKIN COMPOSITIONS AND METHODS OF USE THEREOF | 6 |
Richard Kang | US | Irvine | 2012-09-20 / 20120239578 - Mobile Secure Transactions Using Human Intelligible Handshake Key | 1 |
Yoon Hwan Kang | KR | Seoul | 2009-04-02 / 20090089717 - MOBILE TERMINAL AND METHOD OF CONTROLLING THE MOBILE TERMINAL | 2 |
Ji-Hyeon Kang | KR | Yongin-City | 2012-12-13 / 20120312481 - METHOD AND APPARATUS FOR PEELING PROTECTION FILM FOR FLAT DISPLAY PANEL | 2 |
Sung-Jin Kang | KR | Yongin-Ciy | 2012-09-27 / 20120242710 - LUMINANCE CORRECTION SYSTEM FOR ORGANIC LIGHT EMITTING DISPLAY DEVICES | 1 |
Yongkyu Kang | KR | Asan-Si | 2012-10-11 / 20120257412 - OPTICAL PLATE, DISPLAY APPARATUS HAVING THE SAME, AND METHOD OF MANUFACTURING THE OPTICAL PLATE | 2 |
Ze-Kun Kang | CN | Shenzhen City | 2012-09-27 / 20120246371 - TEST APPARATUS FOR PCI CARD | 1 |
Jin Soo Kang | KR | Seoul | 2012-10-04 / 20120250958 - METHOD OF MEASURING PROGRESS OF ALOPECIA | 1 |
Song Yun Kang | JP | Nirasaki-Shi | 2013-09-19 / 20130240479 - METHOD FOR PRODUCING FILTRATION FILTER | 2 |
Eun-Jin Kang | KR | Hwaseong-Si | 2012-10-04 / 20120249542 - ELECTRONIC APPARATUS TO DISPLAY A GUIDE WITH 3D VIEW AND METHOD THEREOF | 1 |
Yaowei Kang | US | Christianburg | 2012-10-04 / 20120252672 - Competitive and Effective Bacterial Strains | 1 |
Ting Kang | CA | Town Of Mount-Royal | 2012-10-04 / 20120252743 - TRYPSIN-LIKE SERINE PROTEASE INHIBITORS, THEIR PREPARATION AND USE AS SELECTIVE INHIBITORS OF THE CLOTTING FACTORS IIA AND XA | 1 |
Yanlong Kang | US | New York | 2012-10-04 / 20120252818 - HEAT SHOCK PROTEIN BINDING COMPOUNDS, COMPOSITIONS, AND METHODS FOR MAKING AND USING SAME | 1 |
Jae Wook Kang | KR | Changwon-Si | 2012-10-11 / 20120255616 - METAL-OXIDE/CARBON-NANOTUBE COMPOSITE MEMBRANE TO BE USED AS A P-TYPE CONDUCTIVE MEMBRANE FOR AN ORGANIC SOLAR CELL, METHOD FOR PREPARING SAME, AND ORGANIC SOLAR CELL HAVING IMPROVED PHOTOVOLTAIC CONVERSION EFFICIENCY USING SAME | 1 |
Ya-Chi Kang | TW | New Taipei City | 2012-10-11 / 20120257861 - Optical Fiber Communication Device | 1 |
Yun-Sung Kang | KR | Gunpo-Si | 2013-10-10 / 20130268077 - ARTIFICIAL DISK FOR TRANSFORAMINAL LUMBAR INTERBODY FUSION (TLIF) AND INSERTION ASSEMBLY THEREOF | 2 |
Daejong Kang | KR | Changwon-Si | 2015-09-24 / 20150267960 - DISPLAY DEVICE AND REFRIGERATOR HAVING THE SAME | 4 |
Hee Seok Kang | KR | Seoul | 2012-10-18 / 20120261931 - Length Adjustable Remote Manipulation Device | 1 |
Hyun Seo Kang | US | 2012-10-18 / 20120263952 - PRETREATMENT METHOD FOR DYEING ULTRAHIGH MOLECULAR WEIGHT POLYETHYLENE YARN | 1 | |
Seong Hoon Kang | KR | Gyeongnam | 2012-10-18 / 20120263969 - APPARATUS FOR MANUFACTURING TRUSS STRUCTURE USING MULTI-POINT PINS, METHOD OF MANUFACTURING TRUSS STRUCTURE USING THE SAME, TRUSS CORE SANDWICH PANEL HAVING THE TRUSS STRUCTURE, METHOD OF MANUFACTURING THE TRUSS CORE SANDWICH PANEL | 1 |
Sun-Ho Kang | KR | Seoul | 2014-05-08 / 20140127398 - ELECTRODE STRUCTURES AND SURFACES FOR LI BATTERIES | 2 |
Abraham A. Kang | US | Fairfax | 2012-10-18 / 20120265114 - STRETCHER AND METHOD OF STRETCHING LOWER BODY | 1 |
Se Jin Kang | KR | Soeul | 2012-10-18 / 20120266053 - SECURITY COMMUNICATION METHOD BETWEEN DEVICES | 1 |
Sung-Keun Kang | KR | Seoul | 2016-03-31 / 20160090573 - CULTURE MEDIUM COMPOSITION FOR IMPROVING REGENERATIVE CAPACITY OF STEM CELLS, AND STEM CELL CULTURING METHOD USING SAME | 16 |
Kyoung Won Kang | KR | Daedeok-Gu | 2008-10-23 / 20080260976 - CARRIER FOR CARRYING A PACKAGED CHIP AND HANDLER EQUIPPED WITH THE CARRIER | 2 |
Kyu Cheol Kang | KR | Suwon-Si | 2015-12-24 / 20150373799 - LED DRIVING DEVICE AND LIGHTING DEVICE | 8 |
Sungho Kang | KR | Hwaseong-Si | 2013-07-04 / 20130171744 - METHODS OF THERMALLY TREATING A SEMICONDUCTOR WAFER | 2 |
Gonsu Kang | KR | Hwaseong-Si | 2013-07-04 / 20130171744 - METHODS OF THERMALLY TREATING A SEMICONDUCTOR WAFER | 2 |
Young Hwan Kang | KR | Anyang-Si | 2012-10-25 / 20120270516 - RECEIVER FOR ESTIMATING AND COMPENSATING FOR IN-PHASE/QUADRATURE MISMATCH | 1 |
Hui Kang | CN | Shenzhen | 2012-10-25 / 20120270834 - DEPOLYMERIZED GLYCOSAMINOGLYCAN FROM THELENOTA ANANAS AND PREPERATION METHOD THEREOF | 1 |
Byoung Gook Kang | KR | Suwon | 2013-03-14 / 20130066015 - POLYLACTIC ACID COMPOSITION FOR AUTOMOBILE PARTS | 2 |
Kenneth Kang | US | San Jose | 2012-10-25 / 20120271762 - METHOD AND SYSTEM TO FACILITATE A TRANSFER OF FUNDS BETWEEN PARTIES USING A TELEPHONE-ENABLED DEVICE | 1 |
Taewoo Kang | KR | Kyoung-Gi-Do | 2014-10-02 / 20140291839 - Solder Joint Flip Chip Interconnection | 9 |
Sung-Keun Kang | KR | Seoul | 2016-03-31 / 20160090573 - CULTURE MEDIUM COMPOSITION FOR IMPROVING REGENERATIVE CAPACITY OF STEM CELLS, AND STEM CELL CULTURING METHOD USING SAME | 16 |
You-Jin Kang | KR | Seoul | 2013-12-05 / 20130326092 - PROCESSING INPUT EVENT OF EXTERNAL DEVICE | 11 |
Ki-Bum Kang | KR | Suwon-Si | 2012-11-01 / 20120274419 - PHASE SHIFTER USING SUBSTRATE INTEGRATED WAVEGUIDE | 1 |
Gi Dong Kang | KR | Gyeonggi-Do | 2015-10-08 / 20150283716 - ROTATING TYPE RAZOR | 3 |
Myeong-Soon Kang | KR | Uiwang-Si | 2014-11-13 / 20140336384 - APPARATUS FOR PURIFYING ORGANIC COMPOUND AND METHOD OF PURIFYING ORGANIC COMPOUND | 14 |
Li Kang | US | Auburn | 2012-11-01 / 20120273413 - FERMENTATION AND CHEMICAL TREATMENT OF PULP AND PAPER MILL SLUDGE | 1 |
Hye Won Kang | KR | Gyeonggi-Do | 2012-11-01 / 20120276169 - POLYMER NANOPARTICLE INJECTION FORMULATION COMPOSITION CONTAINING RAPAMYCIN WITH IMPROVED WATER SOLUBILITY, PREPARATION METHOD THEREOF, AND ANTICANCER COMPOSITION FOR COMBINED USE WITH RADIOTHERAPY | 1 |
Sang Hoon Kang | US | Chicago | 2012-11-01 / 20120277063 - Apparatus and Method of Controlling Lower-Limb Joint Moments through Real-Time Feedback Training | 1 |
Jin Soo Kang | KR | Daejeon | 2013-01-17 / 20130015411 - METHOD FOR MANUFACTURING A WHOLLY AROMATIC POLYIMIDE POWDER HAVING AN ANTISTATIC OR CONDUCTIVE PROPERTYAANM Kang; Jin SooAACI DaejeonAACO KRAAGP Kang; Jin Soo Daejeon KRAANM Hwang; Yong JaeAACI Bucheon-siAACO KRAAGP Hwang; Yong Jae Bucheon-si KR | 2 |
Eun Jung Kang | KR | Dongjak-Gu | 2012-11-01 / 20120277711 - Absorbent Article with Annular Absorbent Member | 1 |
Chul Woo Kang | KR | Seoul | 2012-11-01 / 20120278024 - POSITION ESTIMATION APPARATUS AND METHOD USING ACCELERATION SENSOR | 1 |
Chang Ho Kang | KR | Seoul | 2012-11-01 / 20120278024 - POSITION ESTIMATION APPARATUS AND METHOD USING ACCELERATION SENSOR | 1 |
Moon-Gi Kang | KR | Seoul | 2014-06-19 / 20140169671 - APPARATUS AND METHOD FOR COLOR RESTORATION | 15 |
Shinho Kang | KR | Incheon City | 2012-11-08 / 20120280527 - RECONFIGURABLE END-EFFECTOR ATTACHABLE TO A ROBOTIC ARM | 1 |
Jinsuk Kang | KR | Seoul | 2012-11-08 / 20120279200 - EXHAUST GAS CONDENSATE CONTROL METHOD AND EXHAUST GAS RECIRCULATION SYSTEM THEREOF | 1 |
Hye Young Kang | KR | Busan | 2012-11-08 / 20120280798 - OBJECT TRACKING APPARATUS AND METHOD, AND SENSOR POSITION DESIGNATING METHOD | 1 |
Ki Tae Kang | KR | Ansan-Si | 2015-12-10 / 20150354757 - LED LAMP | 8 |
Yong Kang | US | Palo Alto | 2015-08-27 / 20150245410 - Predictive and Nomadic Roaming of Wireless Clients Across Different Network Subnets | 7 |
Min Seok Kang | KR | Gyeonggi-Do | 2012-11-08 / 20120283299 - Biguanide Derivative, A Preparation Method Thereof And A Pharmaceutical Composition Containing The Biguanide Derivative As An Active Ingredient | 1 |
Byung-Sik Kang | KR | Gangwon-Do | 2012-11-08 / 20120283322 - Rhus Verniciflua Stokes Extract Having Increased Content Of Active Flavonoid Compound And Method For Preparing Same | 1 |
Jinfeng Kang | CN | Beijing | 2015-07-30 / 20150214277 - Small-Grain Three-Dimensional Memory | 9 |
Joseph H. Kang | US | Belle Mead | 2016-04-07 / 20160099790 - Cost Effective Network Interference Cancellation For Wireless Networks | 19 |
Kyoung-Tae Kang | KR | Seoul | 2016-04-14 / 20160104520 - CLOCK SIGNAL PROCESSOR AND NON-VOLATILE MEMORY DEVICE INCLUDING THE SAME | 6 |
Sang Gyu Kang | KR | Daejeon | 2015-10-29 / 20150308676 - INTERGRATED CARBON DIOXIDE CONVERSION SYSTEM FOR CONNECTING OXYFUEL COMBUSTION AND CATALYTIC CONVERSION PROCESS | 2 |
Hyun-Ju Kang | KR | Pocheon-Si | 2016-03-03 / 20160062162 - THIN FILM TRANSISTOR SUBSTRATE AND DISPLAY PANEL HAVING THE SAME | 2 |
Yangsen Kang | US | Stanford | 2015-02-19 / 20150047702 - Method of design and growth of single-crystal 3D nanostructured solar cell or detector | 3 |
Ji Myung Kang | KR | Ansan | 2016-04-14 / 20160104570 - SPACE-ADAPTIVE WIRELESS POWER TRANSFER SYSTEM AND METHOD USING MULTIPLE RESONANCE COILS | 2 |
Chih-Chieh Kang | TW | Tainan City | 2014-02-27 / 20140055995 - ILLUMINATION APPARATUS | 3 |
Ki Ho Kang | KR | Jeonju | 2012-11-15 / 20120288721 - ADHESIVES FOR BONDING POLYURETHANE AND ALUMINUM | 1 |
Kyung Nam Kang | KR | Ansan-Si | 2014-07-03 / 20140187111 - COMPOSITE SHEET AND DISPLAY SUBSTRATE USING SAME | 3 |
Harmeet Kang | GB | Staffordshire | 2010-12-02 / 20100302695 - METHOD FOR SETTING FREE DETECTION OF OUT OF STEP CONDITION IN ELECTRICAL POWER SYSTEM | 1 |
Hun Sik Kang | KR | Daejeon-Si | 2016-03-24 / 20160087750 - METHOD AND APPARATUS FOR TRANSMITTING ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING (OFDM) SIGNAL IN OPTICAL NETWORK | 9 |
James W. Kang | US | Laguna Hills | 2013-02-14 / 20130037832 - FOLDABLE DISPLAY STRUCTURES | 2 |
Mun-Jin Kang | KR | Goyang-Si | 2012-11-22 / 20120294757 - FILLER METAL FOR WELDING ALUMINUM MATERIAL AND MANUFACTURING METHOD THEREOF | 1 |
Jin Kyu Kang | KR | Daejeon | 2012-11-22 / 20120296078 - ACETYLATED CELLULOSE ETHER AND ARTICLES COMPRISING THE SAME | 1 |
Young Soon Kang | KR | Daejeon | 2015-09-03 / 20150246874 - AMINOCARBONYLCARBAMATE COMPOUNDS | 3 |
Byung-Kwan Kang | KR | Gyeonggi-Do | 2012-03-22 / 20120069614 - POWER SUPPLY SYSTEM AND METHOD INCLUDING POWER GENERATOR AND STORAGE DEVICE | 1 |
Yunmi Kang | KR | Busan | 2012-11-29 / 20120297643 - Shoe with Composite Upper and Method of Making the Same | 1 |
Gyeong-Woo Kang | KR | Busan | 2013-04-25 / 20130101472 - REDUCING AGENT SUPPLY DEVICE AND EXHAUST GAS DENITRIFICATION SYSTEM USING THE SAME | 2 |
Hyuk Kang | KR | Suwon | 2014-10-30 / 20140318746 - DEVICE FOR INDIRECTLY COOLING BATTERY MODULE OF ECO-FRIENDLY VEHICLE | 5 |
So-Hee Kang | KR | Daejeon | 2014-09-11 / 20140255529 - METHOD FOR PREVENTING AND TREATING THROMBOTIC DISORDERS USING A PHARMACEUTICAL COMPOSITION COMPRISING AN EXTRACT OF LINDERA OBTUSILOBA | 2 |
Yong-Ho Kang | KR | Daejeon | 2012-11-29 / 20120303658 - METHOD FOR DETERMINING OPTIMAL CONNECTABLE ROUTE TO TARGET PERSON BASED ON MUTUAL INTIMACY INDEX | 1 |
Hyun Ku Kang | KR | Seoul | 2016-04-14 / 20160105106 - CONTROLLER AND POWER CONVERTER USING THE SAME | 4 |
Daehwan Kang | KR | Seoul | 2015-02-12 / 20150043267 - VARIABLE RESISTANCE MEMORY DEVICE AND A VARIABLE RESISTANCE MEMORY SYSTEM INCLUDING THE SAME | 6 |
Byung Man Kang | KR | Cheonan-Si | 2015-12-03 / 20150348805 - SUBSTRATE TREATING APPARATUS AND METHOD | 3 |
Byung Chul Kang | KR | Cheonan-Si | 2013-05-02 / 20130104943 - SUBSTRATE TREATING APPARATUS AND CHEMICAL RECYCLING METHOD | 3 |
Seog-Shin Kang | KR | Goyang-Si | 2012-12-06 / 20120305894 - BLUE PHOSPHORESCENT COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME | 1 |
Seongnam Kang | KR | Yongin-Si | 2012-12-06 / 20120307501 - LED PLASTIC HEAT SINK AND METHOD FOR MAKING AND USING THE SAME | 1 |
Inho Kang | KR | Seoul | 2012-12-06 / 20120309464 - MOBILE TERMINAL | 1 |
Seong Min Kang | KR | Gangwon-Do | 2012-12-06 / 20120310037 - VENTRICULAR ASSIST DEVICE CANNULA AND VENTRICULAR ASSIST DEVICE INCLUDING THE SAME | 1 |
Sungsu Kang | KR | Changwon-Si | 2013-08-01 / 20130192020 - VACUUM CLEANER USING SMART GRID | 3 |
Seungwoo Kang | KR | Daejeon | 2012-12-06 / 20120310737 - METHOD FOR PROVIDING ADVERTISEMENT, COMPUTER-READABLE MEDIUM INCLUDING PROGRAM FOR PERFORMING THE METHOD AND ADVERTISEMENT PROVIDING SYSTEM | 1 |
Suk-Hoon Kang | KR | Seoul | 2016-04-21 / 20160109759 - PHOTOALIGNMENT AGENT, PHOTOALIGNMENT FILM, LIQUID CRYSTAL DISPLAY DEVICE, AND METHOD OF MANUFACTURING THE SAME | 25 |
Sung-Gu Kang | KR | Gumi-Si | 2012-12-13 / 20120313905 - FLAT DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Chihtsung Kang | CN | Shenzhen | 2016-03-10 / 20160070129 - Liquid Crystal Display and Optical Compensation Method Therefor | 34 |
Won-Seok Kang | KR | Suwon | 2012-12-13 / 20120314459 - FEEDBACK CIRCUIT AND POWER SUPPLY DEVICE INCLUDING THE SAME | 1 |
Chunmei Kang | US | Maple Grove | 2014-10-16 / 20140307976 - LOW COMPLEXITY AND MEMORY EFFICIENT IMAGE CODEC | 2 |
Eun Jung Kang | KR | Busan | 2014-12-04 / 20140356814 - DENTAL IMPLANT HAVING ENHANCED EARLY STABILITY AND METHOD FOR MANUFACTURING SAME | 3 |
Seong-Hoon Kang | KR | Suwon-Si | 2016-04-28 / 20160119160 - CONTROL DEVICE, METHOD OF CONTROLLING THE SAME, AND INTEGRATED CONTROL SYSTEM | 19 |
Ji Won Kang | KR | Anyang-Si | 2016-05-12 / 20160135197 - INTERFERENCE REMOVAL METHOD IN MULTI-NODE SYSTEM AND TERMINAL USING THE SAME | 84 |
Yong Hee Kang | KR | Ansan-Si | 2012-12-20 / 20120319300 - INTEGRATED CIRCUIT PACKAGING SYSTEM WITH UNDERFILL AND METHOD OF MANUFACTURE THEREOF | 1 |
Hyuk-Jin Kang | KR | Seoul | 2012-12-20 / 20120319904 - Multi-Input Multi-Output antenna with multi-band characteristic | 1 |
Gi-Cho Kang | KR | Anyang-Si | 2012-12-27 / 20120326935 - BROADCASTING ANTENNA FOR VEHICLE AND SHARK FIN ANTENNA APPARATUS HAVING THE SAME | 2 |
Jang-Hoon Kang | KR | Seoul | 2014-10-23 / 20140315605 - ELECTRONIC DEVICE FOR PREVENTING LEAKAGE OF RECEIVED SOUND | 2 |
Ki-Suk Kang | KR | Daejeon | 2014-01-02 / 20140000100 - POSITIVE ELECTRODE ACTIVE MATERIAL HAVING IMPROVED SAFETY AND LIFETIME CHARACTERISTICS AND LITHIUM SECONDARY BATTERY COMPRISING THE SAME | 2 |
Yun Kyung Kang | KR | Daejeon | 2012-12-20 / 20120321635 - Composition Containing Inhibitors of the Expression or Activity of SH3RF2 for Preventing or Treating Cancer | 1 |
Seong Jun Kang | US | Champaign | 2012-12-20 / 20120321785 - Methods of Making Spatially Aligned Nanotubes and Nanotube Arrays | 1 |
Joon-Koo Kang | KR | Yuseong-Gu | 2012-12-20 / 20120321874 - COATING LAYER FOR ANTI- GLARE FILM AND ANTI- GLARE FILM COMPRISING THE SAME | 1 |
Jun-Won Kang | KR | Yongin-Si | 2012-12-20 / 20120321941 - SECONDARY BATTERY | 1 |
Ji Won Kang | KR | Anyang-Si Gyeonggi-Do | 2012-12-20 / 20120322477 - APPARATUS FOR TRANSMITTING AND RECEIVING SIGNAL IN DISTRIBUTED ANTENNA SYSTEM | 1 |
Xiaogang Kang | CN | Shenzhen | 2012-12-20 / 20120323983 - METHOD AND DEVICE FOR GENERATING A FILTER COEFFICIENT IN REAL TIME | 1 |
Amy H. Kang | US | Mountain View | 2015-02-12 / 20150046541 - SYSTEM AND METHOD FOR PROVIDING A MESSAGING CLUSTER WITH HYBRID PARTITIONS | 2 |
Byung Il Kang | KR | Gyeonggi-Do | 2015-09-24 / 20150267381 - BOOM DRIVING SYSTEM FOR HYBRID EXCAVATOR AND CONTROL METHOD THEREFOR | 4 |
Sang-Hoon Kang | KR | Namdong-Gu | 2012-12-27 / 20120326787 - VARIABLE-GAIN AMPLIFIER CIRCCUIT AND RECEIVER INCLUDING THE SAME | 1 |
Xiiao-Tian Kang | CN | Foshan | 2012-12-27 / 20120327519 - ZOOM LENS | 1 |
Yong-Kyu Kang | KR | Yongin-Si | 2014-07-24 / 20140204612 - LIGHT GUIDE PLATE FOR BACKLIGHT AND MANUFACTURING METHOD THEREFOR | 3 |
Jiwon Kang | KR | Anyang-Si | 2016-02-25 / 20160056941 - METHOD AND APPARATUS FOR REPORTING CHANNEL STATE INFORMATION FOR FRACTIONAL BEAMFORMING IN A WIRELESS COMMUNICATION SYSTEM | 55 |
Je Won Kang | US | Wilmington | 2012-12-27 / 20120330495 - MFCC AND CELP TO DETECT TURBINE ENGINE FAULTS | 1 |
Kenneth Kang | US | Charlottesville | 2012-12-27 / 20120330845 - ANIMATED TWO-DIMENSIONAL BARCODE CHECKS | 1 |
Chan-Kyu Kang | KR | Seoul | 2013-03-28 / 20130077570 - APPARATUS AND METHOD FOR ACCESSING LOCAL NETWORK IN MOBILE COMMUNICATION SYSTEM SUPPORTING LOCAL NETWORK INTERNET PROTOCOL ACCESS SCHEME | 2 |
Dae Hee Kang | KR | Daejeon | 2013-01-10 / 20130008041 - APPARATUS FOR MEASURING OUTER DIAMETERS OF FUEL RODS OF NUCLEAR FUEL ASSEMBLY | 1 |
Seong-Jong Kang | KR | Yongin-City | 2014-07-31 / 20140209874 - ORGANIC LIGHT EMITTING DIODE | 5 |
Byung-Jin Kang | KR | Daegu | 2013-01-10 / 20130009226 - DRAM DEVICES AND METHODS OF MANUFACTURING THE SAME | 1 |
Tae-Hwan Kang | KR | Gyeongsangbuk-Do | 2013-01-10 / 20130009527 - COVER DEVICE FOR FIXING PORTION | 1 |
Chih-Tsung Kang | CN | Shenzhen | 2016-03-03 / 20160062167 - LIQUID CRYSTAL PANEL AND LIQUID CRYSTAL DISPLAY | 30 |
Dae Ho Kang | KR | Seoul | 2013-01-10 / 20130010769 - METHOD AND APPARATUS FOR RESERVING DATA CHANNEL IN A WIRELESS ACCESS SYSTEM | 1 |
Hyun-Ju Kang | KR | Hwaseong-Si | 2015-05-21 / 20150140684 - TARGET AFFINITY MATERIAL INCLUDING BIODEGRADABLE POLYMER AND USE THEREOF | 9 |
Byung Ok Kang | US | 2013-01-10 / 20130013297 - MESSAGE SERVICE METHOD USING SPEECH RECOGNITION | 1 | |
Chan Wook Kang | KR | Gwacheon | 2010-12-16 / 20100315679 - PRINTER AND PRINTING METHOD USING THE SAME | 1 |
Youn Sik Kang | KR | Daejeon | 2013-01-31 / 20130027242 - DETECTION AND TRACKING RADAR, ANTI HIGH SPEED MOBILE DEFENSE SYSTEM HAVING THE SAME AND HIGH SPEED MOBILE TRACKING METHOD OF DETECTION AND TRACKING RADAR | 1 |
Ku-Yen Kang | TW | Hsinchu City | 2014-07-31 / 20140212781 - STACKED TYPE FUEL CELL | 11 |
Seokhoon Kang | KR | Jinju-Si | 2013-01-17 / 20130014533 - REFRIGERATORAANM CHOI; HojinAACI Changwon-siAACO KRAAGP CHOI; Hojin Changwon-si KRAANM KANG; SeokhoonAACI Jinju-siAACO KRAAGP KANG; Seokhoon Jinju-si KRAANM KIM; SeongjinAACI BusanAACO KRAAGP KIM; Seongjin Busan KRAANM KIM; YoungnamAACI Changwon-siAACO KRAAGP KIM; Youngnam Changwon-si KRAANM PARK; SanghuAACI SeoulAACO KRAAGP PARK; Sanghu Seoul KR | 1 |
Seoggeun Kang | KR | Jinju-Si | 2012-06-07 / 20120140614 - OFDM APPARATUS USING THREE-DIMENSIONAL HEXADECIMAL SIGNAL CONSTELLATION | 1 |
Pil-Joong Kang | KR | Jinju-Si | 2010-05-13 / 20100116783 - METHOD OF MANUFACTURING INK-JET HEAD | 6 |
Jeong Ku Kang | KR | Seoul | 2011-06-09 / 20110133130 - ANISOTROPIC CONDUCTIVE FILM COMPOSITION | 1 |
Kyung Jae Kang | KR | Seoul | 2012-11-01 / 20120276091 - Human Recombinant Monoclonal Antibody That Specifically Binds to VCAM-1 and Inhibits Adhesion and Transmigration Between Leukocytes and Endothelial Cells | 1 |
Bo-Gyeong Kang | KR | Suwon-Si | 2013-08-08 / 20130205379 - AUTHENTICATION METHOD BETWEEN CLIENT AND SERVER, MACHINE-READABLE STORAGE MEDIUM, CLIENT AND SERVER | 10 |
Bo-Gyeong Kang | KR | Dongdaemun-Gu | 2013-03-07 / 20130061287 - METHOD FOR AUTHENTICATING A STORAGE DEVICE, MACHINE-READABLE STORAGE MEDIUM, AND HOST DEVICE | 2 |
Gino Kang | US | Ir | 2013-01-24 / 20130019421 - Cleaning Device For Male End of Intraveneous Set | 1 |
Mun Sik Kang | KR | Seoul | 2013-01-24 / 20130020948 - AMBIENT LIGHTING CONTROL METHOD AND AMBIENT LIGHTING CONTROL SYSTEM | 1 |
Ting-Wei Kang | TW | Kaohsiung City | 2015-03-05 / 20150065066 - APPARATUS AND METHOD FOR SETTING ANTENNA RESONANT MODE OF MULTI-PORT ANTENNA STRUCTURE | 4 |
Shin Gak Kang | KR | Daejeon-Si | 2013-12-05 / 20130322442 - METHOD AND APPARATUS FOR TRANSMITTING PARAMETERS TO MULTICAST AGENT IN RELAYED MULTICAST NETWORK | 4 |
Chinkyu Kang | KR | Hwaseong-Si | 2013-01-17 / 20130016638 - METHOD FOR CONTROLLING DISCONTINUOUS RECEPTION IN MOBILE COMMUNICATION DEVICEAANM LEE; KyounghoAACI Hwaseong-siAACO KRAAGP LEE; Kyoungho Hwaseong-si KRAANM CHUNG; JaepilAACI SeoulAACO KRAAGP CHUNG; Jaepil Seoul KRAANM KANG; ChinkyuAACI Hwaseong-siAACO KRAAGP KANG; Chinkyu Hwaseong-si KRAANM YOON; JaeseungAACI Yongin-siAACO KRAAGP YOON; Jaeseung Yongin-si KRAANM LEE; SangbongAACI Suwon-siAACO KRAAGP LEE; Sangbong Suwon-si KR | 1 |
Dong Chul Kang | KR | Anyang-City | 2013-01-31 / 20130029683 - WATER-QUALITY MEASUREMENT SYSTEM USING A SMARTPHONE | 1 |
Sang-Hwan Kang | KR | Suwon-Si | 2013-01-17 / 20130018052 - 2-PYRIDYL SUBSTITUTED IMIDAZOLES AS ALK5 AND/OR ALK4 INHIBITORS | 1 |
Hee Gon Kang | KR | Anyang-Si | 2013-01-31 / 20130025087 - LOW-FRICTION BUSH FOR VEHICLE | 1 |
Yong-Soo Kang | KR | Seongdong-Gu | 2013-01-31 / 20130025681 - ELECTROLYTE FOR DYE-SENSITIZED SOLAR CELL AND DYE-SENSITIZED SOLAR CELL USING THE SAME | 1 |
Jung-Hyun Kang | KR | Gyeonggi-Do | 2013-01-31 / 20130025787 - BAFFLE AND SUBSTRATE TREATING APPARATUSES INCLUDING THE SAME | 1 |
Heon-Sung Kang | KR | Cheongju-Si | 2013-08-08 / 20130199872 - GYPSUM PANEL HAVING OUTSTANDING SOUND-ABSORBING PROPERTIES AND A PRODUCTION METHOD THEREFOR | 2 |
Ji-Hoon Kang | KR | Gwanak-Gu | 2013-01-31 / 20130026195 - WATER STORAGE AND DISCHARGE APPARATUS | 1 |
Byung Sung Kang | KR | Suwon | 2013-04-25 / 20130100576 - MULTILAYER CERAMIC ELECTRONIC COMPONENT | 3 |
Yong Koo Kang | KR | Seoul | 2015-03-05 / 20150065494 - AMINOSTYRYLBENZOFURAN DERIVATIVES AS INHIBITORS AGAINST BETA-AMYLOID FIBRIL FORMATION, AND PHARMACEUTICAL COMPOSITION COMPRISING SAME | 6 |
Yool Kang | KR | Yongin-Si | 2015-12-17 / 20150364334 - METHOD OF FORMING PATTERNS AND METHOD OF MANUFACTURING INTEGRATED CIRCUIT DEVICE | 12 |
Kyu Chang Kang | KR | Daejeon | 2015-09-10 / 20150254993 - SMART LEARNING SYSTEM USING DEVICE SOCIAL RELATION AND METHOD THEREOF | 11 |
Myung-Sung Kang | KR | Hwaseong-Si | 2013-10-03 / 20130258188 - BI-DIRECTIONAL CAMERA MODULE AND FLIP CHIP BONDER INCLUDING THE SAME | 2 |
Jun-Ki Kang | KR | Paju-Si | 2013-02-07 / 20130033654 - IN-PLANE SWITCHING MODE LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Jaewook Kang | KR | Buk-Gu | 2013-02-07 / 20130036084 - METHOD AND APPARATUS FOR TRANSMITTING SPARSE SIGNAL, AND METHOD AND APPARATUS FOR RECOVERING SPARSE SIGNAL VIA BELIEF PROPAGATION AND BAYESIAN HYPOTHESIS TEST | 1 |
Myung-Joo Kang | KR | Gyeonggi-Do | 2013-02-14 / 20130039951 - Process Of Preparing A Stabilized And Solubilized Formulation Of Sirolimus Derivatives | 1 |
Yang-Jun Kang | KR | Gwangju | 2013-02-14 / 20130036797 - FLUID VISCOSITY MEASURING DEVICE | 1 |
Mingyu Kang | KR | Seoul | 2013-02-14 / 20130039326 - ADAPTIVE FEEDBACK FOR MULTI-CELL COOPERATIVE COMMUNICATION AND AN ADAPTIVE TRANSMISSION MODE DETERMINING METHOD AND DEVICE | 1 |
Mangu Kang | KR | Daejeon | 2014-03-27 / 20140083494 - METHOD OF FORMING METAL OXIDE NANOTUBE AND DYE-SENSITIZED SOLAR CELL FORMED THEREBY | 10 |
Dong-Wan Kang | KR | Yongin-Si | 2012-10-04 / 20120251870 - BATTERY PACK | 1 |
Seokhoon Kang | KR | Seoul | 2015-12-10 / 20150357531 - LIGHT EMITTING DEVICE | 3 |
Se-Eun Kang | KR | Paju-Si | 2013-02-21 / 20130043503 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Seong Soo Kang | KR | Gwangju | 2013-02-21 / 20130045266 - METHOD FOR PREPARING POLYMERIC BIOMATERIALS HAVING IMMOBILIZED DRUG DELIVERY SYSTEM COMPRISING BIOACTIVE MOLECULES LOADED PARTICLE CARRIER | 1 |
Keren Kang | US | Austin | 2013-02-21 / 20130045803 - Cross-platform gaming between multiple devices of multiple types | 1 |
Dong Chun Kang | KR | Gwangsan-Gu | 2011-02-17 / 20110037934 - LIQUID CRYSTAL DISPLAY DEVICE AND MANUFACTURING AND REPAIRING METHODS THEREOF | 1 |
Seung Goo Kang | KR | Suwon-Si | 2014-05-08 / 20140125522 - METHOD OF GENERATING CORRELATION FUNCTION WITH NO SIDE-PEAK AND SYSTEM FOR TRACKING BINARY OFFSET CARRIER SIGNAL | 3 |
Hyunmi Kang | KR | Seoul | 2010-07-29 / 20100190251 - Method for the differentiation of human adult stem cells into insulin-secreting cells | 1 |
Hee Su Kang | KR | Seoul | 2013-11-07 / 20130295529 - METHOD AND APPARATUS FOR RECOGNIZING SIGN LANGUAGE USING ELECTROMYOGRAM SENSOR AND GYRO SENSOR | 2 |
Hun Hee Kang | KR | Seoul | 2013-08-22 / 20130216108 - ELECTRONIC DEVICE AND METHOD FOR USER IDENTIFICATION | 3 |
Hun Hee Kang | KR | Anseong-Si | 2013-02-28 / 20130052900 - ENVIRONMENTALLY FRIENDLY COATED YARN AND COATING COMPOSITION THEREFOR | 1 |
Chihtsung Kang | CN | Shenzhen | 2016-03-10 / 20160070129 - Liquid Crystal Display and Optical Compensation Method Therefor | 34 |
Byeong Kook Kang | KR | Gwangju-Si | / - | 1 |
Yu Jin Kang | KR | Gumi-Si | / - | 1 |
Myoungju Kang | KR | Changwon-Si | 2013-02-28 / 20130049562 - REFRIGERATOR | 1 |
Uk Kang | KR | Seoul | 2015-07-23 / 20150201840 - REFLECTION DETECTION TYPE MEASUREMENT APPARATUS FOR SKIN AUTOFLUORESCENCE | 11 |
Sin-Ae Kang | KR | Incheon | / - | 1 |
Su-Hyun Kang | KR | Gwangju | 2013-02-28 / 20130055360 - CONTENTS SERVICE METHOD, AND MEMBER INFORMATION PROVIDING SERVICE SYSTEM AND METHOD USING COMMUNICATION NETWORK | 1 |
Kyong-Min Kang | KR | Iksan-Si | 2014-10-02 / 20140295599 - ETCHANT, DISPLAY DEVICE AND METHOD FOR MANUFACTURING DISPLAY DEVICE USING THE SAME | 3 |
Hongqiao Kang | CN | Jiangsu | 2015-12-31 / 20150375967 - LONG-DISTANCE TRANSPORT SYSTEM FOR PEOPLE IN INCLINED LANE | 2 |
Chih-Tsung Kang | CN | Shenzhen City | 2015-10-08 / 20150286099 - Compensation Architecture of Liquid Crystal Panel and Liquid Crystal Display Device | 15 |
Min Jung Kang | KR | Incheon | 2016-03-03 / 20160062171 - LIQUID CRYSTAL DISPLAY AND MANUFACTURING METHOD THEREOF | 5 |
Dong Soo Kang | KR | Geoje-Si | 2013-03-07 / 20130060383 - INDUSTRIAL ROBOT HAVING AN APPARATUS FOR DRIVING AN ATTACHABLE/DETACHABLE FOUR-BAR LINK MECHANISM | 1 |
Young-Cheol Kang | KR | Namdong-Gu | 2013-03-07 / 20130060559 - APPARATUS AND METHOD FOR TRANSLATION USING A TRANSLATION TREE STRUCTURE IN A PORTABLE TERMINAL | 1 |
Changwon Kang | KR | Daejeon | 2015-11-12 / 20150322526 - COMPOSITION, KIT, AND METHOD FOR DIAGNOSING ADHD RISK | 3 |
Jianxing Kang | US | Collegeville | 2015-12-10 / 20150353533 - HETEROCYCLIC AMIDES AS KINASE INHIBITORS | 2 |
Sung-Gyu Kang | KR | Suwon-Si | 2015-02-05 / 20150035911 - PRINTING SYSTEM, PRINTING APPARATUSES, AND METHODS OF FORMING NOZZLES OF PRINTING APPARATUSES | 15 |
Jun Tae Kang | KR | Daegu | 2015-07-30 / 20150216025 - METHOD FOR DRIVING MULTI ELECTRIC FIELD EMISSION DEVICES AND MULTI ELECTRIC FIELD EMISSION SYSTEM | 17 |
Hun-Cheol Kang | KR | Gunpo-Si | 2013-03-14 / 20130062544 - Hydraulic Solenoid Valve for an Automatic Transmission of a Vehicle | 1 |
Min-Jung Kang | KR | Bupyeong-Gu | 2013-03-14 / 20130065167 - PHOTORESIST COMPOSITION FOR COLOR FILTER AND METHOD FOR FORMING COLOR FILTER | 1 |
Young Jun Kang | KR | Seoul | 2014-04-03 / 20140093101 - MOBILE TERMINAL AND METHOD FOR CONTROLLING SOUND OUTPUT | 2 |
Kunseok Kang | KR | Daejeon | 2015-03-05 / 20150063203 - METHOD OF DESIGNING AND COMMUNICATING BEAM IN COMMUNICATION SYSTEM | 9 |
Kunseok Kang | KR | Daegu | 2015-07-02 / 20150188644 - METHOD AND APPARATUS FOR MITIGATING SATELLITE DOWNLINK INTERFERENCE OF SATELLITE AND TERRESTRIAL INTEGRATED SYSTEM | 3 |
Sung Cheol Kang | KR | Gwangsan-Gu | 2013-03-21 / 20130067937 - INDICATING DEVICE AND REFRIGERATOR HAVING THE SAME | 1 |
Dong-Jin Kang | KR | Suwon-Si | 2013-03-21 / 20130070923 - SERVER, CLIENT DEVICE, METHOD FOR GENERATING A TRANSPORT STREAM THEREOF AND PROCESSING THE TRANSPORT STREAM THEREOF | 1 |
Jin-Goo Kang | KR | Seoul | 2015-05-28 / 20150147833 - METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE | 3 |
Tae-Gyu Kang | KR | Chungcheongnam-Do | 2014-07-10 / 20140191397 - PACKAGE SUBSTRATE AND SEMICONDUCTOR PACKAGE INCLUDING THE SAME | 2 |
Seong Gyu Kang | KR | Hwaseong | 2013-06-13 / 20130151072 - EYE BREAKAWAY PREVENTION SYSTEM FOR USE WHILE DRIVING A VEHICLE | 2 |
Jane Kang | US | Atlanta | 2013-03-21 / 20130071271 - VALVELESS PUMP | 1 |
Nam Wook Kang | KR | Hwaseong-Si | 2013-05-09 / 20130117630 - METHOD OF ENHANCING ERROR CORRECTION PERFORMANCE AND STORAGE DEVICE USING THE METHOD | 2 |
Zu-Zhi Kang | CN | Shanghai | 2013-03-21 / 20130073946 - METHOD AND APPARATUS FOR LOADING WEBPAGE CONTENT OF WEBKIT BROWSER | 1 |
Yoon-Sok Kang | KR | Seongnam-Si | 2015-03-19 / 20150079465 - COMPOSITE CATHODE ACTIVE MATERIAL, METHOD OF PREPARING THE SAME, AND CATHODE AND LITHIUM BATTERY CONTAINING THE SAME | 11 |
Dong-Han Kang | KR | Daegu Metropolitan City | 2010-01-28 / 20100022230 - METHOD FOR RECEIVING INCOMING CALL IN MOBILE COMMUNICATION TERMINAL USING LOCAL WIRELESS COMMUNICATION DURING USER ABSENCE | 1 |
Dong-Han Kang | KR | Seoul | 2014-11-06 / 20140327001 - METHOD FOR MANUFACTURING OXIDE SEMICONDUCTOR THIN FILM TRANSISTOR, AND ACTIVE OPERATING DISPLAY DEVICE AND ACTIVE OPERATING SENSOR DEVICE USING SAME | 2 |
Ho-Seok Kang | KR | Anyang-Shi | 2013-03-28 / 20130074650 - SINGLE MOTOR STRUCTURE FOR OPERATION OF PANORAMA SUNROOF GLASS AND ROLL BLIND AT THE SAME TIME | 1 |
Mu-Chang Kang | TW | Taipei City | 2013-03-28 / 20130075058 - COOLING CABINET | 1 |
Jinwon Kang | KR | Gyeongsangnam-Do | 2013-10-03 / 20130257255 - REFRIGERATOR | 2 |
Kyung Mo Kang | KR | Gumi-Si | 2013-03-28 / 20130075951 - AGING APPARATUS AND METHOD | 1 |
Seonghwan Kang | KR | Changwon-Si | 2014-12-18 / 20140372619 - NETWORK SYSTEM | 3 |
Won Kyung Kang | KR | Icheon-Si | 2015-02-19 / 20150052374 - DATA STORAGE DEVICE AND DATA PROCESSING SYSTEM INCLUDING THE SAME | 2 |
Ju Hee Kang | KR | Gwangju | 2014-09-25 / 20140287348 - METHOD FOR MANUFACTURING A UNIT CELL OF A SOLID OXIDE FUEL CELL | 2 |
Mi-Ran Kang | KR | Wonju-Si | 2013-03-28 / 20130078614 - PROBE FOR HPV GENOTYPE DIAGNOSIS AND ANALYSIS METHOD THEREOF | 1 |
Jong Hun Kang | KR | Seoul | 2013-03-28 / 20130078629 - METHOD FOR DETECTING NUCLEIC ACIDS BY PROMOTING BRANCHED DNA COMPLEX FORMATION | 1 |
Hyun-Jo Kang | KR | Hwaseong-Si | 2013-03-28 / 20130078658 - METHOD OF QUANTIFYING RECOVERY RATE OF EXOSOME | 1 |
Donghyun Kang | KR | Seoul | 2016-03-03 / 20160065936 - IMAGE DISPLAY DEVICE FOR PROVIDING FUNCTION OF CHANGING SCREEN DISPLAY DIRECTION AND METHOD THEREOF | 10 |
Jaspal Singh Kang | CA | Surrey | 2015-11-19 / 20150329850 - Generating Targeted Sequence Diversity in Proteins | 15 |
Do Hee Kang | KR | Suwon-Si | 2013-04-04 / 20130082976 - PEN SYSTEM AND METHOD FOR PERFORMING INPUT OPERATIONS TO MOBILE DEVICE VIA THE SAME | 1 |
Soon Seok Kang | KR | Yongin | 2013-05-02 / 20130107011 - STEREO CAMERA MODULE AND STEREO CAMERA | 2 |
Yun Kyung Kang | KR | Gumi-Si | 2013-04-04 / 20130084515 - POLYIMIDE POROUS WEB, METHOD FOR MANUFACTURING THE SAME, AND ELECTROLYTE MEMBRANE COMPRISING THE SAME | 1 |
Sungwon Kang | KR | Incheon | 2013-04-04 / 20130085553 - FUNCTIONAL ABDOMINAL BELT | 1 |
Donghun Kang | KR | Gyoenggi-Do | 2012-10-25 / 20120268762 - DOCUMENT WITH AN INTEGRATED DISPLAY AND METHOD OF MANUFACTURE THE SAME | 2 |
Woobum Kang | JP | Osaka | 2015-04-30 / 20150116477 - Microscopic Imaging Device, Microscopic Imaging Method, and Microscopic Imaging Program | 12 |
Kyung Sun Kang | KR | Seoul | 2014-11-13 / 20140335060 - Equine Amniotic Membrane-Derived Mesenchymal Stem Cells | 10 |
Woo Seok Kang | KR | Daejeon | 2015-11-05 / 20150314233 - PLASMA REACTOR FOR ABATEMENT OF HAZARDOUS MATERIAL | 4 |
Seong Min Kang | KR | Seoul | 2016-03-17 / 20160078059 - MOBILE DEVICE, IMAGE REPRODUCING DEVICE AND SERVER FOR PROVIDING RELEVANT INFORMATION ABOUT IMAGE CAPTURED BY IMAGE REPRODUCING DEVICE, AND METHOD THEREOF | 3 |
Yeon-Ho Kang | KR | Suwon-Si | 2013-04-11 / 20130088445 - APPARATUS AND METHOD FOR CONTROLLING TOUCHSCREEN OF A PORTABLE TERMINAL | 1 |
Dong-Oh Kang | KR | Daejeon-Si | 2013-04-11 / 20130091502 - SYSTEM AND METHOD OF PROVIDING VIRTUAL MACHINE USING DEVICE CLOUD | 1 |
Sunyoung Kang | KR | Changwon-Si | 2015-09-03 / 20150247657 - AIR CONDITIONER AND METHOD OF OPERATING AN AIR CONDITIONER | 3 |
Hee Min Kang | KR | Seongnam-Si | 2013-04-18 / 20130093855 - PARALLEL AXIS STEREOSCOPIC CAMERA | 1 |
Ingyu Kang | KR | Cheongju-Si | 2013-04-18 / 20130096928 - METHOD AND APPARATUS FOR PROCESSING AN AUDIO SIGNAL | 1 |
Insung Kang | US | San Diego | 2016-04-28 / 20160119876 - MOBILITY BASED POWER CONSERVATION | 45 |
Jung A. Kang | KR | Busan-City | 2009-07-09 / 20090176888 - COMPOSITION COMPRISING PHYTOSPHINGOSINE OR DERIVATIVE THEREOF | 1 |
Douglas Min Kang | US | San Jose | 2014-04-17 / 20140103829 - FEED FORWARD IMBALANCE CORRECTOR CIRCUIT | 3 |
Sunggil Kang | KR | Nam-Gu Pohang | 2013-05-09 / 20130116682 - Non-Stick Conductive Coating for Biomedical Applications | 1 |
Bo-Gyeong Kang | KR | Suwon-Si | 2013-08-08 / 20130205379 - AUTHENTICATION METHOD BETWEEN CLIENT AND SERVER, MACHINE-READABLE STORAGE MEDIUM, CLIENT AND SERVER | 10 |
Na Rae Kang | KR | Gyeonggi-Do | 2013-05-09 / 20130115519 - SEPARATOR FOR LITHIUM SECONDARY BATTERY AND METHOD FOR MANUFACTURING SAME | 1 |
Yong Woo Kang | KR | Geoje-Si | 2013-05-09 / 20130112678 - WELDING MONITORING SYSTEM AND METHOD | 1 |
Pil-Sung Kang | KR | Incheon | 2014-08-21 / 20140235719 - PHARMACEUTICAL COMPOSITION FOR THE PREVENTION OR TREATMENT OF INFLAMMATORY DISEASES OR IMMUNE DISEASES CONTAINING RAMALIN | 6 |
Sang Mi Kang | KR | Daejeon | 2015-06-11 / 20150158974 - METHOD FOR PREPARING BIODEGRADABLE ALIPHATIC-AROMATIC POLYESTER COPOLYMER RESIN WITH IMPROVED HYDROLYSIS RESISTANCE | 3 |
Jin Ho Kang | US | Newport News | 2016-04-14 / 20160104831 - Pyroelectric Sandwich Thermal Energy Harvesters | 19 |
Yukiyasu Kang | JP | Atsugi | 2013-05-16 / 20130122238 - CHROMIUM-FREE RUST-INHIBITIVE SURFACE TREATMENT AGENT FOR METAL PARTS WITH ZINC SURFACES AND METAL PARTS WITH ZINC SURFACES COATED WITH RUST-INHIBITIVE SURFACE COATED FILM | 1 |
Hyuk Kang | KR | Hwaseong | 2015-01-22 / 20150021165 - COATING LAYER WITH LOW-FRICTION FOR VEHICLE COMPONENT AND METHOD FOR PRODUCING THE SAME | 5 |
Sungchul Kang | KR | Busan | 2013-05-16 / 20130123585 - PORTABLE BRAINWAVE MEASURING AND CONTROLLING SYSTEM | 1 |
Man-Seok Kang | KR | Yongin-Si | 2013-08-29 / 20130225153 - METHOD FOR CONTROLLING REMOTE DEVICE THROUGH A SHORT MESSAGING SERVICE (SMS) AND DEVICE THEREFOR | 5 |
Joon Seong Kang | KR | Seoul | 2015-04-09 / 20150099471 - RECEIVER AND TRANSMITTER OF COPING WITH INTERFERENCE IN SUPER-REGENERATIVE COMMUNICATION SYSTEM, AND METHOD OF USING THE RECEIVER AND THE TRANSMITTER | 8 |
Jong-Hun Kang | KR | Busan | 2013-05-23 / 20130125605 - METHOD OF MANUFACTURING DOOR FRAME FOR WIND TOWER | 1 |
Minsu Kang | KR | Asan-Si | 2013-05-23 / 20130127484 - INSERT FOR SEMICONDUCTOR PACAKGE AND TESTING APPARATUS WITH THE SAME | 1 |
Seung Hyun Kang | KR | Dongan-Gu | 2013-05-23 / 20130129008 - METHOD AND DEVICE FOR CODEBOOK GENERATION AND DOWNLINK SIGNAL TRANSMISSION IN A WIRELESS COMMUNICATION SYSTEM SUPPORTING MULTIPLE ANTENNAS | 1 |
Hyo Jung Kang | KR | Cheongwon-Gun | 2013-05-23 / 20130129774 - NOVEL XYLOGONE GANODERMOPHTHORA STRAIN WITH ANTIFUNGAL ACTIVITY, AND COMPOSITION INCLUDING SAME FOR PREVENTING PLANT DISEASES | 1 |
Gil-Ho Kang | KR | Gunpo-Si | 2015-07-02 / 20150184374 - INTERIOR SOUND ABSORPTION SHEET AND SOUND ABSORBING SOUND-PROOFING PANEL CONTAINING SAME | 4 |
Jong Goo Kang | KR | Ansan-Si | 2013-05-23 / 20130130101 - NEGATIVE ELECTRODE ACTIVE MATERIAL | 1 |
Baeguen Kang | KR | Pyeongtaek-Si | 2013-05-23 / 20130133013 - DISPLAY DEVICE AND METHOD FOR PROVIDING CONTENT USING THE SAME | 1 |
Mingoo Kang | KR | Seoul | 2013-08-15 / 20130210488 - IMAGE DISPLAY DEVICE AND METHOD OF CONTROLLING THE SAME | 7 |
Hyo Kang | KR | Seoul | 2014-11-06 / 20140326657 - SEMI-PERMEABLE FILM, MEMBRANE INCLUDING THE SEMI-PERMEABLE FILM, AND METHOD OF MANUFACTURING THE SEMI-PERMEABLE FILM | 12 |
Shinae Kang | KR | Changwon-Si | 2014-12-25 / 20140380221 - INSTALLATION GUIDE SYSTEM FOR AIR CONDITIONER AND METHOD OF USING THE SAME | 6 |
Daeyong Kang | KR | Changwon-Si | 2013-05-30 / 20130134781 - NETWORK SYSTEM | 1 |
Sung-In Kang | KR | Asan-Si | 2013-08-22 / 20130215160 - DISPLAY APPARATUS AND METHOD OF DRIVING THE SAME | 3 |
Seung Jae Kang | KR | Seoul | 2016-01-07 / 20160001773 - METHOD AND SYSTEM FOR CONTROLLING HYBRID ELECTRIC VEHICLE | 4 |
Mi-Yeon Kang | KR | Seoul | 2013-05-30 / 20130138536 - LOGISTICS SUPPORT METHOD AND SYSTEM FOR ONLINE SHOPPING | 1 |
Jaeyeon Kang | US | Cupertino | 2014-09-18 / 20140282572 - TASK SCHEDULING WITH PRECEDENCE RELATIONSHIPS IN MULTICORE SYSTEMS | 2 |
Feirong Kang | US | King Of Prussia | 2016-03-10 / 20160069826 - Sample Plate for An X-Ray Powder Diffraction Apparatus | 3 |
Feirong Kang | US | South San Francisco | 2013-06-06 / 20130143881 - Hydrated Crystalline Forms of N-[3-fluoro-4-(oxy)phenyl]-N'-(4-fluorophenyl)cyclopropane-1,1-dicarboxam- ide | 1 |
Youngmin Kang | KR | Seoul | 2013-06-06 / 20130141965 - HIGH DENSITY SEMICONDUCTOR MEMORY DEVICES | 1 |
Hee Su Kang | KR | Suwon | 2015-04-30 / 20150116515 - CAMERA MODULE | 2 |
Chang-Soon Kang | KR | Changwon-Si | 2013-06-06 / 20130143572 - METHOD AND SYSTEM FOR HANDOVER OF TERMINAL | 1 |
Sung Wook Kang | KR | Suwon-Si | 2013-06-06 / 20130143856 - NOVEL ANTIBIOTIC COMPOSITION COMPRISING FLUFENAMIC ACID AS AN ACTIVE INGREDIENT | 1 |
Byung-Il Kang | KR | Daejeon | 2013-06-06 / 20130144023 - ACRYLIC COPOLYMER WITH HIGH HEAT RESISTANCE AND HIGH STRENGTH, AND OPTICAL FILM COMPRISING THE SAME | 1 |
Chong Yun Kang | KR | Seoul | 2016-05-12 / 20160133917 - METHOD OF FABRICATING CATHODE FOR THIN FILM BATTERY USING LASER, CATHODE FABRICATED THEREBY, AND THIN FILM BATTERY INCLUDING THE SAME | 16 |
Yoon-Sok Kang | KR | Seononam-Si | 2013-06-13 / 20130149610 - SURFACE-TREATED ELECTRODE ACTIVE MATERIAL, METHOD OF SURFACE TREATING ELECTRODE ACTIVE MATERIAL, ELECTRODE, AND LITHIUM SECONDARY BATTERY | 1 |
Seokheon Kang | KR | Seoul | 2010-12-23 / 20100322366 - METHOD FOR DETECTING FRAME SYNCHRONIZATION AND STRUCTURE IN DVB-S2 SYSTEM | 2 |
Chang Mo Kang | KR | Seoul | 2012-06-07 / 20120141488 - NOVEL G PROTEIN COUPLED RECEPTOR PROTEIN AND USE THEREOF | 2 |
Chang Mo Kang | KR | Suwon-Si | 2013-06-13 / 20130146697 - APPARATUS FOR MOUNTING SEAT BELT RETRACTOR FOR VEHICLE | 1 |
Tae-Kyoung Kang | KR | Cheongju-Si | 2016-03-17 / 20160081154 - POWER FACTOR CORRECTION CONTROL CIRCUIT AND DRIVING METHOD THEREOF | 12 |
Sungchan Kang | KR | Seoul | 2014-11-27 / 20140345362 - PARTICULATE MATTER SENSOR UNIT | 2 |
Gu Bae Kang | KR | Yongin | 2016-04-28 / 20160114801 - TORQUE CONTROL APPARATUS AND METHOD AND MOTOR CONTROLLER | 9 |
Seungjae Kang | KR | Hwaseong-Si | 2013-06-20 / 20130156604 - HYDRAULIC PRESSURE PRODUCING SYSTEM FOR AUTOMATIC TRANSMISSION AND CONTROL METHOD THEREOF | 2 |
Jung Won Kang | KR | Daejeon | 2016-04-28 / 20160119632 - METHOD AND APPARATUS FOR PREDICTING INTER-LAYER BASED ON TEMPORAL SUB-LAYER INFORMATION | 33 |
Jung Taek Kang | KR | Seoul | 2014-01-23 / 20140026233 - TRANSGENIC PIG EXPRESSING STNFR1-FC GENES AND THE USES THEREOF | 3 |
Sungkwan Kang | KR | Seoul | 2016-05-12 / 20160133748 - SEMICONDUCTOR DEVICES INCLUDING SILICIDE REGIONS AND METHODS OF FABRICATING THE SAME | 3 |
Jiwon Kang | KR | Anyang-Si | 2016-02-25 / 20160056941 - METHOD AND APPARATUS FOR REPORTING CHANNEL STATE INFORMATION FOR FRACTIONAL BEAMFORMING IN A WIRELESS COMMUNICATION SYSTEM | 55 |
Yong Il Kang | KR | Siheung-Si | 2013-06-20 / 20130152881 - CHAMBER STRUCTURE FOR VEHICLE | 1 |
Dong-Keun Kang | KR | Goyang-Si | 2013-06-20 / 20130153515 - WATER TREATMENT APPARATUS AND SYSTEMS | 1 |
Bum Suk Kang | KR | Ansan-Si | 2013-06-20 / 20130154302 - SEALING ELEMENT UNIT | 1 |
Byeong-Doo Kang | KR | Daegu | 2013-06-20 / 20130155122 - APPARATUS AND METHOD OF DRIVING DISPLAY DEVICE | 1 |
Shih Kang | TW | Hsinchu City | 2013-06-20 / 20130158391 - IMAGE PROCESSING UNIT FOR OPTICAL TOMOGRAPHY | 3 |
Wenxin Kang | CN | Nanping | 2013-06-20 / 20130155684 - ELECTRODELESS LAMP STREET LIGHTING FIXTURE | 1 |
Byoung-Il Kang | KR | Daejeon | 2016-04-28 / 20160115309 - (METH)ACRYLATE-BASED RESIN COMPOSITION HAVING EXCELLENT IMPACT RESISTANCE AND TRANSPARENCY | 7 |
Tae-Hoon Kang | KR | Seoul | 2016-01-28 / 20160028969 - DIGITAL PHOTOGRAPHING APPARATUS AND METHOD OF CONTROLLING THE DIGITAL PHOTOGRAPHING APPARATUS | 10 |
Jung-Ming Kang | KR | Seoul | 2010-07-15 / 20100177019 - DISPLAY APPARATUS, SCANNER, DISPLAY SYSTEM, AND DISPLAY METHOD USING THE SAME | 1 |
Kyoung Soon Kang | KR | Daejeon | 2015-05-28 / 20150146563 - METHOD OF SENSING EXCESSIVE BANDWIDTH USAGE | 11 |
Ingyu Kang | KR | Seoul | 2015-09-10 / 20150255074 - Frame Loss Recovering Method, And Audio Decoding Method And Device Using Same | 7 |
Tae-Hoon Kang | KR | Hwaseong-Si | 2015-07-02 / 20150189142 - ELECTRONIC APPARATUS AND METHOD OF CAPTURING MOVING SUBJECT BY USING THE SAME | 4 |
Byung Woo Kang | KR | Gyunggi-Do | 2013-06-27 / 20130163085 - OPTICAL IMAGE STABLILIZER | 2 |
Woo Hyun Kang | KR | Seoul | 2013-06-27 / 20130165783 - PROVIDING MOTION MODE IMAGE IN ULTRASOUND SYSTEM | 1 |
Kyoung Ku Kang | KR | Uiwang-Si | 2016-02-25 / 20160053138 - WINDOW FILM AND DISPLAY INCLUDING THE SAME | 25 |
Chih-Kai Kang | TW | Tainan City | 2013-07-04 / 20130168816 - RESISTOR AND FABRICATION METHOD THEREOF | 2 |
Hyo-Soon Kang | KR | Seoul | 2016-05-05 / 20160126229 - SEMICONDUCTOR CHIP AND A SEMICONDUCTOR PACKAGE HAVING A PACKAGE ON PACKAGE (POP) STRUCTURE INCLUDING THE SEMICONDUCTOR CHIP | 7 |
Hoon Kang | KR | Seoul | 2013-12-05 / 20130325634 - METHOD FOR PROVIDING SERVICE THAT USES A CONSUMER'S PURCHASED PRODUCT AS ADVERTISEMENT MEDIA THROUGH DIGITAL CODE TO ALLOW EXPOSERS AND RECOGNIZERS OF DIGITAL CODE TO ACHIEVE PROFIT OF ADVERTISEMENT | 2 |
Seok Joon Kang | KR | Seoul | 2013-07-04 / 20130170537 - DATA EQUALIZING CIRCUIT AND DATA EQUALIZING METHOD | 2 |
Jin-Hee Kang | KR | Uiwang-Si | 2015-06-11 / 20150160553 - Positive Photosensitive Resin Composition, Photosensitive Resin Film, and Display Device Using the Same | 5 |
Jae-Hyun Kang | KR | Suwon-Si | 2013-07-11 / 20130176472 - DIGITAL PHOTOGRAPHING APPARATUS AND METHOD OF CONTROLLING THE SAME | 8 |
Sang Seung Kang | KR | Daejeon | 2015-09-17 / 20150262012 - OBJECT PICKING SYSTEM, OBJECT DETECTING DEVICE, OBJECT DETECTING METHOD | 13 |
Man Keun Kang | KR | Busan | 2013-07-11 / 20130176158 - DISTANCE MEASURING DEVICE AND RECEIVING DEVICES THEREOF | 1 |
Min-Gu Kang | KR | Daejeon-Si | 2013-07-11 / 20130176443 - METHOD AND DEVICE FOR TRANSMITTING/RECEIVING IMAGE DATA AT HIGH SPEED | 1 |
Sukwon Kang | US | Torrance | 2013-07-11 / 20130176713 - FIREFIGHTER LIGHT APPARATUS AND METHODS | 1 |
Min Kyung Kang | KR | Seoul | 2013-07-11 / 20130178533 - COMPOSITION FOR DIAGNOSING, TREATING, AND PREVENTING LIVER DISEASE | 1 |
He Kang | US | West Bloomfield | 2013-07-11 / 20130179804 - MAP-BASED SOCIAL GROUP METHOD AND SYSTEM | 1 |
Sung K. Kang | KR | Seoul | 2010-05-06 / 20100115641 - GFP-TRANSFECTED CLON PIG, GT KNOCK-OUT CLON PIG AND METHODS FOR PRODUCTIONS THEREOF | 1 |
Yu Jin Kang | KR | Basan | 2013-07-18 / 20130180446 - SUSCEPTOR | 1 |
Jin U. Kang | US | Ellicott City | 2016-04-14 / 20160100906 - INTERFEROMETRIC FORCE SENSOR FOR SURGICAL INSTRUMENTS | 24 |
Suk Yon Kang | KR | Seoul | 2015-08-06 / 20150223188 - LOCATION AND STATE INFORMATION PROVIDING/INQUIRING SYSTEM USING WLAN/WPAN COMMUNICATION, LOG INFORMATION PROVIDING/INQUIRING SYSTEM AND METHOD, SERVICE SERVER AND CUSTOMER TERMINAL, LOCATION AND STATE PROVIDING/INQUIRING METHOD | 11 |
Songyun Kang | JP | Nirasaki City | 2015-07-23 / 20150206763 - ETCHING METHOD, ETCHING APPARATUS, AND RING MEMBER | 2 |
Myung-Gyu Kang | US | Gaithersburg | 2016-04-28 / 20160118214 - COLD FIELD ELECTRON EMITTERS BASED ON SILICON CARBIDE STRUCTURES | 3 |
Jung-Suk Kang | KR | Suwon-Si | 2013-07-25 / 20130188925 - DEVICE FOR RECORDING AND REPRODUCING IMAGE, METHOD FOR RECORDING AND REPRODUCING IMAGE, AND RECORDING MEDIUM | 1 |
Ming-Feng Kang | TW | Taoyuan County | 2013-07-25 / 20130189916 - RACK SYSTEM AND VENTILATION APPARATUS THEREOF | 1 |
Jianhong Kang | US | Glenview | 2013-07-25 / 20130190929 - APPLIANCE CONSOLE WITH CONNECTOR-FREE ATTACHMENT TO APPLIANCE | 1 |
Namseok Kang | KR | Gyeonggi-Do | 2013-08-01 / 20130196843 - TRANSPARENT COMPOSITE MATERIAL AND A PRODUCTION METHOD THEREFOR | 1 |
Heon Joong Kang | KR | Kyeongki-Do | 2011-07-28 / 20110184186 - PROCESS FOR PREPARING LIGANDS OF PPARDELTA AND THE INTERMEDIATE COMPOUNDS FOR PREPARING THE SAME | 2 |
Heon Joong Kang | KR | Seoul | 2013-10-17 / 20130274212 - Sesterterpene Compounds and Use Thereof | 2 |
Dae-Chul Kang | KR | Gyeonggi-Do | 2015-10-01 / 20150276837 - ELECTRONIC DEVICE AND ANTENNA STATE DETERMINING METHOD OF THE ELECTRONIC DEVICE | 2 |
Chun Soo Kang | KR | Yongin-Si Gyeonggi-Do | 2013-08-01 / 20130193518 - SEMICONDUCTOR DEVICES HAVING DOUBLE-LAYERED METAL CONTACTS AND METHODS OF FABRICATING THE SAME | 1 |
Ilgoo Kang | JP | Sunto-Gun | 2013-08-01 / 20130195712 - METHOD FOR REMOVING IMPURITIES IN MOLTEN CAST IRON, AND CAST IRON RAW MATERIAL | 1 |
Gil-Ho Kang | KR | Daejeon | 2013-08-08 / 20130199872 - GYPSUM PANEL HAVING OUTSTANDING SOUND-ABSORBING PROPERTIES AND A PRODUCTION METHOD THEREFOR | 1 |
Dong-Min Kang | KR | Uiwang-Si | 2015-03-05 / 20150060788 - COMPOUND FOR AN ORGANIC OPTOELECTRIC DEVICE, ORGANIC OPTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE OPTOELECTRIC DEVICE | 12 |
Myung Hun Kang | KR | Kyunggi-Do | 2009-03-12 / 20090067821 - METHOD FOR RECORDING NAVIGATION INFORMATION ON A RECORDING MEDIUM | 1 |
Myung Hun Kang | KR | Seoul | 2012-02-02 / 20120030284 - SYSTEM AND METHOD FOR PROVIDING NEIGHBOR CONNECT SERVICE | 1 |
Sang-Hee Kang | KR | Suwon-Si | 2013-08-08 / 20130201768 - INTERNAL VOLTAGE GENERATING CIRCUIT, SEMICONDUCTOR MEMORY DEVICE INCLUDING THE SAME, AND METHOD OF GENERATING INTERNAL VOLTAGE | 1 |
Gyung Don Kang | KR | Daejeon-Si | 2014-05-01 / 20140121332 - PRODUCTION METHOD FOR BIODEGRADABLE POLYESTER COPOLYMER RESIN | 2 |
Yun Kang | US | Livingstone | 2015-06-25 / 20150175681 - ANTIBODIES FOR THE TREATMENT OF CLOSTRIDIUM DIFFICILE-ASSOCIATED INFECTION AND DISEASE | 2 |
Hyuk Kang | KR | Uiwang | 2013-08-08 / 20130202811 - SURFACE TREATMENT METHOD FOR COATING LAYER | 1 |
Chung Seock Kang | KR | Yongin-Si | 2013-08-15 / 20130208345 - ELECTROPHORESIS DISPLAY DEVICE AND PREPARATION METHOD OF THE SAME | 8 |
Taek-Kyo Kang | KR | Yongin-City | 2015-02-19 / 20150047560 - MASK FOR DEPOSITING AN ORGANIC LAYER AND MASK ASSEMBLY FOR THE SAME | 13 |
Kyung Sun Kang | KR | Seoul | 2014-11-13 / 20140335060 - Equine Amniotic Membrane-Derived Mesenchymal Stem Cells | 10 |
Jong-Hoon Kang | KR | Busan | 2013-08-15 / 20130205857 - METHOD OF MANUFACTURING FLANGE FOR WIND TOWERS USING RING ROLLING METHOD | 2 |
Seong Hoon Kang | KR | Chungcheongnam-Do | 2013-08-15 / 20130209309 - MAGNESIUM ALLOY SHEET HAVING IMPROVED FORMABILITY AT ROOM TEMPERATURE, AND METHOD FOR MANUFACTURING SAME | 1 |
Maoqing Kang | CN | Taiyuan | 2013-08-15 / 20130211041 - PROCESS FOR THE PREPARATION OF POLYETHERESTER POLYOLS | 1 |
Seok Won Kang | KR | Seoul | 2013-08-22 / 20130217287 - COMPOSITE MATERIAL USING UNIDIRECTIONAL CARBON FIBER PREPREG FABRIC AND COPPER CLAD LAMINATE USING THE SAME | 1 |
Yeonseok Kang | KR | Seoul | 2012-04-05 / 20120084308 - ELECTRONIC DEVICE AND OPERATING METHOD THEREOF | 1 |
Kyu-Min Kang | KR | Daejeon | 2016-05-12 / 20160135135 - METHOD AND APPARATUS FOR COMMUNICATION FOR COEXISTING WITH WIRELESS-LAN IN NON-LICENSED BAND | 17 |
Sin Young Kang | KR | Daejeon | 2015-05-21 / 20150140615 - METHOD FOR PREPARING ORGANIC ACID BY FED-BATCH-FEEDING CARBON SOURCE SUBSTRATE AND BASE | 4 |
Young Joon Kang | KR | Seoul | 2013-08-29 / 20130220262 - VALVE FOR ENGINE AND METHOD FOR TREATING SURFACE THEREOF | 1 |
Minsoo Kang | KR | Busan | 2013-08-29 / 20130222730 - DISPLAY APPARATUS | 1 |
Nam Young Kang | SG | Singapore | 2015-12-10 / 20150355168 - FLUORESCENT CELL CYCLE PROBE HAVING M-PHASE SPECIFICITY | 5 |
Hongmin Kang | CN | Gansu Province | 2013-08-29 / 20130225400 - METHOD FOR PREPARING HYDROREFINING CATALYST | 1 |
Sang Yun Kang | KR | Daegu | 2013-08-29 / 20130225925 - AUXILIARY TOOL FOR COLONOSCOPY | 1 |
Sunhee Kang | KR | Seoul | 2013-08-29 / 20130227150 - NETWORK SYSTEM | 1 |
Seonghwan Kang | KR | Seoul | 2013-08-29 / 20130227150 - NETWORK SYSTEM | 1 |
Seok-Hun Kang | KR | Suwon-Si | 2013-11-28 / 20130314383 - REMOTE CONTROL APPARATUS AND METHOD FOR CONTROLLING FUNCTIONS OF DISPLAY APPARATUSES | 2 |
Seok-Hun Kang | KR | Daejeon | 2011-03-17 / 20110062711 - ORGANIC RANKINE CYCLE (ORC) TURBO GENERATOR FOR PREVENTION OF PENETRATION OF WORKING FLUID | 2 |
Seunghyun Kang | KR | Anyang-Si | 2015-03-12 / 20150071189 - METHOD FOR PERFORMING DEVICE TO DEVICE DIRECT COMMUNICATION, METHOD FOR SUPPORTING THE SAME, AND DEVICE THEREFOR | 16 |
Dae-Yeon Kang | KR | Seoul | 2011-08-18 / 20110199724 - DISPLAY DEVICE | 1 |
Sang-Soo Kang | KR | Yangsan-Si | 2013-09-05 / 20130229824 - LIGHT-DIFFUSING INK COMPOSITION AND LIGHT GUIDE PANEL USING SAME | 1 |
Myung Koo Kang | KR | Daejeon | 2013-04-18 / 20130092491 - POWER SUPPLY APPARATUS FOR ON-LINE ELECTRIC VEHICLE, METHOD FOR FORMING SAME AND MAGNETIC FIELD CANCELATION APPARATUS | 1 |
Jungsuk Kang | KR | Jeonju | 2012-07-05 / 20120168267 - CARBON-CERAMIC BRAKE DISC ASSEMBLY | 1 |
Chih-Tsung Kang | CN | Shenzhen | 2016-03-03 / 20160062167 - LIQUID CRYSTAL PANEL AND LIQUID CRYSTAL DISPLAY | 30 |
Hongqiao Kang | CN | Suzhou | 2014-04-24 / 20140110194 - MINING ELEVATOR | 2 |
Myung Gil Kang | KR | Seoul | 2016-03-24 / 20160087026 - Resistor Formed Using Resistance Patterns and Semiconductor Devices Including the Same | 7 |
Seunghyun Kang | KR | Gyeonggi-Do | 2013-09-12 / 20130235779 - Method for a Terminal to Transmit Data in a Wireless Communication System, and a Device Therefor | 1 |
Younghwan Kang | US | San Diego | 2014-09-25 / 20140289500 - METHOD AND APPARATUS FOR PROVIDING AN INTERFACE BETWEEN A UICC AND A PROCESSOR IN AN ACCESS TERMINAL THAT SUPPORTS ASYNCHRONOUS COMMAND PROCESSING BY THE UICC | 2 |
Ming Kang | US | San Diego | 2015-05-21 / 20150139366 - METHOD AND APPARATUS FOR ENHANCED CHANNEL ESTIMATION USING MATCHING PURSUIT | 3 |
Li-Wei Kang | TW | Taipei City | 2013-09-12 / 20130236116 - METHOD AND APPARATUS FOR SINGLE-IMAGE-BASED RAIN STREAK REMOVAL | 1 |
Chan Goo Kang | KR | Seoul | 2010-03-25 / 20100076839 - INTERNET ADVERTISEMENT SYSTEM AND METHOD BASED ON PROVISION OF CONTENT | 1 |
Chan Goo Kang | KR | Seongnam-Si | 2016-04-28 / 20160116705 - Photographic Lens Optical System | 9 |
Byong Min Kang | KR | Yongin | 2013-09-19 / 20130242111 - DEVICE AND METHOD FOR BLUR PROCESSING | 1 |
Jintae Kang | KR | Gyeonggi-Do | 2014-11-20 / 20140342588 - MEMORY CARDS AND ELECTRONIC MACHINES | 3 |
Yu Jin Kang | KR | Yongin-Si | 2014-06-05 / 20140154295 - COSMETIC COMPOSITION PREPARED BY IMPREGNATION IN URETHANE FOAM | 2 |
Huang Soon Kang | TW | Hsinchu | 2013-09-19 / 20130244552 - MANUFACTURE AND METHOD OF MAKING THE SAME | 1 |
Jin Kyu Kang | KR | Incheon | 2015-10-01 / 20150282098 - METHOD FOR DETERMINING TRANSMISSION POWER IN MIMO SYSTEM BASED ON COOPERATIVE TRANSMISSION | 2 |
Maoquing Kang | CN | Shanxi | 2013-09-19 / 20130244867 - CATALYST FOR PRODUCING N-SUBSTITUTED CARBAMATES, AND THE PREPARATION AND APPLICATION OF THE SAME | 1 |
Sung-Young Kang | KR | Anyang-Si | 2013-09-19 / 20130245146 - SHEET FOR A SEALING MEMBER OF A SOLAR CELL, AND METHOD FOR PREPARING SAME | 1 |
Jin Kyu Kang | KR | Daejeon-City | 2013-09-19 / 20130245248 - ACETYLATED CELLULOSE ETHER AND ARTICLES INCLUDING SAME | 1 |
Gyung Don Kang | KR | Daejeon-City | 2013-09-19 / 20130245248 - ACETYLATED CELLULOSE ETHER AND ARTICLES INCLUDING SAME | 1 |
In Gyu Kang | KR | Seoul | 2013-11-28 / 20130317812 - METHOD AND DEVICE FOR BANDWIDTH EXTENSION | 2 |
Chung-Yun Kang | KR | Pusan-City | / - | 1 |
Jungsuk Kang | KR | Jeonju-Si | 2013-09-26 / 20130248305 - CARBON-CERAMIC BRAKE DISK AND METHOD FOR MANUFACTURING SAME | 2 |
Chi-Shu Kang | TW | Taipei City | 2013-09-26 / 20130250604 - LIGHT BAR-COMBINED REMOVABLE ZIPPER | 1 |
Ji Won Kang | KR | Gyeonggi-Do | 2013-10-03 / 20130260808 - METHOD FOR TRANSCEIVING SIGNAL IN MULTI-NODE SYSTEM, AND DEVICE THEREFOR | 2 |
Daehyun Kang | US | Matawan | 2013-10-03 / 20130257667 - Antenna Tuning | 1 |
Heui-Il Kang | KR | Gunpo-Si | 2013-10-03 / 20130261286 - PROCESS FOR PREPARING CASPOFUNGIN AND NOVEL INTERMEDIATES THEREOF | 1 |
Doo-Jin Kang | KR | Ansan-Si | 2013-10-03 / 20130261286 - PROCESS FOR PREPARING CASPOFUNGIN AND NOVEL INTERMEDIATES THEREOF | 1 |
Kyung Min Kang | KR | Gimcheon-Si | 2013-10-03 / 20130261319 - METHOD FOR PREPARING 1,3,5-TRIOXANE | 2 |
Yanggu Kang | KR | Yuseong-Gu | 2012-05-31 / 20120135216 - NOVEL ORGANIC-INORGANIC SILICA PARTICLES, METHOD OF PREPARING THE SAME, AND HARD COATING COMPOSITION CONTAINING THE SAME | 1 |
Sung-Weon Kang | KR | Yuseong-Gu | 2013-10-24 / 20130279542 - SPREADING CODE PRODUCING APPARATUS | 3 |
Sang Jung Kang | KR | Yuseong-Gu | 2012-05-31 / 20120132882 - Transparent Memory for Transparent Electronic Device | 1 |
Nam Sook Kang | KR | Yuseong-Gu | 2012-08-23 / 20120214991 - Indenone Derivative and Pharmaceutical Composition Comprising Same | 1 |
Man-Kyu Kang | KR | Yuseong-Gu | 2010-10-07 / 20100255409 - Attenuated phase-shift photomasks, method of fabricating the same and method of fabricating semiconductor using the same | 1 |
Ho-Bum Kang | KR | Yuseong-Gu | 2012-12-06 / 20120308676 - ANTIVIRAL COMPOSITION CONTAINING AN ALEURITES FORDII OR DAPHNE KIUSIANA EXTRACT OR A FRACTION THEREOF AS AN ACTIVE INGREDIENT | 1 |
Dae Im Kang | KR | Yuseong-Gu | 2010-05-20 / 20100123667 - Touch input device, portable device using the same and method of controlling the same | 1 |
Yu-Fu Kang | TW | Yangmei City | 2013-10-10 / 20130266774 - PACKAGE STRUCTURE AND PACKAGING METHOD | 1 |
Ingyu Kang | KR | Seocho-Gu | 2013-10-10 / 20130268265 - METHOD AND DEVICE FOR PROCESSING AUDIO SIGNAL | 1 |
Hye Jeong Kang | KR | Seoul | 2010-02-11 / 20100036882 - SARPS MANAGEMENT AND IMPLEMENTATION SYSTEM | 1 |
Ji Yoon Kang | KR | Seoul | 2015-09-24 / 20150265832 - METHOD OF MANUFACTURING A NERVE ELECTRODE PROVIDED WITH ANTI-INFLAMMATORY DRUG | 9 |
Shin Hyoung Kang | KR | Seoul | 2009-06-18 / 20090155102 - Integrated hydrogen recirculation blower for fuel cell vehicle | 1 |
Shin Hyoung Kang | KR | Songpa-Gu | 2013-10-17 / 20130272845 - AXIAL COMPRESSOR AND CONTROL METHOD THEREOF TO STABILIZE FLUID | 1 |
Shufeng Kang | CN | Shijiazhuang | 2013-10-17 / 20130269180 - MAINTENANCE TOOL FOR INSULATOR OF DIRECT CURRENT TRANSMISSION LINE | 1 |
Jung Soo Kang | KR | Gyeongsangnam-Do | 2013-10-17 / 20130273823 - VENTILATION APPARATUS OF A DRILLSHIP | 2 |
Moon-Seok Kang | KR | Gyeonggi-Do | 2013-10-17 / 20130271269 - METHOD OF ADJUSTING A CARD EMULATION MODE AND AN ELECTRONIC DEVICE THEREFOR | 1 |
Young Seok Kang | KR | Yuseong-Gu | 2013-10-17 / 20130272845 - AXIAL COMPRESSOR AND CONTROL METHOD THEREOF TO STABILIZE FLUID | 1 |
Ji-Hwan Kang | KR | Seoul | 2013-10-17 / 20130273460 - SPHERICAL, POROUS CARBON STRUCTURE AND A PRODUCTION METHOD THEREFOR | 1 |
Young Mo Kang | KR | Daegu | 2014-05-29 / 20140147453 - FUSION PEPTIDE COMPRISING dhFas-1 DOMAIN AND MMP SUBSTRATE AND USE THEREOF FOR PREVENTING AND TREATING RHEUMATOID ARTHRITIS | 2 |
Daeho Kang | KR | Seoul | 2013-10-17 / 20130274937 - COMPONENT FOR NETWORK SYSTEM AND METHOD FOR CONTROLLING SAME | 1 |
Younghyun Kang | KR | Changwon-Si | 2013-10-17 / 20130274938 - NETWORK SYSTEM | 1 |
Sunhee Kang | KR | Changwon-Si | 2013-10-17 / 20130274938 - NETWORK SYSTEM | 1 |
Sangseog Kang | KR | Changwon-Si | 2013-10-17 / 20130274938 - NETWORK SYSTEM | 1 |
Do Won Kang | KR | Seoul | 2013-10-24 / 20130280147 - AIRTIGHT CONTAINER FOR EXPERIMENTS | 1 |
Ki Ju Kang | KR | Damyang-Gun | 2013-10-24 / 20130276308 - METHOD FOR MANUFACTURING SANDWICH PANEL HAVING CORE OF TRUSS STRUCTURE | 1 |
Taekyu Kang | US | Urbana | 2013-10-24 / 20130276512 - Portable Gas Analyzer | 1 |
Ok Rye Kang | KR | Changwon-Si | 2015-09-24 / 20150267777 - BIAXIAL ROTATION TYPE REDUCER | 2 |
Min-Heuk Kang | KR | Changwon-Si | 2013-10-24 / 20130277582 - HOLDING VALVE FOR CONSTRUCTION EQUIPMENT | 1 |
Terry (teckgyu) Kang | US | San Jose | 2013-10-24 / 20130277855 - HIGH DENSITY 3D PACKAGE | 1 |
Chia-Liang Kang | TW | Hsin-Chu | 2013-10-24 / 20130279199 - LIGHT SOURCE MODULE | 1 |
Jiwon Kang | KR | Gyeonggi-Do | 2013-10-24 / 20130279362 - Method and Apparatus for Selecting a Node in a Distributed Multi-Node System | 3 |
Shun-Fa Kang | TW | New Taipei City | 2013-10-24 / 20130280553 - WORKPIECE HAVING GLOSSY COATING LAYER | 1 |
In-Seok Kang | KR | Daejeon | 2013-11-28 / 20130318139 - RANDOM NUMBER GENERATION METHOD AND APPARATUS USING LOW-POWER MICROPROCESSOR | 2 |
Hyun Gu Kang | KR | Yongin-Si | 2013-01-31 / 20130026935 - LED LUMINESCENCE APPARATUS | 3 |
Seung Hyun Kang | KR | Anyang-Si Gyeonggi-Do | 2013-10-31 / 20130286918 - METHOD AND DEVICE FOR TRANSMITTING DOWNLINK CONTROL SIGNAL IN WIRELESS COMMUNICATION SYSTEM | 1 |
Min Soo Kang | KR | Yuseong-Gu | 2013-10-31 / 20130287936 - SUBSTRATE FOR AN ORGANIC ELECTRONIC ELEMENT AND A PRODUCTION METHOD THEREFOR | 1 |
Jin-Goo Kang | KR | Yongin-Si | 2014-03-13 / 20140070175 - ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF FABRICATING THE SAME | 2 |
Sangwoo Kang | KR | Yongin-Si | 2013-11-07 / 20130292747 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Nam Su Kang | KR | Seoul | 2016-04-14 / 20160104868 - ORGANIC LIGHT EMITTING DIODE DISPLAY | 3 |
Min Jung Kang | KR | Daejeon | 2013-11-07 / 20130295577 - METHOD AND KIT FOR THE QUANTIFICATION OF NUCLEIC ACIDS | 1 |
Jung Won Kang | KR | Daejeon-Si | 2014-07-03 / 20140185673 - METHOD AND APPARATUS FOR IMAGE ENCODING/DECODING | 7 |
Chang-Seok Kang | KR | Seongnam-Si | 2015-12-24 / 20150372004 - VERTICAL MEMORY DEVICES AND METHODS OF MANUFACTURING THE SAME | 12 |
Minhun Kang | KR | Seoul | 2015-08-13 / 20150229837 - MOBILE TERMINAL AND METHOD THEREOF | 7 |
Yoon Hee Kang | KR | Daejeon | 2011-12-15 / 20110306513 - NOVEL BIOMARKER FOR LIVER CANCER AND APPLICATIONS FOR SAME | 1 |
Changheon Kang | KR | Paju-Si | 2016-01-07 / 20160005384 - ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE | 3 |
Moon-Soon Kang | KR | Seongnam-Si | 2013-12-19 / 20130335201 - FUNCTION EXECUTION BASED ON TAG INFORMATION | 9 |
Min-Sig Kang | KR | Seongnam-Si | 2013-03-21 / 20130068584 - CONTROL SYSTEM FOR ROTATING SHAFT | 1 |
Jee-Yeon Kang | KR | Seongnam-Si | 2013-10-03 / 20130258771 - METHOD OF PROGRAMMING A NONVOLATILE MEMORY DEVICE | 2 |
Hee-Cheol Kang | KR | Yongin-Si | 2016-03-17 / 20160079534 - DEPOSITION SOURCE AND ORGANIC LAYER DEPOSITION APPARATUS INCLUDING THE SAME | 3 |
Eun-Chul Kang | KR | Daejeon | 2014-05-08 / 20140123644 - HEAT-ELECTRICITY COMBINED PRODUCTION SYSTEM THAT UTILIZES SOLAR ENERGY AND GEOTHERMAL HEAT | 2 |
Jinman Kang | US | San Diego | 2014-06-19 / 20140168367 - CALIBRATING VISUAL SENSORS USING HOMOGRAPHY OPERATORS | 3 |
Kun Hyung Kang | KR | Gunpo-Si | 2013-11-14 / 20130301904 - VISUAL INSPECTION APPARATUS | 1 |
Jingwu Kang | CN | Shanghai | 2013-11-21 / 20130309655 - Capillary Electrophoresis Method for Fine Structural Analysis of Enoxaparin Sodium | 1 |
Dong-Seok Kang | KR | Seoul | 2015-02-05 / 20150036421 - CURRENT SENSE AMPLIFYING CIRCUIT IN SEMICONDUCTOR MEMORY DEVICE | 7 |
Seong-Man Kang | KR | Hwaseong | 2013-12-05 / 20130321105 - STRIPLINE CONNECTION APPARATUS | 1 |
Sungmin Kang | JP | Meguro-Ku | 2013-12-05 / 20130324684 - DIACETYLENE DERIVATIVE AND LIQUID CRYSTALLINE POLYMER HAVING DIACETYLENE STRUCTURE | 1 |
Sang-Kyu Kang | KR | Anyang-Si | 2016-05-12 / 20160133314 - SEMICONDUCTOR MEMORY DEVICES, MEMORY SYSTEMS INCLUDING THE SAME, AND METHODS OF OPERATING MEMORY SYSTEMS | 2 |
In-Yup Kang | US | San Diego | 2014-10-09 / 20140300390 - METHOD FOR SYNTHESIZING FREQUENCY AND ELECTRONIC DEVICE THEREOF | 5 |
Youn Bong Kang | KR | Incheon | 2013-12-12 / 20130331483 - ORGANIC-INORGANIC HYBRID PAINT COMPOSITION | 1 |
Jaekoo Kang | KR | Changwon-Si | 2013-12-19 / 20130334950 - REFRIGERATOR AND RAIL ASSEMBLY FOR THE SAME | 1 |
Hyungsuk Kang | KR | Changwon-Si | 2013-12-19 / 20130333153 - ROBOT CLEANER AND METHOD FOR CONTROLLING A ROBOT CLEANER | 1 |
Gyumyeong Kang | KR | Changwon-Si | 2013-12-19 / 20130334950 - REFRIGERATOR AND RAIL ASSEMBLY FOR THE SAME | 1 |
Tae In Kang | KR | Incheon | 2014-12-18 / 20140366366 - METHOD FOR MANUFACTURING BATTERY TERMINAL PLATE | 2 |
Qi Kang | CN | Leshan | 2013-12-19 / 20130333237 - CONTINUOUS MICROWAVE FREEZE-DRYING DEVICE | 1 |
Ki Doo Kang | KR | Daejon | 2013-12-19 / 20130333942 - PROTECTION PART FOR THE ELECTRONIC CIRCUIT OF A SURVEY METER, AND HIGH RANGE GAMMA-RAY SURVEY METER HAVING SAME INSERTED THEREIN | 1 |
Young Hun Kang | KR | Busan | 2015-10-01 / 20150275017 - INDIUM-ZINC-OXIDE SEMICONDUCTOR INK COMPOSITION IN WHICH A SPONTANEOUS COMBUSTION REACTION OCCURS, AND INORGANIC SEMICONDUCTOR THIN FILM PRODUCED THEREBY | 2 |
Won Ki Kang | KR | Seoul | 2013-12-19 / 20130337449 - MARKER FOR PREDICTING GASTRIC CANCER PROGNOSIS AND METHOD FOR PREDICTING GASTRIC CANCER PROGNOSIS USING THE SAME | 1 |
Soon Seok Kang | KR | Gyeonggi-Do | 2015-06-25 / 20150181122 - APPARATUS AND METHOD FOR CONTROLLING ACTUATOR IN OPTICAL IMAGE STABILIZER AND OPTICAL IMAGE STABILIZER USING THE SAME | 5 |
Min Sun Kang | KR | Yeosu-Si | 2014-01-02 / 20140004577 - MICROORGANISMS FOR PRODUCING PUTRESCINE AND METHOD FOR PRODUCING PUTRESCINE USING SAME | 2 |
Sungsik Kang | KR | Changwon-Si | 2013-12-26 / 20130340461 - REFRIGERATOR WITH ICE CONTAINER | 1 |
Hyun Wook Kang | KR | Daejeon | 2013-12-26 / 20130341532 - CURING SYSTEM AND METHOD FOR MANUFACTURING METHOD THEREOF SAME | 2 |
Eun-Sil Kang | KR | Seoul | 2015-02-19 / 20150051413 - NOVEL METHOD FOR PREPARING 5-ACETOXYMETHYLFURFURAL USING ALKYLAMMONIUM ACETATE | 2 |
Min Uk Kang | KR | Seoul | 2010-03-11 / 20100060742 - System for Providing Word-Information | 2 |
Da Yeon Kang | KR | Seoul | 2012-07-26 / 20120190130 - CARTRIDGE FOR DETECTING TARGET ANTIGEN AND METHOD FOR DETECTING TARGET ANTIGEN USING THE SAME | 2 |
Jincan Kang | CN | Yantai | 2014-01-16 / 20140018232 - A HIGH-SELECTIVITY CATALYST FOR PRODUCTION OF HIGH-QUALITY GASOLINE FRACTIONS FROM SYNGAS AND ITS PREPARATION METHOD | 1 |
Huaixia Kang | CN | Shanghai | 2014-01-16 / 20140018303 - 3-Amino-2-Hydroxy-4-Phenylbutanoyl-Valyl-Isoleucine, Preparation and Use Thereof | 1 |
Ju Hui Kang | KR | Gyeongsangnam-Do | 2015-06-04 / 20150152039 - NORBORNENE-ESTER-BASED DERIVATIVE, METHOD FOR PREPARING SAME, AND USES THEREOF | 2 |
Yongfeng Kang | US | Katy | 2014-02-06 / 20140034390 - SYSTEM AND METHOD FOR SIMULATION OF DOWNHOLE CONDITIONS IN A WELL SYSTEM | 2 |
Yu Kyoung Kang | KR | Seoul | 2014-01-23 / 20140025481 - BENEFIT PROMOTION ADVERTISING IN AN AUGMENTED REALITY ENVIRONMENT | 2 |
Seok Tae Kang | KR | Seoul | 2014-01-23 / 20140025481 - BENEFIT PROMOTION ADVERTISING IN AN AUGMENTED REALITY ENVIRONMENT | 2 |
Hyun-Suk Kang | KR | Daejon | 2014-01-23 / 20140020508 - Method for Manufacturing Metal Nanoparticles Having a Core-Shell Structure with Good Oxidation Stability | 1 |
Sishun Kang | CN | Nanjing | 2015-07-09 / 20150191460 - N-(3-heteroarylaryl)-4-arylarylcarboxamides and Analogs as Hedgehog Pathway Inhibitors and Use Thereof | 2 |
Myoung Soo Kang | KR | Seoul | 2016-03-10 / 20160068001 - APPARATUS FOR POST-PROCESSING SHEETS | 3 |
Hye-Ok Kang | KR | Daejeon | 2015-01-29 / 20150031098 - MUTANT OF PROPIONYL-COA TRANSFERASE FROM CLOSTRIDIUM PROPIONICUM AND PREPARING METHOD FOR PLA OR PLA COPOLYMER USING THE SAME | 10 |
Kyung In Kang | KR | Suwon | 2014-01-30 / 20140027160 - PRINTED CIRCUIT BOARD AND FABRICATING METHOD THEREOF | 1 |
Moon Kyu Kang | KR | Namyangju-Si | 2014-01-30 / 20140030368 - COMPOSITION FOR PREVENTING OR TREATING A RESPIRATORY DISEASE CONTAINING A MIXED HERBAL EXTRACT OF CNIDIUM OFFICINALE ROOT AND POLYGONI CUSPIDATI ROOT | 1 |
Suk-Ho Kang | KR | Yongin-City | 2014-05-01 / 20140120796 - APPARATUS FOR MANUFACTURING DEPOSITION MASK ASSEMBLY FOR FLAT PANEL DISPLAY | 2 |
Ji-Hyun Kang | KR | Yongin-City | 2015-10-15 / 20150290960 - DONOR SUBSTRATES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES USING DONOR SUBSTRATES | 2 |
Yang-Beom Kang | KR | Cheongju-Si | 2014-02-06 / 20140035146 - METAL WIRING OF SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hoonjong Kang | KR | Goyang-Si | 2014-02-06 / 20140036329 - APPARATUS AND METHODS FOR HOLOGRAPHIC DISPLAY | 1 |
Suk Bong Kang | KR | Gyeongsangnam-Do | 2014-02-06 / 20140037494 - METHOD OF PREPARING ALUMINUM MATRIX COMPOSITES AND ALUMINUM MATRIX COMPOSITES PREPARED BY USING THE SAME | 1 |
Jichuan Kang | CN | Guizhou | 2014-02-06 / 20140039202 - METHOD FOR SEPARATING AND PURIFYING GINKGOLIDE C FROM ROOT BARK OF GINKGO | 1 |
Won Mo Kang | KR | Chungbuk | 2014-02-13 / 20140046667 - SYSTEM FOR CREATING MUSICAL CONTENT USING A CLIENT TERMINAL | 1 |
Jung Wan Kang | KR | Seoul | 2011-05-19 / 20110117958 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 1 |
Gi-Wook Kang | KR | Uiwang-Si | 2015-04-16 / 20150102301 - ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE | 3 |
Byoung-Ii Kang | KR | Daejeon-Si | 2014-02-13 / 20140046016 - RESIN COMPOSITION FOR OPTICAL FILM AND OPTICAL FILM USING THE SAME | 1 |
Kevin H. Kang | US | Ithaca | 2014-02-20 / 20140052285 - METHOD FOR SPECIFYING AND FABRICATING AN OBJECT, ASSOCIATED APPARATUS, AND APPLICATIONS | 1 |
Bokmoon Kang | US | San Jose | 2014-03-06 / 20140068241 - MEMORY DEVICE, MEMORY SYSTEM INCLUDING THE SAME, AND METHOD FOR OPERATING THE MEMORY SYSTEM | 2 |
Sunggu Kang | US | San Jose | 2016-04-28 / 20160117009 - OPAQUE THIN FILM PASSIVATION | 27 |
Kisun Kang | CN | Shenzhen | 2014-11-13 / 20140333876 - Color Filter Substrate And Indium-Tin-Oxide Film Pattern Structure And Manufacturing Method Thereof, And Liquid Crystal Display | 2 |
Myung Ho Kang | KR | Seocho-Gu | 2014-03-06 / 20140065365 - METHOD FOR REFORMING A SURFACE OF A PLASTIC INJECTION-MOLDED ARTICLE AND AN INJECTION-MOLDED ARTICLE PRODUCED BY THE METHOD | 1 |
Dong Hoon Kang | KR | Yangsan-Si | 2014-05-29 / 20140148265 - FEEDBACK APPARATUS AND METHOD FOR IMPROVING WEIGHT SHIFT | 3 |
Taek-Kyun Kang | KR | Daejeon | 2008-12-11 / 20080305168 - In-Situ Melting and Gelling Tablet Composition For Oral Care | 1 |
Chulho Kang | US | Pleasant Hill | 2014-03-13 / 20140069910 - Heating pad for agar or agarose gel | 1 |
Joong Kyoo Kang | KR | Gyeongsangnam-Do | 2014-03-13 / 20140069931 - LIQUEFIED NATURAL GAS STORAGE CONTAINER AND METHOD FOR MANUFACTURING THE SAME | 1 |
Xianbin Kang | CN | Zhengzhou | 2014-03-27 / 20140085441 - LARGE SCALE POLARIZING 3D ELECTRONIC DISPLAY SYSTEM AND MANUFACTURING APPROACH | 2 |
Young-Gyu Kang | KR | Yongin-Si | 2015-12-31 / 20150376200 - METHOD FOR PREPARING COUMESTROL OR COUMESTRIN | 11 |
Tae-Woo Kang | KR | Suwon-Si | 2016-03-31 / 20160091425 - OUTDOOR UNIT OF AIR CONDITIONER AND METHOD OF CONTROLLING THE SAME | 3 |
Sunghee Kang | KR | Seongnam-Si | 2015-11-19 / 20150332967 - SEMICONDUCTOR DEVICES AND METHODS OF FABRICATING THE SAME | 3 |
De Ik Kang | KR | Goyang-Si | 2014-03-27 / 20140087057 - DRIED SILKEN TOFU | 1 |
Sae Kyoung Kang | KR | Daejeon | 2015-07-30 / 20150214387 - PHOTODETECTOR | 10 |
Xiaozhu Kang | US | Fremont | 2016-04-21 / 20160110554 - TECHNOLOGIES FOR SECURE INPUT AND DISPLAY OF VIRTUAL TOUCH USER INTERFACES | 15 |
Chihtsung Kang | CN | Shenzhen City | 2014-04-10 / 20140098329 - VA Display Mode Compensation Architecture and VA Display Mode Liquid Crystal Display Device | 2 |
Lifeng Kang | SG | Singapore | 2015-03-19 / 20150080802 - Novel Method To Fabricate Polymeric Microneedles | 2 |
Dal Hoon Kang | KR | Incheon | 2014-04-17 / 20140103230 - RADIOACTIVE RAY SHIELD OR ABSORPTION SHEET WITH FLEXIBILITY AND RESTORABILITY, CLOTHES MADE OF THE SAME, AND MANUFACTURING METHOD THEREOF | 1 |
Renke Kang | CN | Liaoning | 2014-04-17 / 20140106647 - MULTIFUNCTIONAL SUBSTRATE POLISHING AND BURNISHING DEVICE AND POLISHING AND BURNISHING METHOD THEREOF | 1 |
Dong Gyun Kang | KR | Gangneung | 2012-08-09 / 20120202748 - RECOMBINANT MUSSEL ADHESIVE PROTEIN FP-131 | 1 |
Dong Gyun Kang | KR | Gangneung-Si | 2014-04-24 / 20140113339 - METHOD FOR CONVERTING AND PRODUCING CARBONATE MINERALS FROM CARBON DIOXIDE USING RECOMBINANT BIOCATALYST | 1 |
Han Byul Kang | KR | Seoul | 2014-04-24 / 20140110743 - LIGHT EMITTING DEVICE | 1 |
Duk In Kang | KR | Ansan-Si | 2014-04-24 / 20140110745 - LIGHT EMITTING DIODE PACKAGE | 1 |
Dongseok Kang | KR | Hwasung-Si | 2014-04-24 / 20140112053 - Write driver in sense amplifier for resistive type memory | 1 |
Jiwon Kang | KR | Seongnam-Si | 2014-04-24 / 20140112177 - METHOD FOR RECEIVING REFERENCE SIGNAL AND USER EQUIPMENT, AND METHOD FOR TRANSMITTING REFERENCE SIGNAL AND BASE STATION | 1 |
Hyunjung Kang | KR | Jeonlabuk-Do | 2014-04-24 / 20140112933 - Antibodies Against Angiopoietins 1 and 2, and Their Use | 1 |
Kyoung Tai Kang | KR | Daejeon | 2014-05-01 / 20140116281 - FLYING OBJECT HAVING ONE BODY WINGS | 1 |
Myunghee Kang | KR | Hwaseong-Si | 2014-05-01 / 20140122820 - SYSTEM-ON-CHIP PROCESSING SECURE CONTENTS AND MOBILE DEVICE COMPRISING THE SAME | 1 |
Young Jae Kang | JP | Osaka | 2014-05-01 / 20140117138 - SEATBELT RETRACTOR | 1 |
Tae-Young Kang | KR | Uijeongbu-Si | 2015-02-26 / 20150058725 - MOBILE TERMINAL HAVING TOUCH SCREEN AND FUNCTION CONTROLLING METHOD OF THE SAME | 13 |
Dong-Oh Kang | KR | Daejeon | 2016-05-19 / 20160142874 - APPARATUS AND METHOD FOR RECOGNIZING NEARBY DEVICE USING DISTANCE AND INTIMACY | 13 |
Kyoung Hoon Kang | KR | Gyeonggi-Do | 2015-12-24 / 20150368113 - METHOD FOR CONTINUOUSLY PREPARING SILICON NANOPARTICLES, AND ANODE ACTIVE MATERIAL FOR LITHIUM SECONDARY BATTERY COMPRISING SAME | 2 |
Myung Sam Kang | KR | Hwaseong | 2016-05-19 / 20160143129 - CIRCUIT BOARD | 10 |
Sukchul Kang | KR | Changwon-City | 2013-04-18 / 20130091869 - INTEGRAL COMPRESSOR-EXPANDER | 1 |
Moon-Gi Kang | KR | Changwon-City | 2013-12-12 / 20130329101 - CAMERA SYSTEM WITH MULTI-SPECTRAL FILTER ARRAY AND IMAGE PROCESSING METHOD THEREOF | 1 |
Mi-Won Kang | KR | Changwon-City | 2013-03-14 / 20130063829 - FIXED FOCUS LENS SYSTEM | 2 |
Goo-Ho Kang | KR | Changwon-City | 2012-04-19 / 20120092447 - REMOTE MANAGEMENT SYSTEM, REMOTE MANAGEMENT METHOD, AND MONITORING SERVER | 1 |
Bong-Hyup Kang | KR | Changwon-City | 2011-04-28 / 20110096237 - APPARATUS AND METHOD FOR COLOR ROLLING SUPPRESSION | 3 |
Jae-Hyeon Kang | KR | Gyeonggi-Do | 2014-06-26 / 20140178044 - METHOD AND APPARATUS FOR PLAYING BACK A MOVING PICTURE | 2 |
Seung Youl Kang | KR | Deajeon | 2011-06-09 / 20110136296 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Jin Yeong Kang | KR | Daejeon | 2014-07-10 / 20140192600 - EEPROM CELL AND EEPROM DEVICE | 11 |
Rae Hoon Kang | KR | Seoul | 2013-10-31 / 20130285964 - MOBILE TERMINAL AND DATA EXTRACTING METHOD IN A MOBILE TERMINAL | 8 |
Xinliang Kang | CN | Jincheng City | 2014-01-09 / 20140011952 - RTV Anti-Contamination Flashover Coating Material and Production Process Thereof | 1 |
Joon Kang | KR | Seoul | 2013-07-11 / 20130176517 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Pil Joong Kang | KR | Suwon | 2014-03-20 / 20140078225 - INKJET PRINT HEAD | 10 |
Yong Hoon Kang | KR | Seoul | 2015-07-16 / 20150198751 - OPTICAL FILM AND DIGITAL PEN SYSTEM USING THE SAME | 10 |
Youngwook Kang | KR | Seoul | 2013-10-31 / 20130290551 - CONTROL APPARATUS, CONTROL TARGET APPARATUS, AND METHOD FOR OPERATING THE CONTROL, APPARATUS AND THE CONTROL TARGET APPARATUS IN MULTIPLE NETWORKS | 3 |
Hyun Seo Kang | KR | Gwangju-Si | 2015-12-24 / 20150372759 - BIDIRECTIONAL OPTICAL TRANSCEIVER MODULE AND METHOD OF ALIGNING THE SAME | 11 |
In Hye Kang | KR | Suwon-Si | 2014-01-16 / 20140017205 - BACTERIOPHAGE AND ANTIBACTERIAL COMPOSITION COMPRISING THE SAME | 8 |
Hee Chol Kang | US | Eugene | 2016-02-04 / 20160031821 - SDP-Containing Heterobifunctional Agents | 16 |
Dong Min Kang | KR | Daejeon | 2015-12-31 / 20150380482 - SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF | 21 |
Yun Hwan Kang | KR | Seoul | 2013-11-21 / 20130310111 - MOBILE TERMINAL AND METHOD OF CONTROLLING OPERATION OF THE SAME | 2 |
Min Ah Kang | KR | Daejeon | 2015-09-17 / 20150263350 - BINDER HAVING SUPERIOR ADHESIVE STRENGTH FOR SECONDARY BATTERIES | 3 |
Chul Hee Kang | KR | Seoul | 2014-01-02 / 20140003412 - APPARATUS AND METHOD FOR ESTABLISHING CONTENTION WINDOW IN WIMEDIA WIRELESS NETWORK | 3 |
In-Tae Kang | KR | Seongnam-Si | 2013-06-20 / 20130159369 - APPARATUS AND METHOD FOR PERFORMING DISCRETE FOURIER TRANSFORM | 8 |
Won Cheal Kang | GB | Headington | 2014-09-18 / 20140261002 - COFFEE PRODUCTS AND RELATED PROCESSES | 2 |
Norbert Kang | GB | Middlesex | 2010-04-01 / 20100082103 - TENDON-INTEGRATED PROSTHESIS | 1 |
Norbert Kang | GB | Hemel Hempstead | 2010-12-23 / 20100324675 - SURGICAL SCAFFOLD | 2 |
Kee Seng Kang | GB | West Sussex | 2008-11-27 / 20080291006 - Wireless Sensors | 1 |
Justin Kang | GB | London | 2013-10-03 / 20130259467 - COMMUNICATIONS NETWORK | 1 |
Jagjeet Kaur Kang | GB | Surrey | 2015-02-12 / 20150045415 - Oligomers | 2 |
Angray Singh Kang | GB | Leyton | 2015-04-23 / 20150110720 - FLUORESCENT FUSION POLYPEPTIDES AND METHODS OF USE | 2 |
Do Hwan Kang | KR | Seoul | 2010-12-16 / 20100318422 - METHOD FOR RECOMMENDING INFORMATION OF GOODS AND SYSTEM FOR EXECUTING THE METHOD | 1 |
Changseok Kang | KR | Seongnam-City | 2014-09-18 / 20140269103 - NONVOLATILE MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Seog Jin Kang | KR | Chungcheognam-Do | 2009-03-05 / 20090056697 - Direct-heating type carcass processing apparatus using vacuum | 1 |
Tai Kyu Kang | KR | Icheon-Si | 2013-05-02 / 20130107647 - SEMICONDUCTOR DEVICE AND METHOD OF OPERATING THE SAME | 1 |
Tai Kyu Kang | KR | Seoul | 2010-11-18 / 20100290288 - NONVOLATILE MEMORY DEVICE AND METHOD OF TESTING THE SAME | 2 |
Dae Gwan Kang | KR | Seoul | 2010-02-25 / 20100046131 - ELECTROSTATIC DISCHARGE PROTECTION CIRCUIT AND ELECTROSTATIC DISCHARGE PROTECTION METHOD OF A SEMICONDUCTOR MEMORY DEVICE | 1 |
Ki Pyo Kang | KR | Seoul | 2010-08-05 / 20100192965 - Expandable Wig | 1 |
Hee-Kyoung Kang | KR | Jeju-Si | 2015-07-02 / 20150182487 - COMPOSITION FOR PREVENTING AND TREATING INFLAMMATORY DISEASES AND IMMUNE DISEASES, CONTAINING APO-9`-FUCOXANTHINONE AS ACTIVE INGREDIENT | 2 |
Yong-Jin Kang | KR | Suwon-Si | 2016-03-17 / 20160081135 - DISPLAY APPARATUS AND CONTROL METHOD OF THE SAME | 13 |
Hyun Chul Kang | KR | Daejeon | 2016-01-28 / 20160029457 - ZIGBEE LIGHTING CONTROL APPARATUS AND METHOD | 28 |
Hyun Kang | KR | Daejeon | 2014-03-13 / 20140070052 - SMART HELIPAD FOR SUPPORTING LANDING OF VERTICAL TAKEOFF AND LANDING AIRCRAFT, SYSTEM INCLUDING THE SMART HELIPAD, AND METHOD OF PROVIDING THE SMART HELIPAD | 8 |
Taeuk Kang | KR | Gyeonggi-Do | 2013-09-19 / 20130241976 - Direct Type Liquid Crystal Display Device and Method of Driving Thereof | 3 |
Taeuk Kang | KR | Seoul | 2010-05-20 / 20100123852 - BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY USING THE SAME | 1 |
Sung-Gyun Kang | KR | Kyunggido | 2010-05-13 / 20100120102 - ENANTIOSELECTIVE EPOXIDE HYDLROLASE AND METHOD FOR PREPARING AND ENANTIOPURE EPOXIDE USING THE SAME | 1 |
Kyung Wan Kang | KR | Jeonju-City | 2010-05-06 / 20100108038 - Fuel Feeding Control Device of Compressed Natural Gas Engine | 1 |
Kyung Wan Kang | KR | Seoul | 2013-01-03 / 20130003582 - NETWORK SPLITTING DEVICE, SYSTEM AND METHOD USING VIRTUAL ENVIRONMENTS | 4 |
Joon-Koo Kang | KR | Daejeon | 2016-02-11 / 20160040016 - COATING COMPOSITION | 30 |
Dong Kyun Kang | KR | Seoul | 2010-03-18 / 20100070351 - ELECTRONIC ADVERTISEMENT METHOD AND SYSTEM USING ADVERTISEMENT INTERMEDIATION SITE | 1 |
Doo Won Kang | KR | Ulsan | 2010-03-11 / 20100060406 - SMALL-SIZED SURFACE-MOUNTED FUSE AND METHOD OF MANUFACTURING THE SAME | 1 |
Ho-Woong Kang | KR | Yongin-Si | 2015-08-27 / 20150242072 - DISPLAY SYSTEM INCLUDING A DISPLAY APPARATUS AND AN UPGRADING APPARATUS, AND CONTROL METHOD | 15 |
Myung-Sung Kang | KR | Seoul | 2010-02-25 / 20100047969 - BACKGRINDING-UNDERFILL FILM, METHOD OF FORMING THE SAME, SEMICONDUCTOR PACKAGE USING THE BACKGRINDING-UNDERFILL FILM, AND METHOD OF FORMING THE SEMICONDUCTOR PACKAGE | 1 |
Se Hun Kang | KR | Gyeonggi-Do | 2015-11-19 / 20150328345 - NOVEL LABELING COMPOSITION FOR CANCER LESION | 4 |
Ji Yoon Kang | KR | Seoul | 2015-09-24 / 20150265832 - METHOD OF MANUFACTURING A NERVE ELECTRODE PROVIDED WITH ANTI-INFLAMMATORY DRUG | 9 |
Kyung Dong Kang | KR | Seoul | 2012-05-03 / 20120110543 - Application Store System for Supporting Development of Application Interoperated with Unified Device and Method for Managing Application Store | 1 |
Kiseok Kang | KR | Suwon-Si | 2013-07-25 / 20130191525 - METHOD AND APPARATUS FOR CONTROLLING HTTP SOCKETS | 1 |
Dong Ku Kang | KR | Seongnam-Si | 2015-03-05 / 20150063030 - METHOD OF TESTING NON-VOLATILE MEMORY DEVICE AND METHOD OF MANAGING NON-VOLATILE MEMORY DEVICE | 10 |
Dae Gyu Kang | KR | Yongin-Si | 2013-07-04 / 20130167596 - WASHING MACHINE | 1 |
Seungmo Kang | KR | Hwaseong-Si | 2014-01-16 / 20140015137 - SEMICONDUCTOR DEVICES AND THE METHOD OF MANUFACTURING THE SAME | 1 |
Byung Su Kang | KR | Daejeon | 2015-08-13 / 20150230258 - TERMINAL FOR D2D COMMUNICATION AND REJECTING INTERFERENCE METHOD THEREOF | 8 |
Tae Yoon Kang | KR | Busan-Si | 2009-06-04 / 20090140973 - Liquid crystal display device and method for driving the same | 1 |
Nam-Goo Kang | KR | Gwangju-City | 2014-05-22 / 20140142250 - SUPRAMOLECULAR STRUCTURE HAVING SUB-NANO SCALE ORDERING | 3 |
Jeong A Kang | KR | Gyeonggi-Do | 2014-03-27 / 20140088984 - MEDICAL IMAGING APPARATUS AND CONTROL METHOD THEREOF | 2 |
Tae-Gun Kang | KR | Daejeon | 2014-08-28 / 20140244803 - INTEGRATED APP STORE APPARATUS, A METHOD OF PROVIDING AN APPLICATION IN THE APPARATUS, AND AN INTEGRATED APP STORE SYSTEM | 3 |
Jaewoong Kang | KR | Jeonju-Si | 2015-10-01 / 20150279305 - DISPLAY DEVICE | 2 |
Sang Won Kang | US | San Jose | 2014-12-18 / 20140367696 - FORMATION OF GROUP III-V MATERIAL LAYERS ON PATTERNED SUBSTRATES | 9 |
Seung Mo Kang | US | Palo Alto | 2013-07-04 / 20130170071 - DETECTING TOUCH DOWN AND/OR ASSESSING QUALITY IN A HARD DISK DRIVE | 1 |
Dae Lyun Kang | KR | Suwon | 2013-09-12 / 20130239131 - MOTOR HAVING A DISK SUPPORT MEMBER AND A DISK DRIVE DEVICE HAVING THE SAME | 8 |
Ju Sung Kang | KR | Seoul | 2011-07-07 / 20110167270 - SECURE KEY AUTHENTICATION METHOD FOR COMMUNICATION NETWORK | 1 |
Il Yeong Kang | KR | Seoul | 2014-11-20 / 20140340932 - LED LIGHTING DEVICE WHICH HAS STABLE STRUCTURE AND IS EASILY ASSEMBLED AND DISASSEMBLED | 12 |
Sung Ku Kang | KR | Geumjeong-Gu | 2012-05-10 / 20120110881 - DISPLAY DEVICE | 1 |
Sung Ku Kang | KR | Seoul | 2015-07-23 / 20150204508 - LENS AND LIGHTING APPARATUS INCLUDING THE SAME | 6 |
Dae Jong Kang | KR | Suwon-Si | 2013-07-04 / 20130169734 - DISPLAY APPARATUS | 1 |
Tae-Uk Kang | KR | Paju-Si | 2011-11-17 / 20110279486 - BACKLIGHT UNIT, LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME, AND METHOD FOR DRIVING BACKLIGHT UNIT | 1 |
Pil Sung Kang | KR | Paju-Si | 2013-10-31 / 20130285998 - LIQUID CRYSTAL DISPLAY AND METHOD OF DRIVING THE SAME | 1 |
Hye-Seung Kang | KR | Paju-Si | 2013-06-20 / 20130153867 - ORGANIC LIGHT EMITTING DEVICE | 1 |
Hoonseok Kang | KR | Paju-Si | 2014-03-06 / 20140061508 - CURING APPARATUS | 1 |
Hasuk Kang | KR | Paju-Si | 2013-06-20 / 20130155506 - PATTERNED RETARDER TYPE 3D DISPLAY HAVING IRREGULAR PATTERN BLACK STRIPS | 1 |
Byung Koo Kang | KR | Paju-Si | 2016-02-04 / 20160034085 - LIQUID CRYSTAL DISPLAY DEVICE HAVING TOUCH AND THREE-DIMENSIONAL DISPLAY FUNCTIONS AND METHOD FOR MANUFACTURING THE SAME | 3 |
Byungkoo Kang | KR | Paju-Si | 2014-05-22 / 20140139481 - ELECTROSTATIC CAPACITIVE TYPE TOUCH SCREEN PANEL | 4 |
Hyun A. Kang | KR | Suwon-Si | 2016-03-10 / 20160070136 - PHOTOLUMINESCENT LIQUID CRYSTAL DISPLAY | 23 |
Jong Hwi Kang | KR | Gyeonggi-Do | 2013-10-03 / 20130260041 - APPARATUS FOR COATING SUBSTRATE AND METHOD FOR COATING SUBSTRATE | 1 |
Hoyong Kang | KR | Daejeon | 2015-02-05 / 20150036675 - METHOD AND APPARATUS FOR PROCESSING PACKET IN MULTI-CHANNEL WIRELESS NETWORK | 14 |
Sung Hyung Kang | KR | Seoul | 2014-10-02 / 20140293502 - DIELECTRIC CERAMIC COMPOSITION AND MULTI-LAYER CERAMIC CAPACITOR COMPRISING THE SAME | 5 |
Sung Hyung Kang | KR | Gyunggi-Do | 2014-04-10 / 20140098455 - DIELECTRIC COMPOSITION AND MULTILAYER CERAMIC ELECTRONIC COMPONENT MANUFACTURED USING THE SAME | 3 |
Sung Hyung Kang | KR | Suwon | 2015-04-09 / 20150098166 - DIELECTRIC COMPOSITION AND MULTILATER CERAMIC ELECTRONIC COMPONENT MANUFACTURED USING THE SAME | 7 |
Mansug Kang | KR | Suwon-Si | 2015-11-19 / 20150333059 - SEMICONDUCTOR DEVICES INCLUDING ISOLATION GATE LINES BETWEEN ACTIVE PATTERNS AND METHODS OF MANUFACTURING THE SAME | 11 |
Dong-Ki Kang | KR | Daejeon | 2013-09-19 / 20130247223 - APPARATUS AND METHOD FOR ENSURING PRIVACY IN CONTENTS SHARING SYSTEM | 3 |
Hyun Seo Kang | KR | Gwangju | 2016-05-05 / 20160120144 - LIVESTOCK HOUSE MANAGEMENT SYSTEM AND MANAGEMENT METHOD THEREOF | 16 |
Ju-Seung Kang | KR | Seoul | 2012-06-07 / 20120139115 - Integrated Circuit Device | 1 |
Dalmo Kang | KR | Daejeon | 2014-10-23 / 20140315057 - BATTERY PACK OF COMPACT STRUCTURE | 24 |
Kyung-A Kang | KR | Seoul | 2015-12-31 / 20150378503 - PORTABLE DEVICE COMPRISING A TOUCH-SCREEN DISPLAY, AND METHOD FOR CONTROLLING SAME | 27 |
Heung-Mo Kang | KR | Seocho-Gu | 2013-10-03 / 20130261286 - PROCESS FOR PREPARING CASPOFUNGIN AND NOVEL INTERMEDIATES THEREOF | 1 |
Xiaodi Kang | US | Dublin | 2012-10-18 / 20120265402 - VEHICLE SYSTEMS CONTROL FOR IMPROVING STABILITY | 1 |
Moon-Soon Kang | KR | Seongnam-Si | 2013-12-19 / 20130335201 - FUNCTION EXECUTION BASED ON TAG INFORMATION | 9 |
You-Jin Kang | KR | Seoul | 2013-12-05 / 20130326092 - PROCESSING INPUT EVENT OF EXTERNAL DEVICE | 11 |
Jin S. Kang | US | Philadelphia | 2013-01-03 / 20130001365 - ORBITAL DEBRIS MITIGATION USING HIGH DENSITY PLASMA | 1 |
U Kang | US | Pittsburgh | 2014-01-23 / 20140025689 - DETERMINING A SIMILARITY BETWEEN GRAPHS | 4 |
Liang-I Kang | US | Pittsburgh | 2013-08-01 / 20130197893 - METHODS FOR MODELING HEPATIC INFLAMMATION | 1 |
Fu-An Kang | US | Collegeville | 2014-01-23 / 20140024646 - OCTAHYDRO-CYCLOPENTAPYRROLYL ANTAGONISTS OF CCR2 | 2 |
Seung H. Kang | US | Sinking Springs | 2013-03-07 / 20130056868 - ROUTING UNDER BOND PAD FOR THE REPLACEMENT OF AN INTERCONNECT LAYER | 1 |
Dongguk Kang | KR | Seoul | 2015-07-16 / 20150198982 - PORTABLE KEYBOARD | 9 |
Weizhi Kang | MY | Tanah Merah | 2013-04-11 / 20130087834 - GATE ARRAY ARCHITECTURE WITH MULTIPLE PROGRAMMABLE REGIONS | 1 |
Weizhi Kang | MY | Kelantan | 2015-02-19 / 20150048425 - Gate array architecture with multiple programmable regions | 2 |
Byung Ha Kang | KR | Gyeonggi-Do | 2013-04-11 / 20130089632 - Cosmetic Composition for Inhibiting Hair Loss and Enhanced Hair Growth | 1 |
Dongsuk Kang | KR | Gyeonggi-Do | 2013-08-01 / 20130195050 - CASCADED BASE STATION APPARATUS AND OPERATION METHOD THEREOF | 1 |
Na-Hyup Kang | KR | Seoul | 2015-02-12 / 20150045662 - METHOD AND APPARATUS OF DIAGNOSING CARDIAC DISEASES BASED ON MODELING OF CARDIAC MOTION | 10 |
Sur Ku Kang | KR | Gwangju | 2013-08-15 / 20130206685 - METHOD FOR FIXING CARBON DIOXIDE AND COMPOSITION THEREFOR | 1 |
Sunhye Kang | KR | Gwangju | 2014-04-24 / 20140111742 - WIRE MESH TYPE DIFFUSER PLATE AND METHOD OF FABRICATING THE SAME, AND LIQUID CRYSTAL DISPLAY DEVICE HAVING THE WIRE MESH TYPE DIFFUSER PLATE | 2 |
Seong Hee Kang | KR | Gwangju | 2013-06-13 / 20130149409 - INJECTION MOLD | 1 |
Sang Hyuk Kang | KR | Gwangju | 2013-10-10 / 20130264930 - SEMI-AUTO CLOSING APPARATUS AND REFRIGERATOR HAVING THE SAME | 1 |
Jang Won Kang | KR | Gwangju | 2014-12-11 / 20140361288 - ZnO FILM STRUCTURE AND METHOD OF FORMING THE SAME | 2 |
Il Kang | KR | Gwangju | 2015-05-21 / 20150136302 - VALVE IMPACT TYPE DISPENSING PUMP | 4 |
Hyun Duk Kang | KR | Gwangju | 2016-05-12 / 20160135117 - METHOD FOR COMMUNICATING MESSAGE BETWEEN ENTITIES IN COEXISTENCE MANAGEMENT SYSTEM | 15 |
Chul Kang | KR | Gwangju | 2014-01-02 / 20140001379 - TERAHERTZ WAVE MODULATOR BASED ON HOLE-INJECTION AND -TRANSFER | 1 |
Beom-Goo Kang | KR | Gwangju | 2014-01-09 / 20140008622 - HOLE TRANSPORT POLYMERIC COMPOUND AND POLYMER LIGHT EMITTING DIODE USING THE SAME | 1 |
Young Suk Kang | KR | Gyeonggi-Do | 2014-03-06 / 20140063618 - OPTICAL SYSTEM | 1 |
Sung Hee Kang | KR | Suwon-Si | 2015-03-05 / 20150061749 - GATE DRIVER | 2 |
Hyung Kyu Kang | KR | Seogwipo-Si | 2014-01-02 / 20140006586 - METHOD FOR SUPPORTING THE MOBILITY OF A DEVICE IN A 6LOWPAN-BASED WIRELESS SENSOR NETWORK | 1 |
Sujin Kang | KR | Seoul | 2014-01-02 / 20140007076 - SEPARATE UPGRADE/MODIFICATION OF REMOTE SOFTWARE IN MACHINE TO MACHINE COMMUNICATION | 1 |
Seung Hoon Kang | KR | Seoul | 2013-08-08 / 20130204040 - AN APPARATUS FOR CONTINUOUS SEPARATION OF VALINE AND A METHOD FOR CONTINUOUS SEPARATION OF VALINE USING THE SAME | 1 |
Ki Ho Kang | KR | Daejeon | 2015-05-07 / 20150122382 - NON-PNEUMATIC TIRE FOR VEHICLE | 3 |
Wun-Ci Kang | TW | Hsinchu | 2014-01-02 / 20140002771 - LIGHT SOURCE ASSEMBLY, BACKLIGHT MODULE AND LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Jae-Wan Kang | KR | Seoul | 2014-01-02 / 20140002850 - MULTIFUNCTION PERIPHERAL (MFP) AND A METHOD FOR RESTRICTING USE THEREOF | 1 |
Ming Kang | US | Lincoln | 2013-09-12 / 20130236934 - RECOMBINANT BACTERIUM FOR L-HOMOSERINE PRODUCTION | 1 |
Young Gu Kang | KR | Busan | 2014-05-01 / 20140116884 - SYSTEM AND METHOD FOR CONTROLLING ELECTROPLATING | 1 |
Kyong-Hwa Kang | KR | Busan | 2014-02-27 / 20140057884 - PHARMACEUTICAL COMPOSITION FOR PREVENTING OR TREATING LIVER CANCER COMPRISING STIGMASTEROL AND 5 BETA-HYDROXYSITOSTANOL ISOLATED FROM NAVICULA INCERTA | 1 |
Seok Min Kang | KR | Seoul | 2015-10-29 / 20150311290 - EPITAXIAL WAFER AND SWITCH ELEMENT AND LIGHT-EMITTING ELEMENT USING SAME | 14 |
Sung-Jin Kang | KR | Yongin-City | 2014-05-01 / 20140118228 - ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF GENERATING GRAY SCALE VOLTAGE IN THE ORGANIC LIGHT EMITTING DISPLAY DEVICE | 1 |
Il-Oh Kang | KR | Yongin-City | 2014-03-06 / 20140065448 - BATTERY PACK | 1 |
Hee-Cheul Kang | KR | Yongin-City | 2013-08-22 / 20130217164 - ORGANIC LAYER DEPOSITION APPARATUS, AND METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUS USING THE SAME | 1 |
Taegu Kang | KR | Pyeongtaek-Si | 2014-05-01 / 20140118417 - BACKLIGHT UNIT AND DISPLAY DEVICE | 1 |
Young-Sik Kang | KR | Suwon | 2014-05-01 / 20140118881 - CAPACITOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Sang Sun Kang | KR | Suwon | 2014-03-27 / 20140084724 - HYDRODYNAMIC BEARING ASSEMBLY AND SPINDLE MOTOR INCLUDING THE SAME | 2 |
Myung Sam Kang | KR | Suwon | 2015-12-03 / 20150348918 - PACKAGE SUBSTRATE, PACKAGE, PACKAGE ON PACKAGE AND MANUFACTURING METHOD OF PACKAGE SUBSTRATE | 4 |
Kwan-Ho Kang | KR | Suwon | 2013-05-23 / 20130129363 - IMAGE FORMING APPARATUS HAVING INTEGRATED SENSING UNIT | 1 |
Jung Eun Kang | KR | Suwon | 2014-03-27 / 20140084447 - POWER MODULE PACKAGE | 1 |
Hyun Wuk Kang | KR | Suwon | 2013-05-09 / 20130112518 - DAMPER FOR DECREASING A PIPEVIBRATION | 1 |
Hoon Taek Kang | KR | Suwon | 2013-12-19 / 20130333843 - APPARATUS FOR MANUFACTURING CAMERA MODULE | 1 |
Dae Kyung Kang | KR | Suwon | 2014-03-06 / 20140061038 - ELECTROPLATING BASKET | 1 |
Byung Woo Kang | KR | Suwon | 2015-04-30 / 20150116515 - CAMERA MODULE | 3 |
Seung Hyuk Kang | US | San Diego | 2016-05-12 / 20160133828 - EMBEDDED MAGNETORESISTIVE RANDOM ACCESS MEMORY (MRAM) INTEGRATION WITH TOP CONTACTS | 39 |
Sung Hoon Kang | KR | Suwon-Si | 2015-04-16 / 20150103976 - X-RAY IMAGING APPARATUS AND CONTROL METHOD FOR THE SAME | 18 |
Ji Hoon Kang | KR | Hwaseong-Si | 2015-07-02 / 20150182184 - METHOD AND APPARATUS FOR STORING X-RAY DATA IN X-RAY DETECTION MODULE | 4 |
Se-Hee Kang | KR | Seoul | 2015-02-12 / 20150043889 - IMAGE REPRODUCING APPARATUS, SEVER AND IMAGE REPRODUCING METHODS THEREOF | 2 |
Jin-Ho Kang | KR | Suwon-Si | 2013-11-28 / 20130318039 - METHOD AND APPARATUS TO MANAGE FILES FOR A PORTABLE DEVICE | 1 |
Unkyu Kang | KR | Cheonan-Si | 2013-05-02 / 20130108975 - SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING METHOD | 1 |
Seongyeun Kang | KR | Cheonan-Si | 2014-01-16 / 20140014912 - PIXEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING THE SAME | 1 |
Dong Seok Kang | KR | Cheonan-Si | 2015-05-07 / 20150125628 - METHOD OF DEPOSITING THIN FILM | 2 |
Kang Kang | CN | Shenzhen | 2014-02-13 / 20140045188 - PRIMER AND METHOD FOR QUANTITATIVE ASSAY OF MICRORNA AND APPLICATION OF SAME | 1 |
Seong-Woon Kang | KR | Gyeonggi-Do | 2015-01-15 / 20150018045 - COVER DEVICE AND PORTABLE TERMINAL HAVING THE SAME | 11 |
Jewon Kang | US | San Diego | 2015-06-11 / 20150160709 - SYSTEM AND METHOD FOR BALANCED USER EXPERIENCE IN A MULTIMEDIA CONFERENCING COMMUNITY | 9 |
Jing Kang | US | San Diego | 2014-04-24 / 20140111250 - METHODS AND DEVICES FOR MATCHING TRANSMISSION LINE CHARACTERISTICS USING STACKED METAL OXIDE SEMICONDUCTOR (MOS) TRANSISTORS | 1 |
Woo Tag Kang | US | San Diego | 2016-03-03 / 20160064391 - DYNAMIC RANDOM ACCESS MEMORY CELL INCLUDING A FERROELECTRIC CAPACITOR | 2 |
Eunmo Kang | US | San Diego | 2015-10-22 / 20150303904 - SYSTEMS AND METHODS FOR GAIN AND OFFSET CONTROL | 9 |
Sung Hwan Kang | KR | Jung-Gu | 2013-08-22 / 20130216155 - METHOD AND SYSTEM FOR CREATING, RECEIVING AND PLAYING MULTIVIEW IMAGES, AND RELATED MOBILE COMMUNICATION DEVICE | 1 |
Young-Cheol Kang | KR | Anyang-Si | 2016-04-28 / 20160117516 - METHOD AND APPARATUS FOR ENCRYPTING AREA OF ELECTRONIC DOCUMENT | 3 |
Taegu Kang | KR | Anyang-Si | 2013-01-03 / 20130002981 - CIRCUIT BOARD, BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY DEVICE WITH THE SAME | 1 |
Rae Ho Kang | KR | Anyang-Si | 2014-03-06 / 20140065033 - CASE FOR SPECIMEN ANALYZING KIT, KIT FOR SPECIMEN ANALYZING, SPECIMEN ANALYSIS APPARATUS AND CONTROL METHOD OF SPECIMEN ANALYSIS APPARATUS | 2 |
Jae-Eun Kang | KR | Anyang-Si | 2014-04-24 / 20140112121 - WIRELESS COMMUNICATION SYSTEM INCLUDING COMMUNICATION APPARATUS AND DATA COMMUNICATION METHOD THEREOF | 1 |
Cheol Kang | KR | Gyeonggi-Do | 2013-10-03 / 20130260041 - APPARATUS FOR COATING SUBSTRATE AND METHOD FOR COATING SUBSTRATE | 1 |
Dong Hyun Kang | KR | Seoul | 2014-04-24 / 20140113963 - ANTIBIOTIC COMPOSITION CONTAINING ERYTHORBYL LAURATE AND ITS USAGE | 1 |
Woo Seok Kang | KR | Incheon | 2014-04-17 / 20140103230 - RADIOACTIVE RAY SHIELD OR ABSORPTION SHEET WITH FLEXIBILITY AND RESTORABILITY, CLOTHES MADE OF THE SAME, AND MANUFACTURING METHOD THEREOF | 1 |
Soo-Hyun Kang | KR | Incheon | 2013-10-24 / 20130277793 - POWER DEVICE AND FABRICATING METHOD THEREOF | 1 |
Ji Hee Kang | KR | Incheon | 2013-07-25 / 20130191870 - METHOD AND APPARATUS FOR PROVIDING BROADCAST SERVICE | 1 |
Hyun Mo Kang | KR | Incheon | 2013-10-17 / 20130273724 - METHOD FOR CRYSTALLIZING AMORPHOUS SILICON THIN FILM AND METHOD FOR FABRICATING POLY CRYSTALLINE THIN FILM TRANSISTOR USING THE SAME | 1 |
Byung Kwan Kang | KR | Incheon | 2014-01-09 / 20140009889 - HEAT EMISSION DEVICE FOR JUNCTION BOX PRINTED CIRCUIT BOARD | 1 |
Bo Eun Kang | KR | Incheon | 2013-11-14 / 20130300695 - MATRIX SWITCHING TYPE PRESSURE-SENSITIVE TOUCH DETECTING DEVICE | 1 |
Myung-Kweon Kang | KR | Daegu | 2014-02-20 / 20140048378 - CLUTCH ACTUATOR UNIT | 2 |
Moonsoo Kang | KR | Daegu | 2013-08-22 / 20130215354 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR REPAIRING THE SAME | 1 |
Monsoo Kang | KR | Daegu | 2013-05-16 / 20130120351 - STEREOSCOPIC IMAGE DISPLAY | 1 |
Kun-Seok Kang | KR | Daegu | 2014-03-06 / 20140064411 - APPARATUS AND METHOD FOR RECEIVING DATA IN COMMUNICATION SYSTEM | 1 |
Ji-Hyun Kang | KR | Daegu | 2013-06-27 / 20130162617 - ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD FOR SENSING CHARACTERISTIC PARAMETERS OF PIXEL DRIVING CIRCUITS | 1 |
Jihyun Kang | KR | Daegu | 2013-05-09 / 20130113779 - ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE | 1 |
Hyungu Kang | KR | Daegu | 2014-07-31 / 20140213636 - Method of treating hyperproliferation of cells using a nucleolin specific aptamer | 2 |
Hee-Sung Kang | KR | Daegu | 2014-04-17 / 20140103352 - NITRIDE SEMICONDUCTOR AND FABRICATING METHOD THEREOF | 1 |
Sung-Ill Kang | KR | Gyeongsangbuk-Do | 2014-04-17 / 20140104795 - ELECTRONIC DEVICE | 1 |
Sung-Gu Kang | KR | Gyeongsangbuk-Do | 2014-02-20 / 20140049731 - HORIZONTAL ELECTRIC FIELD-TYPE LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Jeong Soon Kang | KR | Gyeongsangbuk-Do | 2013-12-19 / 20130335615 - Imaging Device | 1 |
In Seok Kang | KR | Gyeongsangbuk-Do | / - | 1 |
Bo Sung Kang | KR | Gyeongsangbuk-Do | 2013-08-29 / 20130226213 - IMPLANTING APPARATUS | 1 |
Yeongu Kang | KR | Gyeongsangnam-Do | 2014-03-06 / 20140062889 - METHOD OF PROCESSING TOUCH INPUT FOR MOBILE DEVICE | 1 |
Suk-Ho Kang | KR | Gyeongsangnam-Do | 2014-04-17 / 20140106282 - LOW-POLLUTION BURNING METHOD USING SYSTEM FOR INDIVIDUALLY CONTROLLING CO AND NOx | 1 |
Jong Min Kang | KR | Gyeongsangnam-Do | 2014-03-27 / 20140086757 - SYSTEM AND METHOD FOR CONTROLLING ENGINE REVOLUTIONS FOR HYBRID CONSTRUCTION MACHINE | 1 |
Hyun-Joong Kang | KR | Gyeongsangnam-Do | 2014-06-19 / 20140173116 - APPARATUS AND METHOD FOR SHARING RESOURCE BETWEEN TERMINALS | 2 |
Min-Gu Kang | KR | Suwon-Si | 2014-01-23 / 20140022831 - Semiconductor Memory Device Having Dummy Bit Line | 2 |
Sangwoo Kang | KR | Seoul | 2013-11-14 / 20130304795 - DISPLAY APPARATUS AND METHOD OF EXECUTING AND INSTALLING APPLICATION THEREOF | 1 |
Joo Hun Kang | US | Boston | 2014-08-07 / 20140220617 - DIALYSIS LIKE THERAPEUTIC (DLT) DEVICE | 2 |
Jeffrey A. Kang | US | Hopkinton | 2014-04-10 / 20140096769 - DOSE COUNTERS FOR INHALERS, INHALERS AND METHODS OF ASSEMBLY THEREOF | 2 |
Zhi Kang | CN | Hangzhou | 2015-11-19 / 20150334347 - Video SMS Message Sending and Receiving Methods and Apparatuses Thereof, and Handheld Electronic Device | 3 |
Jin Ho Kang | KR | Seoul | 2016-02-18 / 20160048653 - USER EQUIPMENT, SERVICE PROVIDING DEVICE, CONTROL METHOD THEREOF AND COMPUTER READABLE MEDIUM HAVING COMPUTER PROGRAM RECORDED THEREOF | 3 |
Seong-Ryong Kang | KR | Gyeonggi-Do | 2014-11-20 / 20140341199 - METHOD AND DEVICE FOR PROCESSING SERVICE IN SOFTWARE-DEFINED NETWORKING SYSTEM | 4 |
Tae Wook Kang | KR | Seoul | 2015-06-04 / 20150154567 - FACILITY MANAGEMENT SYSTEM USING PERSPECTIVE DEFINITION METADATA AND METHOD THEREFOR | 2 |
Jae Woo Kang | KR | Seoul | 2014-04-10 / 20140101173 - METHOD OF PROVIDING INFORMATION OF MAIN KNOWLEDGE STREAM AND APPARATUS FOR PROVIDING INFORMATION OF MAIN KNOWLEDGE STREAM | 2 |
Dongwook Kang | KR | Gyeonggi-Do | 2013-05-16 / 20130120330 - SYSTEM AND METHOD FOR OPTIMIZING VISIBILITY, AND MOBILE DEVICE OPERATING WITH OPTIMIZED VISIBILITY | 1 |
Dongwook Kang | KR | Seoul | 2015-05-07 / 20150124009 - ELECTRONIC DEVICE HAVING DISPLAY FUNCTION AND OPERATING METHOD THEREFOR | 3 |
Young Sik Kang | KR | Gyunggi-Do | 2014-04-03 / 20140092524 - CAPACITOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Byung Sung Kang | KR | Gyunggi-Do | 2013-04-04 / 20130082575 - MULTILAYER CERAMIC ELECTRONIC COMPONENT AND MANUFACTURING METHOD THEREOF | 1 |
Young Min Kang | KR | Yongin-Si | 2015-09-10 / 20150253374 - CHIP TO PACKAGE INTERACTION TEST VEHICLE AND METHOD FOR TESTING CHIP TO PACKAGE INTERACTION USING THE SAME | 4 |
Dong-Ha Kang | KR | Seoul | 2014-04-03 / 20140093642 - COATING MATERIAL FOR ALUMINUM DIE CASTING MOLD AND METHOD OF MANUFACTURING THE COATING MATERIAL | 2 |
Sung-Yong Kang | KR | Gyeonggi-Do | 2015-03-05 / 20150059979 - PLASMA PROCESSING APPARATUS FOR VAPOR PHASE ETCHING AND CLEANING | 2 |
Won-Seok Kang | KR | Kyongsangbuk-Do | 2016-01-07 / 20160007088 - PORTABLE TELEVISION (TV) PHONE AND METHOD FOR CONTROLLING OPERATION THEREOF | 2 |
Changheon Kang | KR | Paju | 2014-03-27 / 20140085176 - SHIFT REGISTER, DRIVING METHOD THEREOF AND FLAT PANEL DISPLAY DEVICE | 1 |
Ji-Young Kang | KR | Suwon-Si | 2015-03-12 / 20150070272 - APPARATUS, METHOD AND RECORDING MEDIUM FOR CONTROLLING USER INTERFACE USING INPUT IMAGE | 3 |
Ji-Young Kang | KR | Seoul | 2013-06-13 / 20130147830 - APPARATUS AND METHOD FOR IMPROVING IMAGE DRAW PERFORMANCE IN PORTABLE TERMINAL | 1 |
Yeong-Gon Kang | KR | Bucheon-Si | 2013-10-24 / 20130280994 - ABRASIVE ARTICLE HAVING MULTI-DIRECTIONAL ABRASION PROTRUSION | 1 |
Jang Mi Kang | KR | Bucheon-Si | 2016-05-12 / 20160131951 - LIQUID CRYSTAL DISPLAY | 5 |
Jangmi Kang | KR | Bucheon-Si | 2015-10-01 / 20150277193 - DISPLAY DEVICE | 2 |
Gap-Chul Kang | KR | Bucheon-Si | 2014-03-27 / 20140086105 - DEVICE AND METHOD FOR PROVIDING DRAWING SCREEN SHARING SERVICE | 1 |
Dong Woo Kang | KR | Gwangju | 2013-09-19 / 20130243965 - METHOD OF PREPARING GRAPHENE FROM ORGANIC MATERIAL USING RADIATION TECHNIQUE AND GRAPHENE PREPARED USING THE SAME | 2 |
Dong Woo Kang | KR | Daejeon | 2016-03-03 / 20160065254 - RF TRANSMITTER SUPPORTING CARRIER AGGREGATION AND ENVELOPE TRACKING | 4 |
Byung-Joo Kang | KR | Seoul | 2014-08-28 / 20140243646 - INTRAOCULAR PRESSURE SENSOR AND METHOD FOR MANUFACTURING SAME | 3 |
Eun Ji Kang | KR | Yongin-Si | 2016-03-31 / 20160093024 - APPLICATION PROCESSOR FOR DETERMINING DATA TRANSMISSION ORDER BASED ON POSITION OF DISPLAY AND DEVICES INCLUDING THE SAME | 4 |
Zhijun Kang | US | Houston | 2014-03-13 / 20140073634 - HETEROCYCLIC MODULATORS OF HIF ACTIVITY FOR TREATMENT OF DISEASE | 1 |
Min-Woo Kang | KR | Gunpo | / - | 1 |
Minjeong Kang | KR | Gyeonggi-Do | 2013-07-04 / 20130174179 - MULTITASKING METHOD AND APPARATUS OF USER DEVICE | 1 |
Sangwook Kang | KR | Gyeonggi-Do | 2013-03-28 / 20130081073 - METHOD AND APPARATUS FOR PROVIDING AND OBTAINING REWARD SERVICE LINKED WITH MEDIA CONTENTS | 1 |
Namseok Kang | KR | Seoul | 2014-03-13 / 20140070130 - FERRITE MAGNET WITH SALT AND MANUFACTURING METHOD OF THE SAME | 1 |
Taejin Kang | KR | Suwon-Si | 2014-03-13 / 20140071077 - METHOD FOR OPERATING ELECTRONIC DEVICE BASED ON TOUCH PRESSURE AND THE DEVICE PERFORMING THE METHOD | 1 |
Jaewoo Kang | KR | Gyeonggi-Do | 2013-09-26 / 20130254209 - CONSENSUS SEARCH DEVICE AND METHOD | 1 |
Yeong Bong Kang | KR | Asan-Si | 2014-03-13 / 20140071376 - LIQUID CRYSTAL DISPLAY | 1 |
Sung Yong Kang | KR | Asan-Si | 2016-03-24 / 20160085018 - ILLUMINATION DEVICE AND DISPLAY DEVICE INCLUDING THE SAME | 2 |
Seungjae Kang | KR | Asan-Si | 2013-10-17 / 20130271513 - DISPLAY DEVICE INCLUDING BACKLIGHT UNIT | 1 |
Namsoo Kang | KR | Asan-Si | 2013-10-17 / 20130271513 - DISPLAY DEVICE INCLUDING BACKLIGHT UNIT | 1 |
Duk-Hwan Kang | KR | Asan-Si | 2014-09-18 / 20140267998 - FLEXIBLE CABLE AND LIQUID CRYSTAL DISPLAY APPARATUS HAVING THE SAME | 2 |
Min-Jeong Kang | KR | Daejeon | 2013-06-13 / 20130152119 - METHOD AND APPARATUS FOR INTERWORKING DEVICES | 2 |
Uksong Kang | KR | Seongnam-Si | 2014-03-13 / 20140075135 - SEMICONDUCTOR MEMORY DEVICE WITH OPERATION FUNCTIONS | 2 |
Sung-Kee Kang | KR | Seongnam-Si | 2013-04-18 / 20130093317 - FLAT PANEL DISPLAY DEVICE AND METHOD THEREOF | 1 |
Seok Jin Kang | KR | Seongnam-Si | 2015-03-12 / 20150070911 - OPTICAL SEMICONDUCTOR LIGHTING APPARATUS | 5 |
Sang-Won Kang | KR | Seongnam-Si | 2014-01-02 / 20140006023 - METHOD AND APPARATUS TO SEARCH FIXED CODEBOOK | 1 |
Jin Seok Kang | KR | Seongnam-Si | 2014-01-23 / 20140024545 - BIOMARKER FOR DIAGNOSING TOXICITY OF NANOPARTICLES AND METHOD FOR EVALUATING TOXICITY OF NANOPARTICLES USING THE SAME | 1 |
Ho Sung Kang | KR | Seongnam-Si | 2014-05-29 / 20140149522 - SYSTEM AND METHOD FOR ONLINE FAN MEETING | 2 |
Eun-Jung Kang | KR | Seongnam-Si | 2014-02-13 / 20140047482 - THREE-DIMENSIONAL ELECTRONIC PROGRAMMING GUIDE PROVIDING APPARATUS AND METHOD | 1 |
Byeonghwan Kang | KR | Seongnam-Si | 2013-04-04 / 20130082717 - METHOD AND APPARATUS FOR MEASURING PERFORMANCE OF ELECTRONIC DEVICE | 1 |
Haksoo Kang | KR | Seoul | 2016-02-11 / 20160040981 - MOBILE TERMINAL HAVING SMART MEASURING TAPE AND LENGTH MEASURING METHOD THEREOF | 3 |
Seung-Hyun Kang | KR | Anyang | 2013-05-23 / 20130128999 - MIMO CODEBOOK GENERATION | 1 |
Dong-Kyun Kang | KR | Gyeonggi-Do | 2015-12-03 / 20150349073 - DUAL WORK FUNCTION BURIED GATE-TYPE TRANSISTOR, METHOD FOR FORMING THE SAME, AND ELECTRONIC DEVICE INCLUDING THE SAME | 5 |
Hee Won Kang | KR | Daejeon | 2014-03-06 / 20140062791 - LOCALIZATION METHOD OF SOURCE OF UNKNOWN SIGNAL BASED ON TDOA METHOD | 1 |
Moonsung Kang | KR | Yongin-Si | 2015-05-07 / 20150125729 - ION EXCHANGE MEMBRANE, METHOD OF PREPARING THE SAME, AND REDOX FLOW BATTERY COMPRISING THE SAME | 2 |
Wonsik Kang | KR | Seoul | 2014-03-06 / 20140063033 - DISPLAY DRIVER INTEGRATED CIRCUIT AND DISPLAY DATA PROCESSING METHOD THEREOF | 1 |
Hee-Jun Kang | KR | Gyeonggi-Do | 2015-02-12 / 20150042594 - CHARACTER INPUT METHOD AND APPARATUS IN PORTABLE TERMINAL HAVING TOUCH SCREEN | 2 |
Hyunho Kang | KR | Yongin | 2013-09-26 / 20130250034 - MOBILE TERMINAL AND CONTROL METHOD THEREOF | 1 |
Hyuk Kang | KR | Yongin | 2014-03-06 / 20140065394 - MULTI-LAYER COATING AND METHOD FOR FORMING THE SAME | 1 |
Moon-Sung Kang | KR | Seoul | 2013-11-28 / 20130316268 - ION EXCHANGE MEMBRANE FILLING COMPOSITION, METHOD OF PREPARING ION EXCHANGE MEMBRANE, ION EXCHANGE MEMBRANE, AND REDOX FLOW BATTERY | 1 |
Chun Kang | KR | Yeongi-Gun | 2014-01-09 / 20140011260 - POL I PROMOTER DERIVED FROM VERO CELLS AND RECOMBINANT VECTOR CONTAINING SAME | 1 |
Hyuk Kang | KR | Gyeonggi-Do | 2016-03-03 / 20160066127 - METHOD FOR CONTROLLING AND AN ELECTRONIC DEVICE THEREOF | 14 |
Joon Myung Kang | CA | Toronto | 2014-03-06 / 20140067746 - APPARATUS FOR MANAGING USER-CENTRIC CONTEXT AND METHOD THEREOF | 1 |
Guojun Kang | CA | Fredericton | 2014-02-27 / 20140053993 - System and Method for Reclaiming Rejects in Sulfite Pulping | 1 |
Eunji Ellen Kang | CA | Richmond Hill | 2013-08-01 / 20130197339 - METHOD OF MONITORING DEPTH OF ANESTHESIA AND APPARATUS FOR SAME | 1 |
Sae Byul Kang | KR | Daejeon-City | 2014-02-27 / 20140053552 - ADIABATIC COMPRESSED AIR ENERGY STORAGE FOR AUTOMOTIVE VEHICLE AND ENERGY STORAGE METHOD USING THE SAME | 1 |
Min Kyeong Kang | KR | Wanju | 2014-02-27 / 20140057547 - COOLING BLOWER CONTROL DEVICE AND METHOD FOR HIGH-VOLTAGE BATTERY | 1 |
Sung-Joong Kang | KR | Daejeon | 2015-10-29 / 20150311521 - DEVICE FOR PREPARING LITHIUM COMPOSITE TRANSITION METAL OXIDE, LITHIUM COMPOSITE TRANSITION METAL OXIDE PREPARED USING THE SAME, AND METHOD OF PREPARING LITHIUM COMPOSITE TRANSITION METAL OXIDE | 5 |
Young-Cheol Kang | KR | Anyang | 2014-02-20 / 20140053084 - METHOD AND ELECTRONIC DEVICE FOR EDITING CONTENT | 1 |
Tae-Gun Kang | KR | Daejeon-Si | 2014-12-25 / 20140379925 - APPARATUS AND METHOD FOR INTERWORKING BETWEEN APP STORE SERVERS TO SHARE APPLICATION INFORMATION | 2 |
Joonhyuk Kang | KR | Daejeon-Si | 2013-08-08 / 20130203448 - TERMINAL AND METHOD FOR RECOGNIZING COMMUNICATION TARGET | 1 |
Seok-Jun Kang | KR | Suwon-Si | 2014-02-13 / 20140043318 - Light Emitting Diode Display Device and Method for Driving the Same | 1 |
Joon Seong Kang | KR | Suwon-Si | 2016-03-24 / 20160087760 - METHOD AND APPARATUS FOR STABLE SIGNAL DEMODULATION IN COMMUNICATION SYSTEM | 9 |
Matthew M. Kang | US | Minneapolis | 2014-02-13 / 20140046273 - ANTIBIOTIC DELIVERY SYSTEM AND METHOD | 1 |
Sung-Chan Kang | KR | Hwaseong-Si | 2015-07-30 / 20150215705 - ELECTRO-ACOUSTIC TRANSDUCER | 8 |
Woo-Sung Kang | KR | Gyeonggi-Do | 2015-10-01 / 20150278630 - METHOD AND APPARATUS FOR RECOGNIZING A CHARACTER BASED ON A PHOTOGRAPHED IMAGE | 4 |
Bo-Gyeong Kang | KR | Gyeonngi-Do | 2013-05-16 / 20130121488 - METHOD AND STORAGE DEVICE FOR PROTECTING CONTENT | 1 |
Bo-Gyeong Kang | KR | Gyeonggi-Do | 2015-09-03 / 20150249538 - METHOD AND STORAGE DEVICE FOR PROTECTING CONTENT | 6 |
Chang-Wook Kang | KR | Yongin-Si | 2014-01-23 / 20140021870 - ORGANIC LIGHT EMITTING DISPLAY AND METHOD OF DRIVING THE SAME | 1 |
Dae-Gyu Kang | KR | Suwon-Si | 2015-05-07 / 20150121968 - BALANCER AND WASHING MACHINE HAVING THE SAME | 6 |
Jiyoung Kang | KR | Gyeonggi-Do | 2015-11-05 / 20150316983 - METHOD FOR OPERATING USER FUNCTIONS BASED ON EYE TRACKING AND MOBILE DEVICE ADAPTED THERETO | 11 |
Jiyoung Kang | KR | Suwon-Si | 2014-09-18 / 20140282159 - ELECTRONIC DEVICE AND METHOD FOR CONTROLLING SCREEN DISPLAY USING TEMPERATURE AND HUMIDITY | 6 |
Wonmo Kang | US | Evanston | 2014-01-16 / 20140013854 - APPARATUS AND METHOD FOR IN SITU TESTING OF MICROSCALE AND NANOSCALE SAMPLES | 1 |
Shih-Chung Kang | TW | Taipei City | 2014-07-24 / 20140202970 - FAST CRANE AND OPERATION METHOD FOR SAME | 3 |
Che-Kuei Kang | TW | Taipei City | 2013-05-02 / 20130107192 - PATTERNED RETARDER FILM AND METHOD FOR MANUFACTURING THE SAME | 1 |
Sangki Kang | KR | Suwon-Si | 2013-11-14 / 20130304457 - METHOD AND SYSTEM FOR OPERATING COMMUNICATION SERVICE | 1 |
Sangki Kang | KR | Gyeonggi-Do | 2015-01-29 / 20150032460 - TERMINAL AND SPEECH-RECOGNIZED TEXT EDIT METHOD THEREOF | 4 |
Su Ryeon Kang | KR | Goyang-Si | 2016-05-19 / 20160139499 - MULTI-PROJECTION SYSTEM USING INTERIOR SURFACE AS PROJECTION SURFACE | 25 |
Hoon Jong Kang | KR | Goyang-Si | 2013-12-12 / 20130329268 - METHOD FOR ELIMINATING HOLOGRAM DC NOISE AND HOLOGRAM DEVICE USING THE SAME | 1 |
Tae Hun Kang | KR | Suwon-Si | 2013-07-25 / 20130186167 - PRESS DIE FOR SIMULTANEOUS FORMING OF BENDING AND CURLING | 2 |
Ho Chul Kang | KR | Gwangju-Si | / - | 1 |
Sunghee Kang | KR | Hwansung-Si | / - | 1 |
Dong-Jo Kang | KR | Suwon-Si | 2014-12-04 / 20140353790 - METHOD OF FABRICATING A SEMICONDUCTOR DEVICE | 2 |
Ji-Hye Kang | US | Gaithersburg | 2014-11-20 / 20140343078 - COMPOSITIONS AND METHODS FOR INHIBITING CYTOCHROME P450 2D6 | 2 |
Sung Eun Kang | KR | Jeju-Do | 2014-01-02 / 20140005846 - SYSTEM AND METHOD FOR CONTROLLING MICRO-GRID OPERATION | 1 |
Ye Ran Kang | KR | Gunpo-Si | 2013-08-29 / 20130224529 - BATTERY PACK OF NOVEL STRUCTURE | 1 |
Bongseong Kang | KR | Gunpo-Si | 2013-12-19 / 20130333642 - ENGINE COOLING SYSTEM FOR VEHICLE | 1 |
Seok-Won Kang | KR | Yongin-Si, Gyeonggi-Do | 2013-10-03 / 20130258683 - LIGHT-EMITTING MODULE | 1 |
Jong-Jin Kang | KR | Yongin-Si | 2013-12-12 / 20130328527 - APPARATUS FOR BIDIRECTIONAL ELECTRIC POWER SUPPLY BETWEEN ELECTRIC VEHICLE AND SMART GRID AND METHOD OF BIDIRECTIONALLY SUPPLYING ELECTRIC POWER EMPLOYING THE SAME | 1 |
Tae-Jin Kang | KR | Korea | 2013-09-26 / 20130250705 - CLOCK SIGNAL GENERATION APPARATUS FOR USE IN SEMICONDUCTOR MEMORY DEVICE AND ITS METHOD | 1 |
Seok Tae Kang | KR | Yongin-Si | 2015-02-19 / 20150049196 - APPARATUS AND METHOD FOR COMPOSITION IMAGE FOR AVM SYSTEM | 2 |
Min-Jung Kang | KR | Seoul | 2015-08-13 / 20150226737 - COMPLEX COMPRSING BEAD PARTICLE INCLUDING QUANTUM DOT LAYER AND METHOD OF DIAGNOSING MYOCARDIAL INFARCTION-RELATED DISEASE BY USING THE COMPLEX | 3 |
Hwa-Young Kang | KR | Gyeonggi-Do | 2016-03-31 / 20160094797 - METHOD AND APPARATUS FOR CAPTURING IMAGES | 2 |
Kiyoung Kang | KR | Seoul | 2013-06-06 / 20130139859 - DISHWASHER | 2 |
Mira Kang | KR | Seoul | 2013-10-17 / 20130275347 - APPARATUS AND METHOD FOR PREDICTING POTENTIAL CHANGE OF CORONARY ARTERY CALCIFICATION (CAC) LEVEL | 3 |
Sung-Ho Kang | KR | Osan-Si | 2016-05-05 / 20160126096 - METHOD OF FORMING AN EPITAXIAL LAYER ON A SUBSTRATE, AND APPARATUS AND SYSTEM FOR PERFORMING THE SAME | 4 |
Chang-Woo Kang | KR | Gwacheon-Si | 2013-11-21 / 20130311524 - File Merging System for Merging Layout Input Files | 1 |
Dong-In Kang | US | Annandale | 2013-11-21 / 20130312003 - METHOD AND SYSTEM FOR DYNAMICALLY PARALLELIZING APPLICATION PROGRAM | 1 |
Yongsoo Kang | KR | Yongin-Si | / - | 1 |
Youngjin Kang | KR | Gyeonggi-Do | 2013-09-26 / 20130249745 - ANTENNA IN WIRELESS TERMINAL WITH IMPROVED BUSHING | 1 |
Han-Chang Kang | TW | Hsinchu City | 2013-11-14 / 20130300471 - PHASE-LOCKED LOOP CIRCUIT | 1 |
Ki-Woong Kang | KR | Seoul | 2013-10-17 / 20130269573 - ULTRA-RAPID HARDENING HYDRAULIC BINDER USING REDUCED SLAG POWDER, AND METHOD OF PREPARING THE SAME | 1 |
Hyun Gyu Kang | KR | Daejeon | 2013-11-07 / 20130296629 - METHOD OF TREATING RADIOACTIVE METAL WASTE USING MELT DECONTAMINATION | 2 |
Sang Won Kang | KR | Suwon-Si | 2013-09-05 / 20130230938 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND FABRICATION METHOD THEREOF | 1 |
Sung-Gyu Kang | KR | Gyeonggi-Do | 2013-05-02 / 20130106942 - METHODS OF FORMING PATTERNS ON A SUBSTRATE | 1 |
Jin-A Kang | KR | Daejeon | 2013-10-24 / 20130280578 - SOLDERING CONNECTOR, BATTERY MODULE HAVING THE SAME, AND BATTERY PACK COMPRISING THE BATTERY MODULE | 1 |
Jin-A Kang | KR | Gyeonggi-Do | 2013-10-24 / 20130280562 - ENERGY STORAGE DEVICE | 1 |
Kyung-Hee Kang | US | Mountain View | 2014-08-07 / 20140222194 - MEDICATION DISPENSING CABINET SYSTEMS AND METHODS | 2 |
Hyunju Kang | KR | Pocheon-Si | 2014-07-24 / 20140204315 - DISPLAY APPARATUS | 2 |
Tae Won Kang | KR | Daejeon | 2014-09-18 / 20140272548 - SECONDARY BATTERY STRUCTURE | 2 |
Tae Seok Kang | KR | Suwon-Si | 2013-10-10 / 20130265175 - PARKING CONTROL APPARATUS AND METHOD FOR PROVIDING AN ALARM THEREOF | 1 |
Tae-Won Kang | KR | Yongin-Si | 2013-10-10 / 20130265298 - GRAPHIC PROCESSING METHOD AND APPARATUS | 1 |
Byung-Kwon Kang | KR | Gyeonggi-Do | 2014-09-18 / 20140267760 - ELECTRONIC DEVICE WITH CAMERA MODULE | 2 |
Na Rae Kang | KR | Gwangmyeong | 2016-04-21 / 20160111698 - SEPARATOR FOR LITHIUM SECONDARY BATTERY AND METHOD FOR MANUFACTURING SAME | 2 |
Sungil Kang | KR | Seoul | 2015-10-29 / 20150310897 - IMAGE DISPLAY DEVICE AND CONTROL METHOD THEREOF | 2 |
Hee-Won Kang | KR | Gyeonggi-Do | 2015-07-02 / 20150189540 - QOS SWITCHING METHOD AND APPARATUS FOR QOS IN A WIRELESS COMMUNICATION SYSTEM | 6 |
Seong-Geun Kang | KR | Gyeonggi-Do | 2013-10-03 / 20130259246 - APPARATUS AND METHOD FOR INTERFACING EARPHONE | 1 |
Bong-Chul Kang | KR | Yuseong-Gu | 2013-09-26 / 20130252177 - METHOD FOR MANUFACTURING A FINE METAL ELECTRODE | 1 |
Min Ji Kang | KR | Seoul | 2015-02-05 / 20150033479 - WASHING MACHINE HAVING DETERGENT SUPPLY DEVICE AND METHOD OF CONTROLLING THE SAME | 3 |
Ji Myung Kang | KR | Suwon-Si | 2013-09-19 / 20130241774 - WIRELESS POSITIONING SERVER USING CLOCK OFFSET CALIBRATION AND WIRELESS POSITIONING METHOD USING THE SAME | 1 |
Mingyu Kang | KR | Changwon-Si | 2013-09-12 / 20130232702 - AGITATOR AND CLEANER | 1 |
Kyelyong Kang | KR | Changwon-Si | 2013-06-27 / 20130160473 - REFRIGERATOR | 2 |
Hyun Min Kang | KR | Hwaseong-Si | 2013-09-12 / 20130234380 - SPRING OF SUSPENSION FOR VEHICLE | 1 |
Yoon-Koo Kang | KR | Ansan-Si | 2013-08-29 / 20130222286 - DEVICE HAVING TOUCH DISPLAY AND METHOD FOR REDUCING EXECUTION OF ERRONEOUS TOUCH OPERATION | 1 |
Se-Gu Kang | KR | Ansan-Si | 2013-08-29 / 20130221904 - TERMINAL AND METHOD FOR CHARGING BATTERY OF SUB TERMINAL CONNECTED TO MAIN TERMINAL | 1 |
Jin-Sung Kang | KR | Hwaseong-Si | 2016-05-05 / 20160124489 - DISPLAY APPARATUS AND DISPLAY METHOD | 5 |
Ki Man Kang | KR | Jeollanam-Do | 2013-08-22 / 20130214317 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Jun Kang | CN | Beijing | 2013-08-22 / 20130214715 - CIRCUIT FOR FILTERING NARROW PULSE AND COMPENSATING WIDE PULSE, AND MOTOR CONTROLLER COMPRISING THE CIRCUIT | 1 |
Dae-Woong Kang | KR | Gangwon-Do | 2015-09-03 / 20150245821 - ULTRASOUND IMAGING APPARATUS AND METHOD OF CONTROLLING ULTRASOUND IMAGING APPARATUS | 2 |
Joo Seok Kang | KR | Suwon-Si | 2013-08-22 / 20130218924 - METHOD OF PROVIDING DATA INCLUDED IN BUILDING INFORMATION MODELING DATA FILE, RECORDING MEDIUM THEREFOR, SYSTEM USING THE METHOD, AND METHOD OF PROVIDING DATA USING BUILDING INFORMATION MODELING SERVER | 1 |
Xinhai Kang | CA | San Jose | 2013-08-22 / 20130219160 - SYSTEM AND METHOD FOR BOOTING UP A COMPUTER BASED ON DATA CAPTURED IN A NON-VOLATILE SEMICONDUCTOR MEMORY DURING A LEARN MODE | 1 |
Yih-Ming Kang | US | Fremont | 2016-03-03 / 20160059617 - EMBOSSING TOOL AND METHODS OF PREPARATION | 4 |
Chang Ho Kang | KR | Chungcheongnam-Do | 2013-08-15 / 20130208501 - LIGHT EMITTING DIODE LIGHTING SYSTEM HAVING HAZE-CHANGEABLE FILM | 1 |
Kai-Feng Kang | TW | Kaohsiung City | 2015-08-06 / 20150217501 - BALL SHAPED PRODUCT AND METHOD FOR MAKING THE SAME | 2 |
Uk Song Kang | KR | Hwasung-Si | 2013-08-08 / 20130201778 - SEMICONDUCTOR DEVICE CAPABLE OF ADJUSTING MEMORY PAGE SIZE BASED ON A ROW ADDRESS AND A BANK ADDRESS | 1 |
Heewon Kang | KR | Gyeonggi-Do | 2014-08-07 / 20140220976 - RESOURCE ALLOCATION METHOD AND APPARATUS FOR USE IN WIRELESS COMMUNICATION SYSTEM | 3 |
Hun Kang | KR | Daejeon | 2015-10-15 / 20150292065 - MAGNESIUM MATERIAL AND METHOD OF MANUFACTURING THE SAME | 4 |
Seong-Hoon Kang | KR | Gyeonggi-Do | 2016-04-07 / 20160098093 - DISPLAY APPARATUS AND METHOD FOR CONTROLLING THE SAME | 4 |
Daewoong Kang | KR | Seoul | 2015-06-11 / 20150162343 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Ki Sung Kang | KR | Daejeon | 2013-07-11 / 20130178436 - COMPOSITION FOR PREVENTING, IMPROVING, OR TREATING RENAL DISEASE INCLUDING MAILLARD BROWNING REACTION PRODUCTS OF PANAX SPECIES PLANT EXTRACT | 1 |
Dae-Sung Kang | KR | Gyeonggi-Do | 2013-03-28 / 20130076255 - LIGHT EMITTING DIODE LIGHTING APPARATUS | 1 |
Ho-Cheol Kang | KR | Gunpo | 2013-06-06 / 20130141323 - ELECTOPHORETIC DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Ho-Kyung Kang | KR | Seoul | 2016-04-21 / 20160106394 - METHOD OF PROVIDING INFORMATION USING PLURALITY OF DISPLAYS AND ULTRASOUND APPARATUS THEREFOR | 5 |
Chang-Ik Kang | KR | Daejeon | 2013-06-13 / 20130145824 - POLLUTION MEASUREMENT APPARATUS FOR EASILY EXCHANGING SENSOR | 1 |
Kyoungsoo Kang | KR | Seoul | 2013-06-13 / 20130148277 - ELECTRONIC DEVICE | 1 |
Jae-Eun Kang | KR | Gyeonggi-Do | 2015-12-17 / 20150365986 - METHOD FOR SELECTING CHANNEL AND AN ELECTRONIC DEVICE THEREOF | 6 |
Jae-Eun Kang | KR | Suwon-Si | 2015-11-05 / 20150319559 - APPARATUS AND METHOD FOR SETTING UP COMMUNICATION LINK IN WIRELESS COMMUNICATION SYSTEM | 8 |
Junki Kang | KR | Paju | 2015-01-22 / 20150021608 - ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Il Gyu Kang | KR | Cheongju-Si | 2016-02-04 / 20160033786 - CAMERA LENS MODULE | 4 |
Dan C. Kang | US | Palo Alto | 2013-06-06 / 20130145375 - PARTITIONING PROCESSES ACROSS CLUSTERS BY PROCESS TYPE TO OPTIMIZE USE OF CLUSTER SPECIFIC CONFIGURATIONS | 1 |
Hye Jin Kang | KR | Daejeon | 2014-12-04 / 20140356674 - ANODE FOR LITHIUM SECONDARY BATTERY AND LITHIUM ION SECONDARY BATTERY INCLUDING THE SAME | 2 |
Kihyung Kang | KR | Suwon-Si | 2013-05-02 / 20130107146 - DISPLAY APPARATUS | 1 |
In Ho Kang | KR | Icheon-Si | 2013-05-02 / 20130107654 - SEMICONDUCTOR MEMORY APPARATUS, HIGH VOLTAGE GENERATION CIRCUIT, AND PROGRAM METHOD THEREOF | 1 |
Bo Young Kang | KR | Seoul | 2013-04-25 / 20130098789 - DEVICE STORAGE PACKAGE | 1 |
Byungsoo Kang | KR | Namyangju | 2013-04-25 / 20130100124 - DISPLAY MODULE AND MOBILE TERMINAL HAVING THE SAME | 1 |
Hyo Sung Kang | KR | Gumi-Si | 2013-03-21 / 20130069497 - SLIM-TYPE DISPLAY MODULE FIXING APPARATUS FOR PORTABLE TERMINAL | 1 |
Raphael Kl. Kang | US | Leonia | 2015-10-22 / 20150296774 - ANTIMICROBIAL COMPOSITIONS | 2 |
Seok-Jin Kang | KR | Yongin-Si | 2015-12-03 / 20150345773 - OPTICAL SEMICONDUCTOR ILLUMINATING APPARATUS | 3 |
Jeong Suk Kang | KR | Jeonju | 2013-02-07 / 20130032441 - MOUNTING UNIT AND BRAKE DISK WITH THE MOUNTING UNIT | 1 |
Dong Han Kang | KR | Metropolitan City | 2014-05-08 / 20140123810 - Preparation method of Ruthenium(Ru) powder for preparation of Ruthenium target | 1 |
Hyun-Chul Kang | KR | Seoul | 2015-07-30 / 20150214329 - SEMICONDUCTOR DEVICES INCLUDING A STRESSOR IN A RECESS AND METHODS OF FORMING THE SAME | 2 |
So Young Kang | KR | Ansan-Si | 2014-07-03 / 20140187111 - COMPOSITE SHEET AND DISPLAY SUBSTRATE USING SAME | 2 |
Jianhong Kang | US | Sharon | 2016-03-03 / 20160061881 - Smart Junction Box for Photovoltaic Systems | 4 |
Junmo Kang | KR | Suwon-Si | 2014-01-23 / 20140021195 - FLEXIBLE TRANSPARENT HEATING ELEMENT USING GRAPHENE AND METHOD FOR MANUFACTURING THE SAME | 3 |
Junmo Kang | KR | Gyeonggi-Do | 2014-08-07 / 20140218867 - PASSIVE LAYER FOR ATTENUATION OF NEAR-FIELD ELECTROMAGNETIC WAVES AND HEATDISSIPATION INCLUDING GRAPHENE, AND ELECTROMAGNETIC DEVICE INCLUDING THE SAME | 2 |
Dechun Kang | AU | West Heidelberg | 2014-05-29 / 20140144660 - ROCK DRILL | 1 |
Dongwon Kang | KR | Seoul | 2015-12-03 / 20150344774 - YELLOW LIGHT EMITTING PHOSPHOR AND LIGHT EMITTING DEVICE PACKAGE USING THE SAME | 4 |
Sung Hoon Kang | US | Cambridge | 2015-05-21 / 20150137502 - Anti-Counterfeiting Methods | 4 |
Kai-Feng Kang | TW | Tainan Hsien | 2014-05-29 / 20140147635 - COMPOSITE COATING MATERIAL AND THE PRODUCTION METHOD OF THE SAME | 1 |
Kyoung Il Kang | KR | Suwon-Si | 2014-06-05 / 20140154906 - Connector for Preventing Unlocking | 1 |
Xiaozhu Kang | US | Fremont | 2016-04-21 / 20160110554 - TECHNOLOGIES FOR SECURE INPUT AND DISPLAY OF VIRTUAL TOUCH USER INTERFACES | 15 |
Jungho Kang | KR | Seoul | 2014-06-05 / 20140150490 - EVAPORATOR AND TURBO CHILLER INCLUDING THE SAME | 1 |
Chang Seong Kang | KR | Gwangju | 2014-06-05 / 20140154130 - Die Casting Aluminum Alloys for Heat-Dissipating Plates | 1 |
Young Kang | US | Alpharetta | 2015-05-21 / 20150140177 - SHELF-STABLE, PRESERVATIVE-FREE LIQUID BEVERAGE ENHANCER PRODUCTS | 2 |
Tae Hyung Kang | KR | Osan | 2012-01-12 / 20120007512 - POWER SUPPLY DEVICE FOR DRIVING LIGHT EMITTING DIODE | 2 |
Tae Hyung Kang | KR | Osan-Si | 2010-09-23 / 20100237799 - LED DRIVING CIRCUIT | 1 |
Jing Kang | CN | Shanghai | 2014-06-12 / 20140162314 - High-Yield Peptide Antibiotics Producing Strain, Preparation Method and Use Thereof | 1 |
Dong Sung Kang | KR | Incheon | 2014-06-12 / 20140164975 - TERMINAL WITH MOVING KEYBOARD AND METHOD FOR DISPLAYING MOVING KEYBOARD THEREOF | 1 |
Yong Soon Kang | KR | Gyeonggi-Do | 2011-12-15 / 20110305230 - WiMAX Integrated Network Server | 1 |
Sung-Rae Kang | KR | Seoul | 2011-12-15 / 20110304690 - IMAGE PROCESSING APPARATUS AND CONTROL METHOD OF THE SAME | 4 |
Xinhong Kang | TW | New Taipei City | 2014-02-13 / 20140043258 - Tablet Touch Display Device and Control Method | 1 |
Zhitao Kang | US | Marietta | 2014-06-19 / 20140166889 - TRANSPARENT GLASS SCINTILLATORS, METHODS OF MAKING SAME AND DEVICES USING SAME | 1 |
Jee-Yoon Kang | KR | Yongin-City | 2014-06-19 / 20140168189 - ORGANIC LIGHT EMITTING DISPLAY AND METHOD FOR DRIVING THE SAME | 1 |
Seungyeon Kang | US | Cambridge | 2014-06-19 / 20140170333 - MICRO-AND NANO-FABRICATION OF CONNECTED AND DISCONNECTED METALLIC STRUCTURES IN THREE-DIMENSIONS USING ULTRAFAST LASER PULSES | 1 |
Ho-Fan Kang | US | San Diego | 2016-04-14 / 20160103617 - SYSTEM AND METHOD FOR DYNAMICALLY ADJUSTING GARBAGE COLLECTION POLICIES IN SOLID-STATE MEMORY | 3 |
Byung Chang Kang | KR | Yongin-Si | 2015-10-01 / 20150282098 - METHOD FOR DETERMINING TRANSMISSION POWER IN MIMO SYSTEM BASED ON COOPERATIVE TRANSMISSION | 12 |
Sunggu Kang | KR | Gumi | 2013-10-03 / 20130257841 - LINE ON GLASS TYPE LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Jin-Mo Kang | KR | Seoul | 2014-07-24 / 20140204962 - TRANSMITTING DEVICE, RECEIVING DEVICE, AND TRANSCEIVING METHOD THEREOF | 1 |
Minsung Kang | KR | Yongin-Sl | 2014-07-31 / 20140210087 - INTERCONNECTION STRUCTURES FOR SEMICONDUCTOR DEVICES AND METHODS OF FABRICATING THE SAME | 1 |
Nam Wook Kang | KR | Daejeon | 2014-07-31 / 20140213382 - System and Operating Method for Real-Time Analysis of Golf Swing Motion on Golf Club | 1 |
Na Young Kang | KR | Chungcheongnam-Do | 2015-05-21 / 20150139898 - METHOD FOR PRODUCING HIGH SILICA ZEOLITE USING RECOVERED SILICA FILTRATE, AND HIGH SILICA ZEOLITE PRODUCED ACCORDING TO SAID METHOD | 4 |
Minseok Kang | KR | Seoul | 2015-08-06 / 20150223200 - TERMINAL AND OPERATING METHOD THEREOF | 2 |
Hyungsuk Kang | KR | Seoul | 2014-07-31 / 20140215380 - IMAGE DISPLAY APPARATUS AND METHOD FOR OPERATING THE SAME | 2 |
Jae-Wook Kang | KR | Gyeongsangnam-Do | 2014-08-07 / 20140217881 - Plasma generator, manufacturing method of rotating electrode for plasma generator, method for performing plasma treatment of substrate, and method for forming thin film having mixed structure by using plasma | 8 |
Soo Yong Kang | KR | Gyeonggi-Do | 2016-03-17 / 20160078051 - DATA PATTERN DETECTING DEVICE, SEMICONDUCTOR DEVICE INCLUDING THE SAME, AND OPERATING METHOD THEREOF | 3 |
Younggyu Kang | KR | Yongin-Si | 2014-07-03 / 20140189218 - METHOD OF PROGRAMMING DATA INTO NONVOLATILE MEMORY AND METHOD OF READING DATA FROM NONVOLATILE MEMORY | 1 |
Dae-Jun Kang | KR | Suwon-Si | 2014-06-12 / 20140159181 - GRAPHENE-NANOPARTICLE STRUCTURE AND METHOD OF MANUFACTURING THE SAME | 1 |
Moon-Shik Kang | KR | Yongin-Si | 2015-08-13 / 20150228241 - DISPLAY DEVICE AND DRIVING METHOD THEREOF | 10 |
Dong-Oh Kang | KR | Daejeon | 2016-05-19 / 20160142874 - APPARATUS AND METHOD FOR RECOGNIZING NEARBY DEVICE USING DISTANCE AND INTIMACY | 13 |
Byung-Koo Kang | KR | Gyeongbuk | 2011-03-17 / 20110063537 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Sae-Kyoung Kang | KR | Daejeon-Si | 2014-10-09 / 20140301736 - DIRECTLY MODULATED MULTI-LEVEL OPTICAL SIGNAL GENERATOR AND METHOD THEREOF | 11 |
Seongwook Kang | KR | Seoul | 2011-03-03 / 20110050393 - PORTABLE WIRELESS FINGERPRINTER INDENTIFICATION SYSTEM AND METHOD | 1 |
In Gu Kang | KR | Taebaek-Si | 2011-02-10 / 20110030422 - METHOD FOR MANUFACTURING GLASS OR PLASTIC OR CRYSTAL PRODUCT AND GLASS OR PLASTIC OR CRYSTAL PRODUCT MANUFACTURED BY SAID METHOD | 1 |
Nam Wook Kang | KR | Seoul | 2016-02-11 / 20160042166 - METHOD AND DEVICE FOR PROVIDING SECURITY CONTENT | 17 |
Han Seong Kang | KR | Hwasung-Si | 2015-06-25 / 20150173553 - STEAM COOKING APPARATUS | 10 |
Tae-Yeon Kang | KR | Gwacheon-Si | 2015-03-26 / 20150083216 - METHOD OF PREPARING COUNTER ELECTRODE FOR DYE-SENSITIZED SOLAR CELL | 2 |
Kyeong-Pyo Kang | KR | Seoul | 2014-06-19 / 20140172393 - TRAFFIC COMMUNICATION-INTEGRATED SYSTEM | 1 |
Joon Mo Kang | KR | Daejeon | 2013-10-03 / 20130260654 - CARRIER HEAD FOR CHEMICAL MECHANICAL POLISHING SYSTEM | 1 |
Kyoung Soon Kang | KR | Daejeon | 2015-05-28 / 20150146563 - METHOD OF SENSING EXCESSIVE BANDWIDTH USAGE | 11 |
Shin Yuk Kang | KR | Daejeon | 2014-05-15 / 20140135993 - METHOD AND APPARATUS FOR MANAGING ENERGY IN HOME | 8 |
Hee Gon Kang | KR | Anyang-City | 2013-01-31 / 20130028543 - MOUNT BUSHING OF STABILIZER BAR FOR VEHICLE | 2 |
Hee Gon Kang | KR | Anyang | 2014-06-26 / 20140178523 - INJECTION MOLDING APPARATUS | 2 |
Jeong Hoon Kang | KR | Seoul | 2016-04-28 / 20160116512 - METHOD AND SYSTEM FOR MONITORING ENERGY CONSUMPTION | 22 |
Byung Woo Kang | KR | Seoul | 2014-06-12 / 20140160584 - LENS ACTUATING MODULE | 8 |
Bong-Soo Kang | KR | Daejeon | 2012-02-23 / 20120043149 - LAUNCHABLE REMOTE-CONTROL ATTACK DEVICE | 1 |
Seong Cheol Kang | KR | Osan City | 2009-08-27 / 20090213086 - TOUCH SCREEN DEVICE AND OPERATING METHOD THEREOF | 1 |
Kyu Chang Kang | KR | Daejeon | 2015-09-10 / 20150254993 - SMART LEARNING SYSTEM USING DEVICE SOCIAL RELATION AND METHOD THEREOF | 11 |
Joon Seok Kang | KR | Suwon | 2014-10-23 / 20140313676 - ELECTRONIC COMPONENT PACKAGE | 9 |
Sunwon Kang | KR | Hwasung-City | 2014-06-05 / 20140151877 - SEMICONDUCTOR PACKAGE AND METHOD FOR FABRICATING THE SAME | 1 |
Hyo-Sang Kang | KR | Gyeonggi-Do | 2014-06-26 / 20140179118 - SURFACE TREATMENT METHOD FOR SEMICONDUCTOR DEVICE | 1 |
Yongkyu Kang | KR | Yongin-Si | 2016-02-04 / 20160033708 - BACKLIGHT UNIT | 5 |
Heekwang Kang | KR | Seoul | 2011-08-11 / 20110193801 - ELECTROSTATIC CAPACITY TYPE TOUCH SCREEN PANEL AND METHOD OF MANUFACTURING THE SAME | 2 |
Jungyoul Kang | KR | Chilgok-Gun | 2013-05-23 / 20130128474 - DRIVING PRINTED CIRCUIT BOARD AND LIQUID CRYSTAL DISPLAY DEVICE INCLUDING THE SAME | 1 |
Jungyoul Kang | KR | Jung-Ri | 2014-05-08 / 20140125564 - DISPLAY DEVICE AND METHOD FOR DRIVING THE SAME | 1 |
Ji-Su Kang | KR | Seoul | 2016-01-21 / 20160020318 - Semiconductor Device and Method for Fabricating the Same | 11 |
Hu Kang | US | Tualatin | 2016-04-28 / 20160118246 - GAPFILL OF VARIABLE ASPECT RATIO FEATURES WITH A COMPOSITE PEALD AND PECVD METHOD | 24 |
Hoyong Kang | KR | Daejeon | 2015-02-05 / 20150036675 - METHOD AND APPARATUS FOR PROCESSING PACKET IN MULTI-CHANNEL WIRELESS NETWORK | 14 |
Do-Hyung Kang | KR | Gyeonggi-Do | 2014-04-24 / 20140113276 - PRODUCTION PLANT FOR MICROALGAE BIOFUEL, BIOREACTOR FOR PRODUCING BIOFUEL, AND METHOD FOR PRODUCING MICROALGAE BIOFUEL | 1 |
Han Joon Kang | KR | Suwon-Si | 2013-10-10 / 20130263922 - BACK SHEET FOR SOLAR CELLS AND METHOD FOR PREPARING THE SAME | 1 |
Shin-Tack Kang | KR | Yongin-Si | 2016-05-19 / 20160139473 - THIN FILM TRANSISTOR ARRAY PANEL, LIQUID CRYSTAL DISPLAY, AND METHOD TO REPAIR THE SAME | 11 |
Hong-Koo Kang | KR | Seoul | 2014-05-22 / 20140143872 - METHOD OF DETERMINING WHETHER OR NOT WEBSITE IS MALICIOUS AT HIGH SPEED | 12 |
Heesoo Kang | KR | Seoul | 2015-12-10 / 20150357245 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE | 5 |
Minah Kang | KR | Daejeon | 2013-08-22 / 20130216906 - BINDER FOR SECONDARY BATTERY EXIBITING EXCELLENT ADHESION FORCE | 6 |
Nam Jae Kang | KR | Suwon-Si | 2012-10-04 / 20120251701 - METHOD FOR PRODUCING HOLLOW NOODLES | 1 |
Taekyu Kang | US | Newark | 2015-07-02 / 20150184855 - METHOD AND BURNER USING THE CURIE EFFECT FOR CONTROLLING REACTANT VELOCITY FOR OPERATION IN PRE-HEATED AND NON-PRE-HEATED MODES | 11 |
George Kang | US | Newark | 2014-01-23 / 20140022031 - METHOD OF APPLYING PATTERNED METALLIZATION TO BLOCK FILTER RESONATORS | 2 |
Uk Kang | KR | Seoul | 2015-07-23 / 20150201840 - REFLECTION DETECTION TYPE MEASUREMENT APPARATUS FOR SKIN AUTOFLUORESCENCE | 11 |
Chih-Tsung Kang | CN | Shenzhen City | 2015-10-08 / 20150286099 - Compensation Architecture of Liquid Crystal Panel and Liquid Crystal Display Device | 15 |
Ho Suk Kang | KR | Suwon-Si | 2014-06-12 / 20140158999 - COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE | 1 |
Yonggu Kang | KR | Gyeonggi-Do | 2015-01-22 / 20150026512 - INTEGRATED CIRCUIT AND MEMORY DEVICE | 4 |
Seok Min Kang | KR | Seoul | 2015-10-29 / 20150311290 - EPITAXIAL WAFER AND SWITCH ELEMENT AND LIGHT-EMITTING ELEMENT USING SAME | 14 |
Sung Hoon Kang | KR | Suwon-Si | 2015-04-16 / 20150103976 - X-RAY IMAGING APPARATUS AND CONTROL METHOD FOR THE SAME | 18 |
Jin Whan Kang | KR | Seoul | 2014-02-20 / 20140050166 - INTER-LINK INTERFERENCE INFORMATION SHARING-BASED LINK SCHEDULING METHOD AND APPARATUS FOR USE IN WIRELESS COMMUNICATION SYSTEM | 1 |
Jin Whan Kang | KR | Gyeonggi-Do | 2015-09-17 / 20150264695 - METHOD AND APPARATUS FOR CONTROLLING INTERFERENCE IN DEVICE-TO-DEVICE COMMUNICATION | 2 |
Yoon Ah Kang | KR | Daejeon | 2014-10-30 / 20140322611 - ANODE ACTIVE MATERIAL HAVING HIGH CAPACITY FOR LITHIUM SECONDARY BATTERY, PREPARATION THEREOF AND LITHIUM SECONDARY BATTERY COMPRISING THE SAME | 11 |
Jiyoung Kang | KR | Gyeonggi-Do | 2015-11-05 / 20150316983 - METHOD FOR OPERATING USER FUNCTIONS BASED ON EYE TRACKING AND MOBILE DEVICE ADAPTED THERETO | 11 |
Jaehyeon Kang | KR | Gyeonggi-Do | 2015-01-15 / 20150018038 - METHOD AND APPARATUS FOR GENERATING DIRECTIONAL SOUND | 3 |
Jaehyeon Kang | KR | Suwon-Si | 2016-03-24 / 20160086364 - METHOD AND APPARATUS FOR APPLYING GRAPHIC EFFECT IN ELECTRONIC DEVICE | 2 |
Hongwei Kang | CN | Jiangxi | 2014-08-07 / 20140216786 - LEAD ELECTRODE AND PREPARATION METHOD THEREOF | 1 |
Kwan Hyoung Kang | KR | Pohang-Si | 2014-08-07 / 20140219823 - MICROPUMP | 1 |
Hyo Jung Kang | KR | Chungbuk | 2014-08-07 / 20140220068 - NOVEL XYLOGONE GANODERMOPHTHORA STRAIN WITH ANTIFUNGAL ACTIVITY, AND COMPOSITION INCLUDING SAME FOR PREVENTING PLANT DISEASES | 1 |
Jin-Kyu Kang | KR | Daegeon | 2014-08-07 / 20140220647 - MICROORGANISM OVER-EXPRESSING LACTIC ACID TRANSPORTER GENE AND HAVING INHIBITORY PATHWAY OF LACTIC ACID DEGRADATION, AND METHOD OF PRODUCING LACTIC ACID USING THE MICROORGANISM | 2 |
Jie Kang | CN | Hebei | 2014-05-29 / 20140144557 - METHOD FOR PRODUCING LOW TEMPERATURE BAINITE STEEL CONTAINING ALUMINUM | 1 |
Hanjun Kang | KR | Daejeon | 2014-06-12 / 20140158319 - REAR AIR CONDITIONER FOR VEHICLE | 1 |
Hye Won Kang | KR | Namyangju-Si | 2014-07-31 / 20140212462 - POLYMER NANOPARTICLE INJECTION FORMULATION COMPOSITION CONTAINING RAPAMYCIN WITH IMPROVED WATER SOLUBILITY, PREPARATION METHOD THEREOF, AND ANTICANCER COMPOSITION FOR COMBINED USE WITH RADIOTHERAPY (as amended) | 1 |
Hyuk Kang | KR | Yongin-Si | 2016-05-12 / 20160133052 - VIRTUAL ENVIRONMENT FOR SHARING INFORMATION | 17 |
Hyuk Kang | KR | Suwon-Si | 2015-03-05 / 20150063337 - METHOD FOR CONTROLLING TRANSMISSION SPEED AND ELECTRONIC DEVICE THEREOF | 3 |
Yeon-Soo Kang | KR | Goyang-Si | 2014-06-19 / 20140172393 - TRAFFIC COMMUNICATION-INTEGRATED SYSTEM | 1 |
Seogshin Kang | KR | Goyang-Si | 2014-07-03 / 20140183485 - BLUE FLUORESCENT COMPOUNDS AND ORGANIC LIGHT EMITTING DIODE DEVICES USING THE SAME | 1 |
Gyu Tae Kang | KR | Goyang-Si | 2014-05-22 / 20140138691 - THIN FILM TRANSISTOR SUBSTRATE | 1 |
Choun Sung Kang | KR | Goyang-Si | 2016-01-28 / 20160026031 - LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Taeho Kang | KR | Incheon Metropolitan City | 2014-07-24 / 20140204315 - DISPLAY APPARATUS | 1 |
Young Suk Kang | KR | Suwon | 2015-03-26 / 20150085378 - LENS MODULE | 5 |
Gun Soo Kang | KR | Suwon | 2016-05-05 / 20160124046 - METHOD FOR DETECTING INTERLOCK FAILURE OF CONNECTOR IN ECO-FRIENDLY VECHICLE | 6 |
Da Young Kang | KR | Incheon | 2014-07-24 / 20140205531 - POROUS CARBON PARTICLE AND PRODUCING METHOD OF THE SAME | 1 |
Hyun-Ah Kang | KR | Seoul | 2014-07-24 / 20140206085 - CASSETTE INCLUDING PROMOTER SEQUENCE OF TARGET GENE AND METHOD OF GENE MANIPULATION USING THE SAME | 1 |
Su Bin Kang | KR | Busan | 2016-05-19 / 20160141266 - METHOD OF BONDING WITH SILVER PASTE | 5 |
Jeong-Yun Kang | KR | Busan | 2014-07-17 / 20140196286 - PRODUCTION METHOD FOR A PLATE HEAT EXCHANGER | 1 |
Kitae Kang | KR | Seoul | 2015-10-15 / 20150296641 - DISPLAY APPARATUS | 3 |
Yoon-Ho Kang | KR | Yongin-City | 2015-01-29 / 20150028292 - ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Hong Seong Kang | KR | Hwasung-Si | 2014-07-10 / 20140191312 - Semiconductor Device and Method of Forming the Same | 1 |
Hyejin Kang | KR | Suwon-Si | 2014-07-10 / 20140192245 - METHOD AND MOBILE TERMINAL FOR IMPLEMENTING PREVIEW CONTROL | 1 |
Minyoung Kang | KR | Daejeon | 2016-05-19 / 20160141514 - HETERO RING COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING SAME | 3 |
Yun-Sun Kang | US | Cambridge | 2014-07-10 / 20140195330 - METHODS AND APPARATUS FOR PROVIDING EFFECTIVENESS SCORE OF ADVERTISEMENTS | 1 |
Jong Kang | US | Pleasanton | 2015-12-17 / 20150361182 - METHODS FOR TREATING CARDIOVASCULAR DISEASES | 2 |
Seungwoo Kang | KR | Seoul | 2014-07-10 / 20140196095 - SERVER AND CLIENT PROCESSING MULTIPLE SETS OF CHANNEL INFORMATION AND CONTROLLING METHOD OF THE SAME | 1 |
Dae Sung Kang | KR | Suwon-Si | 2014-05-08 / 20140125242 - ILLUMINATION SYSTEM | 1 |
Yoo Jin Kang | KR | Paju-Si | 2014-05-29 / 20140146066 - TIMING CONTROLLER, DRIVING METHOD THEREOF, AND DISPLAY DEVICE USING THE SAME | 1 |
Jin Goo Kang | KR | Paju-Si | 2014-07-03 / 20140183474 - ORGANIC LIGHT EMITTING DEVICE | 1 |
Jin Hee Kang | KR | Daegu | 2014-05-29 / 20140147453 - FUSION PEPTIDE COMPRISING dhFas-1 DOMAIN AND MMP SUBSTRATE AND USE THEREOF FOR PREVENTING AND TREATING RHEUMATOID ARTHRITIS | 1 |
In-Man Kang | KR | Daegu | 2014-06-19 / 20140167146 - TUNNELING FIELD EFFECT TRANSISTOR AND FABRICATION METHOD THEREOF | 3 |
Dong Suk Kang | KR | Daegu | 2014-07-03 / 20140183803 - MOUNTING BUSH | 1 |
Ju-Hyun Kang | KR | Hwaseong-Si | 2015-01-15 / 20150014775 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME | 2 |
Jungyoul Kang | KR | Gyeongsangbuk-Do | 2014-07-03 / 20140184659 - LIQUID CRYSTAL DISPLAY | 1 |
Chan Goo Kang | KR | Daejeon | 2014-07-03 / 20140184895 - Photographic Lens Optical System | 4 |
Bo-Suk Kang | KR | Anyang-Si | 2014-07-03 / 20140185842 - DISPLAY APPARATUS AND SOUND CONTROL METHOD THEREOF | 1 |
Shih Kang | TW | Hsinchu | 2014-07-03 / 20140187926 - ROTATION TYPE OPTICAL TOMOGRAPHY SCANNER | 2 |
Ping-Ying Kang | TW | Hsinchu | 2014-06-26 / 20140181775 - UNIT CAPACITOR MODULE, AUTOMATIC CAPACITOR LAYOUT METHOD THEREOF AND AUTOMATIC CAPACITOR LAYOUT DEVICE THEREOF | 1 |
Fu-Ming Kang | TW | Hsinchu | 2014-11-20 / 20140342770 - METHOD FOR SELECTING AN ANTENNA SET AND WIRELESS COMMUNICATION DEVICE UTILIZING THE SAME | 2 |
Hyun Seok Kang | KR | Gyeonggi-Do | 2015-09-17 / 20150263282 - METHOD FOR FABRICATING SEMICONDUCTOR APPARATUS | 2 |
Sang Kil Kang | KR | Gwangju | 2014-06-26 / 20140175555 - SEMICONDUCTOR DEVICES HAVING BURIED METAL SILICIDE LAYERS AND METHODS OF FABRICATING THE SAME | 1 |
Moo-Chan Kang | KR | Gwangju | 2015-03-05 / 20150060838 - ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE HAVING BUILT-IN TOUCH PANEL AND METHOD OF MANUFACTURING THE SAME | 2 |
Giseok Kang | KR | Gwangju | 2014-06-12 / 20140163414 - INSERTABLE PROBE FOR DIAGNOSIS OF LESIONAL TISSUE IN REAL TIME AND METHOD OF MANUFACTURING ELECTRODE THEREOF | 1 |
Teckgyu Kang | US | San Jose | 2014-06-26 / 20140175665 - CHIP PACKAGE USING INTERPOSER SUBSTRATE WITH THROUGH-SILICON VIAS | 2 |
Sung Woo Kang | KR | Suwon-Si | 2016-05-19 / 20160141417 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 2 |
Tae Jin Kang | KR | Icheon-Si | 2014-06-26 / 20140176167 - SEMICONDUCTOR APPARATUS | 1 |
Kyung Yun Kang | KR | Jinju-Si | 2014-06-26 / 20140177190 - DISPLAY DEVICE | 1 |
Kibok Kang | KR | Gunpo-Si | 2014-06-26 / 20140179190 - Detachment Apparatus Having Arched Drum Pad and Method for Fabricating Lightweight, Thin Liquid Crystal Display Device Using the Same | 1 |
Chih-Hsing Kang | TW | Taipei | 2014-06-26 / 20140181996 - COMPUTER READABLE STORAGE MEDIUM FOR STORING APPLICATION PROGRAM FOR NETWORK CERTIFICATION | 1 |
Sung-Chul Kang | KR | Seongnam-Si | 2014-06-19 / 20140166049 - CLEANING METHOD OF PROCESS CHAMBER | 1 |
Jungwon Kang | KR | Seoul | 2014-06-19 / 20140167607 - ELECTRODELESS LIGHTING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kyungwon Kang | KR | Cheonan-Si | 2014-06-19 / 20140168186 - DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 1 |
Ho Shin Kang | KR | Cheonan-Si | 2014-06-05 / 20140152966 - FACILITY AND METHOD FOR TREATING SUBSTRATE | 1 |
Sungyong Kang | KR | Asan-Si | 2014-06-19 / 20140168534 - DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
Han Saem Kang | KR | Uiwang-Si | 2014-06-19 / 20140168767 - POLARIZING PLATE, METHOD OF PREPARING THE SAME, AND LIQUID CRYSTAL DISPLAY APPARATUS INCLUDING THE SAME | 2 |
Dong Min Kang | KR | Daejon | 2014-06-12 / 20140159050 - FIELD EFFECT TRANSISTOR AND METHOD OF FABRICATING THE SAME | 1 |
Jae-Woong Kang | KR | Jeonju-Si | 2015-07-09 / 20150194106 - DISPLAY APPARATUS AND METHOD FOR DRIVING THE SAME | 4 |
Yuejing Kang | CN | Beijing | 2014-06-12 / 20140161779 - METHODS FOR CONTROLLING PEST | 5 |
Yaling Kang | CN | Beijing | 2015-12-31 / 20150378086 - OPTICAL ASSEMBLY, MANUFACTURING METHOD FOR THE SAME AND DISPLAY DEVICE | 2 |
Seok Joon Kang | KR | Icheon-Si | 2014-06-12 / 20140160872 - VOLTAGE GENERATION CIRCUIT, AND WRITE DRIVER AND SEMICONDUCTOR MEMORY APPARATUS INCLUDING THE SAME | 1 |
Seong-In Kang | KR | Suwon-Si | 2014-06-12 / 20140164987 - CONTENTS-CONTROL METHOD AND DISPLAY APPARATUS FOR PERFORMING THE SAME | 1 |
Donghoon Kang | KR | Seoul | 2014-06-05 / 20140156218 - METHOD OF MOTION TRACKING | 1 |
Jun-Ki Kang | KR | Daejeon | 2014-06-05 / 20140153725 - LOW-POWER ENCRYPTION APPARATUS AND METHOD | 1 |
Hao-Ping Kang | TW | Taipei City | 2014-05-29 / 20140145866 - DATA PROCESSING APPARATUS AND METHOD | 1 |
Han Wool Kang | KR | Gyunggi-Do | 2014-05-22 / 20140138611 - IN NANOWIRE, DEVICE USING THE SAME AND METHOD OF MANUFACTURING In NANOWIRE | 1 |
Young Mi Kang | KR | Daejeon | 2014-12-18 / 20140370132 - COMPOSITION CONTAIING JETBEAD EXTRACTS | 2 |
Sung Cheol Kang | KR | Gwangju-Si | 2014-05-15 / 20140130537 - REFRIGERATOR | 1 |
Young Jae Kang | KR | Seoul | 2014-05-15 / 20140132954 - CELL FOR OPTICAL ANALYSIS | 1 |
Jin-Hwan Kang | KR | Seoul | 2014-05-15 / 20140133593 - METHOD AND APPARATUS FOR SUPPORTING MULTIPLE-ACCESS SIGNAL IN MOBILE COMMUNICATION SYSTEM | 1 |
Yoon-Sok Kang | KR | Seongnam-Si, Gyeonggi-Do | 2014-05-15 / 20140134502 - ELECTROLYTE FOR SECONDARY LITHIUM BATTERY AND SECONDARY LITHIUM BATTERY USING THE SAME | 1 |
Po-Sheng Kang | TW | Hsinchu County | 2014-05-15 / 20140134889 - UNIVERSAL SERIAL BUS RECEPTACLE AND UNIVERSAL SERIAL BUS PLUG WITH STRIP-LINE ARCHITECTURE | 1 |
Hyeong-Seok Kang | KR | Seoul | 2014-05-15 / 20140136642 - MASTER DEVICE FOR CALCULATING SYNCHRONIZED ACTUATION TIME OF MULTIPLE SLAVE DEVICES AND METHOD FOR CONTROLLING THE SAME | 1 |
Hyun-Sung Kang | KR | Yongin-Si | 2014-05-08 / 20140124744 - ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
In-Kwon Kang | KR | Seoul | 2012-01-05 / 20120001868 - COMPOSITE TOUCH SCREEN PANEL | 1 |
Jung Im Kang | KR | Daejeon | 2014-08-21 / 20140233561 - METHOD OF DETERMINING ROUTING PATH BASED ON CHANGE IN DYNAMIC STATE OF NODES | 1 |
Kyunga Kang | KR | Seoul | 2013-08-08 / 20130204967 - METHOD AND APPARATUS FOR INTEROPERABLY PERFORMING SERVICES AND SYSTEM SUPPORTING THE SAME | 1 |
Soonmo Peter Kang | US | Westfield | 2014-08-21 / 20140234296 - STABLE FORMULATIONS OF ANTIBODIES TO HUMAN PROGRAMMED DEATH RECEPTOR PD-1 AND RELATED TREATMENTS | 1 |
Min Ho Kang | KR | Haeundae-Gu | 2014-09-04 / 20140245755 - SYSTEM AND METHOD FOR PROCESSING LIQUEFIED GAS | 4 |
Sang-Gu Kang | KR | Daejeon | 2014-08-21 / 20140231651 - SIGNAL DETECTING CIRCUIT OF INFRARED SENSOR AND METHOD OF CORRECTING SAME | 1 |
Yun Hwan Kang | KR | Yongin | 2014-08-21 / 20140234456 - COMPOSITION FOR ANTIDIABETES CONTAINING SMILAX CHINA L LEAVES EXTRACT | 1 |
Eun Soo Kang | KR | Daejeon | 2014-08-21 / 20140235749 - ACRYLONITRILE-ACRYLATE-STYRENE GRAFT COPOLYMER AND THERMOPLASTIC RESIN COMPOSITION COMPRISING THEREOF | 1 |
Hyunduk Kang | KR | Daejeon | 2013-06-27 / 20130165170 - SYSTEM AND METHOD FOR MANAGING RESOURCES IN A COMMUNICATION SYSTEM | 5 |
Yongdae Kang | KR | Seoul | 2015-09-10 / 20150255505 - DISPLAY DEVICE USING SEMICONDUCTOR LIGHT EMITTING DEVICE | 2 |
Young-Gyu Kang | KR | Yongin-Si | 2015-12-31 / 20150376200 - METHOD FOR PREPARING COUMESTROL OR COUMESTRIN | 11 |
Seokhoon Kang | KR | Seongnam-Si | 2014-08-28 / 20140240991 - ULTRA SLIM COLLIMATOR FOR LIGHT EMITTING DIODE | 1 |
Ho Young Kang | KR | Hwaseong-Si | 2016-03-03 / 20160060757 - REACTOR OF SUBSTRATE PROCESSING APPARATUS | 2 |
Seung Wan Kang | KR | Gwangju | 2015-02-26 / 20150053271 - REFRIGERATOR AND METHOD OF CONTROLLING THE SAME | 2 |
Han Kang | KR | Gwangju | 2014-08-28 / 20140243086 - SERVER, METHOD FOR CONTROLLING A GAME IN A SERVER, MOBILE APPARATUS, METHOD FOR CONTROLLING A MOBILE APPARATUS, DISPLAY APPARATUS, AND METHOD FOR DISPLAYING A GAME IMAGE IN A DISPLAY APPARATUS | 1 |
Seung Hyun Kang | KR | Hwaseong-Si | 2015-03-12 / 20150069777 - STRUCTURE FOR COUPLING VEHICLE MEMBERS | 2 |
Seoung Mo Kang | KR | Paju-Si | 2015-12-31 / 20150378207 - DISPLAY DEVICE | 3 |
Jin Gu Kang | KR | Yongin-Si | 2016-01-07 / 20160006899 - SCANNING APPARATUS AND IMAGE FORMING APPARATUS EMPLOYING THE SAME | 2 |
Jihyun Kang | KR | Yangyang-Gun | 2014-08-28 / 20140242145 - CHITOSAN NANOFIBER FOR ANIONIC PROTEIN DRUG DELIVERY, METHOD OF PREPARING THE SAME, AND PHARMACEUTICAL PREPARATION FOR TRANSMUCOSAL ADMINISTRATION COMPRISING THE CHITOSAN NANOFIBER | 1 |
Sun-Ho Kang | KR | Yongin-Si | 2015-12-31 / 20150380736 - COMPOSITE CATHODE ACTIVE MATERIAL, CATHODE AND LITHIUM BATTERY INCLUDING THE MATERIAL, AND METHOD OF PREPARING THE MATERIAL | 10 |
Kang Kang | US | Sallas | 2014-08-28 / 20140244214 - Method for Localizing Sources of Signals in Reverberant Environments Using Sparse Optimization | 1 |
Yun Gyu Kang | KR | Koonpu-Si | 2011-09-01 / 20110214083 - UNIFIED MANAGEMENT METHOD OF VARIOUS TYPES OF MESSAGES OF A PERSONAL INFORMATION TERMINAL | 2 |
Chia-Pin Kang | TW | Miao-Li County | 2015-08-20 / 20150236077 - OLED DISPLAY | 2 |
Yingxuan Kang | CN | Zhangzhou | 2014-09-11 / 20140258222 - MANAGING NETWORK STORAGE WITH A USER-LEVEL FILE SYSEM | 1 |
Won Kyung Kang | KR | Seoul | 2014-09-11 / 20140258611 - SEMICONDUCTOR DEVICE AND METHOD OF OPERATING THE SAME | 8 |
Kyung Yeon Kang | KR | Daejeon | 2015-10-22 / 20150299239 - NOVEL TRIDENTATE COMPLEXES AND PROCESS OF PRODUCING POLYCARBONATE BY COPOLYMERIZATION OF CARBON DIOXIDE AND EPOXIDE USING THE SAME AS CATALYST | 5 |
Nam Gyu Kang | KR | Daejeon | 2011-09-01 / 20110213804 - SYSTEM FOR EXTRACTING RALATION BETWEEN TECHNICAL TERMS IN LARGE COLLECTION USING A VERB-BASED PATTERN | 2 |
Chu-Ching Kang | TW | Hsinchu Hsien | 2012-10-18 / 20120260926 - MULTI-FUNCTIONAL ELECTRONIC CIGARETTE WITH FUNCTION OF LASER POINTER | 1 |
Min Ho Kang | KR | Busan | 2016-02-25 / 20160053728 - SYSTEM FOR SUPPLYING LIQUEFIED NATURAL GAS FUEL | 4 |
Sung Woo Kang | KR | Incheon | 2014-09-11 / 20140251112 - TREMOLO ARM COUPLING STRUCTURE FOR TREMOLO BRIDGE | 1 |
Yong-Soo Kang | KR | Yongin-Si | 2014-09-11 / 20140251433 - ELECTROLYTE FOR DYE-SENSITIZED SOLAR CELL AND DYE-SENSITIZED SOLAR CELL USING THE SAME | 1 |
Chul Ho Kang | KR | Anyang-Si | 2014-09-11 / 20140251501 - METHOD AND SYSTEM FOR DIE COMPENSATION AND RESTORATION USING HIGH-VELOCITY OXY-FUEL THERMAL SPRAY COATING AND PLASMA ION NITRIDING | 1 |
Jung-Han Kang | KR | Gyeonggi-Do | 2014-09-11 / 20140258112 - METHOD AND APPARATUS FOR PERFORMING ELECTRONIC TRANSACTIONS | 1 |
Jung-Hyun Kang | KR | Icheon-Si | 2016-02-11 / 20160042972 - ELECTRONIC DEVICES HAVING SEMICONDUCTOR MEMORY UNITS AND METHOD FOR FABRICATING THE SAME | 3 |
Xiaoxu Kang | CN | Pudong | 2014-08-07 / 20140217550 - METAL FILM RESISTOR STRUCTURE AND MANUFACTURING METHOD | 1 |
Wei Kang | CN | Chongqing | 2015-11-05 / 20150315050 - SYSTEM FOR REDUCING EMISSION OF NITROUS OXIDE DURING SEWAGE TREATMENT | 2 |
Shengqiao Kang | CN | Hangzhou | 2014-04-17 / 20140108190 - RECOMMENDING PRODUCT INFORMATION | 1 |
Meirong Kang | CN | Lanzhou | 2015-04-02 / 20150094497 - REACTION SYSTEM AND PROCESS FOR PREPARING POLYMETHOXY DIMETHYL ETHER | 3 |
Tao Kang | CN | Shanghai | 2014-07-31 / 20140214837 - AUTOMATICALLY ANALYZING OPERATION SEQUENCES | 2 |
Randy Kang | CN | Shanghai | 2014-09-04 / 20140249656 - METHOD AND APPARATUS FOR ALARM MONITORING | 1 |
Pengju Kang | CN | Shanghai | 2014-09-18 / 20140265979 - SYSTEM AND METHOD FOR FAULT PROTECTION OF A MOTOR | 3 |
Junteng Kang | CN | Shanghai | 2014-02-13 / 20140043942 - METHOD AND APPARATUS FOR IDENTIFYING POSTURE | 1 |
Daxiang Kang | CN | Shanghai | 2014-07-31 / 20140211780 - Clock Synchronization Method and Device | 1 |
Hui Kang | US | Oak Park | 2014-09-18 / 20140279245 - SYSTEM AND METHOD FOR BROWSING A PRODUCT CATALOG AND FOR DYNAMICALLY GENERATED PRODUCT PATHS | 1 |
Tec-Gyu Kang | US | San Jose | 2014-09-18 / 20140262460 - Connection Component with Posts and Pads | 1 |
Yong-Soo Kang | KR | Seoul | 2014-11-06 / 20140326297 - THREE-DIMENSIONAL ELECTRODE ON DYE-SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 2 |
Jianxin Kang | CN | Guangzhou | 2014-09-18 / 20140265107 - SHEET-LIKE MEDIUM STACKING APPARATUS | 1 |
Jae Jun Kang | KR | Seongnam-Si | 2014-09-18 / 20140266044 - FORCED DISCHARGE CIRCUIT OF BATTERY CHARGER FOR ELECTRIC VEHICLE, BATTERY CHARGER FOR ELECTRIC VEHICLE, AND ELECTRIC VEHICLE HAVING FUNCTION OF THE BATTERY CHARGE | 1 |
Bum Suk Kang | KR | Suwon | 2015-03-05 / 20150061816 - MAGNETIC COMPOSITION AND MULTILAYER ELECTRONIC COMPONENT MANUFACTURED BY USING THE SAME | 2 |
Youngmin Kang | KR | Gyeonggi-Do | 2014-09-18 / 20140273632 - CONNECTOR JOINT SUPPORT MODULE, ELECTRONIC DEVICE INCLUDING THE SAME, AND METHODS OF ASSEMBLING AND DISASSEMBLING ELECTRONIC DEVICE | 1 |
Hyoung Taek Kang | KR | Uiwang-Si | 2014-09-18 / 20140275367 - Flame Retardant Polyamide Resin Composition and Molded Article Using Same | 1 |
Myung-Hee Kang | KR | Hwaseong-Si | 2014-09-18 / 20140281494 - ACCESS CONTROL METHOD AND MOBILE TERMINAL WHICH EMPLOYS AN ACCESS CONTROL METHOD | 1 |
Suk Chang Kang | KR | Yeongju | 2011-04-07 / 20110082617 - IMPACT SIGNAL PROCESSOR FOR FRONT IMPACT ACCELERATION SENSOR | 1 |
Sugbong Kang | US | San Diego | 2016-02-18 / 20160049971 - IMPULSE NOISE MITIGATION UNDER OUT-OF-BAND INTERFERENCE CONDITIONS | 12 |
Byung Gu Kang | KR | Seoul | 2014-10-09 / 20140300067 - ACTIVE GEOMETRY CONTROL SUSPENSION SYSTEM OF VEHICLE | 6 |
Chan Hee Kang | KR | Seoul | 2010-06-10 / 20100140704 - Lateral Double Diffused Metal Oxide Semiconductor Device And Method of Making The Same | 1 |
Sung Gyun Kang | KR | Gyeonggi-Do | 2015-05-14 / 20150132819 - Thermococcus onnurineus MC02 and method of hydrogen production using thereof | 9 |
Hyung Won Kang | KR | Seogwipo-Si | 2012-07-19 / 20120181711 - HIGH-CONCENTRATION OXYGEN-DISSOLVING APPARATUS USING ULTRASONIC WAVES | 1 |
Dong Wook Kang | KR | Seoul | 2015-05-21 / 20150138317 - SYSTEM AND METHOD FOR PROVIDING THREE-DIMENSIONAL (3D) BROADCAST SERVICE BASED ON RETRANSMISSION NETWORKS | 15 |
Hyeon Jin Kang | KR | Seoul | 2016-04-14 / 20160105841 - Apparatus and Method for Transmitting/Receiving Power Transmitting Unit Presence Information in Wireless Charging Network | 19 |
Hyun Joo Kang | KR | Daejeon | 2016-01-28 / 20160029457 - ZIGBEE LIGHTING CONTROL APPARATUS AND METHOD | 18 |
Eui-Chul Kang | JP | Tsukuba-Shi | 2015-07-23 / 20150206618 - SILVER NANOPARTICLES, METHOD FOR PRODUCING SAME, SILVER NANOPARTICLE DISPERSION LIQUID, AND BASE PROVIDED WITH SILVER MATERIAL | 10 |
Chi-Tsung Kang | CN | Shenzhen | 2015-06-11 / 20150159833 - QUANTUM DOT LENS AND MANUFACTURING METHOD THEREOF | 2 |
Sumin Kang | US | Decatur | 2014-10-02 / 20140294818 - PGAM1 INHIBITORS AND METHODS RELATED THERETO | 1 |
Weize Kang | US | Cincinnati | 2012-11-01 / 20120275921 - TURBINE ENGINE AND LOAD REDUCTION DEVICE THEREOF | 1 |
Hee Soo Kang | KR | Daejeon | 2013-07-18 / 20130180360 - Method of Manufacturing Iron-Based Powder | 1 |
Junhyun Kang | KR | Seoul | 2013-06-20 / 20130157170 - METHOD FOR DEPOSITING CATALYST IN FUEL CELL, FUEL CELL MANUFACTURED BY THE SAME AND OPERATION APPARATUS FOR THE SAME | 1 |
Jewon Kang | US | San Diego | 2015-06-11 / 20150160709 - SYSTEM AND METHOD FOR BALANCED USER EXPERIENCE IN A MULTIMEDIA CONFERENCING COMMUNITY | 9 |
Xin Kang | US | Hyattsville | 2015-01-22 / 20150025666 - THREE DIMENSIONAL PRINTED REPLICAS OF PATIENT'S ANATOMY FOR MEDICAL APPLICATIONS | 2 |
Kai Kang | US | Nutley | 2014-10-09 / 20140299402 - VECTORIZED JET FAN | 1 |
Yuhong Kang | US | Blacksburg | 2014-10-02 / 20140293678 - Volatile/Non-Volatile Floating Electrode Logic/Memory Cell | 1 |
Moonyoung Kang | US | Cambridge | 2014-10-02 / 20140297338 - System and Method for Optimizing Ticket Cost over a Travel Itinerary | 1 |
Jin-Koo Kang | KR | Yongin-City | 2015-08-27 / 20150243930 - DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 5 |
Ji-Hoon Kang | KR | Yongin-City | 2014-10-02 / 20140291619 - METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS MANUFACTURED BY THE SAME | 1 |
Il-Joon Kang | KR | Yongin-City | 2014-12-25 / 20140374741 - OXIDE SEMICONDUCTOR, OXIDE SEMICONDUCTOR THIN FILM, AND THIN FILM TRANSISTOR INCLUDING THE SAME | 2 |
Hyun-Gu Kang | KR | Yongin-City | 2014-10-23 / 20140313176 - CUP USING TRANSPARENT FLEXIBLE DISPLAY | 1 |
Go-Woon Kang | KR | Yongin-City | 2014-10-02 / 20140293573 - FLAT PANEL DISPLAY | 1 |
Junghyun Kang | KR | Gyeonggi-Do | 2014-10-16 / 20140305778 - INPUT APPARATUS FOR ELECTRONIC DEVICE | 1 |
Seung-Kyun Kang | US | Urbana | 2014-10-16 / 20140305900 - TRANSIENT ELECTRONIC DEVICES COMPRISING INORGANIC OR HYBRID INORGANIC AND ORGANIC SUBSTRATES AND ENCAPSULATES | 1 |
Ju Hee Kang | KR | Gwangju-Si | 2014-10-16 / 20140306664 - CATHODE COMPOSITE MATERIAL SYNTHESIS HAVING HIGH ENERGY DENSITY FOR LITHIUM SECONDARY BATTERY FOR ELECTRIC VEHICLE AND ELECTRODE MANUFACTURING TECHNOLOGY THEREOF | 1 |
Kiseok Kang | KR | Pyeongtaek-Si | 2014-10-16 / 20140307574 - COMMUNICATION CONNECTION CONTROL METHOD AND ELECTRONIC APPARATUS SUPPORTING THE SAME | 1 |
Ji-Yeon Kang | KR | Daejeon | 2014-10-16 / 20140308716 - NOVEL METHOD FOR PREPARING METABOLITES OF ATORVASTATIN USING BACTERIAL CYTOCHROME P450 AND COMPOSITION THEREFOR | 1 |
Song Yun Kang | JP | Tokyo | 2015-08-13 / 20150225846 - ZnO FILM PRODUCTION DEVICE, AND PRODUCTION METHOD | 2 |
Dae-Keun Kang | KR | Incheon | 2014-10-09 / 20140299889 - SEMICONDUCTOR DEVICES | 1 |
Chang Soo Kang | KR | Incheon | 2014-10-09 / 20140302199 - TOFU MANUFACTURING PROCESS | 1 |
Jaewoo Kang | KR | Suwon-Si | 2014-10-09 / 20140300609 - DEVICE AND METHOD FOR EDITING INK TEXT DATA | 1 |
Young Chul Kang | US | La Palma | 2014-10-09 / 20140302199 - TOFU MANUFACTURING PROCESS | 1 |
Sang-Hoon Kang | KR | Busan | 2014-10-09 / 20140302613 - MEANS FOR DETECTING OXYGEN FREE RADICALS IN HUMAN BODY | 1 |
Shangmingxue Kang | CN | Beijing | 2014-10-09 / 20140302828 - MOBILE TERMINAL, SERVER AND CALLING METHOD BASED ON CLOUD CONTACT LIST | 1 |
Young-Ae Kang | KR | Gyeonggi-Do | 2014-10-09 / 20140302879 - ELECTRONIC DEVICE AND METHOD FOR RECEIVING GEO-FENCE SERVICE WITH LOW POWER CONSUMPTION | 1 |
Pil Seung Kang | KR | Cheongju-Si | 2014-10-02 / 20140291767 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Suk Hoon Kang | KR | Daejeon | 2014-10-02 / 20140294653 - MARTENSITIC OXIDE DISPERSION STRENGTHENED ALLOY WITH ENHANCED HIGH-TEMPERATURE STRENGTH AND CREEP PROPERTY, AND METHOD OF MANUFACTURING THE SAME | 1 |
Franklin Kang | US | Los Angeles | 2014-10-02 / 20140295937 - GAME DEVICE, GAME CONTROL METHOD, AND STORAGE MEDIUM STORING A PROGRAM | 1 |
Young Gu Kang | KR | Hwaseong-Si | 2015-12-24 / 20150370105 - LIQUID CRYSTAL DISPLAY INCLUDING LIGHT BLOCKING MEMBER OVERLAPPING SPACER | 4 |
Namseok Kang | KR | Seongnam-Si | 2014-09-25 / 20140286817 - METHOD OF PREPARING NANOCOMPOSITE MAGNET USING ELECTROLESS OR ELECTRO DEPOSITION METHOD | 1 |
Won Cheal Kang | GB | Oxford | 2016-02-11 / 20160037794 - SOLUBLE BEVERAGE MASS | 2 |
Min-Goo Kang | KR | Seo-Gu | 2014-09-25 / 20140288241 - POLYLACTIC ACID RESIN AND COPOLYMER POLYESTER RESIN BLEND, AND MOLDED PRODUCT USING SAME | 1 |
Seung-Beom Kang | KR | Gyeonggi-Do | 2015-07-30 / 20150214763 - METHOD FOR CONTROLLING BATTERY CHARGING OPERATION AND ELECTRONIC DEVICE THEREOF | 2 |
Hee Jun Kang | KR | Yongin-Si | 2014-10-23 / 20140313047 - HOME APPLIANCE AND METHOD OF CONTROLLING THE SAME | 1 |
Jun Mo Kang | KR | Bucheon-Si | 2014-10-23 / 20140313588 - VARIABLE FOCUS LENS, AND PREPARING METHOD OF THE SAME | 1 |
Sangmin Kang | KR | Daejeon | 2014-10-23 / 20140316568 - DEVICE AND METHOD FOR CONTROLLING WEAVING MOTION IN REAL TIME | 1 |
Hoyoung Kang | US | Boise | 2014-10-23 / 20140313810 - SWITCHABLY COUPLED DIGIT LINE SEGMENTS IN A MEMORY DEVICE | 1 |
Sungil Kang | KR | Hwaseong-Si | 2014-10-23 / 20140313850 - CONTAINER FOR MIXING TWO DIFFERENT TYPES OF SOLUTIONS | 1 |
Tae Hun Kang | KR | Daegu | 2015-02-26 / 20150053024 - TORQUE MEASURING APPARATUS USING MAGNETIC BODIES | 2 |
Du-Ho Kang | KR | Seoul | 2011-09-08 / 20110218013 - APPARATUS AND METHOD FOR POWER CONTROL OF MOBILE BASE STATION OF VARIABLE BACKBONE CAPACITY | 2 |
Bongsu Kang | US | Fort Wayne | 2013-04-04 / 20130081753 - PASSIVELY DAMPED VIBRATION WELDING SYSTEM AND METHOD | 2 |
Shin-Il Kang | KR | Gyeonggi-Do | 2014-10-30 / 20140325361 - METHOD AND APPARATUS FOR CONTROLLING PRESENTATION SLIDES | 1 |
Su Ryeon Kang | KR | Goyang-Si | 2016-05-19 / 20160139499 - MULTI-PROJECTION SYSTEM USING INTERIOR SURFACE AS PROJECTION SURFACE | 25 |
Han Ju Kang | KR | Yeosu-Si | 2013-07-04 / 20130168607 - Artificial Marble Having Natural Textures and Luminescent Patterns and Method of Preparing the Same | 1 |
Yousung Kang | KR | Daejeon | 2014-10-16 / 20140307871 - METHOD FOR KEY ESTABLISHMENT USING ANTI-COLLISION ALGORITHM | 1 |
Doo-Suk Kang | KR | Seoul | 2014-06-19 / 20140173421 - SYSTEM FOR PROVIDING A TRAVEL GUIDE | 2 |
Doo-Suk Kang | KR | Suwon-Si | 2015-08-27 / 20150244665 - APPARATUS AND METHOD FOR TRANSMITTING MESSAGE | 7 |
Doo-Suk Kang | KR | Gyeonggi-Do | 2016-05-05 / 20160124599 - METHOD FOR CONTROLLING MULTI DISPLAY AND ELECTRONIC DEVICE THEREOF | 13 |
Hung Kang | TW | New Taipei City | 2014-10-30 / 20140318277 - SAMPLING DEVICE ADAPTED FOR SAMPLING AIRBORNE COMPONENTS | 1 |
Ji Hun Kang | KR | Anyang-Si | 2014-10-30 / 20140319923 - APPARATUS AND METHOD FOR TRANSMITTING WIRELESS POWER | 1 |
Kyung Il Kang | KR | Incheon | 2014-10-30 / 20140322387 - PREPARATION METHOD FOR FERMENTED CORN GLUTEN | 1 |
Ji-Na Kang | KR | Suwon-Si | 2014-08-28 / 20140242263 - ALUMINUM PRECURSOR, METHOD OF FORMING A THIN FILM AND METHOD OF FORMING A CAPACITOR USING THE SAME | 1 |
Seong-Woon Kang | KR | Gyeonggi-Do | 2015-01-15 / 20150018045 - COVER DEVICE AND PORTABLE TERMINAL HAVING THE SAME | 11 |
Kyung Tae Kang | KR | Seoul | 2015-07-02 / 20150188166 - FUEL SUPPLYING APPARATUS AND SYSTEM FOR DIRECT CARBON FUEL CELL | 3 |
Bin Kang | CN | Shenzhen | 2014-11-06 / 20140330895 - METHOD AND SYSTEM FOR DOWNLOADING APPLICATION, DOWNLOADING TERMINAL, SHARING TERMINAL, AND STORAGE MEDIUM | 1 |
Young-Ku Kang | KR | Changwon-City | 2014-11-06 / 20140325885 - APPARATUS FOR SUPPORTING FIREARM, FIREARM ASSEMBLY, AND METHOD OF REDUCING SHOCK OF FIRING | 1 |
Taegyu Kang | KR | Chungcheongnam-Do | 2015-11-05 / 20150318270 - SEMICONDUCTOR PACKAGE AND METHOD OF MANUFACTURING THE SAME | 2 |
Min Young Kang | KR | Jeollabuk-Do | 2014-11-06 / 20140328951 - Composition for Preventing and Treating Vision Deterioration and Age-Related Macular Degeneration through Retinal Repair Using Ginseng/Red Ginseng Extracts and Ginsenoside | 1 |
Sora Kang | KR | Seoul | 2014-11-13 / 20140333564 - HAPTIC TRANSMISSION METHOD AND MOBILE TERMINAL FOR SAME | 1 |
Ingu Kang | KR | Daegu | 2014-11-13 / 20140331914 - APPARATUS FOR GROWING INGOT AND METHOD OF GROWING INGOT | 1 |
Dae Woon Kang | US | Chandler | 2014-11-13 / 20140333353 - MANAGING CLOCK AND RECOVERY DATA | 1 |
Dongwoo Kang | KR | Daejeon | 2014-11-20 / 20140342266 - FUEL CELL INCLUDING COUPLING DEVICE | 2 |
Byung Jun Kang | KR | Yongin-Si | 2015-05-28 / 20150146919 - APPARATUS AND METHOD FOR DETECTING PEDESTRIANS | 2 |
Seungjae Kang | KR | Seoul | 2014-11-13 / 20140334955 - OIL SUPPLY SYSTEM | 1 |
Hyo-Seok Kang | KR | Bucheon-Si | 2014-11-13 / 20140335587 - RADIOFREQUENCY DEVICE FOR INCREASING INTRACELLULAR BIOACTIVE SUBSTANCE AND PLANT CELL CULTURE METHOD USING THE SAME | 1 |
Sun-Won Kang | KR | Seongnam-Si | 2015-11-19 / 20150332993 - PRINTED CIRCUIT BOARD HAVING TRACES AND BALL GRID ARRAY PACKAGE INCLUDING THE SAME | 9 |
Jaheon Kang | KR | Seoul | 2014-11-20 / 20140340642 - PERSONAL-COMPUTER-BASED VISUAL-FILED SELF-DIAGNOSIS SYSTEM AND VISUAL-FIELD SELF-DIAGNOSIS METHOD | 1 |
Wanjune Kang | KR | Pyeongtaek-Si | 2015-11-12 / 20150323848 - DISPLAY PANEL AND DISPLAY DEVICE | 3 |
Dongwon Kang | KR | Gwangmyeong-Si | 2014-11-20 / 20140340912 - TRANSPARENT LIGHT-EMITTING SHEET AND METHOD OF MANUFACTURING SAME | 1 |
Hyun-Seok Kang | KR | Busan | 2016-01-07 / 20160003124 - VIBRATION REDUCTION DEVICE OF MUFFLER TAIL-PIPE FOR CONSTRUCTION EQUIPMENT | 2 |
Hee-Sung Kang | KR | Icheon | 2014-11-20 / 20140339488 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME, AND MICROPROCESSOR, PROCESSOR, SYSTEM, DATA STORAGE SYSTEM AND MEMORY SYSTEM INCLUDING THE SEMICONDUCTOR DEVICE | 1 |
Young Jin Kang | KR | Seoul | 2015-10-15 / 20150296259 - ELECTRONIC APPARATUS AND CONTENT PLAYING METHOD THEREOF | 2 |
Seong Seok Kang | KR | Seoul | 2015-12-03 / 20150348735 - METHOD OF MANUFACTURING FLUORESCENT LAMP AND FLUORESCENT LAMP MANUFACTURED USING THE SAME | 3 |
Hee-Soo Kang | KR | Seoul | 2015-05-28 / 20150147860 - METHODS OF FABRICATING SEMICONDUCTOR DEVICES | 13 |
Jinfeng Kang | CN | Beijing | 2015-07-30 / 20150214277 - Small-Grain Three-Dimensional Memory | 9 |
Dongguk Kang | KR | Seoul | 2015-07-16 / 20150198982 - PORTABLE KEYBOARD | 9 |
Seon-Yeong Kang | KR | Yongin-Si | 2015-02-26 / 20150056485 - RECHARGEABLE LITHIUM BATTERY | 2 |
Kyung Sun Kang | KR | Seocho-Gu | 2013-09-05 / 20130230924 - Equine Amniotic Fluid-Derived Multipotent Stem Cells and a Method for Producing the Same | 1 |
Chan Young Kang | KR | Seoul | 2014-11-27 / 20140345665 - THERMOELECTRIC ELEMENT HAVING STRUCTURE CAPABLE OF IMPROVING THERMAL EFFICIENCY | 1 |
Seok-Woong Kang | KR | Anyang-Si | 2015-04-23 / 20150108055 - SLUDGE-DEWATERING SET, AND SLUDGE-DEWATERING DEVICE HAVING A PLURALITY OF LAYERS | 3 |
Seong Jin Kang | KR | Seoul | 2015-12-03 / 20150348735 - METHOD OF MANUFACTURING FLUORESCENT LAMP AND FLUORESCENT LAMP MANUFACTURED USING THE SAME | 3 |
Yun-Kyu Kang | KR | Incheon | 2014-11-27 / 20140349190 - Silicon Oxide for Anode of Secondary Battery, Method for Preparing the Same and Anode of Secondary Battery Using the Same | 1 |
Shin Ho Kang | KR | Incheon | 2014-11-27 / 20140346963 - LIGHT SOURCE DRIVING APPARATUS AND LIGHT SOURCE SYSTEM | 1 |
Bo Ra Kang | KR | Seoul | 2016-05-19 / 20160141472 - LIGHT EMITTING DEVICE PACKAGE AND LIGHTING APPARATUS INCLUDING THE SAME | 5 |
Shin-Moon Kang | KR | Yongin-City | 2015-04-23 / 20150108450 - THIN-FILM TRANSISTOR ARRAY SUBSTRATE, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS, AND MANUFACTURING METHOD THEREOF | 2 |
Steve Kang | KR | Cheongju-Si | 2014-11-27 / 20140347106 - DELAY-LOCKED LOOP (DLL) OPERATION MODE CONTROLLER CIRCUIT AND METHOD FOR CONTROLLING THEREOF | 1 |
Kyung Won Kang | KR | Cheonan-Si | 2015-04-02 / 20150091949 - DISPLAY DEVICE AND METHOD FOR CORRECTING GAMMA DEVIATION | 2 |
Hee Won Kang | KR | Seoul | 2015-10-08 / 20150287447 - SEMICONDUCTOR DEVICES AND SEMICONDUCTOR SYSTEMS INCLUDING THE SAME | 2 |
Jeon Woong Kang | US | Melrose | 2014-11-27 / 20140349337 - RAMAN SPECTROSCOPY FOR DETECTION OF GLYCATED ANALYTES | 1 |
Dong-Il Kang | KR | Yongin-Si | 2014-11-27 / 20140350007 - CRYSTALLINE FORMS OF TRICYCLIC COMPOUND ACID SALT OR HYDRATE THEREOF, AND METHOD FOR MAKING THEREOF | 1 |
Kyung-Hoon Kang | KR | Suwon-Si | 2015-09-03 / 20150248265 - SYSTEM AND METHOD OF MOBILE PRINTING USING NEAR FIELD COMMUNICATION | 9 |
Heemin Kang | KR | Seoul | 2009-10-29 / 20090271281 - Computer-implemented reservation system | 1 |
Sean S. Kang | US | San Ramon | 2015-10-08 / 20150287612 - SPACER FORMATION | 14 |
Chang-Min Kang | KR | Yongin-City | 2014-12-04 / 20140353599 - ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
Chang-Heon Kang | KR | Paju-Si | 2015-12-31 / 20150379923 - THIN FILM TRANSISTOR SUBSTRATE, DISPLAY PANEL INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE SAME | 4 |
Hak-Seong Kang | KR | Changwon-Si | 2014-12-04 / 20140354111 - ULTRASONIC WAVE CONVERTER, ELECTRIC PULSE GENERATING DEVICE, AND ULTRASONIC WAVE GENERATING DEVICE COMPRISING SAME | 1 |
Eun Su Kang | KR | Daegu | 2015-04-02 / 20150092888 - APPARATUS FOR TRANSMITTING AND RECEIVING A SIGNAL AND METHOD THEREOF | 2 |
Young-Hwan Kang | KR | Seoul | 2014-12-04 / 20140355708 - APPARATUS FOR INTERFERENCE CANCELLATION IN MIMO RECEIVER, METHOD FOR INTERFERENCE CANCELLATION IN MIMO RECEIVER AND MIMO RECEIVER | 1 |
Hyun-Joong Kang | KR | Busan | 2014-12-04 / 20140355831 - APPARATUS, METHOD AND COMPUTER-READABLE RECORDING MEDIUM FOR DETECTING MOVING OBJECT USING DEPTH MAP | 1 |
Hongwei Kang | CN | Nanchang | 2015-10-08 / 20150289370 - DOUBLE-SIDED PATTERNED TRANSPARENT CONDUCTIVE FILM AND METHOD FOR MANUFACTURING THE SAME | 2 |
Ji Min Kang | KR | Yongin-Si | 2014-12-04 / 20140358484 - DEFECT CELL CLUSTERING METHOD AND APPARATUS THEREOF | 1 |
Chanyoung Kang | KR | Seoul | 2014-12-11 / 20140361212 - METHOD OF MANUFACTURING THERMOELECTRIC MATERIAL AND THERMOELECTRIC MATERIAL PREPARED BY THE METHOD AND THERMOELECTRIC GENERATOR | 1 |
Tae Young Kang | KR | Sejong | 2014-12-11 / 20140361894 - SECURITY APPARATUS AND SYSTEM USING MILLIMETER-WAVE COMMUNICATIONS, AND METHOD FOR THE SAME | 1 |
Boo-Min Kang | KR | Busan | 2015-09-03 / 20150248952 - HIGH-TEMPERATURE SUPERCONDUCTING WIRE MATERIAL | 2 |
Min Sun Kang | KR | Jeollanam-Do | 2015-04-16 / 20150104838 - RECOMBINANT MICROORGANISM HAVING IMPROVED PUTRESCINE PRODUCING ABILITY AND METHOD FOR PRODUCING PUTRESCINE BY USING SAME | 2 |
Misuk Kang | KR | Yongin-Si | 2015-06-04 / 20150152075 - NOVEL DIPHENYLMETHANE DERIVATIVES AS SGLT2 INHIBITORS | 2 |
Yong Hee Kang | KR | Uiwang-Si | 2015-12-24 / 20150368280 - HIGH REFRACTIVE INDEX ACRYLIC COMPOUND AND METHOD FOR PREPARING THE SAME | 10 |
Laegu Kang | US | Hopewell Junction | 2016-02-04 / 20160035630 - METHODS OF FORMING TRANSISTORS WITH RETROGRADE WELLS IN CMOS APPLICATIONS AND THE RESULTING DEVICE STRUCTURES | 11 |
Kunyoung Kang | KR | Daejeon | 2016-02-11 / 20160043432 - METHOD OF PREPARING LITHIUM PHOSPHATE-BASED SOLID ELECTROLYTE | 15 |
Hee-Young Kang | KR | Suwon-Si | 2014-12-18 / 20140368409 - DISPLAY SYSTEM AND CONTROL METHOD THEREOF | 1 |
Shih-Chung Kang | TW | Taipei City 100 | 2014-12-18 / 20140368638 - METHOD OF MOBILE IMAGE IDENTIFICATION FOR FLOW VELOCITY AND APPARATUS THEREOF | 1 |
Bong-Hee Kang | KR | Gyeongsangnam-Do | 2014-12-18 / 20140369533 - ELECTRONIC DEVICE WITH SIDE ACOUSTIC EMISSION TYPE SPEAKER DEVICE | 1 |
Soo Yong Kang | KR | Seoul | 2014-12-18 / 20140372681 - APPARATUS AND METHOD FOR INDICATING FLASH MEMORY LIFE | 1 |
Bogyeong Kang | KR | Suwon-Si | 2013-02-28 / 20130055045 - METHOD AND APPARATUS FOR VEILING AND DETECTING DATA USING AN ERROR CORRECTING CODE | 1 |
Bogyeong Kang | KR | Seoul | 2014-12-25 / 20140379569 - METHOD AND APPARATUS FOR COMBINING DIFFERENT KINDS OF WALLETS ON A MOBILE DEVICE | 1 |
Ingoo Kang | KR | Yongin-Si | 2016-03-10 / 20160071762 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE | 3 |
Byung Kil Kang | US | West Covina | 2014-12-25 / 20140375880 - VIDEO DISPLAY CORRECTION TO PROVIDE ON-AXIS VIEW AT AN OFF-AXIS ANGLE | 1 |
Peter Kang | US | Lexington | 2014-12-25 / 20140378507 - VITAMIN D RECEPTOR AGONISTS AND USES THEREOF | 1 |
Sung-Geun Kang | KR | Gyeonggi-Do | 2016-05-19 / 20160141229 - SEMICONDUCTOR PACKAGE WITH SEMICONDUCTOR DIE DIRECTLY ATTACHED TO LEAD FRAME AND METHOD | 3 |
Jong-Hoon Kang | KR | Jong-Hoon | 2014-12-25 / 20140377926 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 1 |
Min-Sung Kang | KR | Seoul | 2014-12-25 / 20140379995 - SEMICONDUCTOR DEVICE FOR CONTROLLING PREFETCH OPERATION | 1 |
Jeong-A Kang | KR | Suwon-Si | 2014-12-25 / 20140380220 - METHOD AND APPARATUS FOR PROVIDING USER INTERFACE FOR MEDICAL IMAGING | 1 |
Shin Jae Kang | KR | Gunpo | 2012-09-13 / 20120232815 - ELECTRONIC WATT-HOUR METER AND ELECTRONIC WATT-HOUR MEASURING METHOD | 3 |
Chien-Chung Kang | TW | New Taipei City | 2015-01-15 / 20150017848 - Multi-Stage Watertight Chamber | 1 |
Bo Ram Kang | KR | Anyang-Si | 2015-01-15 / 20150013752 - PHOTOVOLTAIC MODULE AND FABRICATING METHOD THEREOF | 1 |
Hee Sang Kang | KR | Suwon | 2015-03-05 / 20150061816 - MAGNETIC COMPOSITION AND MULTILAYER ELECTRONIC COMPONENT MANUFACTURED BY USING THE SAME | 2 |
Jeong Hoon Kang | KR | Yongin-Si | 2015-01-15 / 20150014967 - DEVICE FOR PROTECTING PASSENGER OF VEHICLE | 1 |
Hyun-Suk Kang | KR | Yongin-City | 2015-01-15 / 20150015137 - DC-DC CONVERTER, ORGANIC LIGHT EMITTING DISPLAY HAVING THE DC-DC CONVERTER AND METHOD FOR OPERATING THE DC-DC CONVERTER | 1 |
Chia-Wen Kang | CN | Guangdong | 2015-01-15 / 20150016989 - AXIAL FLOW FAN | 1 |
Sunhee Kang | KR | Yongin-Si | 2015-01-15 / 20150018543 - ANTI-INFECTIVE COMPOUNDS | 1 |
Hyuk Kang | KR | Gyeonggi-Do | 2016-03-03 / 20160066127 - METHOD FOR CONTROLLING AND AN ELECTRONIC DEVICE THEREOF | 14 |
Myung-Su Kang | KR | Seoul | 2016-05-05 / 20160127924 - APPARATUS AND METHOD FOR DETERMINING NETWORK STATUS | 8 |
Seung Han Kang | KR | Seoul | 2015-01-22 / 20150024712 - USER AUTHENTIFICATION SYSTEM USING CALL IDENTIFICATION INFORMATION AND METHOD THEREOF | 1 |
Jun Ho Kang | KR | Suwon-Si | 2015-01-22 / 20150021084 - COPPER CLAD LAMINATE, PRINTED CIRCUIT BOARD, AND METHOD OF MANUFACTURING THE SAME | 1 |
Jong Joo Kang | KR | Gunpo-Si | 2015-01-22 / 20150022381 - APPARATUS AND METHOD FOR RECOGNIZING POSITION OF VEHICLE | 1 |
Mi-Young Kang | KR | Yongin-City | 2015-01-22 / 20150022979 - DISPLAY DEVICE | 1 |
Yunjoong Kang | KR | Changwon-Si | 2015-01-22 / 20150022996 - AIR CONDITIONER | 1 |
Young-Jae Kang | KR | Hwaseong-Si | 2015-01-22 / 20150024236 - SOFT MAGNETIC EXCHANGE-COUPLED COMPOSITE STRUCTURE, AND HIGH-FREQUENCY DEVICE COMPONENT, ANTENNA MODULE, AND MAGNETORESISTIVE DEVICE INCLUDING THE SOFT MAGNETIC EXCHANGE-COUPLED COMPOSITE STRUCTURE | 1 |
Mi Seon Kang | KR | Daegu | 2015-01-22 / 20150025598 - ELECTRICAL STIMULATION SYSTEM AND CONTROL METHOD OF ELECTRICAL STIMULATION SYSTEM | 1 |
Jin Kyu Kang | KR | Daegu | 2015-04-30 / 20150114463 - THIN FILM SOLAR CELL AND METHOD OF FABRICATING THE SAME | 2 |
Young Min Kang | KR | Anyang-Si | 2015-01-22 / 20150025702 - ENERGY MANAGEMENT SYSTEM | 1 |
Hyun-Gu Kang | KR | Ansan-Si | 2015-10-29 / 20150312977 - LED LUMINESCENCE APPARATUS AND METHOD OF DRIVING THE SAME | 15 |
Yanggi Kang | KR | Gyeonggi-Do | / - | 1 |
Yanggi Kang | KR | Yongin-Si | 2015-01-29 / 20150029486 - METHOD AND APPARATUS FOR DETECTING DISTANCE BETWEEN VEHICLES | 1 |
Sung-Hyuk Kang | KR | Daegu | 2015-01-29 / 20150027494 - WINDOW CLEANING APPARATUS AND METHOD OF CONTROLLING THE SAME | 1 |
Jisook Kang | KR | Daegu | 2015-04-30 / 20150121218 - METHOD AND APPARATUS FOR CONTROLLING TEXT INPUT IN ELECTRONIC DEVICE | 2 |
Eun-Jin Kang | KR | Seoul | 2015-01-29 / 20150027774 - MANUAL UNDERGROUND LAYING TYPE ELECTRIC EQUIPMENT CONTAINER SYSTEM | 1 |
Chang-Eun Kang | KR | Seoul | 2015-06-11 / 20150163431 - DATA TRANSMISSION CIRCUIT, IMAGE SENSOR INCLUDING THE SAME | 3 |
Sung-Hee Kang | KR | Seongnam-Si | 2015-01-29 / 20150028450 - INTEGRATED CIRCUIT DEVICE INCLUDING THROUGH-SILICON VIA STRUCTURE AND DECOUPLING CAPACITOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Zhen Kang | CN | Wuxi | 2015-01-29 / 20150031085 - Novel Leech Hyaluronidase and Its Application | 1 |
Jong Seok Kang | KR | Goyang-Si | 2015-11-19 / 20150332394 - METHOD AND SYSTEM FOR PRODUCT DEMAND/SUPPLY CONNECTION NETWORK SERVICE BASED ON TARIFF-HARMONIZED COMMODITY DESCRIPTION CODES | 3 |
Seung Min Kang | KR | Yeongi | 2015-01-29 / 20150027760 - PRINTED CIRCUIT BOARD AND MANUFACTURING METHOD THEREOF | 1 |
Chang Mo Kang | KR | Gwangju | 2016-03-31 / 20160093492 - METHOD FOR GROWING NITRIDE-BASED SEMICONDUCTOR WITH HIGH QUALITY | 4 |
Young-Sik Kang | KR | Suwon-Si | 2015-01-29 / 20150028984 - THIN FILM TYPE INDUCTOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Wen-Yi Kang | TW | Hsinchu | 2015-01-29 / 20150029514 - METHOD AND SYSTEM FOR ON-LINE REAL-TIME MEASURING THE SURFACE TOPOGRAPHY AND OUT-OF-PLANE DEFORMATION BY USING PHASE-SHIFTING SHADOW MOIRE METHOD | 1 |
Kyoung Don Kang | KR | Changwon-Si | 2015-01-29 / 20150030155 - SURVEILLANCE SERVER, METHOD OF PROCESSING DATA OF SURVEILLANCE SERVER, AND SURVEILLANCE SYSTEM | 1 |
Jun Hee Kang | KR | Seoul | 2015-01-29 / 20150031867 - WATER-SOLUBLE FLUORESCENCE COMPOUND AND METHOD FOR PREPARING THE SAME | 1 |
Seok-Myong Kang | KR | Hwaseong-Si | 2015-10-08 / 20150282769 - IMAGE DISPLAY APPARATUS AND OPERATING METHOD THEREOF | 10 |
Hyun Goo Kang | KR | Hwaseong-City | 2008-12-25 / 20080318723 - HYDRAULIC CONTROL SYSTEM OF 8-SPEED AUTOMATIC TRANSMISSION FOR VEHICLES | 1 |
Hyun Goo Kang | KR | Seoul | 2015-01-15 / 20150014725 - PHOSPHOR AND LIGHT EMITTING DEVICE HAVING THE SAME | 3 |
Hyun-Joon Kang | KR | Hwasung-City | 2015-02-05 / 20150039795 - SYSTEM INTERCONNECTION, SYSTEM-ON-CHIP HAVING THE SAME, AND METHOD OF DRIVING THE SYSTEM-ON-CHIP | 1 |
Hee Jung Kang | KR | Suwon-Si | 2015-02-05 / 20150033820 - APPARATUS FOR INSPECTING PHYSICAL QUALITY SENSOR | 1 |
Dong-Min Kang | KR | Suwon-Si | 2015-12-03 / 20150349270 - ORGANIC COMPOUND, ORGANIC OPTOELECTRONIC DEVICE AND DISPLAY DEVICE | 4 |
Changheon Kang | KR | Gyeonggi-Do | 2015-02-05 / 20150035734 - Display Device Using a Demultiplexer Circuit | 1 |
Haeyoon Kang | KR | Paju-Si | 2015-02-05 / 20150035735 - ORGANIC LIGHT EMITTING DISPLAY | 1 |
Jaehyeon Kang | KR | Gyeonggl-Do | 2015-02-05 / 20150035770 - METHOD AND APPARATUS FOR CONTROLLING LOCK OR UNLOCK IN PORTABLE TERMINAL | 1 |
Dong Woo Kang | KR | Goyang-Si, Gyeonggi-Do | 2015-02-05 / 20150035847 - APPARATUS FOR CONVERTING DATA AND DISPLAY APPARATUS USING THE SAME | 1 |
Hwan Jun Kang | KR | Suwon | 2015-02-05 / 20150037025 - CAMERA MODULE | 1 |
Jeong A. Kang | KR | Seoul | 2015-02-05 / 20150039612 - STORAGE-BASED DATA ANALYTICS KNOWLEDGE MANAGEMENT SYSTEM | 1 |
Byoung Kuk Kang | KR | Seoul | 2015-02-12 / 20150044381 - METAL OXIDE SOLUTION IN ORGANIC SOLVENT FOR FABRICATING HIGH REFRACTIVE FILM, METHOD OF PREPARING THE SAME AND METHOD OF FABRICATING HIGH REFRACTIVE FILM USING THE SAME | 1 |
In-Shik Kang | KR | Gyeonggi-Do | 2015-02-12 / 20150043529 - APPARATUS AND METHOD FOR USING MEMORY DEVICE | 1 |
Soonyoung Kang | KR | Daejeon | 2013-07-04 / 20130173982 - METHOD OF DECODING LDPC CODE FOR PRODUCING SEVERAL DIFFERENT DECODERS USING PARITY-CHECK MATRIX OF LDPC CODE AND LDPC CODE SYSTEM INCLUDING THE SAME | 1 |
Joon Seong Kang | KR | Suwon-Si | 2016-03-24 / 20160087760 - METHOD AND APPARATUS FOR STABLE SIGNAL DEMODULATION IN COMMUNICATION SYSTEM | 9 |
Zhian Kang | CN | Nanjing | 2014-11-06 / 20140329759 - POLYETHYLENE GLYCOL-MODIFIED INTEGRIN BLOCKER HM-3 AND USE THEREOF | 2 |
Dong Gon Kang | KR | Yongin | 2015-12-31 / 20150377441 - PATTERN MODULE FOR AUTOMOBILE LAMP AND METHOD FOR MANUFACTURING OF OPTICAL SHEET THEREOF | 3 |
Hyun-Joong Kang | KR | Jinju-Si | 2015-10-01 / 20150282121 - LOCAL RESOURCE SHARING METHOD OF MACHINE TO MACHINE COMPONENT AND APPARATUS THEREOF | 3 |
Tae-Wook Kang | KR | Gyeonggi-Do | 2015-04-09 / 20150098296 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR SYSTEM WITH THE SAME | 3 |
Hye-Rim Kang | KR | Seoul | 2015-02-12 / 20150043889 - IMAGE REPRODUCING APPARATUS, SEVER AND IMAGE REPRODUCING METHODS THEREOF | 1 |
Hyun Bin Kang | KR | Gyeonggi-Do | 2015-02-12 / 20150045349 - HETEROCYCLIC COMPOUND | 1 |
Seon Ha Kang | KR | Cheongju | 2011-03-24 / 20110067233 - Method of fabricating printed circuit board | 2 |
Seon Ha Kang | KR | Suwon-Si | 2015-02-19 / 20150048918 - COIL UNIT FOR THIN FILM INDUCTOR, MANUFACTURING METHOD OF COIL UNIT FOR THIN FILM INDUCTOR, THIN FILM INDUCTOR AND MANUFACTURING METHOD OF THIN FILM INDUCTOR | 1 |
Minkoo Kang | KR | Seoul | 2014-11-27 / 20140348487 - METHOD AND APPARATUS FOR DIVIDING AND DISPLAYING CONTENTS | 3 |
Bo Hee Kang | KR | Seoul | 2016-01-28 / 20160025272 - LIGHTING DEVICE | 10 |
Bingqiang Kang | CN | Chengdu | 2015-12-24 / 20150368205 - POLY (ADP-RIBOSE) POLYMERASE INHIBITOR | 2 |
Seon Geun Kang | US | San Jose | 2015-02-19 / 20150052353 - System and Method For Synchronizing An Encrypted File With A Remote Storage | 1 |
Hyuntae Kang | KR | Busan | 2015-02-19 / 20150047417 - CORE-SHELL NANOPARTICLE, METHOD OF FABRICATING THE SAME AND GAS SENSOR USING THE SAME | 1 |
Jin Koo Kang | KR | Gwangju | 2015-02-19 / 20150048316 - ORGANIC LIGHT EMITTING DISPLAY DEVICE | 1 |
Byung Hak Kang | KR | Daejeon | 2015-02-19 / 20150049445 - METHOD FOR MANUFACTURING ELECTRONIC COMPONENT EMBEDDING SUBSTRATE AND ELECTRONIC COMPONENT EMBEDDING SUBSTRATE | 1 |
Soo-Young Kang | KR | Suwon-Shi | 2015-02-19 / 20150050039 - IMAGE FORMING APPARATUS AND METHOD OF CONTROLLING ENTRY TO POWER SAVE MODE THEREOF | 1 |
Myung-Joo Kang | KR | Yongin-Si | 2015-02-19 / 20150051168 - STABLE LIQUID PHARMACEUTICAL COMPOSITION CONTAINING PIROXICAM OR ITS PHARMACEUTICALLY ACCEPTABLE SALT AND HYALURONIC ACID OR ITS PHARMACEUTICALLY ACCEPTABLE SALT AND THE MANUFACTURING METHOD THEREOF | 1 |
Bo Hee Kang | KR | Seoul | 2016-01-28 / 20160025272 - LIGHTING DEVICE | 10 |
Jintae Kang | KR | Osan-Si | 2016-03-10 / 20160071879 - MEMORY DEVICE | 4 |
Minjung Kang | KR | Seoul | 2015-02-26 / 20150052703 - ROBOT CLEANER AND METHOD FOR CONTROLLING A ROBOT CLEANER | 1 |
Byung Young Kang | KR | Yongin-Si | 2016-04-21 / 20160107346 - STIFFENER FOR INSERT MOLDING AND MANUFACTURING METHOD OF CRASH PAD USING THE SAME | 3 |
Young-Chul Kang | KR | Suwon-Si | 2015-02-26 / 20150054383 - CONTROL DEVICE USING BUTTONS AND CONTROL METHOD THEREOF | 1 |
Moonshik Kang | KR | Yongin-City | 2015-02-26 / 20150054515 - PRINTED CIRCUIT BOARD ASSEMBLY AND DISPLAY DEVICE HAVING THE SAME | 1 |
Ji Hyung Kang | KR | Hwaseong-Si | 2015-02-26 / 20150055099 - PROJECTOR CLUSTERING METHOD, AND MANAGEMENT DEVICE AND MANAGEMENT SYSTEM USING THEREOF | 3 |
Nam Kyu Kang | KR | Seoul | 2015-02-26 / 20150058373 - SYSTEM AND METHOD FOR PROVIDING AGENT SERVICE TO USER TERMINAL | 1 |
Kai Kang | CN | Beijing | 2016-02-18 / 20160050083 - INFORMATION PROCESSING METHOD AND ELECTRONIC DEVICE | 6 |
Kunseok Kang | KR | Daejeon | 2015-03-05 / 20150063203 - METHOD OF DESIGNING AND COMMUNICATING BEAM IN COMMUNICATION SYSTEM | 9 |
Sewon Kang | US | Phoenix | 2015-04-23 / 20150110750 - METHODS FOR USING AUTOLOGOUS FIBROBLASTS TO ALTER SKIN IDENTITY | 3 |
Jeong-Yeon Kang | KR | Seoul | 2011-11-03 / 20110268706 - PREPARATION METHOD OF POROUS HYALURONIC ACID SPONGE FOR CELL DELIVERY SYSTEM | 1 |
Pil-Seob Kang | KR | Suwon-Si | 2015-10-08 / 20150289308 - METHOD OF RECONNECTING MASTER DEVICE AND SLAVE DEVICE | 11 |
Pil-Seob Kang | KR | Gyeonggi-Do | 2013-08-01 / 20130198283 - APPARATUS AND METHOD OF CONTROL POINT FOR DEVICE DISCOVERY | 1 |
Dong Goo Kang | KR | Hwaseong-Si | 2016-03-31 / 20160091438 - X-RAY APPARATUS AND METHOD OF CONTROLLING THE SAME | 19 |
Dongsoo Kang | KR | Gyeonggi-Do | 2015-03-05 / 20150067248 - DRAM CONTROLLER HAVING DRAM BAD PAGE MANAGEMENT FUNCTION AND BAD PAGE MANAGEMENT METHOD THEREOF | 1 |
Wan Ku Kang | KR | Uiwang-Si | 2016-02-04 / 20160032942 - AIR CONDITIONER | 3 |
Kyungyun Kang | KR | Jinju-Si | 2015-03-05 / 20150060867 - DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yu-Cheng Kang | TW | Taipei City | 2015-03-05 / 20150061566 - CONTROL CIRCUIT FOR DRIVING MOTOR AND METHOD FOR CONTROLLING SPEED OF MOTOR | 1 |
Taeyoung Kang | KR | Seoul | 2015-04-23 / 20150110224 - INTERFACE DEVICE FOR PERFORMING ON-OFF KEYING MODULATION AND TRANSMITTER USING SAME | 2 |
Yong Jin Kang | KR | Cheonan-Si | 2015-03-12 / 20150073633 - BATTERY INFORMATION DISPLAY APPARATUS OF ELECTRIC VEHICLE | 2 |
Younghee Kang | KR | Seoul | 2015-03-05 / 20150062291 - MOBILE TERMINAL AND CONTROL METHOD THEROF | 1 |
Hye-Jin Kang | KR | Suwon-Si | 2016-03-10 / 20160070408 - ELECTRONIC APPARATUS AND APPLICATION EXECUTING METHOD THEREOF | 3 |
Byung Hee Kang | KR | Daejeon | 2015-09-24 / 20150270563 - APPARATUS AND METHOD FOR CONTROLLING FUEL CELL SYSTEM USING RECONFIGURABLE SWITCH NETWORK | 3 |
Haiping Kang | CN | Suzhou | 2015-03-05 / 20150066200 - COMPONENT FEEDING SYSTEM | 1 |
Taewook Kang | KR | Daejeon | 2015-03-05 / 20150066233 - POWER MANAGEMENT APPARATUS AND MULTI-SOURCE ENERGY HARVESTING SYSTEM USING THE SAME | 1 |
Seong-Moon Kang | KR | Seoul | 2015-03-05 / 20150066778 - DIGITAL CARD-BASED PAYMENT SYSTEM AND METHOD | 1 |
Lin Kang | US | Edison | 2015-12-24 / 20150366910 - NATURAL KILLER CELLS FROM PLACENTA | 10 |
Hyun-Ho Kang | KR | Ansan-Si | 2016-05-19 / 20160140919 - CURVED LIQUID CRYSTAL DISPLAY AND MANUFACTURING METHOD THEREOF | 20 |
Sang Ook Kang | KR | Seoul | 2014-03-20 / 20140081064 - ETHYLENE TETRAMERIZATION CATALYST SYSTEMS AND METHOD FOR PREPARING 1-OCTENE USING THE SAME | 5 |
Mun-Suk Kang | KR | Seoul | 2016-02-04 / 20160034066 - TOUCH PANEL | 12 |
Yun Ki Kang | KR | Seoul | 2016-03-24 / 20160088703 - CONTROLLER AND CONVERTER INCLUDING FOR THE SAME | 2 |
Taekyu Kang | US | Newark | 2015-07-02 / 20150184855 - METHOD AND BURNER USING THE CURIE EFFECT FOR CONTROLLING REACTANT VELOCITY FOR OPERATION IN PRE-HEATED AND NON-PRE-HEATED MODES | 11 |
Harrison Kang | US | Long Beach | 2015-03-12 / 20150067947 - HAT ACCESSORY | 1 |
Seok Min Kang | KR | Daegu | 2015-03-12 / 20150068860 - DRY DOUBLE CLUTCH | 1 |
Min Suk Kang | KR | Cheonan-Si Chungcheongnam-Do | 2015-03-12 / 20150069135 - UHF RFID TAG COMPRISING SEPARATE LOOP PORTION SHEET AND DIPOLE PORTION SHEET | 1 |
Sim Chung Kang | KR | Suwon | 2015-04-30 / 20150116895 - CONDUCTIVE PASTE COMPOSITION FOR EXTERNAL ELECTRODE, MULTILAYER CERAMIC ELECTRONIC COMPONENT USING THE SAME, AND MANUFACTURING METHOD THEREOF | 2 |
Minsuk Kang | KR | Daejeon | 2015-04-02 / 20150090927 - CATHODE ACTIVE MATERIAL AND LITHIUM SECONDARY BATTERY INCLUDING THE SAME | 5 |
Eui-Su Kang | KR | Suwon-Si | 2015-12-03 / 20150349270 - ORGANIC COMPOUND, ORGANIC OPTOELECTRONIC DEVICE AND DISPLAY DEVICE | 5 |
Tae-Man Kang | KR | Ansan-Si | 2015-11-12 / 20150325939 - SURFACE-MOUNT TYPE ELECTRIC CONNECTING TERMINAL, AND ELECTRONIC MODULE UNIT AND CIRCUIT BOARD USING THE SAME | 3 |
Min Woo Kang | KR | Ansan-Si | 2016-04-21 / 20160111600 - LIGHT EMITTING DIODE, METHOD OF FABRICATING THE SAME AND LED MODULE HAVING THE SAME | 18 |
Jinwon Kang | KR | Seoul | 2016-03-10 / 20160069999 - DEPTH IMAGE OBTAINING DEVICE AND DISPLAY DEVICE USING SAME | 4 |
Bo Yeong Kang | KR | Gwangju | 2015-03-12 / 20150073122 - PORPHYRIN-PEPTOID CONJUGATE AND THE PREPARATION PROCESS THEREOF | 1 |
Sae Kyoung Kang | KR | Daejeon | 2015-07-30 / 20150214387 - PHOTODETECTOR | 10 |
Seong-Hoon Kang | KR | Daejeon | 2016-04-14 / 20160104879 - METHOD FOR PREPARING CORE-SHELL STRUCTURED PARTICLE BY USING CONTINUOUS COUETTE-TAYLOR CRYSTALLIZER | 12 |
Pil-Seob Kang | KR | Suwon-Si | 2015-10-08 / 20150289308 - METHOD OF RECONNECTING MASTER DEVICE AND SLAVE DEVICE | 11 |
Chang-Won Kang | KR | Cheongju-Si | 2016-03-10 / 20160068682 - BOARD COMPRISING BIODEGRADABLE RESIN AND WOODEN FLOUR AND METHOD OF MANUFACTURING THE SAME | 9 |
Dong-Yeon Kang | KR | Geochang-Gun | 2014-06-12 / 20140158936 - PHOTONIC CRYSTAL PHOSPHOR | 1 |
Sung-Koo Kang | KR | Seongnam-Si | 2015-10-08 / 20150287492 - NON-HOMOGENEOUS COPPER-NICKEL COMPOSITE AND METHOD FOR SYNTHESIZING THE SAME | 3 |
Oh Hyun Kang | KR | Gangwon-Do | 2015-03-19 / 20150075387 - HAND DRIP COFFEE MAKER | 1 |
Won Seok Kang | KR | Yuseong-Gu | 2015-03-19 / 20150077964 - ELECTRIC PROPULSION SYSTEM OF ELECTRIC VEHICLE | 1 |
Jin Gyu Kang | KR | Cheonan-Si | 2015-03-19 / 20150076460 - METHOD OF MANUFACTURING SUBSTRATE FOR DISPLAY DEVICE, SUBSTRATE FOR DISPLAY DEVICE, AND DISPLAY DEVICE | 1 |
Seong Kyu Kang | KR | Paju-Si | 2015-06-11 / 20150161964 - DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 3 |
Jin-Sung Kang | KR | Suwon-Si | 2015-09-03 / 20150249849 - DISPLAY APPARATUS AND CONTROL METHOD THEREOF | 2 |
Chang-Gyu Kang | KR | Jinju-Si, Gyeongsangnam-Do | 2015-12-24 / 20150368915 - FORM BOARD AND METHOD OF CONSTRUCTING FORM USING THE SAME | 3 |
Hyeongwon Kang | KR | Seoul | 2016-04-07 / 20160098141 - ELECTRONIC DEVICE HAVING TOUCH SENSOR AND DRIVING METHOD THEREOF | 8 |
Richard Kang | US | Evanston | 2015-03-26 / 20150086985 - CELLULAR UPTAKE CONTROL SYSTEMS | 1 |
Jong Woo Kang | KR | Jinju-Si | 2015-03-26 / 20150082736 - H-BEAM AND METHOD FOR CONSTRUCTING CONCRETE FORM USING H-BEAM AND NON-METALLIC SHEATHING BOARD | 1 |
Chang Gyu Kang | KR | Jinju-Si | 2015-03-26 / 20150082736 - H-BEAM AND METHOD FOR CONSTRUCTING CONCRETE FORM USING H-BEAM AND NON-METALLIC SHEATHING BOARD | 1 |
Yun Sung Kang | KR | Suwon-Si | 2015-03-26 / 20150082884 - PIEZOELECTRIC ACTUATOR MODULE, METHOD OF MANUFACTURING THE SAME, AND MEMS SENSOR HAVING THE SAME | 1 |
In Young Kang | KR | Suwon-Si | 2015-03-26 / 20150082884 - PIEZOELECTRIC ACTUATOR MODULE, METHOD OF MANUFACTURING THE SAME, AND MEMS SENSOR HAVING THE SAME | 1 |
Bo Sung Kang | KR | Gumi-Si | 2015-03-26 / 20150083742 - APPARATUS FOR PROVIDING PILL | 1 |
Jong-Hyuk Kang | KR | Yongin-City | 2015-03-26 / 20150085223 - QUANTUM DOT LIGHT-EMITTING DEVICE AND DISPLAY APPARATUS | 1 |
Kejun Kang | CN | Haidian District Beijing | 2016-04-14 / 20160103241 - MULTIPLE-POWER MULTIPLE-DOSAGE ACCELERATORS, RAPID EXAMINATION SYSTEMS AND RAPID EXAMINATION METHODS THEREOF | 2 |
Sang Ook Kang | KR | Yongin | 2015-03-26 / 20150086460 - METHOD FOR PRODUCING HEXAGONAL BORON NITRIDE FILM USING BORAZINE OLIGOMER AS A PRECURSOR | 1 |
Jung Ho Kang | KR | Yongin | 2015-03-26 / 20150086460 - METHOD FOR PRODUCING HEXAGONAL BORON NITRIDE FILM USING BORAZINE OLIGOMER AS A PRECURSOR | 1 |
Kwang-Ho Kang | KR | Seoul | 2015-03-26 / 20150087488 - SEMICONDUCTIVE ROLLER OF AN IMAGE-FORMING APPARATUS | 1 |
Hyun Sang Kang | KR | Seoul | 2015-03-26 / 20150089415 - METHOD OF PROCESSING BIG DATA, APPARATUS PERFORMING THE SAME AND STORAGE MEDIA STORING THE SAME | 1 |
Jungmin Kang | KR | Daejeon | 2015-03-26 / 20150089655 - SYSTEM AND METHOD FOR DETECTING MALWARE BASED ON VIRTUAL HOST | 1 |
Kyoung Ku Kang | KR | Nonsan-Si | 2009-08-13 / 20090202802 - Coating composition for forming low-refractive-index layer, antireflective film using the same, and image display device including the antireflective film | 1 |
Kyoung Ku Kang | KR | Suwon-Si | 2016-03-03 / 20160064349 - SEMICONDUCTOR DEVICE CONNECTED BY ANISOTROPIC CONDUCTIVE FILM | 7 |
Jun Gu Kang | KR | Seoul | 2015-10-01 / 20150279737 - METHOD FOR PREPARING SILICIDE OF A SEMICONDUCTOR DEVICE AND A SOURCE/DRAIN FOR USE IN THE SEMICONDUCTOR DEVICE | 2 |
Kyungyeon Kang | KR | Daejeon | 2015-10-22 / 20150298974 - METHOD FOR CONTROLLING BULK DENSITY OF CARBON NANOTUBE AGGLOMERATE | 6 |
Myung Ho Kang | KR | Seocho-Gu Seoul | 2015-04-02 / 20150090777 - LABEL FOR PRODUCT AUTHENTICATION, METHOD FOR GENERATING AUTHENTICATION CODE OF LABEL, METHOD AND SYSTEM FOR AUTHENTICATING LABEL, PORTABLE TERMINAL FOR AUTHENTICATING LABEL, AND COMPUTER-READABLE RECORDING MEDIUM FOR AUTHENTICATING LABEL | 1 |
Young Gu Kang | KR | Daegu | 2015-04-02 / 20150089765 - VEHICLE WIPER DEVICE | 1 |
Geung-Won Kang | KR | Daegu | 2015-09-24 / 20150268552 - BLANKMASK AND PHOTOMASK USING THE SAME | 2 |
Tae-Sik Kang | US | Beavercreek | 2015-05-07 / 20150123094 - ORGANIC ELECTROCHEMICAL DEVICE, AND METHOD FOR MANUFACTURING SAME | 2 |
Gi Hwan Kang | KR | Daejeon | 2015-04-02 / 20150090406 - METHOD FOR DISASSEMBLING PHOTOVOLTAIC MODULE | 1 |
In Kang | KR | Daejeon | 2016-02-04 / 20160035749 - ARRAY SUBSTRATE HAVING INTEGRATED GATE DRIVER AND METHOD OF FABRICATING THE SAME | 2 |
Dong Yeon Kang | KR | Seoul | 2015-12-24 / 20150369987 - BACKLIGHT ASSEMBLY AND DISPLAY DEVICE HAVING THE SAME | 5 |
Hojong Kang | KR | Seoul | 2015-04-02 / 20150092790 - INTERNET PROTOCOL TELEVISION AND OPERATING METHOD THEREOF | 1 |
Eunji Kang | KR | Yeongtong-Gu | 2015-04-02 / 20150094271 - LEUCINE ZIPPER VARIANT AND USE THEREOF | 1 |
Byung Wook Kang | KR | Daejeon | 2015-04-02 / 20150094413 - COMPOSITION OF POLYPROPYLENE HAVING IMPROVED TACTILITY AND SCRATCH RESISTANCE AND METHODS OF USE THEREOF | 1 |
Joon Seong Kang | KR | Seoul | 2015-04-09 / 20150099471 - RECEIVER AND TRANSMITTER OF COPING WITH INTERFERENCE IN SUPER-REGENERATIVE COMMUNICATION SYSTEM, AND METHOD OF USING THE RECEIVER AND THE TRANSMITTER | 8 |
Hyuk Kang | KR | Yongin-Si | 2016-05-12 / 20160133052 - VIRTUAL ENVIRONMENT FOR SHARING INFORMATION | 17 |
Sung Ho Kang | KR | Daedeok-Gu | 2015-10-01 / 20150273981 - HEAT PUMP SYSTEM FOR VEHICLES | 2 |
Jinkyu Kang | KR | Daegu | 2015-07-09 / 20150191412 - NANO-FILTRATION MEMBRANE AND METHOD OF PREPARING ORGANIC ACIDS USING THE SAME | 2 |
Byeong-Doo Kang | KR | Yongin-City | 2015-05-14 / 20150130367 - DISPLAY APPARATUS, POWER VOLTAGE GENERATING APPARATUS, AND METHOD OF GENERATING POWER VOLTAGE | 2 |
Kun-Sok Kang | KR | Yongin | 2015-04-09 / 20150097918 - DISPLAY APPARATUS AND METHOD FOR PREVENTING DIVULGENCE OF IMAGE INFORMATION THEREOF | 1 |
Hye-Joong Kang | KR | Busan | 2015-04-09 / 20150098353 - METHOD AND APPARATUS FOR CONTROLLING POWER IN WIRELESS COMMUNICATION SYSTEM | 1 |
Wen-Juh Kang | TW | Tainan City | 2016-04-21 / 20160112184 - CLOCK AND DATA RECOVERY CIRCUIT AND METHOD | 2 |
Hung-Ming Kang | TW | New Taipei City | 2015-04-09 / 20150100695 - METHOD OF INTEGRATING NETWORK STORAGE SPACES AND CONTROL SYSTEM THEREOF | 1 |
Chia-Wei Kang | TW | Taipei | 2015-04-09 / 20150100803 - METHOD FOR CONTROLLING ELECTRONIC APPARATUS, HANDHELD ELECTRONIC APPARATUS AND MONITORING SYSTEM | 1 |
Soo-Young Kang | KR | Suwon-Si | 2016-03-03 / 20160065764 - METHOD OF CONTROLLING IMAGE FORMING APPARATUS THROUGH USER TERMINAL, AND IMAGE FORMING APPARATUS AND USER TERMINAL FOR PERFORMING THE METHOD | 5 |
Youn-Seon Kang | KR | Yongin-Si | 2016-02-18 / 20160049447 - RESISTIVE MEMORY DEVICE AND METHOD OF OPERATING RESISTIVE MEMORY DEVICE | 8 |
Youn-Seon Kang | KR | Seoul | 2010-10-28 / 20100273306 - Phase change layer and method of manufacturing the same and phase change memory device comprising phase change layer and methods of manufacturing and operating phase change memory device | 6 |
Hyun-Ju Kang | KR | Hwaseong-Si | 2015-05-21 / 20150140684 - TARGET AFFINITY MATERIAL INCLUDING BIODEGRADABLE POLYMER AND USE THEREOF | 9 |
Hee-Woong Kang | KR | Suwon-Si, Gyeonggi-Do | 2014-04-10 / 20140101519 - NON-VOLATILE MEMORY DEVICE HAVING ADJUSTABLE READ VOLTAGE, MEMORY SYSTEM COMPRISING SAME, AND METHOD OF OPERATING SAME | 1 |
Seung Cheol Kang | KR | Seoul | 2014-11-27 / 20140349765 - SERVER, METHOD, TERMINAL, AND RECORDING MEDIUM FOR PROVIDING GAME | 2 |
Sung-Hee Kang | KR | Seongnam-Sl | 2015-04-16 / 20150102497 - Integrated Circuit Devices Including a Through-Silicon Via Structure and Methods of Fabricating the Same | 1 |
Sung-Ho Kang | KR | Seongnam-Si | 2015-04-16 / 20150101166 - POLARIZING FILM CUTTING KNIFE AND METHOD OF MANUFACTURING POLARIZING PLATE USING THE SAME | 1 |
Su-Hyoung Kang | KR | Yongin-City | 2015-04-16 / 20150102336 - FIELD RELAXATION THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME AND DISPLAY APPARATUS INCLUDING THE TRANSISTOR | 1 |
In Ho Kang | KR | Yongin-Si Gyeonggi-Do | 2015-04-16 / 20150102857 - VOLTAGE GENERATOR, INTEGRATED CIRCUIT, AND VOLTAGE GENERATING METHOD | 1 |
Jong Sung Kang | KR | Yongin | 2015-04-16 / 20150102878 - HIGH SPEED SOLENOID | 1 |
Minjung Kang | KR | Incheon | 2015-04-16 / 20150103296 - LIQUID CRYSTAL DISPLAY | 1 |
Sung Soo Kang | KR | Seoul | 2015-04-16 / 20150105263 - BIOLOGICAL SAMPLE ANALYSIS SYSTEM AND METHOD | 1 |
Kisuk Kang | KR | Gwacheon-Si | 2015-04-09 / 20150099877 - ELECTRODE ACTIVE MATERIAL CONTAINING HETEROCYCLIC COMPOUND FOR LITHIUM SECONDARY BATTERY, AND LITHIUM SECONDARY BATTERY CONTAINING THE SAME | 1 |
Youngjong Kang | KR | Anyang | 2013-01-17 / 20130015417 - BROAD WAVELENGTH RANGE CHEMICALLY-TUNABLE PHOTONIC MATERIALS | 2 |
Myungchan Kang | US | Woodbury | 2016-01-28 / 20160022853 - POST-STEAM STERILIZATION MOISTURE-INDICATING ARTICLES | 12 |
Jaehyuk Kang | KR | Seoul | 2016-02-04 / 20160033996 - MOBILE TERMINAL | 2 |
Tae-Ho Kang | KR | Gyeonggi-Do | 2015-04-23 / 20150109200 - IDENTIFYING GESTURES CORRESPONDING TO FUNCTIONS | 1 |
Pil-Gu Kang | KR | Incheon | 2015-06-11 / 20150160900 - APPARATUS AND METHOD FOR CONTROLLING, AND AUTHENTICATION SERVER AND AUTHENTICATION METHOD THEREFOR | 3 |
Pil Joong Kang | KR | Suwon-Si | 2016-02-25 / 20160054352 - MULTI-AXIS SENSOR AND METHOD FOR MANUFACTURING THE SAME | 3 |
Dongyang Kang | US | Pasadena | 2015-12-24 / 20150366707 - SMALL MOLECULE TRANSPORT DEVICE FOR DRUG DELIVERY OR WASTE REMOVAL | 2 |
Chi-Min Kang | TW | Touchen | 2015-04-23 / 20150113586 - RADIO FREQUENCY AND FIBER OPTIC SUBSCRIBER DROP EQUIPMENT HAVING IMPACT RESISTANT HOUSINGS AND RELATED HOUSINGS AND METHODS | 1 |
Byoung-Ii Kang | KR | Daejeon Metropolitan City | 2010-08-12 / 20100202050 - OPTICAL FILM AND METHOD OF MANUFACTURING THE SAME | 1 |
Byoung-Ii Kang | KR | Daejeon | 2014-04-03 / 20140092473 - ACRYLIC RESIN COMPOSITION, AND OPTICAL FILM COMPRISING SAME | 6 |
Zhengfang Kang | US | Franklinton | 2015-10-15 / 20150291984 - PROCESSES FOR PRODUCING FERMENTATION PRODUCTS | 2 |
Chang-Yuil Kang | KR | Seoul | 2015-04-30 / 20150118266 - COMPOSITION FOR PREVENTING, IMPROVING, OR TREATING IMMUNE DISEASES COMPRISING NATURAL EXTRACTS AS ACTIVE INGREDIENTS | 8 |
Minsoo Kang | KR | Daejeon | 2016-03-24 / 20160087237 - ORGANIC LIGHT-EMITTING DIODE AND METHOD FOR MANUFACTURING SAME | 16 |
Sungwoon Kang | KR | Gyeonggi-Do | 2013-08-15 / 20130210430 - MOBILE COMMUNICATION SYSTEM AND PAGING MESSAGE PROCESSING METHOD THEREOF | 1 |
Sung Ok Kang | KR | Gyeonggi-Do | 2014-10-02 / 20140292195 - PLASMA WAVGUIDE USING STEP PART AND BLOCK PART | 1 |
Taesik Kang | KR | Gyeonggi-Do | 2015-04-30 / 20150121031 - ADAPTIVE GUARD BAND FOR IMPROVED DATA STORAGE CAPACITY | 1 |
Jeong-Gwan Kang | KR | Hwaseong-Si | 2015-04-30 / 20150119087 - USER-LOCATION-IN-BUILDING ESTIMATING APPARATUS AND METHOD THEREOF | 5 |
Jeong-Gwan Kang | KR | Gyeonggi-Do | 2016-02-04 / 20160037298 - METHOD AND ELECTRONIC DEVICE FOR RECOGNIZING AREA | 4 |
Chang Soo Kang | KR | Suwon | 2015-05-21 / 20150137748 - NON-CONTACT POWER SUPPLY DEVICE AND NON-CONTACT POWER SUPPLY METHOD | 3 |
Chang Soo Kang | KR | Suwon-Si | 2016-05-05 / 20160126748 - NON-CONTACT POWER TRANSMISSION DEVICE AND LOCATION-BASED SERVICE SYSTEM USING THE SAME | 7 |
Hyunchul Kang | KR | Daejeon | 2015-04-30 / 20150115846 - PIEZOELECTRIC COOLING CONTROL APPARATUS AND METHOD | 1 |
Xingde Kang | CN | Shanghai | 2015-04-30 / 20150116026 - VOLTAGE ADAPTER SYSTEMS FOR USE IN AN APPLIANCE | 1 |
Pu-Chuan Kang | TW | Tainan City | 2015-04-30 / 20150116577 - METHOD FOR ADAPTIVE FOCUSING | 1 |
Feng Kang | CN | Shenzhen | 2015-04-30 / 20150120708 - Information aggregation, classification and display method and system | 1 |
Seok-Min Kang | KR | Hwaseong-Si | 2015-04-30 / 20150116698 - METHOD OF INSPECTING A SURFACE OF A SUBSTRATE AND APPARATUS FOR PERFORMING THE SAME | 1 |
Sangmi Kang | KR | Gyeonggi-Do | 2015-04-30 / 20150118207 - METHOD FOR PRODUCING NATURAL KILLER CELLS, NATURAL KILLER CELLS PRODUCED THEREBY, AND COMPOSITION FOR TREATING CANCERS AND INFECTIOUS DISEASES CONTAINING THE SAME | 1 |
Gi-Seok Kang | KR | Gwangju | 2015-04-30 / 20150119723 - DIAGNOSTIC PROBE AND INSPECTION APPARATUS COMPRISING SAME | 1 |
Hongwen Kang | US | San Mateo | 2015-04-30 / 20150120759 - SYSTEM AND METHOD FOR ITEM AND ITEM SET MATCHING | 1 |
Seung Gyu Kang | KR | Daejeon | 2015-05-07 / 20150123776 - HAPTIC INTERFACE HAVING SEPARATED INPUT AND OUTPUT POINTS FOR VARIED AND ELABORATE INFORMATION TRANSFER | 1 |
Jeong Jin Kang | KR | Seoul | 2014-11-06 / 20140327844 - TOUCH SCREEN PANEL FOR MULTI-TOUCHING AND METHOD OF MANUFACTURING THE SAME | 2 |
Hyeonjin Kang | KR | Seoul | 2015-11-05 / 20150319132 - METHOD, TERMINAL, AND SERVER FOR PROVIDING COMMUNICATION SERVICE | 2 |
Yu-Ping Kang | TW | Taichung | 2015-05-07 / 20150124392 - Combinational Cooling Pad Structure with Flash Drive Storage | 1 |
Sung Woon Kang | KR | Yongin-Si | 2015-05-07 / 20150121631 - WASHING MACHINE AND CONTROL METHOD THEREOF | 1 |
Dong-Hee Kang | KR | Daejeon | 2016-01-28 / 20160028330 - SAMPLE LOADING DEVICE FOR ELECTROSTATIC LEVITATION APPARATUS | 2 |
Seong-Jong Kang | KR | Seongnam-Si | 2015-05-07 / 20150123086 - ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF | 1 |
Minyoung Kang | KR | Uijeongbu-Si | 2015-05-07 / 20150123089 - NOVEL NITROGEN-CONTAINING HETEROCYCLIC COMPOUND AND ORGANIC ELECTRONIC DEVICE USING SAME | 1 |
Jin Ho Kang | KR | Jeollanamdo | 2015-05-07 / 20150125981 - METHOD FOR SEPARATING SEMICONDUCTOR DEVICES USING NANOPOROUS STRUCTURE | 1 |
Dongwoo Kang | KR | Gyeongsangnam-Do | 2015-05-07 / 20150123522 - WASHING MACHINE AND METHOD OF MANUFACTURING THE SAME | 1 |
Han Kang | KR | Incheon | 2016-05-05 / 20160120983 - PHARMACEUTICAL COMPOSITION WITH INCREASED SOLUBILITY BY USING SACCHARIN | 3 |
Jae-Hoon Kang | KR | Cheongju-Si | 2015-06-04 / 20150152561 - HARD FILM FOR CUTTING TOOL | 3 |
Hyun Ju Kang | KR | Hwaseongi-Si | 2015-05-07 / 20150125864 - METHODS OF STABILIZING A VESICLE IN A SAMPLE | 1 |
Hye-Won Kang | KR | Seongnam-Si | 2015-05-07 / 20150125956 - COMPOSITION FOR MATURING DENDRITIC CELLS, AND METHOD FOR PREPARING ANTIGEN-SPECIFIC DENDRITIC CELLS USING SAME | 1 |
Bong-Kyun Kang | KR | Ansan-Si | 2015-05-07 / 20150125982 - METHOD OF MANUFACTURING NITRIDE SEMICONDUCTOR DEVICE | 1 |
Gil Bu Kang | KR | Busan | 2015-05-07 / 20150126715 - Highly Glycosylated Long-Acting Human Growth Hormone Protein and Production Method for Same | 1 |
Bo Ram Kang | KR | Seoul | 2015-05-07 / 20150126800 - SYSTEM AND METHOD FOR MANAGING RADIATION TREATMENT MACHINE | 1 |
Sewon Kang | US | Baltimore | 2015-05-07 / 20150126903 - integrated punch biopsy device | 1 |
Dong Jae Kang | KR | Daejeon | 2015-07-09 / 20150195128 - APPARATUS AND METHOD FOR SUPPORTING CONFIGURATION MANAGEMENT OF VIRTUAL MACHINE, AND APPARATUS AND METHOD FOR BROKERING CLOUD SERVICE USING THE CONFIGURATION MANAGEMENT SUPPORTING APPARATUS | 7 |
Saehoon Kang | KR | Daejeon | 2015-05-14 / 20150131666 - APPARATUS AND METHOD FOR TRANSMITTING PACKET | 8 |
Yun Hee Kang | KR | Incheon | 2015-05-14 / 20150130822 - TIMING CONTROLLER, DISPLAY SYSTEM INCLUDING THE SAME, AND METHOD OF USE THEREOF | 1 |
Hee Jin Kang | KR | Incheon | 2015-05-14 / 20150129001 - APPARATUS FOR CLEANING OFFICE MACHINE | 1 |
Do-Hyung Kang | KR | Ansan-Si | 2015-05-14 / 20150132818 - GLYCOSYLATION METHOD OF ALGAE OR AGRICULTURAL BY-PRODUCTS COMPRISING HIGH-PRESSURE EXTRUSION PULVERIZATION STEP | 1 |
Yeon-Suk Kang | KR | Busan | 2015-05-14 / 20150129857 - ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Hyunjin Kang | KR | Busan | 2015-05-14 / 20150128888 - CONTINUOUSLY VARIABLE VALVE TIMING DEVICE | 1 |
Donghan Kang | KR | Hwaseong-Si | 2015-05-14 / 20150129844 - THIN FILM TRANSISTOR SUBSTRATE AND ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY HAVING THE SAME | 1 |
Jin Koo Kang | KR | Cheonan-Si | 2016-01-28 / 20160028043 - DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 5 |
Mikyung Kang | KR | Ulsan | 2015-05-14 / 20150130371 - BACKLIGHT UNIT AND A DISPLAY DEVICE HAVING THE SAME | 1 |
Sam S. Kang | US | Pleasanton | 2015-07-16 / 20150200537 - SECONDARY BATTERY PROTECTION WITH PERMANENT DISABLE | 2 |
Chi-Min Kang | US | Touchen | 2015-05-14 / 20150130555 - RADIO FREQUENCY SUBSCRIBER DROP UNITS HAVING PRINTED CIRCUIT BOARDS WITH GROUND PLANE LAYERS AND/OR HOUSINGS WITH GROUND WALLS | 1 |
Hak-Su Kang | KR | Changwon-Si | 2015-05-14 / 20150133039 - POLISHING PAD AND METHOD FOR MANUFACTURING SAME | 1 |
Hyun Duk Kang | KR | Gwangju | 2016-05-12 / 20160135117 - METHOD FOR COMMUNICATING MESSAGE BETWEEN ENTITIES IN COEXISTENCE MANAGEMENT SYSTEM | 15 |
Chang-Duk Kang | KR | Gwacheon-Si | 2015-08-20 / 20150232894 - LACTATE DEHYDROGENASE MUTANT, POLYNUCLEOTIDE CODING FOR THE MUTANT, YEAST CELL INCLUDING THE POLYNUCLEOTIDE, METHOD OF PREPARING THE MUTANT, AND METHOD OF PRODUCING THE LACTATE USING THE SAME | 7 |
Changduk Kang | KR | Gwacheon-Si | 2016-01-28 / 20160024539 - YEAST HAVING IMPROVED PRODUCT PRODUCTIVITY AND METHOD OF PRODUCING PRODUCT | 10 |
Yu-Hao Kang | TW | Hsinchu | 2016-03-03 / 20160059183 - DEHUMIDIFYING UNIT, LAYERED TEMPERATURE CONTROL DEHUMIDIFYING ELEMENT, DRYING DEVICE AND METHOD FOR TEMPERATURE CONTROLLING THE SAME | 3 |
Seongkyu Kang | KR | Paju-Si | 2015-05-21 / 20150138173 - DISPLAY DEVICE AND METHOD FOR DRIVING THE SAME | 1 |
Tae Sung Kang | CN | Beijing | 2015-08-06 / 20150219840 - LIGHT GUIDING PLATE, BACKLIGHT MODULE AND DISPLAY DEVICE | 2 |
Sunghoon Kang | KR | Suwon-Si | 2015-05-21 / 20150139394 - X-RAY IMAGING APPARATUS AND METHOD OF CONTROLLING THE SAME | 1 |
Young Hoon Kang | US | San Diego | 2016-02-04 / 20160033975 - SYSTEMS AND METHODS FOR REDUCING LEAKAGE POWER OF A SYSTEM ON CHIP WITH INTEGRATED THERMOELECTRIC COOLING | 7 |
Daesuk Kang | CA | Mississauga | 2015-05-21 / 20150143382 - SCHEDULING WORKLOADS AND MAKING PROVISION DECISIONS OF COMPUTER RESOURCES IN A COMPUTING ENVIRONMENT | 2 |
Ki-Seok Kang | KR | Gyeonggi-Do | 2016-03-03 / 20160061933 - METHOD AND APPARATUS FOR MEASURING DISTANCE | 2 |
Seokmin Kang | KR | Seoul | 2015-05-28 / 20150144964 - SILICON CARBIDE EPI-WAFER AND METHOD OF FABRICATING THE SAME | 2 |
Sung-Ku Kang | KR | Suwon-Si | 2016-04-14 / 20160103517 - TOUCH SENSOR AND DISPLAY INCLUDING THE SAME | 18 |
Ki Bok Kang | KR | Sangju-Si | 2012-02-09 / 20120031438 - SUBSTRATE CLEANING/DRYING APPARATUS AND SUBSTRATE PROCESSING APPARATUS COMPRISING THE SAME, AND SUBSTRATE CLEANING/DRYING METHOD AND METHOD FOR MANUFACTURING DISPLAY PANEL | 1 |
Dong Hyun Kang | KR | Busan | 2015-05-28 / 20150143645 - DOORMAT DEVICE FOR CLEANING SHOE SOLE | 1 |
Yun Sung Kang | KR | Gyeonggi-Do | 2016-02-04 / 20160035965 - PZT-BASED PIEZOELECTRIC CERAMIC MATERIAL AND PIEZOELECTRIC DEVICE USING THE SAME | 4 |
In Young Kang | KR | Gyeonggi-Do | 2016-02-04 / 20160035965 - PZT-BASED PIEZOELECTRIC CERAMIC MATERIAL AND PIEZOELECTRIC DEVICE USING THE SAME | 5 |
Hyun Suk Kang | KR | Suwon-Si | 2016-02-11 / 20160038934 - FLUID ANALYSIS CARTRIDGE AND FLUID ANALYSIS APPARATUS HAVING THE SAME | 3 |
Ki Sun Kang | CN | Shenzhen | 2015-05-28 / 20150144950 - THIN FILM TRANSISTOR STRUCTURE HAVING BIG CHANNEL-WIDTH AND TFT SUBSTRATE CIRCUIT | 1 |
Dong-Han Kang | KR | Hwaseong-Si | 2015-05-28 / 20150144952 - DISPLAY SUBSTRATE, METHOD OF MANUFACTURING THE SAME, AND DISPLAY DEVICE INCLUDING THE SAME | 1 |
Jin Kang | CN | Shanghai | 2015-05-28 / 20150145054 - TRANSISTOR AND METHOD FOR FORMING THE SAME | 1 |
Joon Seok Kang | KR | Seoul | 2015-05-28 / 20150145868 - INDUCTION HEATING COOKER | 1 |
Hoon Kang | KR | Yongin-City | 2015-12-10 / 20150353767 - RESIN COMPOSITION, METHOD OF MANUFACTURING DISPLAY APPARATUS BY USING THE SAME, AND DISPLAY APPARATUS MANUFACTURED BY USING THE METHOD | 3 |
Sungho Kang | KR | Osan-Si | 2016-02-18 / 20160049312 - PLASMA TREATING APPARATUS, SUBSTRATE TREATING METHOD, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 2 |
Heon Kang | KR | Hwaseong-Si | 2015-05-28 / 20150149064 - METHOD FOR CONTROLLING FOUR WHEEL DRIVING OF VEHICLE | 1 |
Bae Jun Kang | KR | Yongin | 2015-11-19 / 20150332796 - MANAGEMENT EQUIPMENT TRANSFER APPARATUS | 2 |
Dong Gu Kang | KR | Seoul | 2015-03-12 / 20150069716 - SEALING MEMBER FOR MASTER CYLINDER | 2 |
Byung-Man Kang | KR | Seongnam-Si | 2015-06-04 / 20150153421 - METHOD AND APPARATUS FOR ANALYZING ELECTROLYTE OF REDOX FLOW BATTERY | 1 |
Gil Young Kang | KR | Seoul | 2014-07-10 / 20140192606 - STACKED MEMORY DEVICE, MEMORY SYSTEM INCLUDING THE SAME AND METHOD FOR OPERATING THE SAME | 1 |
Myung-Sam Kang | KR | Suwon-Si | 2016-04-07 / 20160100485 - PRINTED CIRCUIT BOARD AND MANUFACTURING METHOD THEREOF | 22 |
Hyun-Jae Kang | US | Baltimore | 2015-06-04 / 20150150464 - Systems and Methods for Real-Time Tracking of Photoacoustic Sensing | 1 |
Yiping Kang | US | Ann Arbor | 2015-06-04 / 20150154106 - DATA PROCESSING APPARATUS WITH MEMORY RENAME TABLE FOR MAPPING MEMORY ADDRESSES TO REGISTERS | 1 |
Dae-Wook Kang | US | Phoenix | 2015-06-04 / 20150152484 - MICROBIOME MARKERS AND THERAPIES FOR AUTISM SPECTRUM DISORDERS | 1 |
Hun Kwan Kang | KR | Gwangju | 2015-06-04 / 20150153097 - REFRIGERATOR | 1 |
Bok Man Kang | KR | Gyeongsangnam-Do | 2015-06-04 / 20150153324 - NON-INVASIVE METHOD FOR MEASURING PROLIFERATION AND DIFFERENTIATION STATE OF CELLS BY USING MAGNETIC RESONANCE SPECTROSCOPY, AND CELL PROLIFERATION AND DIFFERENTIATION MARKER FOR MAGNETIC RESONANCE SPECTROSCOPY USED THEREFOR | 1 |
Seok-Won Kang | KR | Yongin-Si | 2015-06-04 / 20150153504 - LIGHT-EMITTING DIODE (LED) PACKAGE AND DISPLAY DEVICE HAVING THE SAME AS LIGHT SOURCE | 1 |
Seok Joon Kang | KR | Icheon-Si Gyeonggi-Do | 2016-05-05 / 20160125940 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE INCLUDING A LEAKAGE CURRENT SENSING UNIT AND METHOD OF OPERATING THE SAME | 3 |
Minwoo Kang | KR | Seoul | 2015-06-04 / 20150153946 - MOBILE TERMINAL AND CONTROL METHOD THEREOF | 1 |
Seok Ho Kang | KR | Seoul | 2015-06-04 / 20150154304 - SYSTEM AND METHOD FOR PROVIDING KNOWLEDGE SHARING SERVICE BASED ON USER RELATIONSHIP INFORMATION OF SOCIAL NETWORK SERVICE | 1 |
Sung-In Kang | KR | Hwaseong-Si | 2015-12-24 / 20150373798 - BACKLIGHT UNIT | 2 |
Imkuk Kang | KR | Paju-Si | 2015-06-11 / 20150162393 - ORGANIC LIGHT EMITTING DIODE DISPLAY, AND FABRICATING AND INSPECTING METHODS THEREOF | 2 |
Ho Shik Kang | KR | Chungcheongnam-Do | 2015-06-04 / 20150156877 - STRIP LEVEL SUBSTRATE INCLUDING WARPAGE PREVENTING MEMBER AND METHOD OF MANUFACTURING THE SAME | 1 |
Seung Gu Kang | KR | Kyeonggi-Do | 2010-02-18 / 20100037657 - MANUFACTURING METHOD OF GLASS-CERAMICS USING STEEL DUST IN FURNACE | 2 |
Ji Hong Kang | KR | Seoul | 2016-02-18 / 20160048723 - METHOD AND APPARATUS FOR PROVIDING IMAGE CONTENTS | 4 |
Doo-Suk Kang | KR | Gyeonggi-Do | 2016-05-05 / 20160124599 - METHOD FOR CONTROLLING MULTI DISPLAY AND ELECTRONIC DEVICE THEREOF | 13 |
Yu-Hsun Kang | TW | Taipei City | 2016-03-17 / 20160075121 - COMBINATION METHOD OF MULTIPLE-LAYER CONDUCTIVE PHYSIOLOGICAL DETECTION STRUCTURE | 2 |
Mun Jin Kang | KR | Incheon | 2015-06-11 / 20150158110 - ELECTRODE FOR RESISTANCE SPOT WELDING AND A METHOD FOR RESISTANCE SPOT WELDING USING THE SAME | 1 |
Hyun-Ju Kang | KR | Gwangmyeong | 2015-08-06 / 20150218441 - NOVEL COMBINATION OF A HOST COMPOUND AND A DOPANT COMPOUND AND AN ORGANIC ELECTROLUMINESCENCE DEVICE COMPRISING THE SAME | 2 |
Jihyung Kang | KR | Hwaseong-Si | 2015-06-11 / 20150163447 - METHOD FOR GENERATING IMAGES FOR MULTI-PROJECTION THEATER AND IMAGE MANAGEMENT APPARATUS USING THE SAME | 4 |
Cheng-Yu Kang | TW | Hsin-Chu | 2015-06-11 / 20150162275 - ELECTRONIC PACKAGE, PACKAGE CARRIER, AND METHOD OF MANUFACTURING PACKAGE CARRIER | 1 |
Moon Kyung Kang | KR | Daejeon | 2015-06-25 / 20150176026 - REPLICATION COMPETENT PSEUDO-TYPE RETROVIRUS VECTOR SYSTEM | 1 |
Sook Yang Kang | KR | Daejeon | 2016-01-07 / 20160007261 - METHOD AND APPARATUS FOR HANDOVER | 9 |
Bong-Gyu Kang | KR | Seoul | 2015-11-05 / 20150315781 - SOUND ABSORBING SHEET HAVING MICRO RESONANT STRUCTURE, METHOD FOR MANUFACTURING SAME, AND SOUND ABSORPTION TYPE SOUNDPROOF PANEL USING SAME | 3 |
Jewon Kang | KR | Seoul | 2016-01-07 / 20160007024 - THERMAL AND POWER MANAGEMENT WITH VIDEO CODING | 8 |
Dong-Goo Kang | KR | Seoul | 2016-05-05 / 20160124637 - USER TERMINAL DEVICE AND METHOD FOR CONTROLLING USER TERMINAL DEVICE THEREOF | 9 |
Xiaozhu Kang | US | Hillsboro | 2015-06-25 / 20150180657 - TECHNIQUES FOR ENFORCING A DEPTH ORDER POLICY FOR GRAPHICS IN A DISPLAY SCENE | 1 |
Sung Kwan Kang | KR | Yongin-Si | 2015-06-25 / 20150174715 - APPARATUS AND METHOD FOR ALIGNING OPTICAL AXES OF LENSES AND ASSEMBLING CAMERA MODULE | 1 |
In Byeong Kang | KR | Goyang-Si | 2015-06-25 / 20150179674 - HARD COATING FILM AND DISPLAY DEVICE USING THE SAME | 1 |
Byung Su Kang | KR | Sungnam City | 2015-06-25 / 20150180504 - METHOD AND APPARATUS FOR COMPRESSING/DECOMPRESSING DATA USING FLOATING POINT | 1 |
Dae-Joon Kang | KR | Jeju-City | 2011-06-09 / 20110135827 - METHOD OF FABRICATING CARBON NANOTUBES UNIFORMLY COATED WITH TITANIUM DIOXIDE | 2 |
Kyong Won Kang | KR | Seoul | 2010-10-28 / 20100271555 - ENHANCED VSB VITERBI DECODER | 1 |
Kyong Won Kang | KR | Daejeon | 2014-08-07 / 20140215810 - JELLY-ROLL TYPE ELECTRODE ASSEMBLY PATTERN-COATED WITH ACTIVE MATERIAL AND SECONDARY BATTERY INCLUDING THE SAME | 2 |
Dong-Hun Kang | KR | Yongin-City | 2015-07-02 / 20150188084 - FLEXIBLE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 8 |
Sangseok Kang | KR | Suwon-Si | 2014-05-15 / 20140131895 - MEMORY MODULE AND MEMORY SYSTEM | 1 |
Heejoon Kang | KR | Seoul | 2012-11-29 / 20120302289 - MOBILE TERMINAL AND METHOD OF CONTROLLING OPERATION THEREOF | 1 |
Tae Woon Kang | KR | Seongbuk-Gu | 2013-05-16 / 20130118396 - CARGO HOLD STRUCTURE FOR A CRUDE OIL CARRIER | 1 |
Geun Sik Kang | KR | Seoul | 2014-10-02 / 20140297300 - DISEASE MANAGEMENT SYSTEM AND METHOD USING A WIRED/WIRELESS COMMUNICATION NETWORK | 1 |
Ik Su Kang | KR | Seoul | 2015-07-02 / 20150188144 - SURFACE-TREATED CATHODE ACTIVE MATERIAL AND LITHIUM SECONDARY BATTERY USING THE SAME | 1 |
Jihoon Kang | KR | Gyeonggi-Do | 2015-07-02 / 20150185614 - ORGANIC BOTTOM ANTIREFLECTIVE COATING COMPOSITION FOR NANOLITHOGRAPHY | 1 |
Ming Kang | CN | Shanghai | 2015-07-02 / 20150182974 - FLUE GAS TREATMENT DEVICE | 1 |
Kyung Jae Kang | KR | Daejeon | 2015-07-02 / 20150183856 - Novel Monoclonal Antibody Binding Specifically to DLL4 and Use Thereof | 1 |
Sungjin Kang | KR | Gyeonggi-Do | 2015-07-02 / 20150185916 - ELECTROSTATIC CAPACITIVE TOUCH SCREEN PANEL | 1 |
Won Seok Kang | KR | Daegu | 2016-03-17 / 20160073958 - ENDOSCOPE SYSTEM FOR DIAGNOSIS SUPPORT AND METHOD FOR CONTROLLING SAME | 2 |
Hoon Kang | KR | Goyang-Si | 2016-03-31 / 20160091727 - POLARIZING CONTROL FILM AND STEREOSCOPIC DISPLAY DEVICE USING THE SAME | 3 |
Hoon Kang | KR | Goyang-Si, Gyeonggi-Do | 2015-07-02 / 20150189258 - STEREOSCOPIC IMAGE DISPLAY DEVICE | 1 |
Hong-Yun Kang | KR | Nam-Gu | 2015-07-02 / 20150185487 - SWITCHABLE TYPE DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 1 |
Seong Wook Kang | KR | Seongnam-Si | 2015-07-02 / 20150185985 - SYSTEM FOR PROVIDING MOTION AND VOICE BASED BOOKMARK AND METHOD THEREFOR | 1 |
Dong Won Kang | KR | Gyeonggi-Do | 2015-07-02 / 20150187544 - Plasma Block for Remote Plasma Source | 1 |
Kyung Ho Kang | KR | Seoul | 2015-07-02 / 20150187974 - SOLAR CELL MODULE AND MANUFACTURING METHOD THEREOF | 1 |
Pil-Geun Kang | KR | Ansan-Si | 2015-07-02 / 20150188011 - SIDE-EMITTING TYPE NITRIDE SEMICONDUCTOR LIGHT EMITTING CHIP AND NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE HAVING THE SAME | 1 |
Seung Hoon Kang | KR | Daejeon | 2015-07-02 / 20150188500 - POWER AMPLIFIER | 1 |
Dong Hoon Kang | KR | Seoul | 2015-11-19 / 20150330464 - HIGHLY HEAT-RESISTANT BRAKE DISC FOR RAILCAR | 2 |
Hee-Chang Kang | KR | Seoul | 2010-07-01 / 20100169665 - METHOD FOR INDEXING ENCRYPTED COLUMN | 1 |
Hye-Seung Kang | KR | Gyeonggi-Do | 2014-05-01 / 20140117337 - LIGHT EMITTING DEVICE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME | 1 |
Hye-Seung Kang | KR | Gimpo-Si | 2015-07-02 / 20150188076 - WHITE ORGANIC LIGHT EMITTING DEVICE | 2 |
Taegyu Kang | KR | Daejeon | 2015-04-30 / 20150115846 - PIEZOELECTRIC COOLING CONTROL APPARATUS AND METHOD | 1 |
Hee-Jin Kang | KR | Gyeryong-Si | 2015-07-09 / 20150191231 - RADAR REFLECTOR OPERATING DEVICE FOR INDICATING EMERGENCY POSITION | 1 |
Sung Ho Kang | KR | Seoul | 2015-07-09 / 20150192239 - VACUUM INSULATION PANEL INCLUDING ANNEALED BINDERLESS GLASS FIBER | 1 |
Byeong Kook Kang | KR | Gwangju | 2015-07-09 / 20150192351 - REFRIGERATOR | 1 |
Sung Il Kang | KR | Changwon-Si | 2015-07-09 / 20150194323 - METHOD OF MANUFACTURING SEMICONDUCTOR PACKAGE SUBSTRATE AND SEMICONDUCTOR PACKAGE SUBSTRATE MANUFACTURED USING THE SAME | 1 |
Sang-Hyun Kang | KR | Yongin-Si | 2015-08-13 / 20150228664 - DISPLAY SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 2 |
Seock-Hwan Kang | KR | Yongin-City | 2016-02-04 / 20160033769 - HEAD-MOUNTED DISPLAY APPARATUS | 2 |
Han-Su Kang | KR | Seoul | 2015-12-17 / 20150365986 - METHOD FOR SELECTING CHANNEL AND AN ELECTRONIC DEVICE THEREOF | 5 |
Chun-Yen Kang | CN | Shanghai | 2015-07-09 / 20150195906 - CIRCUIT BOARD AND ELECTRONIC ASSEMBLY | 1 |
Ho Yong Kang | KR | Daejeon | 2015-10-22 / 20150305023 - EXTENDED DSME MAC FOR LOW POWER UTILITY MONITORING SERVICE | 9 |
Joon Hyuk Kang | KR | Daejeon | 2015-10-01 / 20150282098 - METHOD FOR DETERMINING TRANSMISSION POWER IN MIMO SYSTEM BASED ON COOPERATIVE TRANSMISSION | 3 |
Sang Soo Kang | KR | Jinju-Si | 2015-07-16 / 20150196650 - CARBON NANOTUBE-BASED ANTI-CANCER AGENT CAPABLE OF SUPPRESSING DRUG RESISTANCE | 1 |
Jong Min Kang | KR | Gumi-Si | 2015-07-16 / 20150197874 - DEVICE FOR GROWING MONOCRYSTALLINE SILICON AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kyunghee Kang | KR | Seoul | 2015-07-16 / 20150198781 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 1 |
Namjun Kang | KR | Bucheon-Si | 2016-05-05 / 20160126069 - PULSE PLASMA APPARATUS AND DRIVE METHOD THEREOF | 2 |
Dong-Min Kang | KR | Seongnam-Si | 2015-07-16 / 20150200814 - NETWORK EMULATOR, NETWORK SYSTEM HAVING THE SAME AND METHOD FOR PROVIDING A SIMULATED MOBILE COMMUNICATION NETWORK USING THE SAME | 1 |
Sayoon Kang | KR | Seoul City | 2009-12-10 / 20090305494 - Bump structure for a semiconductor device and method of manufacture | 1 |
Sayoon Kang | KR | Seoul | 2015-07-23 / 20150206869 - PACKAGE-ON-PACKAGE DEVICES AND METHODS OF MANUFACTURING THE SAME | 1 |
I-Chih Kang | NL | Eindhoven | 2008-12-18 / 20080310451 - Splitting of a Data Stream | 1 |
Taejung Kang | KR | Seoul | 2015-07-23 / 20150206140 - CREDIT CARD TRANSACTION METHOD USING SMARTPHONE WITHOUT USING CREDIT CARD SALES SLIP | 1 |
Eunji Kang | US | Wauwatosa | 2015-07-30 / 20150209003 - DISTINCT NEEDLE DISPLAY IN ULTRASONIC IMAGE | 2 |
Jaepil Kang | KR | Busan | 2015-07-23 / 20150208468 - Adjustable Conveyance Curing System | 2 |
Kyeong Chan Kang | KR | Daegu | 2015-07-23 / 20150203773 - METHOD FOR PRODUCING GAS HYDRATE BY REACTING PLURALITY OF GUEST GASES AND WATER | 1 |
Mi-Hyun Kang | KR | Seongnam-Si | 2015-07-23 / 20150205897 - METHOD OF SIMULATING SEMICONDUCTOR DEVICES AND METHOD OF DESIGNING SEMICONDUCTOR DEVICES USING THE SAME | 1 |
Mi-Kyung Kang | KR | Ulsan | 2015-07-23 / 20150206508 - METHOD OF CONTROLLING AN OUTPUT VOLTAGE, OUTPUT VOLTAGE CONTROLLING APPARATUS FOR PERFORMING THE METHOD AND DISPLAY APPARATUS HAVING THE OUTPUT VOLTAGE CONTROLLING APPARATUS | 1 |
Hyungjoo Kang | KR | Seoul | 2016-05-19 / 20160138860 - REFRIGERATOR AND CONTROL METHOD FOR THE SAME | 2 |
In-Yup Kang | KR | Nonsan-Si | 2013-06-27 / 20130163695 - APPARATUS AND METHOD FOR CALIBRATION OF TRANSMITTER IN COMMUNICATION DEVICE | 1 |
Sung-Chan Kang | KR | Hwaseong-Si | 2015-07-30 / 20150215705 - ELECTRO-ACOUSTIC TRANSDUCER | 8 |
Yu-Fu Kang | TW | Taipei | 2016-04-07 / 20160096728 - MEMS Chip and Manufacturing Method Thereof | 3 |
Kyoung-Hee Kang | KR | Suwon-Si | 2015-07-30 / 20150210796 - Novel Compound, Novel Polymer, Colorant Including the Same, Positive Photosensitive Resin Composition Including the Same, and Color Filter | 1 |
Wonsuk Kang | KR | Seoul | 2015-07-30 / 20150215443 - MOBILE TERMINAL AND METHOD OF CONTROLLING THE SAME | 1 |
Kyu Dong Kang | KR | Seoul | 2015-07-30 / 20150216052 - PRINTED CIRCUIT BOARD | 1 |
Byeong-Soo Kang | KR | Yongin-Si | 2014-12-04 / 20140354620 - DISPLAY DEVICE | 1 |
Byung-Kwon Kang | KR | Suwon-Si | 2015-08-06 / 20150219879 - PHOTOGRAPHIC LENS AND ELECTRONIC APPARATUS | 8 |
Ki-Hyung Kang | KR | Suwon-Si | 2015-08-27 / 20150245015 - AUTOSTEREOSCOPIC MULTI-VIEW IMAGE DISPLAY APPARATUS | 9 |
Hun Sik Kang | KR | Deajeon-Si | 2012-06-28 / 20120166507 - METHOD AND APPARATUS OF PERFORMING FAST FOURIER TRANSFORM | 1 |
Powei Kang | US | Rochester Hills | 2015-08-06 / 20150217121 - METHOD AND SYSTEM FOR A WEARABLE DEFIBRILLATOR | 1 |
Nam Joo Kang | KR | Daegu | 2015-08-06 / 20150216778 - Method for Preparing 3,6-Anhydro-L-Galactose, And Use Thereof | 1 |
Taejin Kang | KR | Ansan-Si | 2015-08-06 / 20150217070 - CONNECTOR OF DOUBLE-LUMEN TUBE FOR DIFFERENTIAL LUNG VENTILATION | 1 |
Sungho Kang | KR | Daejeon | 2015-08-06 / 20150217627 - HEAT PUMP SYSTEM FOR VEHICLE | 1 |
Eunji Kang | KR | Gyeonggi-Do | 2015-08-06 / 20150218243 - P15 PROTEIN VARIANT AND USE THEREOF FOR PREVENTING OR TREATING CANCER | 2 |
Suk In Kang | KR | Suwon | 2015-08-06 / 20150220718 - METHOD FOR WEB SERVICE USER AUTHENTICATION | 1 |
Kyung Jun Kang | KR | Jeollabuk-Do | 2015-08-06 / 20150221403 - FACILITY FOR REDUCING RADIOACTIVE MATERIAL AND NUCLEAR POWER PLANT HAVING THE SAME | 1 |
Yong Kang | CN | Shanghai | 2015-08-06 / 20150221863 - Phase-Change Storage Unit Containing TiSiN Material Layer and Method for Preparing the Same | 1 |
Chun Gi Kang | KR | Gyeongbuk | 2015-08-06 / 20150221916 - PET NONWOVEN FABRIC FOR SEPARATOR FOR SECONDARY BATTERY AND SEPARATOR FOR SECONDARY BATTERY COMPRISING THE SAME | 1 |
Jin-Mo Kang | KR | Suwon-Si | 2016-02-11 / 20160044305 - MULTIVIEW IMAGE DISPLAY APPARATUS AND CONTROL METHOD THEREOF | 2 |
Joonhyuk Kang | KR | Daejeon | 2012-11-01 / 20120275550 - METHOD FOR DETECTING S-SCH IN CELL SEARCHING AND RECEIVER USING THE SAME | 2 |
Joonhyuk Kang | KR | Seoul | 2009-11-19 / 20090286494 - Iterative tree search-based precoding technique for multiuser mimo communication system | 1 |
Byung Su Kang | KR | Daejeon | 2015-08-13 / 20150230258 - TERMINAL FOR D2D COMMUNICATION AND REJECTING INTERFERENCE METHOD THEREOF | 8 |
Donghyun Kang | KR | Seoul | 2016-03-03 / 20160065936 - IMAGE DISPLAY DEVICE FOR PROVIDING FUNCTION OF CHANGING SCREEN DISPLAY DIRECTION AND METHOD THEREOF | 10 |
Changduk Kang | KR | Gwacheon-Si | 2016-01-28 / 20160024539 - YEAST HAVING IMPROVED PRODUCT PRODUCTIVITY AND METHOD OF PRODUCING PRODUCT | 10 |
Sang Hyeon Kang | KR | Songpa-Ku | 2015-08-13 / 20150224179 - COMPOSITION CAPABLE OF IMPROVING STABILITY OF BACTERIOPHAGE LYSIN PROTEINS | 1 |
Yu-Ping Kang | TW | Taichung City | 2015-08-13 / 20150225005 - Foldable Trolley | 1 |
Hana Kang | KR | Jeollanam-Do | 2015-08-13 / 20150224479 - METHOD FOR PREPARING METAL CATALYST FOR PREPARING CARBON NANOTUBES AND METHOD FOR PREPARING CARBON NANOTUBES USING THE SAME | 1 |
Young Jae Kang | JP | Settsu-Shi | 2015-08-13 / 20150224958 - SEAT BELT RETRACTOR | 1 |
Hyunah Kang | KR | Seoul | 2015-08-13 / 20150225733 - YEAST CELL HAVING ENHANCED GENETIC MANIPULATION EFFICIENCY AND USE THEREOF | 1 |
Shih-Ting Kang | TW | Taipei City | 2015-08-13 / 20150225739 - EXPRESSION VECTORS COMPRISING IRES ELEMENT AND THE MULTIPLE EXPRESSION GENE SYSTEM THEREOF | 1 |
Min-Hyuck Kang | KR | Yongin-City | 2015-08-13 / 20150226897 - MANUFACTURING METHOD OF REFLECTIVE POLARIZER PLATE AND DISPLAY DEVICE INCLUDING THE SAME | 1 |
Min Kang | KR | Yongin-City | 2016-04-07 / 20160097972 - PHASE SHIFT MASK AND METHOD OF MANUFACTURING DISPLAY APPARATUS USING THE SAME | 2 |
Yong Hoon Kang | KR | Seongnam-Si | 2015-08-13 / 20150227218 - DOT PATTERN RECOGNIZING DEVICE AND CONTENT EXECUTING DEVICE | 1 |
Jaewoo Kang | KR | Seoul | 2015-08-13 / 20150227528 - SENTIMENT-BASED QUERY PROCESSING SYSTEM AND METHOD | 1 |
Ingyu Kang | KR | Gyeonggi-Do | 2015-08-13 / 20150227587 - METHOD AND APPARATUS FOR SEARCHING NODE BY USING TREE INDEX | 1 |
Yun-Jeong Kang | KR | Cheongju-Si Chungcheongbuk-Do | 2015-08-13 / 20150228962 - ADAPTOR PLUG FOR INDUSTRIAL BATTERY | 1 |
Won-Seok Kang | KR | Bucheon | 2015-08-13 / 20150229119 - USB ADAPTER PROTECTION | 1 |
Dae Wook Kang | KR | Changwon-Si | 2015-08-13 / 20150229234 - DRIVING APPARATUS AND METHOD FOR MODULAR MULTI-LEVEL CONVERTER | 1 |
Sam Mook Kang | KR | Osan-Si | 2015-08-20 / 20150236202 - NANOSTRUCTURE SEMICONDUCTOR LIGHT EMITTING DEVICE | 4 |
Rae-Cheong Kang | KR | Osan-Si | 2011-01-20 / 20110011667 - TORQUE ANGLE SENSOR AND ELECTRONIC POWER STEERING APPARATUS HAVING THE SAME | 1 |
Moon Mo Kang | KR | Osan-Si | 2015-04-23 / 20150111653 - PROPELLER SHAFT FOR VEHICLE | 1 |
Dae-Pil Kang | KR | Osan-Si | 2012-06-14 / 20120149707 - ALPHA-ARYLMETHOXYACRYLATE DERIVATIVE, PREPARATION METHOD THEREOF, AND PHARMACEUTICAL COMPOSITION CONTAINING SAME | 1 |
Chung Kil Kang | KR | Osan-Si | 2015-08-20 / 20150230473 - A COMPOSITION FOR INCREASING THE YIELD, AND METHODS OF INCREASING THE YIELD OF CROP BY USING THE SAME | 1 |
Chang-Ku Kang | KR | Seoul | 2010-08-26 / 20100212345 - Dehumidifying Cooling Device for District Heating | 3 |
Doosuk Kang | KR | Suwon-Si | 2015-08-20 / 20150234576 - METHOD AND APPARATUS FOR DISPLAYING INFORMATION AND ELECTRONIC DEVICE ADAPTED TO THE METHOD | 2 |
Doosuk Kang | KR | Gyeonggi-Do | 2016-03-17 / 20160079802 - WIRELESS CONTROL METHOD, AND APPARATUS AND SERVER ADAPTED TO THE SAME | 9 |
Sun-Ho Kang | KR | Yongin-Si | 2015-12-31 / 20150380736 - COMPOSITE CATHODE ACTIVE MATERIAL, CATHODE AND LITHIUM BATTERY INCLUDING THE MATERIAL, AND METHOD OF PREPARING THE MATERIAL | 10 |
Incheol Kang | KR | Seoul | 2016-03-17 / 20160076186 - LAUNDRY TREATING APPARATUS | 2 |
Byung Sung Kang | KR | Suwon-Si | 2015-08-20 / 20150235052 - ELECTRONIC DEVICE AND METHOD FOR PROTECTING USERS PRIVACY | 1 |
Yangbeom Kang | KR | Cheongju-Si | 2015-08-20 / 20150235868 - METHOD OF MANUFACTURING NON-VOLATILE MEMORY DEVICE | 1 |
Yijin Kang | US | Naperville | 2016-03-31 / 20160089658 - NANOSEGREGATED BIMETALLIC OXIDE ANODE CATALYST FOR PROTON EXCHANGE MEMBRANE ELECTROLYZER | 2 |
Nam Jin Kang | KR | Ulsan | 2015-08-20 / 20150236442 - WATERPROOF CONNECTOR FOR VEHICLE | 1 |
Yudong Kang | CN | Shenzhen | 2015-08-20 / 20150236871 - Multicast Processing Method, Apparatus and System | 1 |
Jin Yong Kang | KR | Suwon-Si | 2016-03-31 / 20160094238 - APPARATUS FOR TESTING ANALOG-TO-DIGITAL CONVERTER AND TESTING METHOD THEREOF | 2 |
Young Mo Kang | KR | Seoul | 2015-08-27 / 20150242014 - TOUCH PANEL AND METHOD OF MANUFACTURING THE SAME | 1 |
Yaowei Kang | US | Durham | 2015-08-27 / 20150239789 - COMPETITIVE AND EFFECTIVE BACTERIAL STRAINS | 1 |
Jun Kang | US | Cherry Hill | 2015-10-29 / 20150307370 - Method of Stretching the Discharge of Plasma in Liquids | 2 |
Ku-Hyun Kang | KR | Yongin-City | 2015-08-27 / 20150243934 - LASER BEAM IRRADIATION APPARATUS AND MANUFACTURING METHOD OF ORGANIC LIGHT EMITTING DISPLAY APPARATUS USING THE SAME | 1 |
Min Gu Kang | KR | Daegu | 2016-02-11 / 20160044269 - ELECTRONIC DEVICE AND METHOD FOR CONTROLLING TRANSMISSION IN ELECTRONIC DEVICE | 2 |
Shinill Kang | KR | Seoul | 2016-02-04 / 20160033549 - FLEXIBLE NEAR FIELD OPTICAL IMAGING DEVICE INCLUDING FLEXIBLE OPTICAL HEAD WITH THIN FILM LAYER FOR FORMATION OF DYNAMIC OPTICAL NANO APERTURES | 10 |
Sung Joo Kang | KR | Daejeon | 2015-09-10 / 20150253747 - APPARATUS AND METHOD FOR ADAPTIVELY CONTROLLING A TARGET SYSTEM ACCORDING TO OPERATING ENVIRONMENT | 9 |
Shin Hoo Kang | KR | Seoul | 2015-09-03 / 20150246821 - POROUS BORON NITRIDE AND METHOD OF PREPARING THE SAME | 6 |
Kyeong-Pil Kang | KR | Icheon-Si | 2015-08-20 / 20150236675 - SEMICONDUCTOR APPARATUS AND OPERATING METHOD THEREOF | 2 |
Ki Kueon Kang | KR | Icheon-Si | 2015-06-04 / 20150150291 - AMINO ACID SEASONING COMPOSITIONS COMPRISING L-GLUTAMIC ACID AND L-LYSINE | 3 |
Khil Ohk Kang | KR | Icheon-Si | 2014-05-22 / 20140140150 - SEMICONDUCTOR MEMORY APPARATUS | 1 |
Hee-Sung Kang | KR | Icheon-Si | 2015-09-03 / 20150249111 - ELECTRONIC DEVICE | 1 |
Dong Keum Kang | KR | Icheon-Si | 2012-05-03 / 20120106267 - CIRCUIT FOR GENERATING REFERENCE VOLTAGE OF SEMICONDUCTOR MEMORY APPARATUS | 1 |
Min Soo Kang | KR | Busan | 2015-09-03 / 20150248846 - CONSTRUCTION KIT FOR MODULE TYPE CIRCUIT ELEMENTS | 1 |
Jung-Kil Kang | KR | Goyang-Si | 2015-09-03 / 20150246165 - ARTIFICIAL INTELLIGENCE PORTABLE SUCTION DEVICE HAVING A CATHETER REEL | 1 |
Hye-Na Kang | KR | Incheon | 2015-09-03 / 20150248865 - DISPLAY APPARATUS | 1 |
Shin-Il Kang | KR | Suwon-Si | 2015-11-05 / 20150319119 - DATA PROCESSING DEVICE AND DATA PROCESSING METHOD BASED ON USER EMOTION ACTIVITY | 9 |
Yoon-Seok Kang | KR | Seoul | 2015-09-10 / 20150253534 - LENS DRIVING ASSEMBLY AND ELECTRONIC APPARATUS HAVING THE SAME | 8 |
Eunmo Kang | US | San Diego | 2015-10-22 / 20150303904 - SYSTEMS AND METHODS FOR GAIN AND OFFSET CONTROL | 9 |
Min Woo Kang | KR | Ansan-Si | 2016-04-21 / 20160111600 - LIGHT EMITTING DIODE, METHOD OF FABRICATING THE SAME AND LED MODULE HAVING THE SAME | 18 |
Myoung Hun Kang | US | 2015-09-10 / 20150256551 - LOG ANALYSIS SYSTEM AND LOG ANALYSIS METHOD FOR SECURITY SYSTEM | 1 | |
Myoung Hun Kang | KR | Daejeon | 2015-09-10 / 20150256551 - LOG ANALYSIS SYSTEM AND LOG ANALYSIS METHOD FOR SECURITY SYSTEM | 1 |
Dong-Hun Kang | KR | Daegu | 2015-09-10 / 20150250483 - UV-CURING RESIN INJECTION DEVICE FOR ANEURISM TREATMENT | 1 |
Yahong Kang | CN | Shanghai | 2015-09-10 / 20150252144 - Biodegradable Cross-Linked Polymer, Vascular Stent and Manufacturing Methods Therefor | 1 |
Dae-Woong Kang | KR | Hongcheon-Gun | 2015-09-10 / 20150253977 - MEDICAL IMAGING APPARATUS FOR PROVIDING GUIDE INFORMATION AND METHOD OF PROVIDING GUIDE INFORMATION | 1 |
Taeho Kang | KR | Seongnam-Si | 2015-09-10 / 20150254962 - METHOD AND APPARATUS FOR PREVENTING LOSING ELECTRONIC DEVICES | 1 |
Chang-Hwan Kang | KR | Yongin | 2015-09-10 / 20150256890 - METHOD AND APPARATUS FOR PROVIDING A CHANNEL SERVICE | 1 |
Sunggeun Kang | KR | Gyeonggi-Do | 2015-01-15 / 20150014830 - SEMICONDUCTOR DEVICE UTILZING REDISTRIBUTION LAYERS TO COUPLE STACKED DIE | 1 |
Hyun Min Kang | KR | Seongnam | 2015-09-17 / 20150258740 - LINERLESS PRESSURE VESSEL BY CENTRIFUGAL FORCED WEAVING AND METHOD FOR MANUFACTURING THEREOF | 8 |
Hyung Wook Kang | US | Los Altos | 2015-09-17 / 20150257889 - FEMORAL COMPONENT FOR A FEMORAL KNEE IMPLANT SYSTEM | 1 |
Seungmo Kang | US | 2015-09-17 / 20150260262 - MULTIPLE HYDRAULIC MULTI-PLATE CLUTCH TRANSMISSION FOR VEHICLE | 1 | |
Hyun Wook Kang | KR | Nam-Gu | 2016-04-14 / 20160100886 - LASER MODULATION FOR COAGULATION | 2 |
Bei Kang | US | San Mateo | 2016-01-07 / 20160003816 - Methods, Systems, and Arrays for Biomolecular Analysis | 2 |
Qi Kang | CN | Beijing | 2015-09-17 / 20150261576 - OPTIMIZING MEMORY SHARING IN A VIRTUALIZED COMPUTER SYSTEM WITH ADDRESS SPACE LAYOUT RANDOMIZATION ENABLED IN GUEST OPERATING SYSTEMS | 1 |
Min-Jeong Kang | KR | Suwon-Si | 2016-05-05 / 20160125635 - DEVICE AND METHOD OF MANAGING USER INFORMATION BASED ON IMAGE | 4 |
Cheng-Yu Kang | TW | Hsin-Chu County | 2015-09-17 / 20150262927 - ELECTRONIC PACKAGE, PACKAGE CARRIER, AND METHODS OF MANUFACTURING ELECTRONIC PACKAGE AND PACKAGE CARRIER | 1 |
Hyun-Jae Kang | KR | Gyeonggi-Do | 2016-05-12 / 20160133522 - SEMICONDUCTOR DEVICE AND FABRICATING METHOD THEREOF | 2 |
Seung Hyun Kang | KR | Seoul | 2015-09-24 / 20150271802 - METHOD OF DETERMINING TRANSPORT BLOCK SIZE AND APPARATUSES THEREOF | 9 |
Hyungu Kang | KR | Andong-Si | 2015-02-26 / 20150055591 - INTERFERENCE SIGNAL CONTROL INFORMATION ACQUISITION METHOD AND APPARATUS FOR USE IN WIRELESS COMMUNICATION SYSTEM | 1 |
Seungbeom Kang | KR | Chungcheongbuck-Do | 2013-06-20 / 20130156437 - TERAHERTZ TRANSMITTER | 1 |
Eunseck Kang | KR | Hwaseong-Si | 2015-07-09 / 20150190992 - TRANSPARENT COMPOSITE MATERIAL AND METHOD AND APPARATUS FOR MANUFACTURING THE SAME | 1 |
Eunseck Kang | KR | Gyeonggi-Do | 2013-10-24 / 20130280478 - TRANSPARENT COMPOSITE MATERIAL AND METHOD AND APPARATUS FOR MANUFACTURING THE SAME | 2 |
Eunseck Kang | KR | Seoul | 2015-09-24 / 20150266740 - METHOD FOR PREPARING GRAPHENE AND SAID GRAPHENE, ELECTRONIC DEVICE USING SAID GRAPHENE | 1 |
Shin Gyu Kang | KR | Seoul | 2015-09-24 / 20150266378 - METHOD FOR CONFIGURING USER INTERFACE OF HEAD UNIT OF VEHICLE DYNAMICALLY BY USING MOBILE TERMINAL, AND HEAD UNIT AND COMPUTER-READABLE RECORDING MEDIA USING THE SAME | 1 |
Jinho Kang | KR | Hwaseong-Si | 2015-09-24 / 20150267226 - RECOMBINANT MICROORGANISM WITH ABILITY TO PRODUCE GLYCEROL, 3-HP, OR ACRYLIC ACID AND METHOD OF PRODUCING GLYCEROL, 3-HP, OR ACRYLIC ACID BY USING THE SAME | 1 |
Soo Chang Kang | KR | Gwangyang-Si | 2016-02-25 / 20160053350 - MOLTEN MANGANESE-CONTAINING STEEL PRODUCTION METHOD, HOLDING FURNACE, AND MOLTEN MANGANESE-CONTAINING STEEL PRODUCTION EQUIPMENT USING HOLDING FURNACE | 2 |
Dong Woon Kang | KR | Seongnam-Si | 2015-09-24 / 20150267929 - AIR CONDITIONER AND METHOD OF CONTROLLING THE SAME | 1 |
Eun-Jung Kang | KR | Seoul | 2015-09-24 / 20150267929 - AIR CONDITIONER AND METHOD OF CONTROLLING THE SAME | 1 |
Chongqing Kang | CN | Beijing | 2015-09-24 / 20150268644 - OPTIMAL CONTROL METHOD AND APPARATUS FOR POWER SYSTEM | 1 |
Ho-Chul Kang | KR | Seoul | 2015-09-24 / 20150269768 - VOLUME RENDERING APPARATUS AND METHOD OF OPERATING SAME | 1 |
Ming-Che Kang | TW | Taoyuan City | 2015-09-24 / 20150271469 - IMAGE SYNCHRONIZATION METHOD FOR CAMERAS AND ELECTRONIC APPARATUS WITH CAMERAS | 1 |
Ming-Che Kang | TW | Taoyuan County | 2015-09-24 / 20150271471 - BLOCKING DETECTION METHOD FOR CAMERA AND ELECTRONIC APPARATUS WITH CAMERAS | 1 |
Doo Won Kang | KR | Anyang-Si | 2015-12-17 / 20150364286 - COMPLEX PROTECTION DEVICE | 10 |
Dae Il Kang | KR | Gyeonggi-Do | 2015-10-01 / 20150272186 - Method For Preparing Natural Neutral Flavor | 1 |
Sang-Moo Kang | US | Lilburn | 2015-10-01 / 20150273048 - UNIVERSAL INFLUENZA VACCINE BASED ON HETEROLOGOUS MULTIPLE M2E PROTEINS | 1 |
Da Kang | CN | Guangdong | 2015-10-01 / 20150274324 - Cab of Passenger Boarding Bridge and Passenger Boarding Bridge Having the Same and Docking Method Thereof | 1 |
Sung Il Kang | KR | Sungnam-Si | 2015-10-01 / 20150274398 - Cosmetic container with different types of mixed materials | 1 |
Seungho Kang | KR | Daejeon | 2015-10-01 / 20150274607 - METHOD OF MANUFACTURING SALT-COATED HEAT PAPER AND SALT-COATED HEAT PAPER MANUFACTURED THEREBY | 1 |
Tae-Hong Kang | KR | Busan | 2015-10-15 / 20150290282 - ADMINISTRATION METHOD FOR ANTICANCER DRUGS | 2 |
Yu-Jin Kang | KR | Chilgok-Gun Gyeongsangbuk-Do | 2015-10-01 / 20150275395 - SUSCEPTOR FOR EPITAXIAL GROWING AND METHOD FOR EPITAXIAL GROWING | 1 |
Tae Wook Kang | KR | Icheon-Si Gyeonggi-Do | 2015-10-01 / 20150276841 - NOISE DETECTION CIRCUIT AND SEMICONDUCTOR SYSTEM USING THE SAME | 1 |
Sangkyu Kang | KR | Seoul | 2015-11-19 / 20150331598 - DISPLAY DEVICE AND OPERATING METHOD THEREOF | 2 |
Xiaozhu Kang | US | Freemont | 2015-10-01 / 20150278512 - VIRTUALIZATION BASED INTRA-BLOCK WORKLOAD ISOLATION | 1 |
Ho-Jin Kang | KR | Changwon-Si | 2015-10-01 / 20150278638 - METHOD FOR AUTOMATICALLY RECOGNIZING AND SETTING ATTACHMENT AND DEVICE THEREFOR | 1 |
Yeon Hee Kang | KR | Suwon-Si | 2015-10-01 / 20150279248 - ELECTRONIC INFORMATION LABEL TAG AND ELECTRONIC INFORMATION LABEL MANAGEMENT SYSTEM INCLUDING THE SAME | 1 |
Dong Hun Kang | US | Hopewell Junction | 2015-12-10 / 20150357403 - DT CAPACITOR WITH SILICIDE OUTER ELECTRODE AND/OR COMPRESSIVE STRESS LAYER, AND RELATED METHODS | 3 |
Hyun Oh Kang | KR | Seoul | 2015-10-01 / 20150280059 - LIGHT-EMITTING DEVICE AND LIGHT-EMITTING DEVICE PACKAGE | 1 |
Seok J. Kang | US | San Jose | 2015-10-01 / 20150280296 - LITHIUM OXYGEN BATTERY AND ELECTROLYTE COMPOSITION | 1 |
Hyun Mo Kang | KR | Ansan-Si | 2015-10-01 / 20150280682 - CIRCUIT PROTECTION DEVICE | 1 |
Jin-A Kang | KR | Suwon-Si | 2015-10-01 / 20150281229 - METHOD AND APPARATUS FOR SUPPORTING LOGIN THROUGH USER TERMINAL | 1 |
Yoon Mi Kang | KR | Seongnam-Si | 2015-10-08 / 20150283181 - SELECTING FETAL BOVINE SERUM FOR USE IN STEM CELL CULTURE MEDIA AND PREPARING STEM CELL CULTURE | 2 |
Mu-Kai Kang | TW | Pingtung | 2013-04-25 / 20130100101 - DISPLAY DEVICE, PARALLAX BARRIER, AND DRIVING METHODS FOR 3D DISPLAY | 1 |
Seunggyu Kang | KR | Seoul | 2015-07-23 / 20150208523 - DISPLAY DEVICE | 1 |
Shin-Jae Kang | KR | Seoul | 2016-04-28 / 20160118578 - MAGNETIC MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 5 |
Myung-Su Kang | KR | Pohang-Si | 2015-10-08 / 20150283112 - COMPOSITION COMPRISING MYRICETIN AS ACTIVE INGREDIENT FOR ENHANCING EXERCISE PERFORMANCE OR FATIGUE RECOVERY | 1 |
Joohoon Kang | US | Evanston | 2015-10-08 / 20150283482 - Sorting Two-Dimensional Nanomaterials by Thickness | 1 |
Bokyung Kang | KR | Seoul | 2015-10-08 / 20150286124 - REFLECTING SCREEN | 1 |
Min-Suk Kang | KR | Daejeon | 2015-10-08 / 20150287984 - CATHODE ACTIVE MATERIAL FOR LITHIUM SECONDARY BATTERY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Woo Jin Kang | KR | Daejeon | 2015-10-08 / 20150288016 - AUTOTHERMAL REFORMING CATALYST FOR MONOLITHIC FUEL CELL | 1 |
In Yong Kang | KR | Daejeon | 2015-10-08 / 20150288016 - AUTOTHERMAL REFORMING CATALYST FOR MONOLITHIC FUEL CELL | 1 |
Hyun Ku Kang | KR | Suwon-Si | 2015-10-08 / 20150288279 - POWER CONVERTER AND DRIVING METHOD FOR THE SAME | 1 |
Jeong Koo Kang | KR | Changwon-Si | 2015-10-08 / 20150288930 - NETWORK SECURITY SYSTEM AND METHOD THEREOF | 1 |
Shin-Woo Kang | KR | Seoul | 2015-10-08 / 20150289205 - METHOD AND APPARATUS FOR REDUCING POWER CONSUMPTION BY EARLY DECODING | 1 |
Wan Gyu Kang | KR | Gyeonggi-Do | 2015-10-08 / 20150289341 - Light Emitting Device Driving Apparatus and Illumination System Including the Same | 1 |
Heung Seok Kang | KR | Daejeon | 2015-10-15 / 20150295062 - VERTICALLY MOVABLE GATE FIELD EFFECT TRANSISTOR (VMGFET) ON A SILICON-ON-INSULATOR (SOI) WAFER AND METHOD OF FORMING A VMGFET | 1 |
Panpan Kang | CN | Dongguan | 2015-10-22 / 20150299118 - HEXAHYDROPENTALENO DERIVATIVES, PREPARATION METHOD AND USE IN MEDICINE THEREOF | 2 |
Mingyu Kang | KR | Yongin-Si | 2015-10-15 / 20150291036 - DEVICE AND METHOD FOR CONTROLLING ELECTRIC VEHICLE | 1 |
Kyung-Min Kang | KR | Suwon-Si | 2016-04-14 / 20160103477 - DISPLAY APPARATUS AND CONTROLLING METHOD THEREOF | 3 |
Joo-Heon Kang | KR | Seoul | 2015-10-15 / 20150294726 - NAND-TYPE FLASH MEMORY DEVICE AND METHOD OF PROGRAMMING THE SAME | 1 |
Jooyoung Kang | KR | Yongin-Si | 2016-05-12 / 20160128675 - IMAGE PROCESSING APPARATUS, CONTROL METHOD THEREOF, AND ULTRASOUND IMAGING APPARATUS | 5 |
Peter M. Kang | US | Lexington | 2015-10-15 / 20150290239 - PVAX COPOLYMER AND PVAX MICROPARTICLES COMPRISING THE SAME | 1 |
Seungwon Kang | KR | Uiwang | 2015-10-15 / 20150292065 - MAGNESIUM MATERIAL AND METHOD OF MANUFACTURING THE SAME | 1 |
Jae-Sik Kang | KR | Incheon | 2015-10-15 / 20150292255 - FILLING MATERIAL, WINDOW AND DOOR SET, AND FILLING METHOD | 1 |
Kyungjin Kang | KR | Seoul | 2015-10-15 / 20150294438 - IMAGE DISPLAY APPARATUS AND OPERATION METHOD THEREOF | 1 |
Yun-Jeong Kang | KR | Chungcheongbuk-Do | 2015-10-15 / 20150295223 - DISTILLED WATER FILLING SYSTEM FOR INDUSTRIAL BATTERY | 1 |
Hsin-Hsiung Kang | TW | Taipei City | 2015-12-17 / 20150365955 - WIRELESS NETWORK DEVICE AND WIRELESS NETWORK CONTROL METHOD | 2 |
Byung Woo Kang | KR | Suwon-Si | 2016-01-07 / 20160004091 - OPTICAL IMAGE STABILIZER DETECTING X DIRECTION DISPLACEMENT AND Y DIRECTION DISPLACEMENT OF LENS GROUP | 5 |
James Jun Kang | US | Dallas | 2014-10-16 / 20140305630 - Flow Control Screen Assembly Having an Adjustable Inflow Control Device | 3 |
Xunlei Kang | US | Dallas | 2015-06-25 / 20150174203 - Compositions And Methods For Modulating Pro-Inflammatory Immune Response | 1 |
John Kang | US | Kingwood | 2014-02-06 / 20140033780 - METAL-CONTAINING COATING AND METHOD OF USING AND MAKING SAME | 2 |
James Kang | US | Dallas | 2015-10-22 / 20150300123 - REMOTE-OPEN INFLOW CONTROL DEVICE WITH SWELLABLE ACTUATOR | 1 |
Hong-Gu Kang | US | Austin | 2014-06-05 / 20140157451 - Compositions and Methods for the Generation of Disease Resistant Crops | 1 |
Jeong Hwan Kang | US | 2015-10-22 / 20150296807 - APPARATUS FOR COOKING PANCAKES | 1 | |
Jeong Hwan Kang | KR | Seoul | 2015-10-22 / 20150296807 - APPARATUS FOR COOKING PANCAKES | 1 |
Soo Jung Kang | KR | Anyang-Si | 2015-10-22 / 20150299429 - Polycyclohexylenedimethylene Terephthalate Resin Composition with High Color Resistance and Heat Resistance Comprising a Non-Halogen Flame Retardant and a Non-Halogen Flame Retardant Aid | 1 |
Hocheol Kang | KR | Seoul | 2015-10-22 / 20150299929 - LAUNDRY TREATING APPARATUS | 1 |
Yong Jin Kang | KR | Seoul | 2016-03-10 / 20160070053 - ORGANIC-INORGANIC HYBRID COMPOSITION, OPTICAL MEMBER AND OPTICAL DEVICE PREPARED USING THE SAME | 2 |
Doo Won Kang | KR | Anyang-Si, Gyeonggi-Do | 2015-10-22 / 20150303657 - SURGE ABSORBER AND MANUFACTURING METHOD THEREOF | 3 |
Tae Hun Kang | KR | Yangsan-Si, Gyeongsangnam-Do | 2015-10-22 / 20150303012 - Complex protection device for blocking abnormal state of current and voltage | 2 |
Dong Jin Kang | KR | Ulsan | 2015-10-22 / 20150303657 - SURGE ABSORBER AND MANUFACTURING METHOD THEREOF | 1 |
Moon Soo Kang | KR | Gimpo-Si | 2015-10-22 / 20150303830 - LARGE SCALE ENERGY STORAGE SYSTEM ENABLING BALANCED CONTROL OF INVERTERS | 1 |
Seong-Ryong Kang | KR | Seongnam-Si | 2015-10-29 / 20150312146 - METHOD AND DEVICE FOR HOSTING APPLICATION BY ACCESS NODE | 8 |
Sang Gil Kang | KR | Seoul | 2011-08-04 / 20110187625 - ANTENNA CONNECTOR OF MOBILE COMMUNICATION TERMINAL | 1 |
Shin Wook Kang | KR | Suwon-Si | 2012-11-22 / 20120291498 - DRAIN HOSE AND WASHING MACHINE HAVING THE SAME | 1 |
Shin Wook Kang | KR | Gyungsangbuk-Do | 2015-10-29 / 20150309080 - Hybrid Current Sensor Assembly | 1 |
Jeehoon Kang | KR | Seoul | 2015-10-29 / 20150310207 - METHOD FOR ANALYSING PROGRAM CODE OF ELECTRONIC DEVICE AND ELECTRONIC DEVICE | 1 |
Jae-Hoon Kang | KR | Cheongju-Si, Chungcheongbuk-Do | 2015-10-29 / 20150307998 - MULTILAYER THIN FILM FOR CUTTING TOOL AND CUTTING TOOL INCLUDING THE SAME | 1 |
Ki Ju Kang | KR | Damyang-Gun, Jeollanam-Do | 2015-10-29 / 20150306794 - METHOD FOR FABRICATING ULTRA-LOW DENSITY THREE-DIMENSIONAL THIN FILM STRUCTURE BASED ON PHOTO-LITHOGRAPHY | 1 |
Junwei Kang | CN | Hangzhou | 2016-05-05 / 20160125354 - METHOD AND SYSTEM FOR MANAGING RESOURCES DISTRIBUTED AMONG RESOURCE WAREHOUSES | 2 |
Jiwon Kang | KR | Gyeryong-Si | 2015-11-05 / 20150316825 - Z-Inversion Type Display Device and Method of Manufacturing the Same | 2 |
Chih-Tsung Kang | CN | Shenzhen City, Guangdong | 2015-10-29 / 20150311385 - Luminous Source Utilizing Quantum Dot, and Its Manufacturing Method and Application | 1 |
Seung-Soo Kang | KR | Suwon-Si | 2016-01-07 / 20160006867 - DEVICES AND METHODS OF PROVIDING RESPONSE MESSAGE IN THE DEVICES | 2 |
Jae-Eun Kang | KR | Suwon-Si | 2015-11-05 / 20150319559 - APPARATUS AND METHOD FOR SETTING UP COMMUNICATION LINK IN WIRELESS COMMUNICATION SYSTEM | 8 |
Homan Kang | KR | Seoul | 2015-11-05 / 20150314291 - METHOD FOR SEPARATING NANOPARTICLES AND ANALYZING BIOLOGICAL SUBSTANCE USING MICROFLUIDIC CHIP | 1 |
Gue Ssuk Kang | KR | Chungcheongbuk-Do | 2016-05-19 / 20160136924 - MELT-BLOWN FIBER WEB HAVING IMPROVED ELASTICITY AND COHESION, AND MANUFACTURING METHOD THEREFOR | 2 |
Dong Jin Kang | KR | Chuncheon-Si | 2015-11-05 / 20150315219 - NOVEL CYCLIC PHOSPHINATE DERIVATIVES AND METHOD OF PREPARING THE SAME | 1 |
Jaebok Kang | KR | Dalseong-Gun, Daegu | 2015-11-12 / 20150322705 - DOOR CLOSER PROVIDED WITH UNIT FOR ADDING DOOR-CLOSING FORCE | 2 |
Chi-Chih Kang | US | Berkeley | 2015-11-05 / 20150316547 - Electrophoretic Separation Devices and Methods for Using the Same | 1 |
Byung-Hoon Kang | KR | Suwon-Si | 2016-03-10 / 20160070387 - COORDINATE INDICATING APPARATUS AND METHOD FOR DRIVING THEREOF | 4 |
Young Nam Kang | KR | Seoul | 2015-11-05 / 20150317918 - RESPIRATION MIMIC DEVICE | 1 |
Yulong Kang | CN | Shenzhen | 2015-12-17 / 20150364807 - Dielectric Resonator, Assembly Method Therefor, and Dielectric Filter | 2 |
Jung Min Kang | KR | Daejeon | 2015-11-12 / 20150324580 - APPARATUS AND METHOD FOR ANALYZING MALICIOUS CODE IN REAL ENVIRONMENT | 8 |
Seung Hun Kang | KR | Seoul | 2015-11-12 / 20150324475 - APPARATUS AND METHOD FOR GENERATING UNIQUE ID OF RF CARD | 1 |
Yulong Kang | CN | Shenzhen, Guangdong Province | 2015-11-12 / 20150325902 - TM DIELECTRIC RESONATOR, METHOD FOR IMPLEMENTING TM DIELECTRIC RESONATOR AND TM DIELECTRIC FILTER | 1 |
Tae-Bong Kang | IL | Kyung Buk | 2012-06-07 / 20120144504 - CASPASE-8 AND SKIN DISEASE | 1 |
Tae-Bong Kang | IL | Rehovot | 2009-12-03 / 20090297515 - Use of Caspase-8 Inhibitors for Modulating Hematopoiesis | 1 |
Tae-Bong Kang | KR | Kyung Buk | 2015-11-19 / 20150329867 - Pharmaceutical Compositions and Diagnostic Methods for Inflammatory Skin Diseases, Disorders or Conditions | 1 |
Sungtaek Kang | KR | Seoul | 2011-07-28 / 20110182026 - NETWORK ATTACHED STORAGE | 1 |
Bo-Hyun Kang | KR | Seoul | 2015-10-29 / 20150310108 - APPARATUS AND METHOD FOR COLLECTING MEDIA | 4 |
Gil Ho Kang | KR | Gunpo-Si, Gyeonggi-Do | 2015-11-05 / 20150315781 - SOUND ABSORBING SHEET HAVING MICRO RESONANT STRUCTURE, METHOD FOR MANUFACTURING SAME, AND SOUND ABSORPTION TYPE SOUNDPROOF PANEL USING SAME | 1 |
Chang Won Kang | KR | Cheongju-Si, Chungcheongbuk-Do | 2015-11-19 / 20150329689 - FOAM SHEET USING POLYLACTIC ACID HAVING EXTENDED CHAIN AND METHOD FOR PREPARING THE SAME | 1 |
An-Chi Kang | TW | Hsinchu City | 2015-11-19 / 20150333021 - SEMICONDUCTOR STRUCTRURE WITH COMPOSITE BARRIER LAYER UNDER REDISTRIBUTION LAYER AND MANUFACTURING METHOD THEREOF | 1 |
Jian Kang | CN | Shanghai | 2015-11-19 / 20150333787 - Obtaining On-Line Service | 1 |
In-Tae Kang | KR | Gyeonggi-Do | 2015-11-19 / 20150333944 - METHOD AND APPARATUS FOR PROCESSING A TRANSMISSION SIGNAL IN COMMUNICATION SYSTEM | 1 |
Dong Ho Kang | KR | Daejeon | 2016-03-31 / 20160094517 - APPARATUS AND METHOD FOR BLOCKING ABNORMAL COMMUNICATION | 10 |
Kiat Chyai Kang | US | Painted Post | 2016-01-28 / 20160023448 - METHODS AND APPARATUS FOR FABRICATING AND CUTTING FLEXIBLE GLASS AND POLYMER COMPOSITE STRUCTURES | 9 |
Chi-Lin Kang | TW | New Taipei City | 2015-11-26 / 20150335524 - MASSAGE BATHING MAINTENANCE SYSTEM AND MAINTENANCE METHOD OF THE SAME | 2 |
Yeon-Sik Kang | KR | Incheon | 2015-11-26 / 20150336614 - Forward Portion of Vehicle Body | 1 |
Eunseck Kang | KR | Hwaseong | 2015-11-26 / 20150337118 - TRANSPARENT COMPOSITE MATERIAL AND A PRODUCTION METHOD THEREFOR | 1 |
Namseok Kang | KR | Seongnam | 2015-11-26 / 20150337118 - TRANSPARENT COMPOSITE MATERIAL AND A PRODUCTION METHOD THEREFOR | 1 |
Hana Kang | KR | Suncheon-Si, Jeollanam-Do | 2015-11-26 / 20150340117 - METHOD FOR PRODUCING CONDUCTIVE FILM | 1 |
Sung Kyu Kang | KR | Gwangju-Si, Gyeonggi-Do | 2015-11-26 / 20150337441 - APPARATUS FOR TREATING SUBSTRATE AND METHOD FOR TREATING SUBSTRATE | 1 |
Chao-Hsing Kang | TW | Taoyuan County | 2015-11-26 / 20150340925 - MOTOR STATOR | 5 |
Tae Jin Kang | KR | Icheon-Si Gyeonggi-Do | 2015-11-26 / 20150338456 - SEMICONDUCTOR APPARATUS | 1 |
Zhao Kang | CN | Beijing | 2015-12-31 / 20150378032 - X-RAY DETECTION BOARD AND MANUFACTURE METHOD THEREOF, AND X-RAY DETECTION DEVICE | 2 |
Min Hwan Kang | KR | Daegu | 2015-11-26 / 20150340256 - Thermal Treatment System and Method of Performing Thermal Treatment and Method of Manufacturing CIGS Solar Cell Using the Same | 1 |
Baek Won Kang | KR | Ansan-Si | 2015-11-26 / 20150340545 - METHOD FOR MANUFACTURING METALLIC REFLECTOR FOR LED PACKAGE | 1 |
Dong-Min Kang | KR | Suwon-Si, Gyeonggi-Do | 2016-02-25 / 20160056391 - COMPOUND FOR ORGANIC OPTOELECTRIC DEVICE, ORGANIC LIGHT-EMITTING DIODE INCLUDING SAME, DISPLAY DEVICE INCLUDING ORGANIC LIGHT-EMITTING DIODE | 4 |
Eui-Su Kang | KR | Suwon-Si, Gyeonggi-Do | 2016-05-19 / 20160141505 - ORGANIC ALLOY FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC OPTOELECTRONIC DEVICE, AND DISPLAY DEVICE | 6 |
Tae-Cheol Kang | KR | Asan-Si | 2015-11-26 / 20150340640 - ORGANIC LIGHT EMITTING DIODE | 1 |
Jiwon Kang | KR | Anyang-Si, Gyeonggi-Do | 2016-02-18 / 20160050001 - METHOD AND APPARATUS FOR PROVIDING CONTROL INFORMATION FOR FRACTIONAL BEAMFORMING IN A WIRELESS COMMUNICATION SYSTEM | 4 |
Min A. Kang | KR | Gyeongbuk | 2010-09-30 / 20100248244 - Characterization of ESM-1 as a Tumor Associated Marker of Colorectal Cancer | 1 |
Yoon Sik Kang | US | 2015-12-03 / 20150342937 - BOWEL CLEANSING COMPOSITION | 1 | |
Yoon Sik Kang | KR | Seoul | 2015-12-03 / 20150342937 - BOWEL CLEANSING COMPOSITION | 1 |
Hyun Suk Kang | US | 2015-12-03 / 20150342937 - BOWEL CLEANSING COMPOSITION | 1 | |
Hyun Suk Kang | KR | Seoul | 2015-12-03 / 20150342937 - BOWEL CLEANSING COMPOSITION | 1 |
Seong Jin Kang | KR | Seongbuk-Gu Seoul | 2015-12-03 / 20150348735 - METHOD OF MANUFACTURING FLUORESCENT LAMP AND FLUORESCENT LAMP MANUFACTURED USING THE SAME | 1 |
Seoktae Kang | KR | Yongin | 2015-12-03 / 20150344327 - Hybrid water treatment agent of biogenic manganese oxide nanoparticles and activated carbon, preparation method thereof, and water treatment system and on-site underground water treatment system using the same | 1 |
Ki Cheon Kang | KR | Uiwang-Si | 2015-12-03 / 20150344377 - Chip with Stereoscopic Texture for Resin-Based Reinforced Natural Stone and Resin-Based Reinforced Natural Stone Containing the Same | 1 |
Hyoungtaek Kang | KR | Uiwang-Si | 2015-12-03 / 20150344674 - Thermoplastic Resin Composition for Automobiles and Molded Product Produced From the Same | 2 |
Hyung Gu Kang | KR | Pohang-Si | 2015-12-03 / 20150345361 - Ferritic Stainless Steel for Automotive Exhaust System, Which Have Excellent Corrosion Resistance Against Condensate, Moldability, and High-Temperature Oxidation Resistance, and Method for Manufacturing Same | 1 |
Yeon Joo Kang | KR | Daejeon | 2015-12-03 / 20150346392 - ANTI-FINGERPRINT FILM AND ELECTRICAL AND ELECTRONIC APPARATUS | 1 |
Junki Kang | KR | Daejeon | 2015-12-03 / 20150347216 - APPARATUS AND METHOD FOR PREVENTING ERROR IN PHYSICALLY UNCLONABLE FUNCTION | 1 |
Suk Chan Kang | KR | Suwon | 2015-12-03 / 20150348700 - ON-CHIP INDUCTOR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Chang Youn Kang | KR | Buk-Gu | 2013-10-03 / 20130255326 - WASHING MACHINE USING ULTRASONIC VIBRATIONS | 1 |
Ki Tae Kang | KR | Ansan-Si | 2015-12-10 / 20150354757 - LED LAMP | 8 |
Seung Wan Kang | US | 2015-12-10 / 20150351641 - BIO INFORMATION MEASUREMENT DEVICE AND BIO INFORMATION MEASUREMENT METHOD | 1 | |
Seung Wan Kang | KR | Seoul | 2015-12-10 / 20150351641 - BIO INFORMATION MEASUREMENT DEVICE AND BIO INFORMATION MEASUREMENT METHOD | 1 |
Jong Woo Kang | US | 2015-12-10 / 20150354234 - FLAT TIE AND METHOD OF CONSTRUCTING FORM USING FLAT TIE AND NONMETALLIC FORM BOARD | 1 | |
Jong Woo Kang | KR | Jinju-Si, Gyeongsangnam-Do | 2015-12-10 / 20150354234 - FLAT TIE AND METHOD OF CONSTRUCTING FORM USING FLAT TIE AND NONMETALLIC FORM BOARD | 1 |
Lee Kang | US | West Chester | 2015-12-10 / 20150351456 - ELECTRONIC CIGARETTE | 1 |
Ju Han Kang | KR | Daejeon | 2015-12-10 / 20150352534 - CATALYST COMPOSITION AND METHOD FOR PREPARING SAME | 1 |
Nam Cheol Kang | KR | Daegu | 2015-12-10 / 20150353050 - SAFETY BELT SYSTEM FOR VEHICLE SEATS | 1 |
Pil Joong Kang | KR | Gyeonggi-Do | 2015-12-10 / 20150355219 - MULTI-AXIS SENSOR | 1 |
Dongyeon Kang | KR | Seoul | 2016-04-14 / 20160103364 - DISPLAY DEVICE | 3 |
Chang Woo Kang | KR | Gyeonggi-Do | 2015-12-10 / 20150358564 - Image Capture Apparatus | 1 |
Hyunku Kang | KR | Yongin-Si | 2015-06-04 / 20150152075 - NOVEL DIPHENYLMETHANE DERIVATIVES AS SGLT2 INHIBITORS | 3 |
Po-Zeng Kang | TW | Hsin-Hua | 2015-12-17 / 20150362539 - OUTPUT RESISTANCE TESTING STRUCTURE AND METHOD OF USING THE SAME | 8 |
Miyeon Kang | US | 2015-12-24 / 20150367741 - ELECTRICITY-CHARGING SYSTEM BETWEEN A CRANE AND TRACTOR | 2 | |
Kyoungtae Kang | KR | Seoul | 2015-10-15 / 20150294730 - METHOD OF SHAPING A STROBE SIGNAL, A DATA STORAGE SYSTEM AND STROBE SIGNAL SHAPING DEVICE | 1 |
Ji Ho Kang | KR | Gunsan-Si | 2015-12-17 / 20150361004 - FERTILIZER USING CRUSHED STONE POWDER AND MANUFACTURING METHOD THEREOF | 1 |
Hee-Woong Kang | KR | Suwon-Si | 2015-12-17 / 20150364474 - SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME | 2 |
Dong Hoon Kang | KR | Suwongyeonggi-Do | 2015-12-17 / 20150360570 - METHOD AND APPARATUS FOR CONTROLLING DRIVE MOTOR | 1 |
Won Ho Kang | KR | Yongin-Si | 2015-12-17 / 20150360719 - MOTOR DRIVEN POWER STEERING SYSTEM | 1 |
Seong Kyun Kang | KR | Daejeon | 2015-12-17 / 20150361023 - PREPARATION METHOD OF ORGANIC ZINC CATALYST AND POLY(ALKYLENE CARBONATE) RESIN | 1 |
Young Cheol Kang | KR | Gunpo-Si | 2015-12-17 / 20150361140 - MITOCHONDRIAL TARGETING PEPTIDE | 1 |
So-Young Kang | KR | Yongin-City | 2015-12-17 / 20150364116 - PIXEL, DISPLAY DEVICE INCLUDING THE PIXEL, AND METHOD OF DRIVING THE DISPLAY DEVICE | 1 |
Donghun Kang | US | Hopewell Junction | 2016-02-11 / 20160043088 - NON-VOLATILE MEMORY DEVICE EMPLOYING A DEEP TRENCH CAPACITOR | 2 |
Kyu Cheol Kang | KR | Suwon-Si | 2015-12-24 / 20150373799 - LED DRIVING DEVICE AND LIGHTING DEVICE | 8 |
Jong In Kang | KR | Nam-Gu | 2015-12-24 / 20150369096 - VARIABLE VALVE LIFT SYSTEM IN ENGINE AND CONTROL METHOD THEREOF | 1 |
Myeong-Jin Kang | KR | Anyang-Si | 2015-12-24 / 20150369318 - WEAR DETECTION DEVICE FOR BRAKE PAD A DISK BRAKE | 1 |
Sheng Kang | CN | Shanghai | 2015-12-24 / 20150371134 - PREDICTING CIRCUIT RELIABILITY AND YIELD USING NEURAL NETWORKS | 1 |
Chou-Te Kang | SG | Singapore | 2015-12-24 / 20150371719 - SYSTEMS AND METHODS FOR TESTING PERFORMANCE OF MEMORY MODULES | 1 |
Jun-Han Kang | KR | Daejeon | 2015-12-31 / 20150375212 - OXIDATION CATALYST FOR PRODUCTION OF BUTADIENE AND METHOD OF PREPARING THE SAME | 8 |
Yun-Mo Kang | KR | Seoul | 2011-06-23 / 20110148478 - FREQUENCY CONVERSION MIXER | 4 |
Yun Ji Kang | KR | Suwon-Si | 2015-12-31 / 20150379036 - METHOD AND APPARATUS OF PER-BLOCK-GROUP JOURNALING FOR ORDERED MODE JOURNALING FILE SYSTEM | 1 |
Suk Chan Kang | KR | Suwon-Si | 2015-08-20 / 20150236749 - RADIO FREQUENCY SWITCHING CIRCUIT | 6 |
Yong Goo Kang | KR | Cheonan | 2015-12-31 / 20150375658 - FLAP ASSEMBLY OF VEHICLE CUP HOLDER | 1 |
Byunghoon Kang | KR | Seoul | 2015-12-31 / 20150376405 - BIOENVIRONMENT-SENSITIVE NANOPARTICLE COMPRISING POLYMER HAVING COMPLEMENTARY CHARGES | 1 |
Hyun Min Kang | KR | Cheonan-Si Chungcheongnam-Do | 2015-12-31 / 20150377582 - TOY GUN FOR SURVIVAL GAME | 1 |
Sho-Chien Kang | US | Austin | 2015-12-31 / 20150378411 - CALIBRATING A POWER SUPPLY USING POWER SUPPLY MONITORS | 1 |
Feng Kang | CN | Beijing | 2015-12-31 / 20150380203 - ELECTRODE FOR USE IN ION IMPLANTATION APPARATUS AND ION IMPLANTATION APPARATUS | 1 |
Jun-Seok Kang | KR | Incheon | 2016-03-17 / 20160080314 - ACCESS POINT AND CONTROL METHOD THEREOF | 2 |
Jeonguk Kang | KR | Eon-Si | 2011-09-15 / 20110225388 - Data Storage Device And Computing System Including The Same | 1 |
Changduk Kang | KR | Gwacheon-Si, Gyeonggi-Do | 2016-01-07 / 20160002678 - YEAST HAVING IMPROVED PRODUCTIVITY AND METHOD OF PRODUCING PRODUCT | 1 |
Jewon Kang | KR | Seoul | 2016-01-07 / 20160007024 - THERMAL AND POWER MANAGEMENT WITH VIDEO CODING | 8 |
Yoon-Jeong Kang | KR | Gyeonggi-Do | 2016-01-07 / 20160004406 - ELECTRONIC DEVICE AND METHOD OF DISPLAYING A SCREEN IN THE ELECTRONIC DEVICE | 1 |
Hyun-Seok Kang | KR | Seo-Gu Busan | 2016-01-07 / 20160003124 - VIBRATION REDUCTION DEVICE OF MUFFLER TAIL-PIPE FOR CONSTRUCTION EQUIPMENT | 1 |
Jaemin Kang | KR | Seoul | 2016-04-21 / 20160106333 - DETACHABLE BIOSIGNAL COMPLEX SENSOR AND METHOD OF DETECTING BIOSIGNAL INFORMATION USING THE SAME | 5 |
Eunji Kang | US | Brookfield | 2016-01-07 / 20160000399 - METHOD AND APPARATUS FOR ULTRASOUND NEEDLE GUIDANCE | 1 |
Zhijun Kang | US | Sugar Land | 2016-01-07 / 20160002248 - GLS1 INHIBITORS FOR TREATING DISEASE | 2 |
Kyung Chan Kang | KR | Suseong-Gu, Daegu | 2016-01-07 / 20160003745 - EMBEDDED DEVICE FOR MEASURING COMPONENT AND COMPOSITION OF MULTI-PHASE FLOW FLUID FLOWING IN PIPE | 1 |
Aarcus Kang | US | Concord | 2016-01-07 / 20160004790 - SEAM MODIFICATION FOR 3D CAD MODELS | 1 |
Kyung In Kang | KR | Seoul | 2014-05-15 / 20140136712 - CLOUD RESOURCES AS A SERVICE MULTI-TENANT DATA MODEL | 4 |
Kyung In Kang | KR | Suwon-Si | 2016-01-28 / 20160029486 - SOLDER JOINT STRUCTURE AND ELECTRONIC COMPONENT MODULE INCLUDING THE SAME | 1 |
Dae-Kwon Kang | KR | Yongin-Si | 2016-03-10 / 20160070848 - METHOD OF DECOMPOSING LAYOUT OF SEMICONDUCTOR DEVICE FOR QUADRUPLE PATTERNING TECHNOLOGY PROCESS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME | 3 |
Yoo-Sun Kang | KR | Daejeon | 2016-01-28 / 20160028110 - GEL POLYMER ELECTROLYTE AND LITHIUM SECONDARY BATTERY COMPRISING THE SAME | 8 |
Doosuk Kang | KR | Gyeonggi-Do | 2016-03-17 / 20160079802 - WIRELESS CONTROL METHOD, AND APPARATUS AND SERVER ADAPTED TO THE SAME | 9 |
James J. Kang | US | Dallas | 2016-01-28 / 20160024897 - Well Screen Assembly with Extending Screen | 1 |
Seok Ju Kang | US | New York | 2016-01-28 / 20160024128 - SOLID-STATE MATERIALS FORMED OF MOLECULAR CLUSTERS AND METHOD OF FORMING SAME | 1 |
Changgong Kang | KR | Incheon | 2016-01-28 / 20160026030 - LIQUID CRYSTAL DISPLAY APPARATUS | 1 |
Moon Seok Kang | KR | Suwon-Si | 2016-01-28 / 20160026993 - ELECTRONIC APPARATUS AND PAYMENT METHOD THEREOF | 1 |
Hee Kwang Kang | KR | Goyang-Si | 2016-01-28 / 20160027380 - Power Sharing Lines for Powering A Small Form Factor OLED Display Device | 1 |
Jong Seuk Kang | KR | Paju-Si | 2016-01-28 / 20160027855 - ORGANIC LIGHT EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME | 1 |
Yeon Sik Kang | KR | Gwangyang-Si | 2013-11-14 / 20130298628 - Appratus and Method for Manufacturing a Large-Caliber Product Using Hydroforming | 4 |
Yeon Sik Kang | KR | Seoul | 2014-01-23 / 20140025201 - METHOD FOR PLANNING PATH FOR AUTONOMOUS WALKING HUMANOID ROBOT | 1 |
Jung Youl Kang | KR | Chilgok-Gun | 2014-06-26 / 20140176408 - LIQUID CRYSTAL DISPLAY DEVICE AND DRIVING METHOD THEREOF | 1 |
Seok Cheon Kang | KR | Eunha-Myeon | 2016-02-04 / 20160031421 - WASHER LIQUID HEATING APPARATUS INTEGRATED INTO WASHER RESERVOIR | 2 |
Qing Kang | CN | Kunshan | 2016-02-04 / 20160033852 - LIGHT SOURCE MODULE FOR OPTICAL PROJECTION DEVICE AND OPTICAL PROJECTION DEVICE INCLUDING THE LIGHT SOURCE MODULE | 1 |
Seung Nam Kang | KR | Yongin-Si | 2016-02-04 / 20160033551 - SOCKET FOR TESTING SEMICONDUCTOR DEVICE | 1 |
Sang-Hyub Kang | KR | Hwasung-Si | 2016-02-04 / 20160034094 - PROGRAMMABLE GAIN AMPLIFIERS WITH OFFSET COMPENSATION AND TOUCH SENSOR CONTROLLER INCORPORATING THE SAME | 1 |
Soji Kang | KR | Seoul | 2016-02-04 / 20160034410 - METHOD AND DEVICE FOR OPERATING TRIGGER BETWEEN ELECTRONIC DEVICES AND JACK ACCESSORY SUPPORTING THE SAME | 1 |
Shin Jae Kang | KR | Suwon-Si | 2016-03-03 / 20160065111 - VOICE COIL MOTOR DRIVING CIRCUIT | 2 |
Ji Won Kang | KR | Anyang-Si Gyeongki-Do | 2016-02-04 / 20160036575 - METHOD AND APPARATUS FOR COOPERATIVE TRANSMISSION IN MULTI-NODE SYSTEM | 1 |
Ki-Seok Kang | KR | Pyeongtaek-Si | 2016-02-04 / 20160037335 - ELECTRONIC DEVICE AND METHOD FOR CONTROLLING INFORMATION EXCHANGE IN ELECTRONIC DEVICE | 1 |
Dong Hun Kang | KR | Suwon-Si | 2016-05-19 / 20160137953 - CLEANING COMPOSITION AFTER CHEMICAL MECHANICAL POLISHING OF ORGANIC FILM AND CLEANING METHOD USING THE SAME | 2 |
Ho Rim Kang | KR | Ulsan | 2014-12-18 / 20140370262 - THREE-DIMENSIONAL GRAPHENE STRUCTURE, AND PREPARATION METHOD THEREOF | 1 |
Ho Rim Kang | KR | Gyeonggi-Do | 2013-07-18 / 20130183472 - LAYERED FILM FOR PROTECTING DISPLAY PANEL | 1 |
Chan Goo Kang | KR | Seongnam-Si | 2016-04-28 / 20160116705 - Photographic Lens Optical System | 9 |
Sung-Muk Kang | KR | Cheongju-Si | 2016-02-11 / 20160040814 - TUBE-FITTING STRUCTURE FOR PURE-WATER LINE | 1 |
Dae-Yong Kang | KR | Suwon-Si | 2016-02-11 / 20160042965 - METHODS FOR FORMING FINE PATTERNS OF SEMICONDUCTOR DEVICE | 1 |
Byung-Hoon Kang | KR | Gyeonggi-Do | 2016-02-11 / 20160041660 - SENSING DEVICE, CONTROL METHOD THEREOF, AND RECORDING MEDIUM | 1 |
Kwon-Jeong Kang | KR | Gunpo-Si | 2016-02-11 / 20160042526 - IMAGING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 1 |
Sang Won Kang | US | Cupertino | 2016-02-11 / 20160042920 - GROOVED INSULATOR TO REDUCE LEAKAGE CURRENT | 1 |
Jeanyoung Kang | KR | Yongin-City | 2016-02-11 / 20160043336 - FLEXIBLE DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF | 1 |
Kyung-Hoon Kang | KR | Seoul | 2016-02-11 / 20160044198 - METHOD OF SCANNING DOCUMENT AND IMAGE FORMING APPARATUS FOR PERFORMING THE SAME | 2 |
Tae-Hwan Kang | KR | Gumi-Si | 2016-02-11 / 20160044801 - ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Jung Mo Kang | KR | Seoul | 2011-03-24 / 20110067453 - MACHINE KNITTED FABRIC FOR COLLAR, A METHOD FOR MANUFACTURING THE SAME AND KNIT COLLAR MADE OF THE SAME | 1 |
Myung-Sam Kang | KR | Hwaseong-Si | 2016-05-19 / 20160143132 - CIRCUIT BOARD AND METHOD OF MANUFACTURING THE SAME | 12 |
Inpyo Kang | KR | Suwon-Si | 2016-03-03 / 20160062450 - DISPLAYING METHOD IN LOW POWER MODE AND ELECTRONIC DEVICE SUPPORTING THE SAME | 1 |
Min-Hyuck Kang | KR | Seoul | 2016-05-12 / 20160131811 - WIRE GRID POLARIZER AND METHOD OF FABRICATING THE SAME | 10 |
Hyun-Koo Kang | KR | Yongin-Si | 2016-03-17 / 20160080314 - ACCESS POINT AND CONTROL METHOD THEREOF | 8 |
Heekyung Kang | KR | Seoul | 2015-05-14 / 20150133084 - MOBILE TERMINAL AND CONTROL METHOD THEREOF | 1 |
Chul-Kyu Kang | KR | Suwon-Si | 2016-04-07 / 20160099299 - ORGANIC LIGHT EMITTING DISPLAY DEVICE | 9 |
Eui-Jung Kang | KR | Yongin-Si | 2014-12-04 / 20140353150 - SPUTTERING APPARATUS | 1 |
Tong-Ho Kang | KR | Seoul | 2016-02-18 / 20160045558 - COMPOSITION FOR PREVENTING OR TREATING HEARING LOSS | 7 |
Albert Kang | US | Chandler | 2016-02-18 / 20160047334 - THRUST REVERSER ACTUATOR WITH PRIMARY LOCK | 8 |
Tae Gon Kang | KR | Uiwang-Si | 2016-03-31 / 20160090470 - Thermoplastic Resin Composition and Molded Article Using the Same | 8 |
Hun Cheol Kang | KR | Yongin-Si | 2014-10-30 / 20140318649 - SOLENOID VALVE WITH MAGNET FILTER | 1 |
Ki Joon Kang | KR | Goyang | 2014-11-20 / 20140343320 - DEVICE AND METHOD FOR SEPARATING OFF WATER AND RECOVERING A CARBOXYLIC ACID FROM REACTOR DISCHARGE DURING AN AROMATIC COMPOUND OXIDATION REACTION USING ENERGY DONATING COUPLED DISTILLATION | 1 |
Ki Joon Kang | KR | Goyang-Si, Gyeonggi-Do | 2016-02-25 / 20160051932 - METHOD FOR REGENERATING AMMONIUM BICARBONATE SOLUTION IN FORWARD OSMOTIC PRESSURE TYPE WATER TREATMENT APPARATUS AND REGENERATION APPARATUS THEREFOR | 1 |
Ki Joon Kang | KR | Seoul | 2008-12-18 / 20080307968 - Apparatus and Method for Recovering Carbon Dioxide from Flue Gas Using Ammonia Water | 1 |
Yun Kee Kang | KR | Seoul | 2011-06-02 / 20110131488 - WORD INPUT METHOD | 1 |
Sin Gon Kang | KR | Seoul | 2012-02-23 / 20120045358 - HIGH MANGANESE NITROGEN-CONTAINING STEEL SHEET HAVING HIGH STRENGTH AND HIGH DUCTILITY, AND METHOD FOR MANUFACTURING THE SAME | 1 |
Byung Kwan Kang | KR | Seoul | 2016-03-24 / 20160087475 - CONTROL DEVICE OF ENERGY STORAGE SYSTEM | 1 |
Yongtian Kang | CN | Qingdao | 2015-10-01 / 20150276570 - UMBILICAL BEND-TESTING | 2 |
Jiwon Kang | KR | Seoul | 2016-05-19 / 20160142126 - METHOD AND APPARATUS FOR BEAMFORMING USING POLARIZED ANTENNA IN A WIRELESS COMMUNICATION SYSTEM | 17 |
Gyung Don Kang | KR | Daejeon | 2016-03-31 / 20160090443 - BIODEGRADABLE POLYESTER RESIN AND ARTICLE CONTAINING THE SAME | 8 |
Bongseong Kang | KR | Hwaseong-Si | 2013-06-13 / 20130146016 - CYLINDER HEAD FOR VEHICLE | 3 |
Gu Bae Kang | KR | Yongin | 2016-04-28 / 20160114801 - TORQUE CONTROL APPARATUS AND METHOD AND MOTOR CONTROLLER | 9 |
Hosuk Kang | KR | Suwon-Si | 2015-11-19 / 20150333271 - CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME | 1 |
Sungsam Kang | KR | Seoul | 2016-03-03 / 20160061725 - DEVICE FOR OPTICAL COHERENCE TOMOGRAPHY | 1 |
Hyeongwon Kang | KR | Seoul | 2016-04-07 / 20160098141 - ELECTRONIC DEVICE HAVING TOUCH SENSOR AND DRIVING METHOD THEREOF | 8 |
Youn-Seon Kang | KR | Yongin-Si | 2016-02-18 / 20160049447 - RESISTIVE MEMORY DEVICE AND METHOD OF OPERATING RESISTIVE MEMORY DEVICE | 8 |
Yoon-Kyu Kang | KR | Incheon-Si | 2015-11-12 / 20150325847 - Anode Material for Secondary Battery and Method of Preparing the Same | 1 |
Yoon-Tae Kang | KR | Hongnong-Eup | 2015-06-04 / 20150151294 - Container For Multiple Particle/Layer Separations And Particle/Layer Separtion Method Using The Same | 1 |
Dae Ik Kang | KR | Gyeonggi-Do | 2015-10-22 / 20150296854 - METHOD FOR MANUFACTURING A FISH SAUCE AND A FISH SAUCE PREPARED BY THE SAME | 4 |
Dae Ik Kang | KR | Goyang-Si Gyeonggi-Do | 2016-03-10 / 20160066613 - METHOD FOR MANUFACTURING A FISH SAUCE AND A FISH SAUCE MANUFACTURED BY THE SAME | 1 |
Hyun-Joong Kang | KR | Jinju-Si Gyeongsangnam-Do | 2016-02-25 / 20160056972 - WEB OF THINS PLUG-IN SYSTEM | 1 |
Minah Kang | KR | Seoul | 2016-02-18 / 20160049581 - MAGNETIC MEMORY DEVICES AND METHODS OF FORMING THE SAME | 1 |
Sungchan Kang | KR | Hwaseong-Si | 2016-02-25 / 20160051229 - ULTRASONIC DIAGNOSIS APPARATUSES FOR GENERATING HARMONIC IMAGES AND METHODS OF GENERATING ULTRASONIC IMAGES INCLUDING HARMONIC IMAGES | 2 |
Kiat Chyai Kang | US | Paint Post | 2016-03-24 / 20160083282 - METHODS OF FORMING LAMINATED GLASS STRUCTURES | 1 |
Hahk-Soo Kang | US | New York | 2016-03-10 / 20160068558 - ANTICANCER AGENTS OF THE ANTHRACYCLIN FAMILY | 1 |
Pilgyu Kang | US | Ithaca | 2016-02-18 / 20160047944 - METHODS AND APPARATUS FOR MONITORING INTERACTIONS BETWEEN PARTICLES AND MOLECULES USING NANOPHOTONIC TRAPPING | 1 |
Young Suk Kang | KR | Suwon-Si | 2016-02-18 / 20160048006 - SUBMINIATURE OPTICAL SYSTEM AND PORTABLE DEVICE INCLUDING THE SAME | 1 |
Byung Kon Kang | KR | Gwangju-Si | 2016-02-18 / 20160048737 - APPARATUS AND METHOD FOR ADAPTIVE COMPUTER-AIDED DIAGNOSIS | 1 |
Taewook Kang | KR | Yongin-City | 2016-05-19 / 20160137769 - COMPOSITION FOR FABRICATING ORGANIC FILM, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED USING THE SAME, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS | 5 |
Mingoo Kang | KR | Yongin-City | 2016-03-17 / 20160079532 - MASK ASSEMBLY FOR DEPOSITION, DEPOSITION APPARATUS, AND METHOD EMPLOYING THE SAME | 1 |
Jinkoo Kang | KR | Yongin-City | 2016-04-14 / 20160104869 - ORGANIC LIGHT EMITTING DISPLAY DEVICE | 1 |
Jaewook Kang | KR | Yongin-City | 2016-03-03 / 20160064425 - THIN FILM TRANSISTOR ARRAY SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 1 |
Byeongdoo Kang | KR | Yongin-City | 2016-05-12 / 20160133189 - ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF DRIVING THE SAME | 2 |
Chih-Tsung Kang | CN | Shenzhen, Guangdong | 2016-05-05 / 20160124264 - COMPENSATION STRUCTURE FOR LIQUID CRYSTAL PANELS AND THE LIQUID CRYSTAL DISPLAYS | 2 |
Jiho Kang | US | Beaverton | 2016-02-18 / 20160049371 - INTERCONNECT STRUCTURE COMPRISING FINE PITCH BACKSIDE METAL REDISTRIBUTION LINES COMBINED WITH VIAS | 1 |
Pengtao Kang | CN | Beijing | 2016-02-18 / 20160049453 - OLED ARRAY SUBSTRATE, MANUFACTURING METHOD OF THE SAME, DISPLAY PANEL, AND DISPLAY DEVICE | 1 |
Hekai Kang | CN | Beijing | 2016-03-24 / 20160086610 - AUDIO PROCESSING DEVICE AND METHOD AND ELECTRO-ACOUSTIC CONVERTING DEVICE AND METHOD | 1 |
Hao-Wei Kang | TW | Hsinchu City | 2016-02-18 / 20160049585 - METHOD OF FABRICATING PEROVSKITE SOLAR CELL | 1 |
San Kang | KR | Gyeonggi-Do | 2016-03-24 / 20160084891 - ISLANDING DETECTION APPARATUS FOR PARALLEL DISTRIBUTED GENERATION SYSTEM | 1 |
You Ra Kang | KR | Daegu | 2016-03-10 / 20160068489 - AMIDOPYRIDINOL DERIVATIVE OR PHARMACEUTICALLY ACCEPTABLE SALT THEREOF AND PHARMACEUTICAL COMPOSITION COMPRISING SAME AS ACTIVE COMPONENT | 1 |
Soon Ju Kang | KR | Daegu | 2016-02-25 / 20160051192 - VITAL SIGNAL MEASURING WATCH AND METHOD FOR MEASURING VITAL SIGNAL | 1 |
Daniel D. Kang | US | Chelsea | 2016-03-24 / 20160082952 - CONNECTION PRESERVATION AND TIMEOUT IN REMOTE VEHICLE TELEMATICS | 1 |
Chun-Ku Kang | KR | Danjin-Si, Chungcheongnam-Do | 2016-02-25 / 20160053340 - STEEL SHEET AND MANUFACTURING METHOD THEREFOR | 1 |
Chun-Ku Kang | KR | Dangjin-Si, Chungcheongnam-Do | 2016-03-03 / 20160060724 - STEEL SHEET AND METHOD FOR PRODUCING SAME | 1 |
Min Jae Kang | KR | Hwaseong | 2016-02-25 / 20160054631 - VARIABLE TRANSPARENCY GLASS AND APPARATUS FOR ADJUSTING VARIABLE TRANSPARENCY GLASS | 1 |
Byeong Wook Kang | KR | Hwaseong | 2016-03-17 / 20160076972 - METHOD OF ANALYZING BONDED PART DURABILITY TEST RESULT DATA | 1 |
Yoon-Jeong Kang | KR | Yongin-Si | 2016-02-25 / 20160054867 - METHOD OF DISPLAYING SCREEN IN ELECTRONIC DEVICE, AND ELECTRONIC DEVICE THEREFOR | 1 |
Uksong Kang | US | San Jose | 2016-02-25 / 20160055059 - MEMORY DEVICES AND MODULES | 2 |
Yu-Feng Kang | CN | Guangdong | 2016-03-31 / 20160092327 - DEBUGGING SYSTEM AND DEBUGGING METHOD OF MULTI-CORE PROCESSOR | 1 |
Yong Sik Kang | KR | Gwangyang-Si, Jeollanam-Do | 2016-03-17 / 20160076166 - ELETROPLATING APPARATUS FOR PREVENTING EXCESSIVE PLATING OF EDGE | 1 |
Chang-Min Kang | KR | Yongin-Si | 2016-02-25 / 20160056220 - ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 1 |
Jung-Ho Kang | KR | Wanju-Gun | 2016-04-14 / 20160102420 - CARBON NANOFIBERS WITH SHARP TIP ENDS AND A CARBON NANOFIBERS GROWTH METHOD USING A PALLADIUM CATALYST | 1 |
Hyun Sung Kang | KR | Seoul | 2016-02-25 / 20160057821 - Illumination Module | 1 |
Kue Seok Kang | KR | Cheongju-Si | 2016-03-03 / 20160059799 - MULTI-LAYERED NOISE ABSORBING AND INSULATING MATERIAL HAVING AIR-PERMEABILITY | 1 |
Seok-Chan Kang | KR | Gyeonggi-Do | 2016-03-03 / 20160060612 - Method of Isolating and Purifying Fusion Protein Comprising Factor VII | 1 |
Seung Hee Kang | KR | Daejeon | 2016-03-17 / 20160074848 - ETHANOL DEHYDRATION CATALYST FOR ENERGY SAVING AND METHOD OF MANUFACTURING ETHYLENE USING SAME | 1 |
Dong Seok Kang | KR | Cheonan | 2016-03-03 / 20160060754 - METHOD OF DEPOSITING THIN FILM | 1 |
Jongsun Kang | KR | Suwon-Si | 2016-03-31 / 20160089340 - COMPOSITION COMPRISING FARNESOL AND USE THEREOF | 1 |
Chang-Young Kang | KR | Daejeon | 2016-03-17 / 20160075458 - APPARATUS FOR AUTOMATICALLY PACKAGING SHEET MASK | 1 |
Seung-Ho Kang | KR | Suwon-Si | 2016-03-03 / 20160062423 - METHOD FOR MANAGING HEAT GENERATION IN ELECTRONIC DEVICE AND ELECTRONIC DEVICE THEREFOR | 1 |
Dong-Heon Kang | KR | Suwon-Si | 2016-03-17 / 20160077796 - METHOD FOR OUTPUTTING SCREEN INFORMATION THROUGH SOUND AND ELECTRONIC DEVICE FOR SUPPORTING THE SAME | 2 |
Sunggu Kang | US | Cupertino | 2016-03-03 / 20160062517 - Multi-Layer Transparent Force Sensor | 1 |
Hye-Jin Kang | KR | Seoul | 2016-03-03 / 20160063748 - DISPLAYING METHOD OF ELECTRONIC DEVICE AND ELECTRONIC DEVICE THEREOF | 1 |
Byeong-Doo Kang | KR | Cheonan-Si | 2016-03-24 / 20160086542 - DISPLAY DEVICE COMPENSATING VARIATION OF POWER SUPPLY VOLTAGE | 4 |
Wen-Chun Kang | TW | Taoyuan County | 2016-03-03 / 20160063947 - System and method for interacting multi-screen in a car | 1 |
Yu-Hsuan Kang | TW | Hsinchu | 2016-03-03 / 20160065151 - AUDIO AMPLIFIER WITH RF INTERFERENCE SUPPRESSION FUNCTION | 1 |
Yong Kuk Kang | KR | Seongnam | 2016-03-03 / 20160065613 - SYSTEM AND METHOD FOR DETECTING MALICIOUS CODE BASED ON WEB | 1 |
Byung Jin Kang | KR | Seoul | 2016-03-03 / 20160066090 - AUDIO DATA PROCESSING METHOD AND ELECTRONIC DEVICE SUPPORTING THE SAME | 1 |
In-Ku Kang | KR | Gunpo-Si | 2016-03-03 / 20160066186 - METHOD FOR MANAGING NETWORK ACCESS AND ELECTRONIC DEVICE THEREFOR | 1 |
Junghun Kang | KR | Seoul | 2016-03-17 / 20160075462 - TEST TUBE GRIPPER, TEST TUBE LABELING UNIT, AND TEST TUBE PREPARING APPARATUS INCLUDING THE SAME | 1 |
Dong Hun Kang | KR | Uiwang-Si | 2016-03-10 / 20160068711 - Organic Film CMP Slurry Composition and Polishing Method Using Same | 1 |
Tae-Hoon Kang | KR | Gyeonggi-Do | 2016-05-19 / 20160140417 - METHOD AND APPARATUS FOR IMAGE PROCESSING | 3 |
Lnsung Kang | US | San Diego | 2016-03-10 / 20160072649 - Methods and Systems for Minimum Mean Squares Based Non-Linear Interference Management in Multi-Technology Communication Devices | 4 |
Woong Kang | KR | Gwangju | 2016-03-24 / 20160087341 - ANTENNA DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Chae-O Kang | KR | Gwangju | 2016-03-17 / 20160075458 - APPARATUS FOR AUTOMATICALLY PACKAGING SHEET MASK | 1 |
Daehoon Kang | US | Kennesaw | 2016-03-17 / 20160076126 - Alloys for Highly Shaped Aluminum Products and Methods of Making the Same | 1 |
Hyejin Kang | KR | Seoul | 2016-03-17 / 20160077724 - METHOD FOR PROVIDING SPECIALIZED MODE ACCORDING TO DATE AND ELECTRONIC DEVICE SUPPORTING THE SAME | 1 |
Hyuntae Kang | KR | Seoul | 2016-04-28 / 20160113935 - COMPOSITION INCLUDING ATM INHIBITOR FOR REDUCING CELLULAR SENESCENCE AND USE OF THE COMPOSITION | 3 |
Young G. Kang | US | Glenview | 2016-03-17 / 20160079862 - LLC Resonant Converter Apparatus And Method | 1 |
Su Jin Kang | KR | Chungcheongbuk-Do | 2016-03-24 / 20160081978 - PHARMACEUTICAL COMPOSITION CONTAINING LIRIODENDRON TULIPIFERA L. EXTRACT FOR TREATING CHRONIC MYELOGENOUS LEUKEMIA | 1 |
Su Jin Kang | KR | Gangwon-Do | 2016-03-24 / 20160081979 - METHOD FOR SEPARATING THERAPEUTIC AGENT FOR CHRONIC MYELOGENOUS LEUKEMIA FROM BARK OF LIRIODENDRON TULIPIFERA L. | 1 |
Myeongjin Kang | JP | Tokyo | 2016-03-24 / 20160082697 - Infrared Shielding Sheet, Method For Manufacturing The Same, And Use Of The Same | 1 |
Min Su Kang | KR | Siheung-Si | 2016-03-24 / 20160082815 - GULL WING DOOR WITH SLIDE DOOR FOR VEHICLE | 1 |
Min Su Kang | KR | Paju | 2016-04-21 / 20160109531 - OFFSET COMPENSATION METHOD AND SYSTEM OF HALL SENSOR IN MOTOR | 2 |
Hyeon Sik Kang | KR | Gyeonggi-Do | 2016-03-24 / 20160083441 - Development of Protein-Based Biotherapeutics That Penetrate Cell-Membrane and Induce Anti-Cancer Effect - Cell-Permeable Trefoil Factor 1 (CP-TFF1) in Gastrointestinal Track (GIT) Cancer, Polynucleotides Encoding The Same, and Anti-Cancer Compositions Comprising The Same | 1 |
Jia-Hao Kang | TW | Jhongli City | 2016-03-24 / 20160085269 - TOUCH PANEL WITH MULTIPLE TOUCH-SENSITIVE SURFACES AND FLEXIBLE TOUCH SCREEN | 1 |
Hyunjae Kang | KR | Seoul | 2016-03-24 / 20160086002 - CARD READER AND METHOD OF OPERATING THE SAME | 1 |
Byunghyun Kang | KR | Suwon-Si | 2016-03-24 / 20160086479 - METHOD FOR CONTROLLING ALARM AND ELECTRONIC DEVICE SUPPORTING THE SAME | 1 |
Mi Jung Kang | KR | Suwon-Si | 2016-05-05 / 20160126004 - CHIP ELECTRONIC COMPONENT | 2 |
Hyun-Suk Kang | KR | Asan-Si | 2016-04-14 / 20160104429 - DATA VOLTAGE COMPENSATION CIRCUIT AND DISPLAY DEVICE INCLUDING THE SAME | 2 |
Hoo Wan Kang | KR | Asan-Si | 2016-03-24 / 20160086778 - SPUTTERING TARGET AND METHOD FOR MANUFACTURING TRANSPARENT CONDUCTIVE FILM USING THE SAME | 1 |
Dong-Woo Kang | KR | Gwangmyeong-Si | 2016-03-24 / 20160086828 - MAGAZINE AND PROCESS EQUIPMENT INCLUDING THE SAME | 1 |
Hyung Gu Kang | KR | Ansan-Si | 2016-03-24 / 20160088688 - MICROWAVE OVEN AND CONTROL METHOD THEREOF | 1 |
Sung Kwon Kang | KR | Gyeonggi-Do | 2016-03-31 / 20160089233 - CARDIAC VALVE FIXING DEVICE | 1 |
Hyorang Kang | KR | Anyang-Si | 2016-03-31 / 20160093916 - ELECTROLYTE, METHOD OF PREPARING THE ELECTROLYTE, AND SECONDARY BATTERY INCLUDING THE ELECTROLYTE | 1 |
Jinkyu Kang | KR | Hwaseong-Si | 2016-04-07 / 20160096797 - METHOD OF SEPARATING LACTIC ACID OR SALT THEREOF | 1 |
Young Jae Kang | KR | Yongin-Si-Si | 2016-04-07 / 20160096927 - COMPOSITION FOR PREPARING POLYIMIDE-INORGANIC PARTICLE COMPOSITE, ARTICLE PREPARED THEREFROM, AND OPTICAL DEVICE COMPRISING SAME | 1 |
Chia-Hao Kang | TW | New Taipei | 2016-04-14 / 20160105922 - SYSTEM FOR COUPLING MOBILE DEVICE TO HOST COMPUTER OF AUTOMOBILE AND METHOD THEREOF | 2 |
Sungwoo Kang | KR | Suwon-Si | 2016-04-07 / 20160099185 - METHOD OF CONTROLLING AN ETCHING PROCESS FOR FORMING FINE PATTERNS OF A SEMICONDUCTOR DEVICE | 1 |
Mun Gu Kang | KR | Suwon | 2016-04-14 / 20160101466 - CORE PIN FOR PREVENTING MOLTEN METAL FROM FLOWING INTO PIPE AND CASTING DEVICE USING THE SAME | 1 |
Sang Soo Kang | KR | Incheon | 2016-04-14 / 20160102970 - Three-Dimensional Shape Measuring Device Capable of Measuring Color Information | 1 |
Byung Rok Kang | KR | Incheon | 2016-04-14 / 20160104939 - PREPARATION METHOD FOR ELECTROMAGNETIC WAVE SHIELD COMPOSITE MATERIAL USING COPPER- AND NICKEL- PLATED CARBON FIBER PREPARED BY ELECTROLESS AND ELECTROLYTIC CONTINUOUS PROCESSES, AND ELECTROMAGNETIC WAVE SHIELD COMPOSITE MATERIAL | 1 |
Tae Kyoung Kang | KR | Gumi-Si | 2016-04-14 / 20160103504 - METHOD AND ELECTRONIC DEVICE FOR OPERATING ELECTRONIC PEN | 1 |
Bum Suk Kang | KR | Suwon-Si | 2016-04-14 / 20160104578 - MULTI-LAYER CERAMIC CAPACITOR | 1 |
Hee Sang Kang | KR | Paju-Si | 2016-04-14 / 20160104578 - MULTI-LAYER CERAMIC CAPACITOR | 1 |
Ji Hun Kang | KR | Chungcheongnam-Do | 2016-04-14 / 20160104939 - PREPARATION METHOD FOR ELECTROMAGNETIC WAVE SHIELD COMPOSITE MATERIAL USING COPPER- AND NICKEL- PLATED CARBON FIBER PREPARED BY ELECTROLESS AND ELECTROLYTIC CONTINUOUS PROCESSES, AND ELECTROMAGNETIC WAVE SHIELD COMPOSITE MATERIAL | 1 |
Jin Kyu Kang | KR | Seoul | 2016-04-21 / 20160111165 - METHODS OF OPERATING A NONVOLATILE MEMORY DEVICE | 8 |
Hwayong Kang | KR | Suwon-Si | 2015-07-02 / 20150187083 - IMAGE PROCESSING APPARATUS AND METHOD | 1 |
Dong-Goo Kang | KR | Seoul | 2016-05-05 / 20160124637 - USER TERMINAL DEVICE AND METHOD FOR CONTROLLING USER TERMINAL DEVICE THEREOF | 9 |
Yeon Soo Kang | KR | Gunpo-Si, Gyeonggi-Do | 2016-05-05 / 20160122906 - POLYURETHANE UREA ELASTIC YARN HAVING EXCELLENT UNIFORMITY AND THERMOSETTING PROPERTY | 2 |
Si-Won Kang | KR | Incheon | 2016-04-21 / 20160113045 - ELECTRONIC DEVICE FOR PERFORMING A COMMUNICATION CONNECTION AND METHOD FOR ESTABLISHING A COMMUNICATION CONNECTION | 1 |
Min Cheol Kang | KR | Incheon | 2016-04-21 / 20160109138 - SYSTEM FOR PRODUCING HEAT SOURCE FOR HEATING OR ELECTRICITY USING MEDIUM/LOW TEMPERATURE WASTE HEAT, AND METHOD FOR CONTROLLING THE SAME | 1 |
Wonhyoung Kang | KR | Asan-Si | 2016-05-19 / 20160143103 - BACKLIGHT UNIT | 2 |
Gowoon Kang | KR | Cheonan-Si | 2016-04-21 / 20160111682 - DISPLAY DEVICE | 1 |
Zhongkui Kang | CN | Guangzhou | 2014-11-27 / 20140350225 - ANTI-BFGF HUMANIZED DOUBLE-STRANDED ANTIBODY WITH STABLE DISULFIDE BOND, PREPARATION METHOD AND APPLICATION THEREOF | 1 |
Hee Kyung Kang | KR | Seoul | 2016-04-28 / 20160117085 - Method and Device for Creating and Editing Object-Inserted Images | 1 |
Hee Kyung Kang | US | 2016-04-28 / 20160117085 - Method and Device for Creating and Editing Object-Inserted Images | 1 | |
Ho Chul Kang | KR | Gwangju-Si, Gyeonggi-Do | 2016-04-28 / 20160115595 - GAS SUPPLY APPARATUS | 1 |
Chan Goo Kang | KR | Gyeonggi-Do | 2016-05-19 / 20160139371 - PHOTOGRAPHING LENS OPTICAL SYSTEM | 5 |
Jang-Mi Kang | KR | Yongin-City | 2016-04-28 / 20160116805 - DISPLAY DEVICE | 2 |
Dongwoo Kang | KR | Seoul | 2016-04-28 / 20160117554 - APPARATUS AND METHOD FOR EYE TRACKING UNDER HIGH AND LOW ILLUMINATION CONDITIONS | 1 |
Seungwoo Kang | KR | Cheonan | 2016-04-28 / 20160117904 - SERVER, SYSTEM, METHOD AND RECORDING MEDIUM FOR SEARCHING FOR MISSING CHILDREN USING MOBILE CROWDSOURCING | 1 |
Ki-Nyeng Kang | KR | Yongin-Si | 2016-04-28 / 20160118455 - ORGANIC LIGHT-EMITTING DISPLAY DEVICE, METHOD OF REPAIRING THE SAME, AND METHOD OF DRIVING THE SAME | 1 |
Hong Kyu Kang | KR | Gwangju | 2016-04-28 / 20160118522 - SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Namsu Kang | KR | Seoul | 2016-04-28 / 20160118628 - ORGANIC LIGHT EMITTING DIODE DEVICE | 1 |
Sae Hoon Kang | KR | Daejeon-Si | 2016-05-12 / 20160134527 - SYSTEM AND METHOD FOR VIRTUAL NETWORK-BASED DISTRIBUTED MULTI-DOMAIN ROUTING CONTROL | 2 |
Tae Ho Kang | KR | Daejeon | 2016-04-28 / 20160119253 - METHOD AND SYSTEM OF PERFORMING SERVICE FUNCTION CHAINING | 1 |
Gi-Wook Kang | KR | Suwon-Si, Gyeonggi-Do | 2016-05-19 / 20160141505 - ORGANIC ALLOY FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC OPTOELECTRONIC DEVICE, AND DISPLAY DEVICE | 2 |
Myoungju Kang | KR | Seoul | 2013-07-04 / 20130170897 - CONNECTION SUPPORT DEVICE AND REFRIGERATOR HAVING THE SAME | 7 |
Hae Yoon Kang | KR | Gyeonggi-Do | 2015-12-31 / 20150379939 - Display Apparatus | 1 |
Myung-Su Kang | KR | Seoul | 2016-05-05 / 20160127924 - APPARATUS AND METHOD FOR DETERMINING NETWORK STATUS | 8 |
Seung-Hee Kang | US | San Jose | 2016-05-05 / 20160121298 - CONTINUOUS CARBON SEQUESTRATION MATERIAL PRODUCTION METHODS AND SYSTEMS FOR PRACTICING THE SAME | 1 |
Inho Kang | JP | Kanagawa | 2016-05-05 / 20160122145 - SHEET TRANSPORT DEVICE AND IMAGE FORMING SYSTEM | 1 |
Soosung Kang | KR | Incheon | 2016-05-05 / 20160122302 - Mammalian and Bacterial Nitric Oxide Synthase Inhibitors | 1 |
Min Su Kang | KR | Paju-Si | 2016-05-05 / 20160123340 - METHOD OF CONTROLLING AIR BLOWER OF FUEL CELL VEHICLE | 1 |
Min Jung Kang | KR | Yongin-City | 2016-05-05 / 20160124263 - LIQUID CRYSTAL DISPLAY | 1 |
Jung-Yup Kang | KR | Gyeonggi-Do | 2016-05-05 / 20160124674 - METHOD AND APPARATUS FOR MANAGING A PLURALITY OF MEMORY DEVICES | 1 |
Do Won Kang | KR | Suwon-Si | 2016-05-05 / 20160126004 - CHIP ELECTRONIC COMPONENT | 1 |
Mingu Kang | KR | Seoul | 2016-05-05 / 20160126224 - DISPLAY DEVICE USING SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME | 1 |
Shuping Kang | CN | Shenzhen | 2016-05-05 / 20160126361 - SOLAR CELL MODULE AND MANUFACTURING METHOD THEREOF | 1 |
Jihyung Kang | KR | Hwaseong-Si, Gyeonggi-Do | 2016-05-19 / 20160142692 - METHOD AND SYSTEM FOR GENERATING MULTI-PROJECTION IMAGES | 4 |
Woo-Chul Kang | KR | Suwon-Si | 2016-05-05 / 20160127773 - BROADCAST SIGNAL RECEIVING APPARATUS, BROADCAST SIGNAL RECEIVING SYSTEM AND CONTROLLING METHOD THEREOF | 1 |
Dongchun Kang | KR | Gyeonggi-Do | 2016-05-12 / 20160133004 - LIQUID CRYSTAL DISPLAY DEVICE HAVING MEASURING MARK FOR MEASURING SEAL LINE, APPARATUS AND METHOD OF MEASURING SEAL LINE | 1 |
Lae-Hoon Kang | KR | Suwon-Si | 2016-05-12 / 20160131729 - METHOD AND APPARATUS FOR QUANTIFYING PROPERTIES OF AN OBJECT THROUGH MAGNETIC RESONANCE IMAGING (MRI) | 1 |
Lee Im Kang | KR | Seoul | 2016-05-12 / 20160131329 - Light Emitting Module, Backlight Unit Including the Module, and Display Apparatus Including the Unit | 8 |
Eunsuk Kang | KR | Seoul | 2015-04-16 / 20150103002 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 2 |
Pengtao Kang | CN | Shanghai City | 2016-03-17 / 20160075837 - METHOD FOR REMOVING BUBBLES IN POLYIMIDE SOLUTION | 1 |
Pei Kang | CN | Shanghai | 2016-05-12 / 20160128289 - HYDROPONIC SYSTEM WITH ACTUATED ABOVE-PLANT PLATFORM | 1 |
Su Yeon Kang | KR | Seoul | 2016-05-12 / 20160129752 - DUAL TYPE AIR CONDITIONING CONTROL SYSTEM OF VEHICLE | 1 |
Seung Hyun Kang | KR | Suwon-Si | 2016-05-12 / 20160130394 - ISOFLAVONE-BASED POLYMER, LENS AND CAMERA MODULE USING THE SAME | 1 |
Sung Sik Kang | KR | Seongnam-Si | 2016-05-12 / 20160132860 - METHOD AND SYSTEM OF PROCESSING PAYMENT USING INSTANT MESSAGE SERVICE | 1 |
Kwang-Hun Kang | KR | Cheonan-Si | 2016-05-12 / 20160133217 - POWER SUPPLY DEVICE AND DISPLAY DEVICE HAVING THE SAME | 1 |
Yun Seok Kang | KR | Seoul | 2016-05-12 / 20160134029 - WIRE TERMINAL CONNECTOR | 1 |
Moon Sik Kang | KR | Suwon | 2016-05-12 / 20160134029 - WIRE TERMINAL CONNECTOR | 1 |
Young Gu Kang | KR | Suwon-Si | 2016-05-12 / 20160134255 - SURFACE ACOUSTIC WAVE DEVICE AND APPARATUS INCLUDING THE SAME | 1 |
Jung Shik Kang | KR | Seoul | 2016-05-19 / 20160137926 - GTL-FPSO SYSTEM FOR CONVERSION OF STRANDED GAS IN STRANDED GAS FIELDS AND ASSOCIATED GAS IN OIL-GAS FIELDS, AND PROCESS FOR PRODUCTION OF SYNTHETIC FUEL USING THE SAME | 8 |
Nahyup Kang | KR | Seoul | 2016-05-19 / 20160140266 - METHOD AND APPARATUS TO MODEL OBJECTS INCLUDING PARTICLES | 7 |
Nahyup Kang | KR | Daejeon | 2011-09-29 / 20110238394 - Method and recording medium of a hybrid approach to multiple fluid simulation using volume fraction | 1 |
Dong-Min Kang | KR | Seoul | 2016-05-19 / 20160137953 - CLEANING COMPOSITION AFTER CHEMICAL MECHANICAL POLISHING OF ORGANIC FILM AND CLEANING METHOD USING THE SAME | 8 |
Dongok Kang | KR | Seoul | 2015-10-29 / 20150310207 - METHOD FOR ANALYSING PROGRAM CODE OF ELECTRONIC DEVICE AND ELECTRONIC DEVICE | 1 |
Seok Jong Kang | KR | Okcheon-Gun | 2015-02-12 / 20150045324 - NOVEL FUSED PYRIMIDINE DERIVATIVES FOR INHIBITION OF TYROSINE KINASE ACTIVITY | 2 |
Min-Jee Kang | KR | Seoul | 2014-03-06 / 20140066024 - METHOD OF NOTIFYING OF AN INCOMING COMMUNICATION IN A MOBILE COMMUNICATION TERMINAL, AND A MOBILE COMMUNICATION TERMINAL AND A SHORT RANGE WIRELESS COMMUNICATION DEVICE FOR THE SAME | 1 |
Sungil Kang | KR | Gyeonggi-Do | 2016-05-19 / 20160136666 - FOUNDATION CONTAINER PROVIDED WITH DISCHARGE PUMP HAVING SHORT STROKE DISTANCE AND CONTENT SPREADING MEMBER | 1 |
Sungil Kang | KR | Seongnam-Si | 2016-05-19 / 20160136670 - CONTENTS DISPENSING PUMP | 2 |
Kyoman Kang | KR | Gunpo-Si | 2016-05-19 / 20160141023 - MEMORY DEVICE | 1 |
Chan Young Kang | KR | Gunpo-Si | 2016-05-19 / 20160137227 - FRONT SIDE MEMBER FOR VEHICLE | 1 |
Sung Jin Kang | KR | Cheonan-Si | 2016-05-19 / 20160140898 - ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 1 |
Dongseok Kang | KR | Chungcheongnam-Do | 2016-05-19 / 20160141172 - METHOD FOR DEPOSITING THIN FILM | 1 |
Pil-Kyu Kang | KR | Hwaseong-Si | 2016-05-19 / 20160141282 - METHOD OF FABRICATING MULTI-SUBSTRATE SEMICONDUCTOR DEVICES | 2 |
Hoyoung Kang | US | Guilderland | 2016-05-19 / 20160141290 - METHOD OF FORMING A MEMORY CAPACITOR STRUCTURE USING A SELF-ASSEMBLY PATTERN | 1 |
Ji-Hye Kang | KR | Gyeonggi-Do | 2016-05-19 / 20160142467 - COMMUNICATION METHOD, ELECTRONIC DEVICE AND STORAGE MEDIUM | 1 |
Cyrus Kanga | US | Redmond | 2016-02-25 / 20160057140 - MULTIDEVICE AUTHENTICATION | 4 |
Lambert Houssou Ble Kanga | US | Tallahassee | 2015-08-27 / 20150237871 - MICROBIAL CONTROL OF AETHINA TUMIDA WITH METARHIZUM ANISOPLIAE | 2 |
Rustom S. Kanga | US | Kennesaw | 2016-02-11 / 20160037766 - Regeneration of Antimicrobial Coatings Containing Metal Derivatives Upon Exposure to Aqueous Hydrogen Peroxide | 1 |
Rustom Sam Kanga | US | Marietta | 2015-03-26 / 20150086747 - POLYMER REINFORCED COMPOSITE PLYWOOD AND LAMINATES | 2 |
Rustom Sam Kanga | US | Kennesaw | 2015-12-24 / 20150366214 - Regeneration of Antimicrobial Coatings Containing Metal Derivatives Upon Exposure to Vapor-Phase Hydrogen Peroxide | 1 |
Rustom S. Kanga | US | Marietta | 2013-10-31 / 20130285291 - LASER ENGRAVABLE FLEXOGRAPHIC PRINTING ARTICLES BASED ON MILLABLE POLYURETHANES, AND METHOD | 3 |
Rustom Adi Kanga | AU | New South Wales | 2011-06-02 / 20110128150 - SYSTEM AND METHOD FOR ELECTRONIC SURVEILLANCE | 1 |
Percy Rohinton Kanga | US | Cherry Hill | 2014-07-03 / 20140187457 - LUBRICATING COMPOSITIONS HAVING IMPROVED SHEAR STABILITY | 2 |
Rustom Adi Kanga | AU | Gordon Nsw | 2016-03-17 / 20160078302 - IMAGE MANAGEMENT SYSTEM | 2 |
Nicolaus Kangarakis | DE | Nurnberg | 2013-12-19 / 20130335093 - METHOD FOR MEASURING THE LIGHT PROPERTIES OF LIGHT-EMITTING DIODES | 1 |
Nicolaus Kangarakis | DE | Nuernberg | 2014-01-02 / 20140001953 - ILLUMINATION APPARATUS AND METHOD FOR OPERATING THE ILLUMINATION APPARATUS IN A DIM MODE | 1 |
Hooshang Kangarloo | US | Pacific Palisades | / - | 1 |
Ardalan Kangarlou | US | Raleigh | 2015-03-26 / 20150085878 - PROTOCOL DATA UNIT INTERFACE | 1 |
Matthew A. Kangas | US | Atlantic Mine | 2015-10-29 / 20150309006 - CONCRETE SCREEDING SYSTEM WITH FLOOR QUALITY FEEDBACK/CONTROL | 2 |
Ilpo Kangas | FI | Helsinki | 2015-10-15 / 20150295524 - SAFE TORQUE OFF PROCEDURE | 1 |
Brian A. Kangas | US | Millbury | 2010-04-29 / 20100101109 - DEVICE AND METHOD FOR INCREASING EVAPORATION RATES OF BLOW-DOWN APPARATUS | 1 |
Bradley Ronald Kangas | US | Saint Charles | 2012-11-22 / 20120293934 - MULTI-CONFIGURABLE SWITCHING SYSTEM USING MULTI-FUNCTIONALITY CARD SLOTS | 2 |
Steve Kangas | US | Woodbury | 2013-02-28 / 20130053947 - Medical Device with Crystalline Drug Coating | 14 |
Markus Kangas | CH | Baden | 2013-06-13 / 20130151853 - SYSTEMS AND METHODS FOR SECURE PEER-TO-PEER COMMUNICATIONS | 1 |
Jari Antero Kangas | FI | Tampere | 2014-10-09 / 20140300532 - APPARATUS, METHOD AND COMPUTER PROGRAM FOR CONTROLLING A NEAR-EYE DISPLAY | 1 |
Bradley R. Kangas | US | Saint Charles | 2015-02-26 / 20150055952 - INTRANODAL ROADM FIBER MANAGEMENT APPARATUSES, SYSTEMS, AND METHODS | 3 |
Juhani Kangas | FI | Kangasala | 2009-01-01 / 20090000357 - Method for Measuring Consistency, Chopper Structure, and Consistency Meter | 1 |
Joshua D. Kangas | US | Pittsburgh | 2014-02-20 / 20140052428 - LEARNING TO PREDICT EFFECTS OF COMPOUNDS ON TARGETS | 1 |
Carl R. Kangas | US | Sand Lake | 2010-11-04 / 20100275866 - Switchable Valve Lifter Having a Mis-Assembly Indicator | 1 |
Miikka M. Kangas | US | Camarillo | 2012-11-29 / 20120300803 - HANDHELD LASER SMALL ARM | 1 |
Lars J. Kangas | US | West Richland | 2016-05-19 / 20160142266 - EXTRACTING DEPENDENCIES BETWEEN NETWORK ASSETS USING DEEP LEARNING | 2 |
Maria Kangas | FI | Oulu | 2011-07-14 / 20110170450 - COOPERATIVE TRANSMISSION IN WIRELESS COMMUNICATION SYSTEM | 1 |
P. Daniel Kangas | US | Raleigh | 2015-03-19 / 20150082059 - PEER TO PEER POWER MANAGEMENT | 13 |
Daniel John Kangas | US | Rock | 2014-12-11 / 20140360796 - FOLDING VEHICLE | 1 |
Mika P. Kangas | FI | Oulu | 2011-11-03 / 20110269418 - RF Performance Improvement | 2 |
Fredrik Kangas | SE | Kiruna | 2013-07-25 / 20130189058 - SYSTEM FOR TURNING A CARGO CARRIER AS WELL AS A TRANSPORT DEVICE PROVIDED WITH A LOOSE CARGO CARRIER | 1 |
Ari Kangas | SE | Lindingo | 2012-06-28 / 20120163515 - DEFINING ADAPTIVE DETECTION THRESHOLDS | 3 |
William K. Kangas | US | Buchanan | 2015-07-30 / 20150208897 - DISHWASHER | 2 |
Brian Kangas | US | Millbury | 2009-07-02 / 20090165326 - APPARATUS AND METHOD FOR DRYING A SOLID OR LIQUID SAMPLE | 1 |
Veijo Kangas | FI | Jyvaskyla | 2016-05-19 / 20160141483 - HIGHLY CONDUCTING MATERIAL | 2 |
Simo Kangas | FI | Vaasa | 2011-11-24 / 20110287650 - PLUG-IN UNIT ASSEMBLY | 1 |
Steven Kangas | US | Woodbury | 2008-11-20 / 20080286333 - Medical devices having coating with improved adhesion | 1 |
Veijo Kangas | US | 2015-02-12 / 20150041730 - CARBON NANOTUBE - POLYSACCHARIDE COMPOSITE | 1 | |
Lauri Kangas | FI | Lieto | / - | 1 |
Jari A. Kangas | FI | Tampere | 2014-07-10 / 20140191993 - GESTURE BASED DOCUMENT EDITOR | 3 |
Steven L Kangas | US | Woodbury | 2015-12-10 / 20150351782 - SYSTEMS AND METHODS FOR TREATING ATHEROSCLEROTIC PLAQUE | 6 |
Nils-Egil Kangas | NO | Nesttun | 2012-05-03 / 20120103621 - SUBSEA SYSTEM WITH SUBSEA COOLER AND METHOD FOR CLEANING THE SUBSEA COOLER | 2 |
Kent Kangas | US | Bakersfield | 2014-05-08 / 20140124997 - FOOD WORK TABLE | 1 |
Daniel Kangas | SE | Kiruna | 2013-07-25 / 20130189058 - SYSTEM FOR TURNING A CARGO CARRIER AS WELL AS A TRANSPORT DEVICE PROVIDED WITH A LOOSE CARGO CARRIER | 1 |
Tita Kangas | FI | Kaarina | 2013-10-24 / 20130278637 - Re-Configuring the Standby Screen of an Electronic Device | 1 |
Jani Kangas | FI | Helsinki | 2013-10-03 / 20130257405 - ADD-ON BOOST CONVERTER FOR A SOLAR ENERGY SYSTEM | 1 |
Antti O. Kangas | FI | Oulunsalo | 2013-01-03 / 20130003705 - Method, Device, System and Software product for providing System Information to Enable Packet Switched Handover | 1 |
Regina Dawn Kangas | US | Raleigh | 2010-06-24 / 20100158327 - APPARATUS, SYSTEM, AND METHOD FOR SEQUENCED BIOMETRIC AUTHENTICATION | 1 |
Bradley R. Kangas | US | St. Charles | 2016-02-18 / 20160050043 - APPARATUSES, SYSTEMS, METHODS, AND COMPUTER PROGRAM PRODUCTS FOR ADD-DROP MULTIPLEXING | 1 |
Paul Daniel Kangas | US | Cary | 2010-03-11 / 20100060436 - MICROPHONE DIAGNOSTIC INSIDE SYSTEM WITH VOIP ALERTING AND MONITORING | 1 |
P. Daniel Kangas | US | Raleigh | 2015-03-19 / 20150082059 - PEER TO PEER POWER MANAGEMENT | 13 |
Kevin G. Kangas | US | Hixson | 2013-05-30 / 20130134618 - PROCESS FOR PRODUCING A MOLDED PRODUCT | 1 |
Santeri Kangas | MY | Kuala Lumpur | 2011-09-01 / 20110211682 - TELEPHONY FRAUD PREVENTION | 1 |
Jani Kangas | FI | Espoo | 2011-07-28 / 20110181212 - CONTROL METHOD FOR FREQUENCY CONVERTER | 8 |
Antti Olavi Kangas | FI | Oulunsalo | 2013-05-23 / 20130130698 - INDICATION OF USER EQUIPMENT MOBILITY STATE TO ENHANCE MOBILITY AND CALL PERFORMANCE | 5 |
Paul D. Kangas | US | Raleigh | 2015-05-14 / 20150130835 - INTERACTIVE AUGMENTED REALITY FOR MEMORY DIMM INSTALLATION | 23 |
Pertti Kangas | FI | Oulu | 2009-10-08 / 20090252122 - Method for reducing interference | 1 |
Petteri Kangas | FI | Hyvinkaa | 2016-02-11 / 20160039635 - POSITIONING APPARATUS, ELEVATOR AND A METHOD FOR DETERMINING THE POSITION OF AN ELEVATOR CAR | 6 |
Kimmo A. Kangas | FI | Kerava | 2011-09-29 / 20110238485 - METHOD AND APPARATUS FOR UTILIZING CONFIDENCE LEVELS TO SERVE ADVERTISEMENTS | 2 |
Santeri Kangas | FI | Helsinki | 2011-09-15 / 20110225655 - Malware protection | 1 |
Kimmo Kangas | FI | Kerava | 2010-09-09 / 20100227630 - METHOD AND APPARATUS FOR DELIVERING ADVERTISING DATA | 1 |
Paul D. Kangas | US | Cary | 2010-12-09 / 20100311283 - LOCKING CONNECTOR FOR ENGAGING A USB RECEPTACLE | 1 |
Päivi Kangas | FI | Tampere | 2015-10-01 / 20150279245 - PART OF A PACKAGE | 1 |
David B. Kangas | US | Claremont | 2016-04-28 / 20160116251 - FIREARM WITH TUBULAR HANDGUARD MOUNTING SYSTEM | 1 |
Carl Ralph Kangas | US | Sand Lake | 2014-09-11 / 20140251283 - MECHANICAL LIFTER | 1 |
Monte E. Kangas | US | Fairfield | 2012-06-14 / 20120149599 - COMBINED RAPID SUSCEPTIBILITY ASSAY AND MICROORGANISM IDENTIFICATION SYSTEM | 1 |
Michelle Kangas | US | Bakersfield | 2014-05-08 / 20140124997 - FOOD WORK TABLE | 1 |
Paul D. Kangas | US | Research Triangle Park | 2011-02-17 / 20110037781 - APPARATUS, SYSTEM, AND METHOD FOR CONTROLLING AN ELECTRONIC DISPLAY | 1 |
Jani Kangas | FI | Espoo | 2011-07-28 / 20110181212 - CONTROL METHOD FOR FREQUENCY CONVERTER | 8 |
Jari Kangas | FI | Tampere | 2015-04-02 / 20150091730 - Controlling A User Alert | 8 |
Ari Kangas | SE | Lidingo | 2016-01-28 / 20160025838 - METHODS AND ARRANGEMENTS IN A TELECOMMUNICATION SYSTEM | 39 |
Paul D. Kangas | US | Raleigh | 2015-05-14 / 20150130835 - INTERACTIVE AUGMENTED REALITY FOR MEMORY DIMM INSTALLATION | 23 |
Regina D. Kangas | US | Durham | 2011-02-03 / 20110024490 - Data Transfers With Bar Codes | 1 |
Dan Kangas | US | Raleigh | 2010-06-24 / 20100158327 - APPARATUS, SYSTEM, AND METHOD FOR SEQUENCED BIOMETRIC AUTHENTICATION | 1 |
Jari Kangas | FI | Tampere | 2015-04-02 / 20150091730 - Controlling A User Alert | 8 |
Seppo Kangasmaa | FI | Helsinki | 2009-12-31 / 20090323720 - CHANNEL MANAGEMENT VIA LINK PARAMETER ADJUSTMENT | 1 |
Jussi J. Kangasmesta | GB | Wendens Ambo | 2012-06-28 / 20120165382 - COMPOUNDS ACT AT MULTIPLE PROSTAGLANDIN RECEPTORS GIVING A GENERAL ANTI-INFLAMMATORY RESPONSE | 1 |
Jussi J. Kangasmetsa | GB | Essex | 2016-03-10 / 20160068520 - COMPOUNDS ACT AT MULTIPLE PROSTAGLANDIN RECEPTORS GIVING A GENERAL ANTI-INFLAMMATORY RESPONSE | 10 |
Jussi J. Kangasmetsa | GB | Essex | 2016-03-10 / 20160068520 - COMPOUNDS ACT AT MULTIPLE PROSTAGLANDIN RECEPTORS GIVING A GENERAL ANTI-INFLAMMATORY RESPONSE | 10 |
Jussi J. Kangasmetsa | GB | Cambridge | 2015-07-30 / 20150210689 - ANTAGONISTS ACTING AT MULTIPLE PROSTAGLANDIN RECEPTORS FOR THE TREATMENT OF INFLAMMATION | 1 |
Jussi J. Kangasmetsa | GB | Saffrom Waldon | 2012-03-29 / 20120077858 - COMPOUNDS ACT AT MULTIPLE PROSTAGLANDIN RECEPTORS GIVING A GENERAL ANTI-INFLAMMATORY RESPONSE | 1 |
Jussi J. Kangasmetsa | GB | Safron Walden | 2016-03-10 / 20160068491 - COMPOUNDS AS TYROSINE KINASE MODULATORS | 2 |
Jussi Kangasmetsa | GB | Essex | 2011-05-12 / 20110112089 - Cysteine Protease Inhibitors | 2 |
Ilkka Kangasniemi | FI | Piispanristi | 2009-12-31 / 20090324668 - IMPLANT, ITS USES AND METHODS FOR MAKING IT | 1 |
Eero Kangasoja | FI | Kiiskilampi | 2010-01-28 / 20100018120 - METHOD FOR GASIFYING SOLID FUEL AND CONCURRENT GASIFIER | 1 |
Tommi Tapani Kangassuo | FI | Salo | 2012-11-08 / 20120282970 - UPLINK TRANSMISSION POWER CONTROL MECHANISM | 1 |
Tommi Tapari Kangassuo | FI | Salo | 2013-01-31 / 20130029707 - Cellular Radio Path Measurement and Reporting | 1 |
Tommi T. Kangassuo | FI | Salo | 2013-01-10 / 20130010706 - Uplink Power Control Adjustment State In Discontinuos Data Transfer | 1 |
Jarno Kangastupa | FI | Kangasala | 2008-10-30 / 20080269870 - Method for Preparing Medical Stents | 1 |
Jarno Kangastupa | FI | Tampere | 2013-03-21 / 20130070428 - METHOD OF SEALING AND CONTACTING SUBSTRATES USING LASER LIGHT AND ELECTRONICS MODULE | 2 |
Divya Kangath | IN | Bangalore | 2012-08-16 / 20120209011 - NOVEL PROCESS FOR THE PREPARATION OF PROSTAGLANDINS AND INTERMEDIATES THEREOF | 1 |
Kenji Kangawa | JP | Kyoto | 2009-06-04 / 20090143284 - Promoter of Regeneration of Pancreatic B Cell, and Promoter of Insulin Production in Pancreatic B Cell | 1 |
Kenji Kangawa | JP | Miyazaki | 2009-04-23 / 20090105464 - PHYSIOLOGICALLY ACTIVE POLYPEPTIDE AND DNA | 1 |
Kenji Kangawa | JP | Minoo-Shi | 2013-07-04 / 20130172251 - MODIFIED GHRELIN PEPTIDES | 3 |
Kenji Kangawa | JP | Suita-Shi | 2015-08-27 / 20150238570 - THERAPEUTIC AGENT FOR HYPERTHERMIA | 6 |
Kenji Kangawa | JP | Osaka | 2015-09-17 / 20150258176 - MEDICINAL AGENT FOR SUPPRESSING MALIGNANT TUMOR METASTASIS | 5 |
Park Kang-Ho | KR | Daejeon | 2012-04-12 / 20120087211 - LOW-POWER SECURITY AND INTRUSION MONITORING SYSTEM AND METHOD BASED ON VARIATION DETECTION OF SOUND TRANSFER CHARACTERISTIC | 1 |
Sung Kang, Ii | KR | Seoul | 2009-01-15 / 20090017837 - System and method for determining position of mobile communication device | 1 |
Lim Kang Jun | KR | Gyeonggi-Do | 2014-04-24 / 20140113770 - TREADMILL HAVING A DEVICE FOR A VIRTUAL WALKING COURSE IMAGE AND METHOD FOR DRIVING THE TREADMILL | 1 |
Jennifer J. Kang-Mieler | US | Evanston | 2014-03-06 / 20140065226 - THERMO-RESPONSIVE HYDROGEL COMPOSITIONS | 2 |
Balakrishnan Kangol | IN | Bangalore | 2011-09-01 / 20110213903 - Pin Multiplexing | 2 |
Mingxue Kangshang | CN | Beijing | 2016-01-28 / 20160026676 - METHOD FOR APPLICATION MANAGEMENT, AS WELL AS SERVER AND TERMINAL DEVICE THEREOF | 5 |
Liao Kang-Shyang | US | Houston | 2010-12-16 / 20100313944 - WRAPPED OPTOELECTRONIC DEVICES AND METHODS FOR MAKING SAME | 1 |
Shantanu Kangude | US | Dallas | 2014-12-18 / 20140369297 - PREAMBLE GROUP SELECTION IN RANDOM ACCESS OF WIRELESS NETWORKS | 21 |
Abhijit Kangude | US | Santa Clara | 2015-07-30 / 20150211114 - BOTTOM PUMP AND PURGE AND BOTTOM OZONE CLEAN HARDWARE TO REDUCE FALL-ON PARTICLE DEFECTS | 5 |
Miyo Kangyo | JP | Osaka | 2015-12-31 / 20150382411 - LIGHTING CONTROL DEVICE, LIGHTING DEVICE, AND LIGHTING FIXTURE | 1 |
Vivek Kanhangad | HK | Hong Kong | 2009-10-29 / 20090268951 - METHOD AND SYSTEM FOR PERSONAL IDENTIFICATION USING 3DPALMPRINT IMAGING | 1 |
Geetha Kanhangad-Gangadharan | IN | Bangalore | 2011-02-10 / 20110033565 - Protective Compositions for Dermal Papilla Cells | 4 |
Sandhya Kanhed | IN | Weikfield It City | 2013-02-21 / 20130046583 - METHOD AND SYSTEM FOR ENTERPRISE AUDIT | 1 |
Abhay S. Kanhere | US | Fremont | 2015-11-26 / 20150339109 - STATE RECOVERY METHODS AND APPARATUS FOR COMPUTING PLATFORMS | 9 |
Kanad D. Kanhere | US | Irving | 2014-04-10 / 20140101496 - STATE MACHINE BASED PARSING ALGORITHM ON A DATA-STATUS FIFO WITH MULTIPLE BANKS | 1 |
Prashant Kanhere | US | Cupertino | 2014-01-16 / 20140019224 - FACILITATING CONSUMER ACTIVITY THROUGH AN ELECTRONIC CARD DEVICE | 2 |
Arvind Kanhere | IN | Pune | 2013-11-07 / 20130295542 - SIMULATION TRAINING DEVICE FOR ASSESSMENT OF CERVICAL DILATATION | 2 |
Nikhil Arvind Kanhere | CA | Newmarket | 2013-11-07 / 20130295542 - SIMULATION TRAINING DEVICE FOR ASSESSMENT OF CERVICAL DILATATION | 1 |
Abhay S. Kanhere | US | Fremont | 2015-11-26 / 20150339109 - STATE RECOVERY METHODS AND APPARATUS FOR COMPUTING PLATFORMS | 9 |
Neeraj Krantiveer Kanhere | US | Clemson | 2010-12-23 / 20100322476 - VISION BASED REAL TIME TRAFFIC MONITORING | 1 |
Satoru Kani | JP | Toshima-Ku | 2012-08-02 / 20120196132 - POLYLACTIC ACID-BASED DECORATIVE BODY | 1 |
Toshiyuki Kani | JP | Anjo-Shi | 2015-12-31 / 20150375313 - TABLETOP CUTTING DEVICE | 26 |
Jun-Ichi Kani | JP | Tokyo | 2015-07-23 / 20150208347 - OPTICAL WIRELESS ACCESS SYSTEM | 1 |
Hiroyuki Kani | JP | Anjo-City | 2009-01-08 / 20090009306 - OBSTACLE DETECTION APPARATUS | 1 |
Toshimitsu Kani | US | Fort Collins | 2009-04-30 / 20090113422 - DYNAMIC ALLOCATION OF VIRTUAL MACHINE DEVICES | 1 |
Kiyoshi Kani | JP | Gifu | 2015-12-17 / 20150362075 - SEAL MEMBER AND FRONT FORK PROVIDED WITH SEAL MEMBER | 1 |
Jun-Ichi Kani | JP | Yokosuka-Shi | 2015-08-13 / 20150229397 - DISTRIBUTED RADIO COMMUNICATION BASE STATION SYSTEM, BASE BAND UNIT, REMOTE RADIO UNIT, AND METHOD FOR OPERATING DISTRIBUTED RADIO COMMUNICATION BASE STATION SYSTEM | 2 |
Hiroshi Kani | JP | Sapporo-Shi | 2011-09-22 / 20110230576 - FOAMABLE RESIN COMPOSITION AND FOAMED BODY | 1 |
Jun-Ichi Kani | JP | Yokosuka | 2013-10-03 / 20130259468 - OPTICAL LINE TERMINAL AND OPTICAL NETWORK UNIT | 1 |
Mamoru Kani | JP | Osaka | 2014-03-06 / 20140063523 - IMAGE FORMING APPARATUS AND IMAGE FORMING SYSTEM | 3 |
Yukimune Kani | JP | Moriguchi-Shi | 2009-05-14 / 20090123798 - METHOD OF OPERATING A HYDROGEN GENERATOR AND METHOD OF OPERATING A FUEL CELL SYSTEM USING A HYDROGEN GENERATOR | 1 |
Tetsuo Kani | JP | Yokohama-Shi | 2012-02-02 / 20120027179 - INDUSTRIAL X-RAY GENERATOR | 2 |
Kohei Kani | JP | Kouka-City | 2016-05-05 / 20160121584 - INTERMEDIATE FILM FOR LAMINATED GLASS, MULTILAYER INTERMEDIATE FILM FOR LAMINATED GLASS, AND LAMINATED GLASS | 16 |
Toshiyuki Kani | JP | Anjo | 2010-03-11 / 20100058910 - Slide circular saw | 2 |
Seyyed Ali Pourmousavi Kani | US | Bozeman | 2013-01-24 / 20130024042 - METHOD FOR REAL-TIME POWER MANAGEMENT OF A GRID-TIED MICROGRID TO EXTEND STORAGE LIFETIME AND REDUCE COST OF ENERGY | 1 |
Jun-Ichi Kani | JP | Yokosuka-Shi, Kanagawa | 2015-12-24 / 20150373640 - OPTICAL-WIRELESS ACCESS SYSTEM | 1 |
Hirofumi Kani | JP | Kanagawa | 2010-12-09 / 20100307319 - PLAY ASSIST DEVICE | 1 |
Junichi Kani | JP | Makuhari-Shi | 2010-09-23 / 20100239247 - OPTICAL NETWORK UNIT | 1 |
Yuko Kani | JP | Tokyo | 2016-01-28 / 20160023182 - METHOD AND APPARATUS FOR PRODUCING RADIONUCLIDE | 2 |
Shouichi Kani | JP | Osaka | 2013-03-14 / 20130065001 - SAPONIFIED ETHYLENE-VINYL ESTER COPOLYMER RESIN COMPOSITION AND MULTILAYER STRUCTURE USING THE COMPOSITION | 2 |
Kiyoshi Kani | JP | Kani-Shi | 2014-08-07 / 20140216872 - SEALING DEVICE AND SUSPENSION DEVICE WITH THE SEALING DEVICE | 1 |
Kohei Kani | JP | Kouka-City | 2016-05-05 / 20160121584 - INTERMEDIATE FILM FOR LAMINATED GLASS, MULTILAYER INTERMEDIATE FILM FOR LAMINATED GLASS, AND LAMINATED GLASS | 16 |
Toshimitsu Kani | US | Windsor | 2015-05-07 / 20150127916 - DYNAMIC MEMORY ALLOCATION | 2 |
Tomohiro Kani | JP | Toyota-City | 2012-03-22 / 20120072069 - COMMUNICATION SYSTEM FOR VEHICLE | 2 |
Yuuya Kani | JP | Fukuoka | 2015-04-30 / 20150116587 - IMAGING APPARATUS, IMAGING METHOD, ELECTRONIC DEVICE, AND PROGRAM | 1 |
Yukimune Kani | JP | Osaka | 2013-05-16 / 20130122384 - HYDROGEN GENERATOR, METHOD OF OPERATION THEREOF AND FUEL CELL SYSTEM | 21 |
Yusuke Kani | JP | Kyoto | 2011-01-20 / 20110012774 - RANGE FINDER, SHAPE MEASURING DEVICE, AND METHODS FOR THEM | 1 |
Hiroshi Kani | JP | Hokkaido | 2011-03-31 / 20110077313 - FOAMABLE RESIN COMPOSITION AND FOAMED BODY | 1 |
Miyuki Kani | JP | Hyogo | 2009-08-27 / 20090212043 - INDUCTION HEATER | 1 |
Tatsuya Kani | JP | Kusatsu-Shi, Shiga | 2015-11-26 / 20150336894 - PEST CONTROL AGENT | 1 |
Jun-Ichi Kani | JP | Kanagawa | 2011-12-29 / 20110318008 - OPTICAL LINE TERMINAL AND OPTICAL NETWORK UNIT | 1 |
Yuya Kani | JP | Fukuoka | 2011-06-09 / 20110134299 - CCD-type solid-state imaging device, driving method for CCD-type solid-state imaging device, and imaging system | 1 |
Toshiyuki Kani | JP | Anjo-Shi | 2015-12-31 / 20150375313 - TABLETOP CUTTING DEVICE | 26 |
Hyde Kani | US | Jackson | 2014-03-20 / 20140079599 - Exhaust Gas Flow Distribution System | 1 |
Masakatsu Kani | JP | Kariya-Shi | 2013-12-12 / 20130327610 - DRIVING FORCE TRANSMISSION APPARATUS | 1 |
John Kania | US | Madison | 2016-04-07 / 20160096996 - PRODUCTION OF CHEMICALS AND FUELS FROM BIOMASS | 6 |
Robert Steven Kania | US | San Diego | 2015-12-17 / 20150361067 - SUBSTITUTED DIHYDROISOQUINOLINONE COMPOUNDS | 8 |
Robert Kania | US | Falls Church | 2016-03-17 / 20160078417 - SYSTEM AND METHOD FOR PROVIDING A DRIVERLESS PORTABLE ATM | 1 |
Charles M. Kania | US | Natrona Heights | 2015-11-05 / 20150315416 - POLYTHIOETHER POLYMERS, METHODS FOR PREPARATION THEREOF, AND COMPOSITIONS COMPRISING THEM | 11 |
Stephen A. Kania | US | Powell | 2014-03-27 / 20140087966 - Detection and Differentiation of Demodex Mites | 3 |
Robert Steven Kania | US | San Diego | 2015-12-17 / 20150361067 - SUBSTITUTED DIHYDROISOQUINOLINONE COMPOUNDS | 8 |
Hubert Kania | DE | Bensheim | 2014-01-30 / 20140031955 - CONNECTING DEVICE, SYSTEM AND METHOD FOR SIGNAL TRANSMISSION BETWEEN A CONTROL CENTER AND AT LEAST ONE FIELD DEVICE IN AN INDUSTRIAL INSTALLATION | 1 |
Pavel Kania | CZ | Brno | 2011-11-03 / 20110270544 - STORAGE DEVICE ENERGIZED ACTUATOR HAVING DIAGNOSTICS | 1 |
Piotr Kania | PL | Krakow | 2016-01-28 / 20160026448 - Identifying Unmatched Registry Entries | 15 |
Annabelle Kania | US | Houston | 2015-02-05 / 20150039281 - Optimizing Drilling Operations Using Petrotechnical Data | 4 |
Tony Kania | US | Scottsdale | 2016-01-07 / 20160001434 - 10-in-1 multiple function wrench | 1 |
Anthony Kania | US | Mesa | 2012-07-26 / 20120187004 - FLOOR JACK HAVING INTEGRATED TOOL KIT | 2 |
Bruce G. Kania | US | Shepherd | 2014-07-31 / 20140210238 - ROCKER-EQUIPPED HUNTING BLIND | 36 |
Tomasz Pawel Kania | PL | Krakow | 2016-04-07 / 20160098428 - CREATING AND HANDLING IDENTIFICATION FOR A RESOURCE IN A CONFIGURATION DATABASE | 1 |
Charles M. Kania | US | Natrona Heights | 2015-11-05 / 20150315416 - POLYTHIOETHER POLYMERS, METHODS FOR PREPARATION THEREOF, AND COMPOSITIONS COMPRISING THEM | 11 |
Wlodzimierz Kania | CA | Pointe-Claire | 2008-12-18 / 20080312643 - TISSUE ABLATION SYSTEM INCLUDING GUIDEWIRE WITH SENSING ELEMENT | 2 |
Charles M. Kania | US | Allegheny County | 2012-06-07 / 20120142817 - ONE COMPONENT EPOXY STRUCTURAL ADHESIVE COMPOSITION PREPARED FROM RENEWABLE RESOURCES | 1 |
Jakub Kania | PL | Bielsko-Biala | 2014-03-27 / 20140089274 - AGENT COMMUNICATION BULLETIN BOARD | 4 |
Anna Kania | DE | Homburg | 2010-07-29 / 20100189381 - LINEAR BALL BEARING AND SUPPORTING RACE ELEMENT FOR THE SAME, AND PRODUCTION METHOD AND SHAPING DEVICE FOR A BLANK OF THE SUPPORTING RACE ELEMENT | 1 |
Piotr Kania | PL | Krakow | 2016-01-28 / 20160026448 - Identifying Unmatched Registry Entries | 15 |
Jason Kania | CA | Ottawa | 2015-11-05 / 20150316594 - Energy Monitoring and Analysis System | 1 |
Guido Kania | DE | Marloffstein | 2011-09-29 / 20110236615 - MOLDED PART | 1 |
Tamir Kanias | IL | Givat Shmuel | 2011-07-21 / 20110177488 - BIOLOGICAL MATERIAL AND METHODS AND SOLUTIONS FOR PRESERVATION THEREOF | 3 |
Osamu Kanie | JP | Tokyo | 2013-06-20 / 20130153760 - METHOD FOR ANALYZING STRUCTURE OF SUBSTANCE | 2 |
Hideki Kanie | JP | Nisshin-Shi | 2012-08-23 / 20120213609 - PUSH-IN FASTENER ASSEMBLY | 2 |
Kiyoshi Kanie | JP | Sendai-Shi | 2014-04-17 / 20140103246 - METHOD FOR PRODUCING ALKALI METAL NIOBATE PARTICLES, AND ALKALI METAL NIOBATE PARTICLES | 1 |
Naoki Kanie | JP | Aichi-Ken | 2010-02-11 / 20100035107 - FUEL CELL SYSTEM | 1 |
Takamasa Kanie | JP | Kariya-Shi | 2012-02-02 / 20120028139 - FUEL CELL | 1 |
Naohito Kanie | JP | Kariya-Shi | 2015-10-15 / 20150295490 - INVERTER DEVICE | 8 |
Nobuatsu Kanie | JP | Shizuoka-Ken | 2013-02-28 / 20130053763 - DIALYSIS CATHETER | 1 |
Naohito Kanie | JP | Aichi-Ken | 2009-11-26 / 20090290398 - POWER CONVERTER | 1 |
Masato Kanie | JP | Yokohama-Shi | 2010-10-28 / 20100270600 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD OF DESIGNING THE SAME | 1 |
Homare Kanie | JP | Yokohama | 2014-08-21 / 20140237204 - STORAGE SYSTEM AND OBJECT MANAGEMENT METHOD | 5 |
Hideyuki Kanie | JP | Anjo-Shi | 2009-12-03 / 20090295322 - STATE MEMORIZING DEVICE FOR MOVABLE MEMBER | 1 |
Tomohiko Kanie | JP | Yokohama-Shi | 2014-03-13 / 20140071511 - WAVELENGTH SELECTIVE SWITCH | 5 |
Naoki Kanie | JP | Okazaki-Shi | 2014-08-21 / 20140236379 - VEHICLE CHARGING SYSTEM AND VEHICLE CHARGING METHOD | 1 |
Naohito Kanie | JP | Kariya-Shi | 2015-10-15 / 20150295490 - INVERTER DEVICE | 8 |
Tatsuya Kanie | JP | Tokai | 2014-08-21 / 20140234197 - METHOD FOR PRODUCING CHLOROPOLYSILANE AND FLUIDIZED-BED REACTOR | 1 |
Yoji Kanie | JP | Aichi | 2011-10-06 / 20110239834 - METHOD AND APPARATUS FOR PROCESSING HOLE WITH ROUNDED EDGE | 1 |
Nobuatsu Kanie | JP | Fukuroi-Shi | 2011-02-03 / 20110028904 - Stylet and Catheter Set | 1 |
Takashi Kanie | JP | Toyoake-Shi | 2016-01-28 / 20160025270 - FUEL SUPPLY SYSTEM | 1 |
Nobuatsu Kanie | JP | Shizuoka | 2013-01-17 / 20130018319 - CATHETER FIXING DEVICEAANM Abe; KazuhiroAACI ShizuokaAACO JPAAGP Abe; Kazuhiro Shizuoka JPAANM Koike; KazuhiroAACI ShizuokaAACO JPAAGP Koike; Kazuhiro Shizuoka JPAANM Makino; MasanoriAACI ShizuokaAACO JPAAGP Makino; Masanori Shizuoka JPAANM Akaike; YoshimiAACI ShizuokaAACO JPAAGP Akaike; Yoshimi Shizuoka JPAANM Kanie; NobuatsuAACI ShizuokaAACO JPAAGP Kanie; Nobuatsu Shizuoka JPAANM Mizoguchi; MasatoAACI ShizuokaAACO JPAAGP Mizoguchi; Masato Shizuoka JP | 2 |
Koichi Kanie | JP | Kitakyushu | 2013-11-28 / 20130316197 - ENERGY STORAGE ARTICLE AND METHOD | 1 |
Sanji Kanie | JP | Nagoya-Shi | 2010-11-25 / 20100294978 - METAL/INSULATOR NANOGRANULAR MATERIAL AND THIN-FILM MAGNETIC SENSOR | 1 |
Naoki Kanie | JP | Toyota-Shi | 2012-04-12 / 20120086278 - FUEL CELL SYSTEM | 7 |
Yoji Kanie | JP | Nagoya-Shi | 2009-08-27 / 20090211327 - DIE, TOOL SET, AND PRESS-FORMING METHOD | 1 |
Hiroki Kanie | JP | Aichi | 2015-01-15 / 20150013739 - Thermoelectric Generator | 1 |
Hideki Kanie | JP | Aichi | 2015-06-11 / 20150159779 - ROTATION LIMITING TUBE FASTENER | 2 |
Sayoko Kanie | JP | Kanagawa | 2010-04-22 / 20100099769 - BENZYLAMINE DERIVATIVE OR PHARMACEUTICALLY ACCEPTABLE ACID ADDITION SALT THEREOF, AND USE THEREOF FOR MEDICAL PURPOSES | 2 |
Hideyuki Kanie | JP | Kariya-Shi | 2014-05-29 / 20140145652 - MOTOR CONTROL DEVICE AND PROGRAM | 1 |
Kiyoshi Kanie | JP | Miyagi | 2014-10-23 / 20140315024 - METHOD FOR PRODUCING ALKALI METAL NIOBATE PARTICLES, AND ALKALI METAL NIOBATE PARTICLES | 4 |
Larisa Kanievski | IL | Kfar Saba | 2011-07-07 / 20110163175 - COMPACT HEAT PUMP USING WATER AS REFRIGERANT | 1 |
Larisa Kanievski | IL | Kfar | 2009-04-23 / 20090100857 - Compact Heat Pump Using Water as Refrigerant | 1 |
Arie Kanievski | IL | Kfar Saba | 2011-07-07 / 20110163175 - COMPACT HEAT PUMP USING WATER AS REFRIGERANT | 2 |
Magdalena Kaniewska | FR | Louannec | 2016-05-12 / 20160133273 - IMPROVED FREQUENCY BAND EXTENSION IN AN AUDIO SIGNAL DECODER | 1 |
Tanya S. Kanigan | US | Lexington | 2014-01-30 / 20140031262 - Nanoliter Array Loading | 1 |
Tanya S. Kanigan | US | Cambridge | 2015-10-22 / 20150298089 - Systems for Filling a Sample Array by Droplet Dragging | 7 |
Tanya Kanigan | US | Winchester | 2014-06-26 / 20140179566 - Thermal Cycling Apparatus and Method | 1 |
Tanya S. Kanigan | US | Winchester | 2012-09-27 / 20120245038 - THERMAL CYCLING APPARATUS AND METHOD | 3 |
Balaji Kanigicherla | IN | Hyderabad | 2015-06-25 / 20150178235 - NETWORK INTERFACE SHARING | 18 |
Balaji Kanigicherla | IN | Hyderabad | 2015-06-25 / 20150178235 - NETWORK INTERFACE SHARING | 18 |
Voytek Kanigowski | US | Fountain Valley | 2014-12-04 / 20140358363 - BALL BEARING ASSEMBLY NOTIFICATION MECHANISM | 1 |
Issar Amit Kanigsberg | US | Mill Valley | 2015-08-13 / 20150229977 - Delivering Media Content | 3 |
Issar Amit Kanigsberg | US | California | 2015-05-28 / 20150150029 - Controlling Access to Content | 1 |
Issar Amit Kanigsberg | CA | Aurora | 2014-10-02 / 20140297658 - User Profile Recommendations Based on Interest Correlation | 10 |
Issar Amit Kanigsberg | CA | Richmond Hill | 2015-11-12 / 20150324889 - Recommendation Systems and Methods Using Interest Correlation | 1 |
Kengo Kanii | JP | Hyogo | 2011-09-29 / 20110234931 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Kengo Kanii | JP | Kumamoto | 2011-09-22 / 20110228209 - Liquid crystal display device and method of manufacturing the same | 1 |
Kengo Kanii | JP | Kanagawa | 2013-09-12 / 20130235293 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Kengo Kanii | JP | Himeji | 2014-10-23 / 20140313449 - LIQUID CRYSTAL DISPLAY DEVICE | 6 |
Kengo Kanii | JP | Himeji-Shi | 2011-07-14 / 20110170027 - LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Keith Stuart Kanik | US | West Hartford | 2012-10-04 / 20120252825 - BENEFICIAL EFFECTS OF COMBINATION THERAPY ON CHOLESTEROL | 1 |
Michael Kanik | US | Houston | 2015-03-12 / 20150068648 - MULTI STEP PROCESSING METHOD FOR THE FABRICATION OF COMPLEX ARTICLES MADE OF METALLIC GLASSES | 1 |
Isik Kanik | US | Monrovia | 2012-05-31 / 20120132795 - DIFFERENTIAL MOBILITY SPECTROMETER WITH SPATIAL ION DETECTOR AND METHODS RELATED THERETO | 1 |
Jingjing Kanik | US | Hamden | 2015-10-29 / 20150305706 - ESTIMATION OF A MECHANICAL PROPERTY OF ANATOMY FROM MEDICAL SCAN DATA | 1 |
Venkatu-Rangarao Kanikanti | DE | Leverkusen | 2014-12-18 / 20140371139 - PREPARATIONS CONTAINING AMORPHOUS EMODEPSIDE | 1 |
Venkata-Rangarao Kanikanti | DE | Leverkusen | 2015-12-03 / 20150342889 - TABLETS WITH IMPROVED ACCEPTANCE AND GOOD STORAGE STABILITY | 12 |
Venkata-Rangarao Kanikanti | DE | Leverkusen | 2015-12-03 / 20150342889 - TABLETS WITH IMPROVED ACCEPTANCE AND GOOD STORAGE STABILITY | 12 |
Ventaka-Rangarao Kanikanti | DE | Leverkusen | 2008-10-16 / 20080255037 - Endoparasiticidal Compositions | 1 |
Narasimhulu Kanike | US | Wappingers Falls | 2010-09-23 / 20100237425 - High Threshold Voltage NMOS Transistors For Low Power IC Technology | 2 |
Narasimhulu Kanike | US | Lake Hiawatha | 2013-08-01 / 20130196476 - HIGH THRESHOLD VOLTAGE NMOS TRANSISTORS FOR LOW POWER IC TECHNOLOGY | 1 |
Narasimhulu Kanike | US | Irvine | 2015-11-12 / 20150325647 - INTEGRATED CIRCUIT (IC) CHIP HAVING BOTH METAL AND SILICON GATE FIELD EFFECT TRANSISTORs (FETs) AND METHOD OF MANUFACTURE | 1 |
Narasimhulu Kanike | US | Wayne | 2014-02-20 / 20140048881 - Method of Manufacturing a Body-Contacted SOI FINFET | 5 |
Shivakrishna Kanike | IN | Andra Pradesh | 2012-06-28 / 20120166655 - METHOD AND APPARATUS FOR INVOKING NATIVE FUNCTIONS OF A MOBILE DEVICE TO CONTROL A SET-TOP BOX | 1 |
Sergiy Kanilo | US | Lawrenceville | 2014-02-20 / 20140052027 - METHOD AND DEVICE FOR REAL TIME MECHANICAL IMAGING OF PROSTATE | 2 |
Sergiy Kanilo | US | Los Angeles | 2015-06-25 / 20150173697 - SYSTEM AND METHOD FOR SPATIOTEMPORALLY SYNCHRONIZING AND DISPLAYING BODILY ORGAN IMAGES AND PHYSIOLOGICAL PARAMETER(S) RELATED THERETO | 1 |
David Kanios | US | Miami | 2016-05-12 / 20160128949 - TRANSDERMAL DRUG DELIVERY DEVICE INCLUDING AN OCCLUSIVE BACKING | 9 |
David P. Kanios | US | Miami | 2010-12-09 / 20100310610 - COMPOSITIONS AND METHODS FOR CONTROLLING DRUG LOSS AND DELIVERY IN TRANSDERMAL DRUG DELIVERY SYSTEMS | 1 |
David Kanios | US | Miami | 2016-05-12 / 20160128949 - TRANSDERMAL DRUG DELIVERY DEVICE INCLUDING AN OCCLUSIVE BACKING | 9 |
David P. Kanios | US | Palmetto Bay | 2012-05-03 / 20120108560 - SINGLE PHASE SILICONE ACRYLATE FORMULATION | 1 |
David Kanios | US | Palmetto Bay | 2016-04-07 / 20160095926 - DEVICE FOR TRANSDERMAL ADMINISTRATION OF DRUGS INCLUDING ACRYLIC BASED POLYMERS | 4 |
Adil Kanioz | TR | Kocaeli | 2014-04-03 / 20140090939 - Shock Absorber Having An Improved Friction Element | 2 |
Can Kanioz | TR | Kocaeli | 2014-12-18 / 20140366718 - Frictional Vibration Damper | 1 |
Adil Kanioz | TR | Gebze | 2013-04-25 / 20130098718 - ELECTROMECHANICAL FRICTION SHOCK ABSORBER | 1 |
Adil KaniÖz | TR | Gebze, Kocaeli | 2015-12-10 / 20150354653 - ELECTROMECHANICAL SHOCK ABSORBER FOR WASHING MACHINES | 1 |
Ravi K. Kanipayor | CA | London | 2015-06-11 / 20150160106 - SYSTEMS AND METHODS FOR PREPARING SAMPLES FOR CHEMICAL ANALYSIS | 3 |
Rebecca Louise Kanis | GB | Edinburgh | 2010-07-08 / 20100173855 - Dermatological Formulations | 1 |
Keina Kanisawa | JP | Tokyo | 2012-02-23 / 20120047068 - CHARGE PAYMENT SYSTEM USING VIRTUAL MONEY | 2 |
Shiyuki Kanisawa | JP | Tochigi | 2015-12-10 / 20150353781 - ANISOTROPIC CONDUCTIVE ADHESIVE, LIGHT EMITTING DEVICE, AND METHOD FOR PRODUCING ANISOTROPIC CONDUCTIVE ADHESIVE | 22 |
Keina Kanisawa | JP | Minato-Ku | 2013-09-12 / 20130238494 - FEE COLLECTION SYSTEM BY ELECTRONIC MONEY | 2 |
Shiyuki Kanisawa | JP | Kanuma-Shi | 2015-02-05 / 20150034989 - ANISOTROPIC CONDUCTIVE ADHESIVE AND METHOD FOR MANUFACTURING SAME, LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Hideo Kanisawa | JP | Anjo-Shi | 2009-12-10 / 20090301608 - Carburized and induction-hardened component | 1 |
Shiyuki Kanisawa | JP | Tochigi | 2015-12-10 / 20150353781 - ANISOTROPIC CONDUCTIVE ADHESIVE, LIGHT EMITTING DEVICE, AND METHOD FOR PRODUCING ANISOTROPIC CONDUCTIVE ADHESIVE | 22 |
Mitsuhiro Kanisawa | JP | Tokyo | 2009-05-14 / 20090123032 - MEASUREMENT OF GAPS BETWEEN VALVE SEATS AND ATTACHMENT PARTS | 1 |
Shiyuki Kanisawa | JP | Utsunomiya-Shi | 2009-02-12 / 20090039291 - Mounting method, electric part-mounted substrate and an electric device | 1 |
Shiyuki Kanisawa | JP | Tochigi-Ken | 2009-01-22 / 20090022949 - Functional-Element-Mounted Module, Process for Producing the Same, Resin Sealing Plate for Use Therein, and Substrate Structure for Resin Sealing | 1 |
Yasuhiro Kanishima | JP | Ome-Shi | 2010-12-16 / 20100316225 - ELECTRO-ACOUSTIC CONVERSION APPARATUS | 4 |
Yasuhiro Kanishima | JP | Tokyo | 2016-05-12 / 20160132107 - ELECTRONIC DEVICE, METHOD AND STORAGE MEDIUM | 20 |
Yasuhiro Kanishima | JP | Mitaka-Shi | 2011-11-10 / 20110274292 - ACOUSTIC CHARACTERISTIC CORRECTION COEFFICIENT CALCULATION APPARATUS, ACOUSTIC CHARACTERISTIC CORRECTION COEFFICIENT CALCULATION METHOD AND ACOUSTIC CHARACTERISTIC CORRECTION APPARATUS | 1 |
Yasuhiro Kanishima | JP | Oume-Shi | 2011-06-30 / 20110158427 - AUDIO SIGNAL COMPENSATION DEVICE AND AUDIO SIGNAL COMPENSATION METHOD | 1 |
Yasuhiro Kanishima | JP | Suginami Tokyo | 2015-12-17 / 20150359992 - ELECTRONIC DEVICE, METHOD, AND COMPUTER PROGRAM PRODUCT | 2 |
Yasuhiro Kanishima | JP | Suginami-Ku | 2013-11-28 / 20130315405 - SOUND PROCESSOR, SOUND PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Yasuhiro Kanishima | JP | Tokyo | 2016-05-12 / 20160132107 - ELECTRONIC DEVICE, METHOD AND STORAGE MEDIUM | 20 |
Nikolaos Kanistras | GR | Patras | 2013-10-24 / 20130283131 - LDPC ENCODING AND DECODING TECHNIQUES | 1 |
Kedar Kanitkar | US | Los Angeles | 2014-10-09 / 20140304269 - AUTOMATIC MEDIA SHARING VIA SHUTTER CLICK | 3 |
Vinay Kanitkar | US | Somerville | 2012-04-19 / 20120096106 - Extending a content delivery network (CDN) into a mobile or wireline network | 1 |
Paul V. Kanitra | US | Greensboro | 2011-12-29 / 20110320332 - Farmland commoditization and contract fulfillment system | 1 |
Dan Kanitz | US | Pittsburgh | 2011-06-16 / 20110139139 - MULTI-POINT ACTIVATION TRIGGER SYSTEM AND METHOD | 1 |
Andreas Kanitz | DE | Höchstadt | 2013-02-07 / 20130035509 - Novel Use of the Guanidinium Cation and Light-Emitting Component | 15 |
Torsten Kanitz | DE | Stockeldorf | 2015-02-05 / 20150036368 - Service device, Passenger Service Unit, Fuselage of an Aircraft, Method for Installing the Service Device | 1 |
Andreas Kanitz | GB | Höchstadt | 2010-05-06 / 20100112471 - Novel Highly Conductive Organic Charge Carrier Transport Material | 1 |
Daniel Kanitz | US | Pittsburgh | 2016-03-03 / 20160058201 - INFANT-SUPPORTING DEVICES | 1 |
Andreas Kanitz | DE | Höchstadt | 2013-02-07 / 20130035509 - Novel Use of the Guanidinium Cation and Light-Emitting Component | 15 |
Andreas Kanitz | GB | Höchstadt | 2010-05-06 / 20100112471 - Novel Highly Conductive Organic Charge Carrier Transport Material | 1 |
Andreas Kanitz | DE | Hoechstadt | 2015-12-31 / 20150380168 - THIN-LAYER CAPACITORS WITH LARGE SCALE INTEGRATION | 14 |
Daniel A. Kanitz | US | Loyalhanna | / - | 1 |
Andreas Kanitz | DE | Hoechstadt | 2015-12-31 / 20150380168 - THIN-LAYER CAPACITORS WITH LARGE SCALE INTEGRATION | 14 |
Andreas Kanitz | DE | Höchstadt | 2011-08-18 / 20110199665 - ELECTROCHROMIC ORGANIC COMPONENT | 2 |
Torsten Kanitz | DE | Holm | 2015-01-29 / 20150029737 - DAYLIGHT INPUT IN AIRCRAFT | 1 |
Thomas W. Kanitz | US | Savage | 2010-09-16 / 20100232089 - CAPACITOR LINER | 2 |
Mikhail I. Kaniushko | RU | Minsk | 2010-06-24 / 20100161497 - Web Service Indirect Channel Distribution System Over The Web For Ensuring Most Favored Pricing For Purchasers | 1 |
Christopher P. Kaniut | US | Saline | 2012-02-16 / 20120040251 - BATTERY ELECTRODE AND METHOD FOR MANUFACTURING SAME | 1 |
Christopher P. Kaniut | US | Jacksonville | 2014-12-11 / 20140363742 - FIBER SCRIM, BATTERY ELECTRODE AND METHOD FOR MANUFACTURING SAME | 2 |
Tomo Kaniwa | JP | Kawasaki | 2015-10-15 / 20150294059 - DESIGN SUPPORT APPARATUS AND DESIGN SUPPORT METHOD | 3 |
Sanjay N. Kaniyar | US | Redmond | 2016-02-04 / 20160036712 - RECEIVE WINDOW AUTO-TUNING | 3 |
Adarsh Kaniyoor | IN | Chennai | 2012-12-20 / 20120321542 - GRAPHENE FORMATION | 1 |
Karthikeyan Kaniyur-Subbian | IN | Sathyamangalam | 2014-09-25 / 20140288966 - MEDICAL DEVICE SAFETY MANAGEMENT | 2 |
Rouwaida Kanj | US | Round Rock | 2011-07-14 / 20110173577 - Techniques for Pattern Process Tuning and Design Optimization for Maximizing Process-Sensitive Circuit Yields | 4 |
Fadi G. Kanj | US | Escondido | 2014-12-11 / 20140361800 - METHOD AND APPARATUS FOR HIGH VOLUME SYSTEM LEVEL TESTING OF LOGIC DEVICES WITH POP MEMORY | 1 |
Mazen Kanj | SA | Dhahran | 2015-12-24 / 20150368551 - ENCAPSULATION OF AN ACID PRECURSOR FOR OIL FIELD APPLICATIONS | 2 |
Rouwaida N. Kanj | US | Round Rock | 2016-02-11 / 20160041975 - DOCUMENT TAGGING AND RETRIEVAL USING PER-SUBJECT DICTIONARIES INCLUDING SUBJECT-DETERMINING-POWER SCORES FOR ENTRIES | 32 |
Rouwaida N. Kanj | US | Austin | 2015-04-16 / 20150106376 - DOCUMENT TAGGING AND RETRIEVAL USING ENTITY SPECIFIERS | 2 |
Houssam Kanj | CA | Waterloo | 2016-03-17 / 20160079655 - WIDEBAND ANTENNA FOR MOBILE SYSTEM WITH METAL BACK COVER | 17 |
Mazen Y. Kanj | SA | Dhahran | 2015-10-15 / 20150293258 - SYSTEMS, MACHINES, METHODS, AND ASSOCIATED DATA PROCESSING TO EXPLORE AND ANALYZE SUBTERRANEAN GEOPHYSICAL FORMATIONS | 12 |
Mazen Y. Kanj | SA | Dhahran | 2015-10-15 / 20150293258 - SYSTEMS, MACHINES, METHODS, AND ASSOCIATED DATA PROCESSING TO EXPLORE AND ANALYZE SUBTERRANEAN GEOPHYSICAL FORMATIONS | 12 |
Houssam Kanj | CA | Waterloo | 2016-03-17 / 20160079655 - WIDEBAND ANTENNA FOR MOBILE SYSTEM WITH METAL BACK COVER | 17 |
Mohammad Kanji | CA | Brampton | 2013-06-27 / 20130165065 - METHOD AND APPARATUS FOR DYNAMICALLY ADAPTING FM TUNER SENSITIVITY TO A LOCAL ENVIRONMENT FOR A SINGLE-TUNER SYSTEM | 1 |
Mohammad Kanji | US | Atlanta | 2009-10-01 / 20090247099 - Method and apparatus for dynamically adapting FM tuner sensitivity to a local environment for a single-tuner system | 1 |
Karim N. Kanji | CA | Calgary | 2015-07-30 / 20150211308 - Drill String Constant Velocity Connection | 2 |
Mohammed Kanji | US | Edison | 2012-04-19 / 20120095113 - REFRESHING CREAM FOUNDATION IN GEL FORM | 1 |
Fazleabbas Kanji | GB | Harrow | 2009-01-22 / 20090024979 - Method and system for configuring a user interface | 1 |
Mohammad Reza Kanji | US | Dearborn | 2011-12-15 / 20110306313 - METHOD AND APPARATUS FOR UTILIZING MODULATION BASED AUDIO CORRELATION TECHNIQUE FOR MAINTAINING DYNAMIC FM STATION LIST IN SINGLE TUNER VARIANT AND ASSISTING ALTERNATE FREQUENCY SWITCHING METHODOLOGY IN SINGLE TUNER AND DUAL TUNER VARIANTS | 1 |
Mohammad Reza Kanji | CA | Brampton | 2015-12-17 / 20150365074 - METHOD AND APPARATUS FOR UTILIZING MODULATION BASED AUDIO CORRELATION TECHNIQUE FOR MAINTAINING DYNAMIC FM STATION LIST IN SINGLE TUNER VARIANT AND ASSISTING ALTERNATE FREQUENCY SWITCHING METHODOLOGY IN SINGLE TUNER AND DUAL TUNER VARIANTS | 3 |
Nazim Mohamed Kanji | GB | Leicestershire | 2012-02-23 / 20120045486 - DELIVERY SYSTEMS | 1 |
Ajaykumar A. Kanji | US | Austin | 2014-10-23 / 20140312876 - Low Power Tunable Reference Voltage Generator | 1 |
Mohammed Reza Kanji | US | Dearborn | 2013-08-22 / 20130216045 - MENU NAVIGATION METHOD FOR USER OF AUDIO HEADPHONES | 4 |
Mohamed Kanji | US | Edison | 2014-07-10 / 20140194534 - REFRESHING CREAM FOUNDATION IN GEL FORM | 71 |
Muslim Gulam Kanji | US | Orefield | 2013-09-26 / 20130253684 - SYSTEM AND METHOD FOR ROBUST REAL-TIME CONTROL OF REGULAR AUTOMATED PRODUCTION | 1 |
Mohammed Reza Kanji | CA | Brampton, Ontario | 2014-07-17 / 20140198917 - MENU NAVIGATION METHOD FOR USER OF AUDIO HEADPHONES | 1 |
Ajaykumar Kanji | US | Austin | 2014-08-07 / 20140218071 - Low Power Tunable Reference Current Generator | 2 |
Fazleabbas Kanji | GB | Middlesex | 2009-03-19 / 20090077502 - CREATION OF A HELP FILE | 1 |
Mohammad Reza Kanji | US | Atlanta | 2009-06-04 / 20090143035 - Method for automatic frequency range and step selection using region signature for a digital AM/FM tuner | 1 |
Sanjit Kanjilal | IN | 2013-12-26 / 20130345454 - A PROCESS FOR THE PREPARATION OF PHYTOSTERYL FERULATE | 1 | |
Sanjit Kanjilal | IN | Hyderabad | 2014-04-10 / 20140099684 - ENGINE WORTHY FATTY ACID METHYL ESTER (BIODIESEL) FROM NATURALLY OCCURING MARINE MICROALGAL MATS AND MARINE MICROALGAE CULTURED IN OPEN SALT PANS TOGETHER WITH VALUE ADDITION OF CO-PRODUCTS | 3 |
Arup Kanjilal | US | San Jose | 2009-04-16 / 20090100332 - Integrating Rich Media Into A Web-Based Calendar | 1 |
Partha Kanjilal | US | Fairfield | 2015-10-08 / 20150287140 - SYSTEMS AND METHODS FOR COLLATERAL MANAGEMENT | 1 |
Sagarika Kanjilal | US | Shoreview | 2009-03-26 / 20090081647 - Detection of a genetic predisposition to cancers and non-cancerous pathologies in mammals | 1 |
Pranab Kanjilal | IN | Goa | 2014-08-28 / 20140243371 - MICROBIOCIDAL PYRAZOLE DERIVATIVES | 1 |
Rose Catherine Kanjirathinkal | IN | Calicut | 2012-12-27 / 20120331391 - USER INTERFACE FOR MANAGING QUESTIONS AND ANSWERS ACROSS MULTIPLE SOCIAL MEDIA DATA SOURCES | 2 |
Rose Catherine Kanjirathinkal | IN | Bangalore | 2015-10-15 / 20150293920 - AUTOMATIC LOG RECORD SEGMENTATION | 4 |
Rose Catherine Kanjirathinkal | IN | Kerala | 2015-05-28 / 20150149541 - Leveraging Social Media to Assist in Troubleshooting | 1 |
Rose C. Kanjirathinkal | IN | Calicut | 2014-09-25 / 20140289229 - USING CONTENT FOUND IN ONLINE DISCUSSION SOURCES TO DETECT PROBLEMS AND CORRESPONDING SOLUTIONS | 4 |
Rose C. Kanjirathinkal | IN | Bangalore | 2015-05-28 / 20150149497 - DETERMINING PROBLEM RESOLUTIONS WITHIN A NETWORKED COMPUTING ENVIRONMENT | 2 |
Parag Kanjiya | AE | Abu Dhabi | 2015-03-19 / 20150077067 - FAULT-TOLERANT WIND ENERGY CONVERSION SYSTEM | 1 |
Gagan Kanjlia | US | Ashburn | 2016-04-14 / 20160104237 - SYSTEMS AND METHODS FOR MANAGING A CUSTOMER ACCOUNT SWITCH | 10 |
Gagan Kanjlia | US | Ashburn | 2016-04-14 / 20160104237 - SYSTEMS AND METHODS FOR MANAGING A CUSTOMER ACCOUNT SWITCH | 10 |
Wajih Kanjo | US | Homer Glen | 2008-11-06 / 20080272081 - Combination yoke and elastomeric draft gear | 3 |
Wajih Kanjo | US | Lockport | 2011-11-03 / 20110266101 - PARKING BRAKE ASSEMBLY FOR RAILWAY VEHICLE BRAKE SYSTEM | 3 |
Raed Kanjo | US | Dupont | 2015-08-06 / 20150222516 - Techniques Associated with Server Transaction Latency Information | 1 |
Ravi Kanjolia | US | North Andover | 2015-04-30 / 20150118100 - Film Deposition Using Precursors Containing Amidoimine Ligands | 9 |
Reijo Kankaanpaa | FI | Tampere | 2010-12-30 / 20100326975 - METHOD AND APPARATUS FOR HEATING DEVICES OF A MINERAL MATERIAL PROCESSING UNIT AND A MINERAL MATERIAL PROCESSING UNIT | 1 |
Veikko Kankaanpaa | FI | Valkeakoski | 2008-09-04 / 20080211119 - Flotation Cell | 1 |
Esa Kankaanpaa | FI | Hyvinkaa | 2016-04-14 / 20160103574 - SELECTING FRAME FROM VIDEO ON USER INTERFACE | 5 |
Teemu Kankaanpää | FI | Pirkkala | 2010-12-23 / 20100325211 - METHOD AND APPARATUS FOR PROVIDING UNIFORM CONTENT MANAGEMENT | 1 |
Teemu Kankaanpää | FI | Pirkkala | 2010-12-23 / 20100325211 - METHOD AND APPARATUS FOR PROVIDING UNIFORM CONTENT MANAGEMENT | 1 |
Mikko Tapio Kankainen | FI | Helsinki | 2012-12-06 / 20120310717 - METHOD AND APPARATUS FOR CONTROLLING A PERSPECTIVE DISPLAY OF ADVERTISEMENTS USING SENSOR DATA | 4 |
Matti Kankainen | FI | Helsinki | 2010-08-05 / 20100196524 - NOVEL PEPTIDES AND METHODS FOR PRODUCING THEM | 1 |
Ville Kankainen | FI | Siuro | 2009-01-01 / 20090005167 - Mobile Gaming with External Devices in Single and Multiplayer Games | 1 |
Mikko Kankainen | FI | Helsinki | 2014-11-13 / 20140337330 - METHOD, SYSTEM, AND APPARATUS FOR ARRANGING CONTENT SEARCH RESULTS | 5 |
Ville Kankainen | FI | Tampere | 2009-05-07 / 20090118020 - METHOD AND DEVICE FOR SENDING AND RECEIVING GAME CONTENT INCLUDING DOWNLOAD THEREOF | 1 |
Tomi Kankainen | FI | Kauniainen | 2015-06-11 / 20150157079 - WEARABLE CHASSIS FOR A HELMET | 1 |
Rajendra Narayanrao Kankan | IN | Maharashtra | 2012-02-16 / 20120041199 - Process for the Preparation of Doxazosin and Salts Thereof | 15 |
Rajendra Narayanrao Kankan | IN | Mumbai | 2016-03-17 / 20160075736 - Process for the Preparation of Bortezomib Mannitol Ester | 49 |
Rajendra Narayanrao Kankan | IN | Mumabi | 2016-01-07 / 20160002166 - Process for Preparing Atazanavir Sulphate | 1 |
Rajendra Narayanro Kankan | IN | Mumbai | 2011-01-13 / 20110009450 - Crystalline form of benzothiophene compound and process for preparation thereof | 1 |
Rajendra Narayanrao Kankan | IN | Mumbai Maharashtra | 2015-07-16 / 20150197523 - PROCESS FOR THE PREPARATION OF SITAGLIPTIN AND INTERMEDIATE COMPOUNDS | 1 |
Rajendra Narayanrao Kankan | IN | Maharashtra, Mumbai | 2015-12-17 / 20150359898 - Pemetrexed Complexes and Pharmaceutical Compositions Containing Pemetrexed Complexes | 1 |
Prasanna Kankanala | US | Manhattan | 2012-03-29 / 20120079627 - PLANT GENE REGULATORY ELEMENTS | 1 |
Penchala Kankanala | US | Santa Clara | 2011-11-10 / 20110272420 - ENCLOSED VERTICAL RACK FOR STORING AND TRANSPORTING LARGE SUBSTRATES | 1 |
Kalyan C. Kankanala | IN | Bangalore | 2015-10-08 / 20150287153 - Management of rights and royalties associated with a media | 1 |
Sundeep Kankanala | US | Chapel Hill | 2016-03-17 / 20160075460 - SYSTEM FOR SORTING AND DISPENSING ORAL MEDICATIONS | 3 |
Prasanna Kankanala | US | Glendale | 2012-01-26 / 20120023627 - PLANT GENE REGULATORY ELEMENTS | 1 |
Sundeep Venkat Kankanala | US | Ann Arbor | 2009-04-30 / 20090112408 - INTELLIGENT ARRANGEMENT BUCKLE SWITCH FOR SEAT BELTS | 3 |
Penchala N. Kankanala | US | Santa Clara | 2015-12-03 / 20150349374 - Thin Film Battery with Magnetic Components | 5 |
Navneeth Kankani | US | Fremont | 2015-12-03 / 20150347229 - Method and System for Dynamic Word Line Based Configuration of a Three-Dimensional Memory Device | 2 |
Navneeth Kankani | US | Eden Prairie | 2014-05-08 / 20140129891 - METHODS AND DEVICES TO INCREASE MEMORY DEVICE DATA RELIABILITY | 4 |
Pankaj Kankar | IN | Gurgaon | 2013-01-10 / 20130013659 - METHOD FOR STREAMING SVD COMPUTATION FIELD OF INVENTION | 1 |
Pankaj Kankar | IN | Gurgaon Haryana | 2013-11-14 / 20130304692 - SYSTEM AND METHOD FOR INFERRING INVISIBLE TRAFFIC | 1 |
Pankaj Kankar | IN | New Delhi | 2009-02-26 / 20090055165 - DYNAMIC MIXED-INITIATIVE DIALOG GENERATION IN SPEECH RECOGNITION | 3 |
Jouko Kankare | FI | Turku | 2010-04-01 / 20100081211 - LUMINESCENT LANTHANIDE LABELLING REAGENTS AND THEIR USE | 1 |
Manish Kankaria | US | Fremont | 2016-02-11 / 20160038030 - OPTICAL PRESSURE SENSOR ASSEMBLY | 4 |
Takao Kanke | JP | Tokyo | 2015-01-15 / 20150019171 - FEATURE-QUANTITY EXTRACTING APPARATUS | 1 |
Shinya Kanke | JP | Saitama | 2015-08-20 / 20150234135 - OPTICAL COUPLING ELEMENT AND OPTICAL MODULE PROVIDED WITH SAME | 1 |
Masayasu Kanke | JP | Tokyo | 2016-04-21 / 20160109328 - Torque Command Generation Device | 2 |
Toru Kanke | JP | Kanagawa | 2016-02-25 / 20160053018 - ANTI-HUMAN TROP-2 ANTIBODY HAVING ANTI-TUMOR ACTIVITY IN VIVO | 4 |
Tsuyoshi Kanke | JP | Yokohama-Shi | 2013-04-11 / 20130088543 - IMAGE-FORMING METHOD | 8 |
Shinya Kanke | JP | Kawaguchi-Shi | 2015-03-12 / 20150071593 - OPTICAL RECEPTACLE AND OPTICAL MODULE COMPRISING SAME | 5 |
Tsuyoshi Kanke | JP | Yokohama-Shi | 2013-04-11 / 20130088543 - IMAGE-FORMING METHOD | 8 |
Masayasu Kanke | JP | Saitama | 2011-01-20 / 20110015847 - VEHICLE VELOCITY CONTROL DEVICE | 2 |
Satoru Kanke | JP | Tokyo-To | 2013-01-17 / 20130017376 - NEGATIVE RESIST COMPOSITION, METHOD FOR PRODUCING RELIEF PATTERN USING THE SAME, AND ELECTRONIC COMPONENT USING THE SAMEAANM Okuyama; KenichiAACI Tokyo-toAACO JPAAGP Okuyama; Kenichi Tokyo-to JPAANM Kanke; SatoruAACI Tokyo-toAACO JPAAGP Kanke; Satoru Tokyo-to JP | 2 |
Naoya Kanke | JP | Tokyo | 2010-08-12 / 20100200416 - METHOD AND DEVICE FOR IMMERSION TREATMENT OF VEHICLE | 1 |
Yukio Kanke | JP | Kanagawa | 2013-10-03 / 20130257205 - ECCENTRIC CIRCLING DRIVE DEVICE | 2 |
Fuminori Kanke | JP | Yawatahama | 2012-03-08 / 20120055082 - METHOD FOR PRODUCING A MULCHING SHEET FOR AGRICULTURE | 1 |
Hiroo Kanke | JP | Saitama | 2010-05-20 / 20100125415 - MONITORING SYSTEM FOR LOW-SPEED MOBILITY VEHICLE AND ANOTHER TYPE OF VEHICLE | 2 |
Toru Kanke | JP | Tokyo | 2009-08-27 / 20090215703 - PAR-2 Agonist | 3 |
Tsuyoshi Kanke | JP | Kawasaki-Shi | 2009-05-28 / 20090136680 - ACTIVE ENERGY RAY CURABLE AQUEOUS INK COMPOSITION, AND INKJET RECORDING METHOD, INK CARTRIDGE, RECORDING UNIT, AND INKJET RECORDING APPARATUS USING THE SAME | 1 |
Akira Kanke | JP | Tokyo | 2012-10-04 / 20120252578 - GAME DEVICE, GAME CONTROL METHOD, AND NON-TRANSITORY INFRMATION RECORDING MEDIUM ON WHICH A COMPUTER READABLE PROGRAM IS RECORDED | 1 |
Kenichiro Kanki | JP | Fukuoka | 2010-11-11 / 20100283738 - REMOTE CONTROL SIGNAL-USING DEVICE, REMOTE CONTROL SIGNAL-USING METHOD, CAR NAVIGATION APPARATUS, AND DISPLAY APPARATUS | 1 |
Teruo Kanki | JP | Osaka | 2011-03-24 / 20110068875 - FLUCTUATION OSCILLATOR, FLUCTUATION OSCILLATING SYSTEM, OBSERVATION DEVICE AND CONTROL SYSTEM | 1 |
Hajime Kanki | JP | Gifu | 2014-05-01 / 20140120026 - Device for Manufacturing Recycled Carbon Fibers, and Method for Manufacturing Recycled Carbon Fibers | 1 |
Eiji Kanki | JP | Kobe-Shi | 2015-11-26 / 20150338130 - HOT WATER SUPPLY DEVICE | 1 |
Tsuyoshi Kanki | JP | Atsugi | 2014-09-18 / 20140264875 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 4 |
Hisamasa Kanki | JP | Ibaraki-Shi | 2014-04-24 / 20140109891 - HEATING DEVICE | 1 |
Tsuyoshi Kanki | JP | Kawasaki | 2015-08-27 / 20150243555 - INTERCONNECTION STRUCTURE AND METHOD OF FORMING THE SAME | 5 |
Noriyoshi Kanki | JP | Osaka-Shi | 2015-12-03 / 20150346945 - TOUCH DRAWING DISPLAY APPARATUS AND OPERATION METHOD THEREOF, IMAGE DISPLAY APPARATUS ALLOWING TOUCH-INPUT, AND CONTROLLER FOR THE DISPLAY APPARATUS | 1 |
Yoshinori Kanki | JP | Osaka-Shi | 2015-10-08 / 20150288836 - OPERATION CONSOLE RECEIVING USER OPERATION AND ELECTRONIC DEVICE AND IMAGE FORMING APPARATUS PROVIDED WITH THE OPERATION CONSOLE | 1 |
Hiroshi Kanki | JP | Kakogawa-Shi | 2009-10-22 / 20090263057 - BEARING DAMPER ELEMENT, BEARING, AND GAS TURBINE ENGINE | 1 |
Eiji Kanki | JP | Kako-Gun | 2009-10-15 / 20090255406 - Lubricating liquid separator | 1 |
Hiroshi Kanki | JP | Tokyo | 2015-11-19 / 20150330442 - FLOATING BUSH BEARING DEVICE AND SUPERCHARGER INCLUDING THE SAME | 1 |
Noriyoshi Kanki | JP | Osaka | 2012-08-30 / 20120218203 - TOUCH DRAWING DISPLAY APPARATUS AND OPERATION METHOD THEREOF, IMAGE DISPLAY APPARATUS ALLOWING TOUCH-INPUT, AND CONTROLLER FOR THE DISPLAY APPARATUS | 1 |
Hidenori Kanki | JP | Takasago-Shi | 2011-06-23 / 20110146284 - Plate-like-object manufacturing method, plate-like objects, gas-turbine combustor, and gas turbine | 1 |
Toshihiko Kanki | JP | Hyogo | 2011-12-15 / 20110306797 - SULFONE COMPOUND | 2 |
Hiroshi Kanki | JP | Hyogo | 2010-02-04 / 20100028156 - IMPELLER AND PUMP INCLUDING THE SAME | 1 |
Hisayuki Kanki | JP | Kobe-Shi | 2013-10-03 / 20130255154 - SENSOR FOR USE WITH AUTOMATIC DOOR | 1 |
Sriram Kankipati | IN | Rajahmundry | 2013-03-14 / 20130064234 - HARDWARE-BASED PACKET ADDRESS PARSING FOR PACKET RECEPTION IN WIRELESS NETWORKS | 1 |
Kalyan Kankipati | US | San Jose | 2014-02-06 / 20140036931 - SCALABLE INTERCONNECT MODULES WITH FLEXIBLE CHANNEL BONDING | 3 |
Pradeep Kankipati | US | San Jose | 2014-02-27 / 20140056151 - METHODS AND SYSTEMS FOR OFFLOAD PROCESSING OF ENCAPSULATED PACKETS | 1 |
Jukka Kankkunen | FI | Imatra | 2015-11-26 / 20150337493 - METHOD OF PRODUCING MICROFIBRILLATED CELLULOSE | 1 |
Jukka Ismo Kankkunen | FI | Vantaa | 2011-01-13 / 20110009046 - VENTILATION PORT FOR APPARATUS HAVING ELECTRONICS | 1 |
Hannu Kankkunen | FI | Espoo | 2016-05-05 / 20160123049 - DOOR LOCK | 1 |
Heikki Kankkunen | FI | Vantaa | 2015-10-22 / 20150298350 - METHOD AND FACILITY FOR CUTTING CONCRETE PRODUCT | 1 |
Esko Kankuri | FI | Helsinki | 2015-12-24 / 20150367024 - NANOFIBRILLAR POLYSACCHARIDE FOR USE IN THE CONTROL AND PREVENTION OF CONTRACTION AND SCARRING | 2 |
Satish Kanlikar | US | Rochester | 2009-12-10 / 20090304556 - Portable Chemical Sterilizer | 1 |
Peter Ulrik Kann | DK | Farum | 2014-12-11 / 20140364731 - ULTRASOUND IMAGING SYSTEM IMAGE IDENTIFICATION AND DISPLAY | 3 |
Isaku Kann | JP | Kobe-Shi | 2012-12-20 / 20120320441 - Vibrating Mirror Element | 1 |
Yelena Kann | US | Marblehead | 2016-04-21 / 20160108233 - Recyclate Blends | 6 |
Yelena Kann | US | Marlehead | 2011-10-13 / 20110251349 - BRANCHED PHA COMPOSITIONS, METHODS FOR THEIR PRODUCTION, AND USE IN APPLICATIONS | 1 |
James Lee Kann | US | Mica | 2016-04-21 / 20160109491 - GRID TOPOLOGY MAPPING WITH VOLTAGE DATA | 1 |
Lisa Kann | US | Burlington | 2008-10-02 / 20080241827 - Methods For Detecting A Mutant Nucleic Acid | 1 |
Lisa Kann | US | Arlington | 2010-09-30 / 20100248252 - METHODS FOR ANALYSIS OF MOLECULAR EVENTS | 1 |
Michael Kann | TW | Taipei | / - | 1 |
Joseph Alan Kann | US | Racine | 2015-03-12 / 20150074749 - REMOTE ASSET MANAGEMENT SERVICES FOR INDUSTRIAL ASSETS | 1 |
Jong Kann | US | Santa Clara | 2015-02-12 / 20150046997 - Accessing Enterprise Resources While Providing Denial-of-Service Attack Protection | 5 |
Michael Kann | TW | Taipei City | 2013-07-04 / 20130167409 - SUPPORTING STRUCTURE FOR FOLDABLE BOTTOMLESS RAINPROOF SHOE COVER | 4 |
Jong J. Kann | US | Santa Clara | 2012-01-26 / 20120023077 - SYSTEMS AND METHODS FOR DATABASE NOTIFICATION INTERFACE TO EFFICIENTLY IDENTIFY EVENTS AND CHANGED DATA | 1 |
Shinichi Kanna | JP | Kanagawa | 2012-01-26 / 20120021354 - COLORED CURABLE COMPOSITION, COLOR RESIST, INK-JET INK, COLOR FILTER AND METHOD FOR PRODUCING THE SAME, SOLID-STATE IMAGE PICKUP DEVICE, IMAGE DISPLAY DEVICE, LIQUID CRYSTAL DISPLAY, ORGANIC EL DISPLAY, AND COLORANT COMPOUND AND TAUTOMER THEREOF | 1 |
Takanori Kanna | JP | Kariya-Shi | 2015-01-15 / 20150014085 - BATTERY FORKLIFT | 1 |
Shinichi Kanna | JP | Fujinomiya-Shi, Shizuoka | 2015-04-30 / 20150116270 - TRANSPARENT LAMINATE, CAPACITANCE TYPE INPUT DEVICE, AND IMAGE DISPLAY DEVICE | 1 |
Shinichi Kanna | JP | Fujinomiya-Shi | 2015-09-10 / 20150251393 - TRANSFER FILM, TRANSPARENT LAMINATE, METHOD FOR PRODUCING TRANSFER FILM, METHOD FOR PRODUCING TRANSPARENT LAMINATE, CAPACITIVE INPUT DEVICE, AND IMAGE DISPLAY DEVICE | 2 |
Shigeo Kanna | JP | Shimosuwa-Town | 2008-11-27 / 20080289418 - ANGULAR VELOCITY SENSOR | 1 |
Shinichi Kanna | JP | Haibara-Gun | 2012-07-26 / 20120187351 - COLORANT MULTIMER, COLORED CURABLE COMPOSITION, COLOR FILTER AND METHOD FOR PRODUCING THE SAME, AND SOLID-STATE IMAGE SENSOR, IMAGE DISPLAY DEVICE, LIQUID CRYSTAL DISPLAY DEVICE AND ORGANIC EL DISPLAY WITH THE COLOR FILTER | 4 |
Shigeo Kanna | JP | Nagano-Ken | 2010-03-11 / 20100063782 - Finite Element Method for Simulating Combined Effects of Temperature and Thermal Residual Stress on Surface Acoustic Waves | 2 |
Yoshihiro Kanna | JP | Tokyo | 2015-08-13 / 20150227852 - DATA PROCESSING DEVICE | 6 |
Yoshihiro Kanna | JP | Minato-Ku | 2011-01-06 / 20110004885 - FEEDFORWARD CONTROL METHOD, SERVICE PROVISION QUALITY CONTROL DEVICE, SYSTEM, PROGRAM, AND RECORDING MEDIUM THEREFOR | 1 |
Shinichi Kanna | JP | Shizuoka-Ken | 2013-12-19 / 20130334473 - COLORED CURABLE COMPOSITION, COLOR FILTER AND METHOD OF PRODUCING COLOR FILTER, SOLID-STATE IMAGE SENSOR AND LIQUID CRYSTAL DISPLAY DEVICE | 9 |
Shinichi Kanna | JP | Shizuoka-Ken | 2013-12-19 / 20130334473 - COLORED CURABLE COMPOSITION, COLOR FILTER AND METHOD OF PRODUCING COLOR FILTER, SOLID-STATE IMAGE SENSOR AND LIQUID CRYSTAL DISPLAY DEVICE | 9 |
Satish Kumar Kanna | US | San Jose | 2015-09-24 / 20150271034 - SYSTEM AND METHOD FOR ACCURATELY DISPLAYING COMMUNICATIONS TRAFFIC INFORMATION | 1 |
Shinichi Kanna | JP | Shizuoka | 2016-04-14 / 20160103395 - PATTERN FORMING METHOD, RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, DEVELOPER FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, AND RINSING SOLUTION FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD | 25 |
Satish K. Kanna | US | San Jose | 2014-12-18 / 20140369238 - SYSTEM AND METHOD FOR IDENTIFYING AN INGRESS ROUTER OF A FLOW WHEN NO IP ADDRESS IS ASSOCIATED WITH THE INTERFACE FROM WHICH THE FLOW WAS RECEIVED | 1 |
Reiji Kannagi | JP | Nagoya-Shi | 2010-06-03 / 20100137564 - POLYPEPTIDE OF N-ACETYLGLUCOSAMINE-6-O-SULFOTRANSFERASE AND DNA ENCODING THE SAME | 2 |
Masato Kannaka | JP | Hyogo | 2014-09-25 / 20140283591 - TIRE SHAPE INSPECTION METHOD AND TIRE SHAPE INSPECTION APPARATUS | 5 |
Masato Kannaka | JP | Kobe-Shi | 2013-06-06 / 20130139950 - ROTATIONAL MISALIGNMENT MEASURING DEVICE OF BONDED SUBSTRATE, ROTATIONAL MISALIGNMENT MEASURING METHOD OF BONDED SUBSTRATE, AND METHOD OF MANUFACTURING BONDED SUBSTRATE | 1 |
Robert E. Kannaka | US | Lakewood | 2014-02-27 / 20140054909 - ELECTRONICS DEVICE HAND GRIP | 2 |
Juho Kannala | FI | Oulu | 2015-09-17 / 20150260543 - BACKGROUND CALIBRATION | 1 |
Thomas H. Kannally, Jr. | US | Northborough | 2010-06-10 / 20100144183 - Method of mounting a connector assembly | 1 |
Ramakrishna Kannamangalam | US | Piscataway | 2015-06-25 / 20150178721 - DYNAMIC GENERATION OF QUICK RESPONSE (QR) CODES FOR SECURE COMMUNICATION FROM/TO A MOBILE DEVICE | 2 |
Sanjay Kannambadi | US | Princeton Jct | 2009-03-12 / 20090070239 - OPEN PLATFORM FOR UNREGISTERED SECURITIES (OPUS) | 1 |
P. Kannan | IN | Sivaganga | 2015-09-10 / 20150250509 - ORTHOPEDIC EXTERNAL COMPRESSION PLATE | 1 |
Rajkumar Kannan | IN | Karnataka | 2012-01-19 / 20120017060 - DEDUPLICATION OF DATA STORED IN A COPY VOLUME | 1 |
Govind Kannan | US | Corona Del Mar | 2012-05-03 / 20120106750 - AUDIO DRIVER SYSTEM AND METHOD | 2 |
Hariprasad Kannan | KR | Yongin-Si | 2012-11-08 / 20120280996 - METHOD AND SYSTEM FOR RENDERING THREE DIMENSIONAL VIEWS OF A SCENE | 1 |
Karthik Kannan | US | West Lafayette | 2014-09-18 / 20140274097 - METHODS, SYSTEMS AND APPARATUS TO FACILITATE RANKED NETWORK PRIORITY | 2 |
Anitha Kannan | US | Mountain View | 2016-03-10 / 20160070782 - ASSOCIATING CONTENT ITEMS WITH DOCUMENT SECTIONS | 15 |
Siddarth Kannan | US | Valencia | 2011-02-24 / 20110042217 - ELECTROPHORESIS GEL CASSETTE AND COMB | 1 |
Chandrasekar Kannan | US | Sunnyvale | 2011-01-27 / 20110017821 - ACCESS TO A REMOTE MACHINE FROM A LOCAL MACHINE VIA SMART CARD | 2 |
Sivaprakash Kannan | US | Santa Clara | 2011-01-20 / 20110012609 - METHOD AND APPARTUS FOR SUB-ASSEMBLY ERROR DETECTION IN HIGH VOLTAGE ANALOG CIRCUITS AND PINS | 1 |
Namakal Kannan | US | Thousand Oaks | 2011-01-13 / 20110010242 - Targeting of Advertisements to Users of an Online Service | 1 |
Hari Kannan | US | Stanford | 2010-07-15 / 20100180240 - OPTIMIZING SYSTEMS-ON-A-CHIP USING THE DYNAMIC CRITICAL PATH | 2 |
Venkatesh Kannan | US | Sunnyvale | 2016-01-28 / 20160029356 - DYNAMIC USER-CONFIGURABLE INFORMATION ELEMENT | 8 |
Karthik Kannan | US | San Diego | 2009-05-21 / 20090131119 - SYSTEM AND METHOD FOR MIXING AUDIO WITH RINGTONE DATA | 1 |
Balaji Kannan | US | Fremont | 2008-10-30 / 20080268154 - METHODS FOR DEPOSITING A HIGH-K DIELECTRIC MATERIAL USING CHEMICAL VAPOR DEPOSITION PROCESS | 1 |
Ashvin Kannan | US | Sunnyvale | 2016-03-31 / 20160092890 - MULTIDIMENSIONAL MONITORING AND ALERTING OF BUSINESS METRICS | 14 |
Pallipuram V. Kannan | US | Los Gatos | 2016-03-10 / 20160071126 - Customer Journey Prediction and Resolution | 24 |
Vijay Arumugam Kannan | US | Milpitas | 2012-01-26 / 20120023172 - ADAPTIVE MEDIA HANDLING | 3 |
Jayanthkumar Kannan | US | Berkeley | 2009-01-01 / 20090006645 - Automatic Reverse Engineering of Message Formats From Network Traces | 1 |
Saravana Krishnan Kannan | US | San Diego | 2015-11-05 / 20150317252 - DYNAMIC CACHABLE MEMORY INTERFACE FREQUENCY SCALING | 4 |
Ganesh Kannan | US | Sugar Land | 2016-03-24 / 20160083511 - IMPROVED PROCESS FOR THE PREPARATION OF MODIFIED POLY(ALKYLENE TEREPHTHALATE) EMPLOYING AN IN-SITU TITANIUM-CONTAINING CATALYST | 2 |
Ramakrishnan Kannan | IN | Bangalore | 2015-08-06 / 20150220336 - SYSTEMS AND METHODS FOR IDENTIFYING SOFTWARE PERFORMANCE INFLUENCERS | 12 |
Rangaramanujam M. Kannan | US | Detroit | 2015-05-21 / 20150141350 - DENDRIMER BASED NANODEVICES FOR THERAPEUTIC AND IMAGING PURPOSES | 3 |
Sujatha Kannan | US | Detroit | 2015-05-21 / 20150141350 - DENDRIMER BASED NANODEVICES FOR THERAPEUTIC AND IMAGING PURPOSES | 3 |
Anu Kannan | US | New York | 2014-02-27 / 20140059414 - ARRANGEMENT FOR AND METHOD OF READING FORMS IN CORRECT ORIENTATION BY IMAGE CAPTURE | 1 |
Balajee Kannan | US | Rexford | 2015-08-13 / 20150224650 - VISION-GUIDED ELECTROMAGNETIC ROBOTIC SYSTEM | 1 |
Raghuraman Kannan | US | Columbia | 2016-02-11 / 20160041188 - PEPTIDE WITH GOLD BINDING AND EGFR RECEPTOR AFFINITY AND SAME ATTACHED TO GOLD NANOSTRUCTURE | 8 |
Ramamurthi Kannan | US | Cincinnati | 2014-02-06 / 20140039185 - SYNTHESIS OF TWO-PHOTON ABSORBING POLYHYDROXY DIPHENYLAMINO-DIALKYLFLUORENE-1,3,5-TRIAZINE MOLECULES | 1 |
Arunachala Kannan | US | Mesa | 2013-01-03 / 20130005567 - DURABLE PLATINUM / MULTI-WALLED CARBON NANOTUBE CATALYSTS | 1 |
Steven K. Kannan | US | New York | 2014-11-13 / 20140337125 - LEARN BUDGETING IN ONLINE ADVERTISING AUCTION EXCHANGES | 2 |
Raj Kannan | US | Houston | 2014-02-06 / 20140040375 - DISTRIBUTED SUBSCRIPTION BASED NOTIFICATION SERVICE FOR INTEGRATED PETRO-TECHNICAL APPLICATION ENVIRONMENT | 2 |
Priya Kannan | US | Katy | 2014-02-06 / 20140040375 - DISTRIBUTED SUBSCRIPTION BASED NOTIFICATION SERVICE FOR INTEGRATED PETRO-TECHNICAL APPLICATION ENVIRONMENT | 1 |
Hariharan Kannan | US | San Jose | 2014-07-17 / 20140201735 - MASTER AUTOMATION SERVICE | 4 |
Pallipuram V. Kannan | US | Saratoga | 2015-11-26 / 20150339683 - METHOD AND APPARATUS FOR PROVIDING CUSTOMER NOTIFICATIONS | 4 |
Ganesh Kannan | US | Evansville | 2016-01-28 / 20160024296 - HYDROSTABILITY OF POLYCARBONATE POLYESTER COMPOSITION | 45 |
Shakthi Kannan | IN | Pune | 2014-11-20 / 20140344832 - AUTOMATED FRAMEWORK FOR TRACKING AND MAINTAINING KERNEL SYMBOL LIST TYPES | 1 |
Sukeshwar Kannan | US | Tuscaloosa | 2012-12-06 / 20120306096 - METHOD AND MODEL OF CARBON NANOTUBE BASED THROUGH SILICON VIAS (TSV) FOR RF APPLICATIONS | 2 |
Prakasam Kannan | US | Mountain View | 2015-12-24 / 20150371236 - ISSUE RESPONSE AND PREDICTION | 1 |
Rajeswari Kannan | IN | Bangalore | 2015-10-15 / 20150293597 - Method, Apparatus and Computer Program for Enabling a User Input Command to be Performed | 14 |
Vivekanandan Kannan | IN | Karnataka | 2011-09-29 / 20110236925 - Method of Obtaining a Purified, Biologically Active Heterologous Protein | 1 |
Thoguluva Ramamoorthy Kannan | IN | Chennai | 2016-03-03 / 20160063136 - METHODS AND SYSTEMS FOR FEATURE RECOGNITION | 1 |
Balajee Kannan | US | Niskayuna | 2016-03-31 / 20160093054 - SYSTEM AND METHOD FOR COMPONENT DETECTION | 2 |
Pallipuram V. Kannan | US | San Jose | 2014-12-04 / 20140358612 - METHOD AND APPARATUS FOR MANAGING VISITOR INTERACTIONS | 1 |
Senthil Kannan | IN | Reddiarpalayam | 2012-06-21 / 20120159142 - SYSTEM AND METHOD FOR FIRMWARE UPDATE FOR NETWORK CONNECTED STORAGE SUBSYSTEM COMPONENTS | 1 |
Pallipuram V. Kannan | US | Los Gatos | 2016-03-10 / 20160071126 - Customer Journey Prediction and Resolution | 24 |
Shakthi Kannan | IN | Magarpatta City | 2015-08-13 / 20150227350 - MULTI-DIMENSIONAL, MULTI-CONFIGURATION COMPILATION PHASE OUTPUT VISUALIZATION TECHNIQUE | 2 |
Anand Kannan | CA | North York | 2014-12-11 / 20140365377 - HIGH FRAUD RISK TRANSACTION AUTHORIZATION | 1 |
Anjuli Kannan | US | Danbury | 2013-02-28 / 20130054311 - SYSTEMS, METHODS AND COMPUTER PROGRAM PRODUCTS FOR RIDE SHARING BASED ON MILEAGES | 1 |
Kanthi Gnanam Kannan | US | Rockford | 2014-03-13 / 20140070049 - Ram Air Turbine Release Cable Assembly | 2 |
Vishwac Sena Kannan | US | Redmond | 2014-12-25 / 20140379893 - Frequent Sites Based on Browsing Patterns | 1 |
Senthil Kannan | IN | Rediyarpalayam | 2015-11-12 / 20150324263 - Method for Handling Interrupted Writes Using Multiple Cores | 7 |
Senthil Kannan | IN | Rediarpalayam | 2010-07-01 / 20100169571 - DATA REDUNDANCY USING TWO DISTRIBUTED MIRROR SETS | 3 |
Anitha Kannan | US | Mountain View | 2016-03-10 / 20160070782 - ASSOCIATING CONTENT ITEMS WITH DOCUMENT SECTIONS | 15 |
Hiroki Kannan | JP | Tsukuba-Shi | 2015-04-23 / 20150112614 - FLOW RATE MEASUREMENT METHOD AND APPARATUS | 2 |
Senthil Kannan | IN | Pondicherry | 2013-01-03 / 20130007531 - SYSTEM AND METHOD TO FLAG A SOURCE OF DATA CORRUPTION IN A STORAGE SUBSYSTEM USING PERSISTENT SOURCE IDENTIFIER BITS | 9 |
Rajeshwari Kannan | US | Galena Park | 2015-10-22 / 20150299081 - Production of Mixed Aldol Products from the Products of Hydroformylation Reactions | 1 |
Murlikrishna Kannan | US | Miami | 2015-01-22 / 20150020813 - ANESTHESIA MACHINE COVER WITH POCKETS AND METHOD OF USE THEREOF | 1 |
Balaji Kannan | US | Flshkill | 2015-01-22 / 20150021698 - Intrinsic Channel Planar Field Effect Transistors Having Multiple Threshold Voltages | 1 |
Balaji Kannan | US | Fishkill | 2016-04-14 / 20160104707 - METHOD AND STRUCTURE FOR TRANSISTORS USING GATE STACK DOPANTS WITH MINIMAL NITROGEN PENETRATION | 7 |
Koushik Kannan | US | Ogallala | 2011-04-07 / 20110083074 - Graphical User Interface for Content Management | 1 |
Navneeth N. Kannan | US | Westford | 2012-06-28 / 20120163227 - SYSTEMS AND METHODS FOR INTELLIGENT PROBE TESTING | 2 |
Rangaramanujam Kannan | US | Novi | 2011-02-10 / 20110034422 - DENDRIMERS FOR SUSTAINED RELEASE OF COMPOUNDS | 1 |
Sujatha Kannan | US | Novi | 2011-02-10 / 20110034422 - DENDRIMERS FOR SUSTAINED RELEASE OF COMPOUNDS | 1 |
Gunasekaran Kannan | US | Westlake Village | 2012-09-27 / 20120244578 - MONOMERIC ANTIBODY FC | 2 |
Naresh Kannan | US | Seattle | 2013-06-13 / 20130151466 - CONTROLLING ACCESS TO DOCUMENTS USING FILE LOCKS | 7 |
Venkatesh Kannan | US | Novi | 2015-05-21 / 20150136086 - SYSTEM HAVING A FUEL DISTRIBUTOR AND MULTIPLE FUEL INJECTORS | 11 |
Gunasekaran Kannan | US | Issaquah | 2010-11-11 / 20100286374 - METHOD FOR MAKING ANTIBODY FC-HETERODIMERIC MOLECULES USING ELECTROSTATIC STEERING EFFECTS | 1 |
Sundar Kannan | US | Bellevue | 2012-08-02 / 20120198073 - DYNAMICALLY ORGANIZING CLOUD COMPUTING RESOURCES TO FACILITATE DISCOVERY | 1 |
Raghuraman Kannan | US | Columbia | 2016-02-11 / 20160041188 - PEPTIDE WITH GOLD BINDING AND EGFR RECEPTOR AFFINITY AND SAME ATTACHED TO GOLD NANOSTRUCTURE | 8 |
Karthik Kannan | US | Maryville | 2010-09-23 / 20100241636 - E-MAIL RESPONSE SYSTEM | 1 |
Navneeth N. Kannan | US | Doylestown | 2015-07-02 / 20150189353 - Scalable Data Acquisition and Accumulation in a Resource Constrained Environment | 7 |
Vidhya Kannan | IN | Bangalore | 2011-10-06 / 20110246748 - Managing Sensor and Actuator Data for a Processor and Service Processor Located on a Common Socket | 1 |
Ramu Shankaran Kannan | US | Acton | 2010-02-11 / 20100036677 - COMPUTERIZED SETTLEMENT AND INVOICE VALIDATION SYSTEM FOR HEALTHCARE SERVICES | 1 |
Gopinathan Kannan | US | Redmond | 2016-03-17 / 20160080293 - Accounts Control | 13 |
Sampath Kannan | US | Philadelphia | 2014-04-03 / 20140095417 - SDI (SDI FOR EPI-DEMICS) | 3 |
Rajkumar Kannan | IN | Bangalore | 2014-10-23 / 20140317157 - AUTOMATIC WORM-RETENTION STATE TRANSITIONS | 1 |
Senthilnathan Kannan | US | Westerville | 2009-09-24 / 20090237263 - DISTANCE CORRECTION FOR DAMAGE PREVENTION SYSTEM | 1 |
Ravi Kumar Kannan | US | Morgan City | 2008-12-11 / 20080304938 - Strongback Traveling Clamp | 1 |
Swetha Kannan | US | Tucson | 2008-11-20 / 20080285413 - Application of wavelet transform filtering for processing data signals from optical data storage devices | 1 |
Latha Kannan | US | Gilbert | 2014-09-04 / 20140248684 - COMPOSITIONS AND METHODS FOR THE PRODUCTION AND USE OF HUMAN CHOLINESTERASES | 1 |
Anu Kannan | US | Astoria | 2016-03-03 / 20160065819 - METHOD AND APPARATUS FOR DIRECTING DATA CAPTURE DEVICES IN A MOBILE UNIT WITH A SINGLE OPERATION | 3 |
Ashvin Kannan | US | Sunnyvale | 2016-03-31 / 20160092890 - MULTIDIMENSIONAL MONITORING AND ALERTING OF BUSINESS METRICS | 14 |
Anitha Kannan | US | Cupertino | 2016-01-28 / 20160026872 - IDENTIFYING PRESENTATION STYLES OF EDUCATIONAL VIDEOS | 1 |
Hari Kannan | US | Mountain View | 2016-02-11 / 20160041887 - Error Recovery in a Storage Cluster | 6 |
Hariprasad Kannan | IN | Bangalore | 2013-12-12 / 20130329951 - METHOD AND APPARATUS FOR ESTIMATING A POSE OF A HEAD FOR A PERSON | 2 |
Mohandas Kannan | US | Bothell | 2015-10-15 / 20150294397 - LOCATION ASSIGNMENT SYSTEM AND METHOD | 1 |
Hari S. Kannan | US | Sunnyvale | 2016-02-25 / 20160055099 - Least Recently Used Mechanism for Cache Line Eviction from a Cache Memory | 13 |
Raja Rajaram Kannan | US | Milpitas | 2015-12-17 / 20150365238 - Remote Secure Device Management In Smart Grid Ami Networks | 1 |
R. Kannan | IN | Maharashtra | 2011-07-14 / 20110171561 - COMPOSITION WITH ENHANCED PROTON CONDUCTIVITY | 1 |
Prasanna Kannan | US | San Diego | 2015-06-04 / 20150156498 - METHODS AND SYSTEMS FOR RESIZING MULTIMEDIA CONTENT BASED ON QUALITY AND RATE INFORMATION | 18 |
Siddharth Kannan | US | Valencia | 2014-08-21 / 20140231260 - ELECTROPHORESIS GEL CASSETTE AND COMB | 1 |
Sreeram Kannan | US | Champaign | 2013-03-07 / 20130059614 - METHODS AND APPARATUS FOR IDENTIFYING AND/OR SELECTING CHANNELS | 1 |
Arunkumar Kannan | US | Salt Lake City | 2012-04-19 / 20120095077 - METHODS AND COMPOSITIONS RELATED TO MODIFIED GUANINE BASES FOR CONTROLLING OFF-TARGET EFFECTS IN RNA INTERFERENCE | 1 |
Krishnan Kannan | US | Englewood | 2015-05-07 / 20150127433 - METHODS AND SYSTEMS OF PROVISIONING DISCOUNTS BASED ON TRAVEL DISTANCE | 4 |
Navneeth Kannan | US | Westford | 2011-09-22 / 20110228041 - Videophone System and Method | 1 |
Shrividhyaa Kannan | IN | Chennai | 2011-05-12 / 20110113450 - ENHANCED TELEVISION EXTENSION | 1 |
Murugan Avaniyapuram Kannan | US | Baltimore | 2014-05-08 / 20140128432 - ANAPLASTIC THYROID CANCERS HARBOR NOVEL ONCOGENIC MUTATIONS OF THE ALK GENE | 1 |
Ramakrishnan Kannan | IN | Karnataka | 2012-11-29 / 20120303816 - FAIR DISCOUNT FOR NETWORK RESOURCE ALLOCATION | 2 |
Ramakrishnan Kannan | IN | Tamilnadu | 2011-03-31 / 20110078140 - METHOD AND SYSTEM FOR USER GUIDED SEARCH NAVIGATION | 2 |
Sadhana Kannan | IN | Maharashtra | 2010-12-02 / 20100304417 - MARKERS FOR TRANSFORMED EPITHELIUM AND POTENTIAL TARGETS FOR THERAPY OF CANCER OF THE GINGIVO BUCCAL COMPLEX | 1 |
K.r. Ravi Kannan | IN | Chennai | 2015-10-08 / 20150288771 - CONTENT DELIVERY SYSTEMS | 1 |
Gopinathan Kannan | US | Redmond | 2016-03-17 / 20160080293 - Accounts Control | 13 |
Srivatsan Kannan | IN | Hyderabad | 2010-08-19 / 20100210366 - TORSIONAL VIBRATION DAMPER | 2 |
Venkatesh Kannan | IN | Madurai | 2010-03-25 / 20100074099 - Access Port Adoption to Multiple Wireless Switches | 1 |
Ravi K.r. Kannan | IN | Chennai | 2010-03-18 / 20100070492 - SYSTEM AND METHOD FOR RESUME VERIFICATION AND RECRUITMENT | 1 |
Balaji Kannan | US | Sunnyvale | 2014-05-29 / 20140149465 - FEATURE RICH VIEW OF AN ENTITY SUBGRAPH | 2 |
Baskaran Kannan | US | Round Rock | 2015-12-03 / 20150347296 - Prioritizing Garbage Collection and Block Allocation Based on I/O History for Logical Address Regions | 6 |
Ashokkumar Kannan | IN | Chennai | 2011-11-17 / 20110280391 - UNIFIED CUSTOMER SERVICE INTERACTIONS | 1 |
Sakthi Shalini Kannan | IN | Bangalore | 2012-04-05 / 20120084684 - Rapid Automation Front-end Framework Library and Executable Graphic User Interface Testing System and Method | 1 |
Arumugam Chendamarai Kannan | US | San Diego | 2016-05-19 / 20160143043 - TECHNIQUES FOR HANDLING BURSTY INTERFERENCE IN A SHARED RADIO FREQUENCY SPECTRUM BAND | 18 |
Lalgudi Narayanan Kannan | US | Los Altos | 2016-03-24 / 20160088074 - System and Method to Balance Servers Based on Server Load Status | 4 |
Shakthi Kannan | IN | Hadapsar | 2013-12-05 / 20130326481 - SYSTEM AND METHOD FOR TRACKING SOFTWARE PACKAGE DEPENDENCIES USING A GRAPH MODEL | 2 |
Raja Kannan | IN | Chennai | 2009-01-29 / 20090028053 - ROOT-CAUSE APPROACH TO PROBLEM DIAGNOSIS IN DATA NETWORKS | 2 |
Ramalingam Kannan | IN | Haryana | 2008-10-02 / 20080244541 - CODE TRANSLATOR AND METHOD OF AUTOMATICALLY TRANSLATING MODELING LANGUAGE CODE TO HARDWARE LANGUAGE CODE | 1 |
Ramakrishnan Kannan | US | Yorktown Heights | 2013-09-12 / 20130238390 - INFORMING SALES STRATEGIES USING SOCIAL NETWORK EVENT DETECTION-BASED ANALYTICS | 2 |
Gopikrishna Kannan | IN | Hyderabad | 2012-12-27 / 20120331300 - Span Out Load Balancing Model | 2 |
Arthi Kannan | US | Morrisville | 2015-10-08 / 20150284451 - COMPOSITIONS AND METHODS FOR INHIBITING PATHOGEN INFECTION | 1 |
Ramakrishnan Kannan | US | 2012-09-13 / 20120233594 - SHARABLE DEVELOPMENT ENVIRONMENT BOOKMARKS FOR FUNCTIONAL/DATA FLOW | 1 | |
Narayanan Kannan | IN | Delhi | 2016-01-28 / 20160028385 - SYSTEM AND METHOD FOR CLOCKING INTEGRATED CIRCUIT | 1 |
Govind Kannan | US | Irvine | 2014-06-19 / 20140169575 - ESTIMATION OF REVERBERATION DECAY RELATED APPLICATIONS | 3 |
Geetha Kannan | IN | Bangalore | 2011-07-21 / 20110178829 - METHOD AND SYSTEM FOR GENERATING AN INCLUSIVITY INDEX OF AN ORGANIZATION | 1 |
Kalapriya Kannan | IN | New Delhi | 2014-10-30 / 20140321276 - Reducing Latencies Through Packet Aggregation | 1 |
Venkatesh Kannan | US | Novi | 2015-05-21 / 20150136086 - SYSTEM HAVING A FUEL DISTRIBUTOR AND MULTIPLE FUEL INJECTORS | 11 |
Gunasekaran Kannan | US | Newbury Park | 2016-01-28 / 20160024225 - PROTEINS SPECIFIC FOR BAFF AND B7RP1 AND USES THEREOF | 11 |
Anitha Kannan | US | Saratoga | 2016-04-28 / 20160117406 - NAVIGATIONAL AID FOR ELECTRONIC BOOKS AND DOCUMENTS | 1 |
Bharath Balaji Kannan | US | Manchester | 2011-10-13 / 20110248751 - SLEW DETECTION FOR HIGH VOLTAGE ISOLATION REGION | 1 |
Gunasekaran Kannan | US | Newbury Park | 2016-01-28 / 20160024225 - PROTEINS SPECIFIC FOR BAFF AND B7RP1 AND USES THEREOF | 11 |
B. Kamala Kannan | IN | Madurai | 2015-04-02 / 20150095434 - System and Method of Creating a Network Based Dynamic | 1 |
Gunasekaran Kannan | US | Thousand Oaks | 2014-06-05 / 20140154254 - HETERODIMERIC IMMUNOGLOBULINS | 1 |
Hari Kannan | US | Sunnyvale | 2014-07-31 / 20140215191 - LOAD ORDERING IN A WEAKLY-ORDERED PROCESSOR | 1 |
Sudarsun Kannan | US | Palo Alto | 2014-07-31 / 20140214770 - CHECKPOINT GENERATION | 1 |
Mahesh Kannan | US | Santa Clara | 2014-03-13 / 20140075412 - SYSTEM AND METHOD FOR ELASTICITY MANAGEMENT OF SERVICES WITH A CLOUD COMPUTING ENVIRONMENT | 3 |
Rangaramanujam M. Kannan | US | Novi | 2011-11-24 / 20110288651 - Supercritical Carbon-Dioxide Processed Biodegradable Polymer Nanocomposites | 2 |
Kalapriya Kannan | IN | Bangalore | 2015-11-26 / 20150339752 - Deriving Dynamic Consumer Defined Product Attributes from Input Queries | 29 |
Balaji Kannan | IN | Chennai | 2011-11-24 / 20110288764 - METHOD AND SYSTEM FOR PROVIDING DRIVING DIRECTIONS | 1 |
Shivkumar Kannan | IN | Bangalore | 2010-08-26 / 20100218191 - Apparatus and Method for Processing Management Requests | 4 |
Vanamamalai Kannan | IN | Bangalore | 2010-01-21 / 20100017774 - METHOD AND SYSTEM FOR MOUNTING CIRCUIT DESIGN ON RECONFIGURABLE DEVICE | 1 |
Ravindran Kannan | IN | Bangalore | 2009-12-17 / 20090313282 - AUTOMATIC REQUEST CATEGORIZATION FOR INTERNET APPLICATIONS | 1 |
Rajeswari Kannan | IN | Bangalore | 2015-10-15 / 20150293597 - Method, Apparatus and Computer Program for Enabling a User Input Command to be Performed | 14 |
Ramakrishnan Kannan | IN | Bangalore | 2015-08-06 / 20150220336 - SYSTEMS AND METHODS FOR IDENTIFYING SOFTWARE PERFORMANCE INFLUENCERS | 12 |
Anand Kannan | IN | Bangalore | 2015-07-02 / 20150188561 - NOVEL TECHNIQUE TO COMBINE A COARSE ADC AND A SAR ADC | 6 |
Mahalingam Kannan | SE | Huddinge | 2008-10-09 / 20080249102 - Hiv Protease Inhibitors | 1 |
Yoko Kannan | JP | Ebina-Shi | 2008-11-20 / 20080284208 - COWL STRUCTURE OF A MOTOR VEHICLE | 1 |
Hiroshi Kannan | JP | Hachioji-Shi | 2009-08-27 / 20090214758 - A PROCESSING METHOD FOR PROCESSING A SUBSTRATE PLACED ON A PLACEMENT STAGE IN A PROCESS CHAMBER | 1 |
Yasuhiko Kannan | JP | Chiyoda-Ku | 2010-01-07 / 20100004811 - ON-VEHICLE ELECTRONIC CONTROL DEVICE | 1 |
Vijay Kannan | IN | Bangalore | 2010-01-28 / 20100020795 - System And Method For Broadcast Pruning In Ethernet Based Provider Bridging Network | 2 |
Madhu Prashanth Kannan | IN | Bangalore | 2015-11-19 / 20150333921 - MULTICAST ROUTING | 3 |
Gopalakrishnan Kannan | DE | Newark | 2010-01-28 / 20100017963 - IN-BED MULTI-PURPOSE DESK | 1 |
Anu Kannan | US | New York City | 2013-12-26 / 20130341401 - METHODS AND APPARATUS FOR SELECTING BARCODE SYMBOLS | 1 |
Kalapriya Kannan | IN | Bangalore | 2015-11-26 / 20150339752 - Deriving Dynamic Consumer Defined Product Attributes from Input Queries | 29 |
Hiroshi Kannan | JP | Tokyo | 2010-10-07 / 20100252068 - Plasma Generation Method, Cleaning Method, and Substrate Processing Method | 2 |
Suresh Kannan | US | Foster City | 2016-05-19 / 20160140322 - System and Method for Conducting Cohort Trials | 1 |
Shrividhyaa N. Kannan | IN | Chennai | 2015-04-30 / 20150120839 - PROVIDING CONTEXTUAL MESSAGES RELATING TO CURRENTLY ACCESSED CONTENT | 1 |
Ravi Kannan | US | Sunnyvale | 2015-07-23 / 20150207802 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR PERFORMING ONE OR MORE MAINTENANCE TASKS ON A REMOTELY LOCATED COMPUTER CONNECTED TO A SERVER COMPUTER VIA A DATA NETWORK | 5 |
Kalyan Kannan | US | Oviedo | 2015-05-07 / 20150123512 - GENERATOR RETAINING RING AND OTHER COMPONENT THERMAL DEGRADATION EVALUATION BY EDDY CURRENT NON-DESTRUCTIVE EXAMINATION | 1 |
Senthil Kannan | IN | Pondicherry | 2013-01-03 / 20130007531 - SYSTEM AND METHOD TO FLAG A SOURCE OF DATA CORRUPTION IN A STORAGE SUBSYSTEM USING PERSISTENT SOURCE IDENTIFIER BITS | 9 |
Sudarsun Kannan | US | Atlanta | 2013-08-29 / 20130227194 - ACTIVE NON-VOLATILE MEMORY POST-PROCESSING | 2 |
Gunasekaran Kannan | US | Newbury | 2016-02-18 / 20160046705 - HETERODIMERIC IMMUNOGLOBULINS | 1 |
Mahesh Kannan | US | San Jose | 2016-03-31 / 20160094635 - SYSTEM AND METHOD FOR RULE-BASED ELASTICITY IN A MULTITENANT APPLICATION SERVER ENVIRONMENT | 1 |
Ashvin Kannan | US | Mountain View | 2015-05-07 / 20150127469 - RESERVE PRICE MODELING FOR ONLINE ADVERTISING AUCTIONS | 1 |
Ashvin Kannan | US | Mountain Viewd | 2015-05-07 / 20150127470 - BID SUGGESTIONS FOR ONLINE ADVERTISING AUCTIONS | 1 |
Sriram Kannan | IN | Bangalore | 2014-07-31 / 20140213305 - Determining Path Traversed by a Mobile Communication Device | 1 |
Nobuyuki Kannan | JP | Kyoto-Shi | 2014-10-02 / 20140290778 - FLUID CONTROL VALVE | 1 |
Hiroshi Kannan | JP | Miyazaki-Shi | 2011-08-04 / 20110190656 - ELECTRODE | 1 |
Hari S. Kannan | US | Sunnyvale | 2016-02-25 / 20160055099 - Least Recently Used Mechanism for Cache Line Eviction from a Cache Memory | 13 |
Kamal Kannan | IN | Madurai | 2014-09-04 / 20140250397 - USER INTERFACE AND METHOD | 2 |
Bharani Kannan | IN | Chennai | 2016-05-05 / 20160121363 - COAT-IN-PLACE ASSEMBLIES AND METHODS TO PROVIDE AN AESTHETICALLY PLEASING SPRINKLER ASSEMBLY | 2 |
Rajagopalan Kannan | IN | Gurgaon | 2016-02-11 / 20160043797 - FAST MESH RESTORATION SYSTEMS AND METHOD WITH REAL TIME DETECTION OF FAULT LOCATION | 6 |
Ganesh Kannan | US | Wayland | 2015-10-29 / 20150310188 - SYSTEMS AND METHODS OF SECURE DATA EXCHANGE | 2 |
Muthaiyyan Esakkimuthu Kannan | IN | Ahmedabad | 2015-02-05 / 20150037408 - Delayed Release Pharmaceutical Compositions of Salsalate | 2 |
Arumugam Chendamarai Kannan | US | San Diego | 2016-05-19 / 20160143043 - TECHNIQUES FOR HANDLING BURSTY INTERFERENCE IN A SHARED RADIO FREQUENCY SPECTRUM BAND | 18 |
Thirumalai Rengasamy Kannan | US | San Antonio | 2010-10-21 / 20100267617 - METHODS AND COMPOSITIONS FOR MYCOPLASMA PNEUMONIAE EXOTOXINS | 2 |
Karthika K. Kannan | IN | Madurai | 2011-08-11 / 20110196990 - SYSTEMS AND METHODS FOR AUTO ADDRESSING IN A CONTROL NETWORK | 1 |
Bharathwaj Kannan | US | Spring | 2009-12-24 / 20090314498 - SECUREMENT OF LINES TO DOWNHOLE WELL TOOLS | 1 |
Srikanth Kannan | US | Cedar Park | 2008-11-20 / 20080288741 - Data Access Tracing | 1 |
Dhandayuthapani Kannan | US | Missouri City | 2010-07-15 / 20100175870 - DOWNHOLE DISCONNECT MECHANISM | 4 |
Bharathwaj S. Kannan | US | Spring | 2009-06-04 / 20090139728 - SCREENED VALVE SYSTEM FOR SELECTIVE WELL STIMULATION AND CONTROL | 1 |
Manigandan Kannan | IN | Kottivakkam | 2014-02-27 / 20140059517 - METHOD AND SYSTEM FOR FACILITATING RAPID DEVELOPMENT OF END-TO-END SOFTWARE APPLICATIONS | 1 |
Madhu Prashanth Kannan | IN | Banglaore | 2014-02-06 / 20140036935 - SYSTEM AND METHOD FOR REAL-TIME DETECTION, IDENTIFICATION AND REPORTING OF MAC-SYNC FAILURES IN DISTRIBUTED TRUNKING | 1 |
Sribarath Kannan | SG | Singapore | 2015-07-02 / 20150181897 - APPARATUS, SYSTEM AND METHOD FOR AN ADAPTIVE KNEADING TECHNOLOGY FOR A FOOD PREPARATION APPLIANCE | 1 |
Thirumalai Regasamy Kannan | US | San Antonio | 2012-11-01 / 20120276107 - Methods and Compositions for Mycoplasma Pneumoniae Exotoxins | 1 |
Akshay Kannan | US | Fremont | 2015-07-16 / 20150199161 - REMOTE PRINTING MANAGEMENT FOR CLOUD PRINTING | 5 |
Venkatesh Kannan | US | Sunnyvale | 2016-01-28 / 20160029356 - DYNAMIC USER-CONFIGURABLE INFORMATION ELEMENT | 8 |
Sri Kannan Iyer | GB | London | 2016-04-07 / 20160098334 - BENCHMARKING MOBILE DEVICES | 1 |
Charles Kannankeril | US | North Caldwell | 2014-05-01 / 20140117071 - Cushioning Assembly | 12 |
Joshua P. Kannankunni | US | Cupertino | 2014-05-15 / 20140135994 - DRAWER MECHANISM | 1 |
Jayaraman Kannapan | IN | Vadodara | 2014-08-21 / 20140235870 - PROCESS FOR PREPARING SAXAGLIPTIN AND ITS NOVEL INTERMEDIATES USEFUL IN THE SYNTHESIS THEREOF | 3 |
Jayaraman Kannapan | IN | Gujarat | 2016-04-28 / 20160115198 - METHODS OF MAKING CARFILZOMIB AND INTERMEDIATES THEREOF | 4 |
Jayaraman Kannapan | IN | Gujrat | 2013-01-24 / 20130023671 - PROCESS FOR PREPARING SAXAGLIPTIN AND ITS NOVEL INTERMEDIATES USEFUL IN THE SYNTHESIS THEREOF | 1 |
Babu Kannappan | AU | Hawker | 2009-08-13 / 20090203532 - PROCESS FOR GENERATION OF PROTEIN AND USES THEROF | 1 |
Karthik Kannappan | NZ | Auckland | 2014-11-20 / 20140342128 - ARRAYS AND METHODS OF MANUFACTURE | 1 |
Saravanan Kannappan | US | Boynton Beach | 2009-04-09 / 20090094695 - ACCOUNT ASSOCIATION GENERATION | 1 |
Lakshmanan Kannappan | US | Sunnyvale | 2013-09-26 / 20130254882 - MULTI-DOMAIN IDENTITY INTEROPERABILITY AND COMPLIANCE VERIFICATION | 2 |
Ken Kannappan | US | Palo Alto | 2016-03-10 / 20160073185 - Collection and Analysis of Muted Audio | 6 |
Ramesh Kannappan | US | Cupertino | 2015-12-31 / 20150379472 - METHOD AND SYSTEM FOR PROJECT MANAGEMENT | 2 |
Kenneth A Kannappan | US | Palo Alto | 2013-08-15 / 20130208717 - Presence Based Telephony Call Signaling | 1 |
Jayaraman Kannappan | IN | Gujrat | 2009-07-23 / 20090187018 - Manufacture of Pure Hydralazine Salts | 1 |
Vithya Kannappan | IN | Bangalore | 2014-09-18 / 20140281458 - SYSTEM AND METHOD FOR PREDICTING AND IMPROVING BOOT-UP SEQUENCE | 4 |
Kenneth S. Kannappan | US | Palo Alto | 2010-05-27 / 20100130198 - Remote processing of multiple acoustic signals | 1 |
Kenneth Kannappan | US | Palo Alto | 2012-10-11 / 20120257740 - Communications Network Call Initiation Transition | 3 |
Sasikumar Kannappan | US | Foster City | 2015-07-02 / 20150186868 - MUTUAL MOBILE AUTHENTICATION USING A KEY MANAGEMENT CENTER | 4 |
David Kannar | AU | Victoria | 2015-07-23 / 20150201660 - Extraction Method | 4 |
Yehuda Kannar | IL | Haifa | 2010-03-18 / 20100069108 - APPARATUS AND METHOD FOR TRANSMITTING DATA OVER A WIRELESS NETWORK | 1 |
David Kannar | AU | Belgrave South | 2014-10-23 / 20140315993 - Natural Sweetener | 9 |
David Kannar | AU | Mt Eliza Vic | 2012-03-08 / 20120058962 - BUCCAL AND/OR SUBLINGUAL THERAPEUTIC FORMULATION | 1 |
David Kannar | AU | Belgrave South | 2014-10-23 / 20140315993 - Natural Sweetener | 9 |
David Kannar | AU | Belgrave South Vic | 2008-11-20 / 20080286216 - Surface Active Calcium Phosphates | 1 |
David Kannar | AU | Elwood | 2016-03-24 / 20160081996 - Niacin Formulation | 1 |
Brian T. Kannard | US | Sherman Oaks | 2015-01-29 / 20150031973 - METHOD AND/OR SYSTEM FOR MULTICOMPARTMENT ANALYTE MONITORING | 1 |
Brian T. Kannard | US | Los Angeles | 2013-05-02 / 20130109942 - METHOD AND/OR SYSTEM FOR MULTICOMPARTMENT ANALYTE MONITORING | 6 |
Brian T. Kannard | US | Stanford | 2013-04-25 / 20130102866 - METHOD AND/OR SYSTEM FOR MULTICOMPARTMENT ANALYTE MONITORING | 1 |
Brian T. Kannard | US | Mountain View | 2015-11-19 / 20150331419 - GLUCOSE SENSOR HEALTH MONITORING AND RELATED METHODS AND SYSTEMS | 6 |
Kenta Kannari | JP | Sapporo | 2014-11-20 / 20140341240 - METHOD OF CONTROLLING TEMPERATURE OF SEMICONDUCTOR LASER, TEMPERATURE CONTROL DEVICE OF SEMICONDUCTOR LASER AND NON-TRANSITORY COMPUTER-READABLE MEDIUM | 1 |
Hiroki Kannari | JP | Kanagawa | 2011-05-19 / 20110117261 - FAT-AND-OIL COMPOSITION, AND OIL-IN-WATER EMULSIFIED PRODUCT CONTAINING THE FAT-AND-OIL COMPOSITION | 2 |
Isao Kannari | JP | Kashiwa-Shi | 2015-05-07 / 20150123340 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 6 |
Hideyuki Kannari | JP | Kawasaki | 2010-06-24 / 20100159851 - Wireless Transmitting/Receiving Device | 1 |
Kenta Kannari | JP | Kawasaki | 2011-07-14 / 20110170856 - Optical transmission device | 1 |
Hiroyuki Kannari | JP | Osaka | 2009-10-01 / 20090242072 - POSITIVE ELECTRODE PLATE FOR ALKALINE STORAGE BATTERY AND METHOD FOR PRODUCING THE SAME | 1 |
Hiroyoshi Kannari | JP | Osaka | 2016-02-25 / 20160053146 - URETHANE RESIN COMPOSITION, COATING AGENT, AND ARTICLE | 1 |
Hideyuki Kannari | JP | Yokohama | 2014-09-25 / 20140287707 - CONTROL DEVICE, FREQUENCY CONTROL METHOD, AND RECEIVING DEVICE | 4 |
Takanori Kannari | JP | Osaka | 2013-02-28 / 20130054892 - IMAGE PROCESSING APPARATUS | 1 |
Naokatsu Kannari | JP | Kiryu-Shi | 2014-11-27 / 20140346052 - REACTION VESSEL FOR RAMAN SPECTROPHOTOMETRY, AND RAMAN SPECTROPHOTOMETRY METHOD USING SAME | 3 |
Toru Kannari | JP | Osaka-Shi | 2013-08-22 / 20130213450 - Thermoelectric Generation Apparatus | 3 |
Shingo Kannari | JP | Tokyo | 2012-07-26 / 20120191765 - Information Processing Apparatus | 2 |
Ganesh Kannarpady | US | Little Rock | 2010-10-07 / 20100255447 - ADVANCED BIO-COMPATIBLE POLYMER SURFACE COATINGS FOR IMPLANTS AND TISSUE ENGINEERING SCAFFOLDS | 1 |
David B. Kanne | US | Corte Madera | 2015-02-26 / 20150056224 - COMPOSITIONS AND METHODS FOR ACTIVATING STIMULATOR OF INTERFERON GENE-DEPENDENT SIGNALLING | 5 |
Ulf Kanne | CH | Zurich | 2008-09-04 / 20080210001 - Device with flow sensor for handling fluids | 1 |
Gideon Kanne | DE | Geseke | 2011-04-14 / 20110084605 - HIGH-PRESSURE GAS DISCHARGE LAMP FOR A LIGHTING DEVICE | 1 |
Steven Kanne | US | Gretna | 2014-10-02 / 20140292348 - Electronic Testing Device | 1 |
Vergil Kanne | CA | Winnipeg | 2012-06-14 / 20120150345 - METHOD AND SYSTEM FOR ROBOT GENERATION | 2 |
Carl-Christian Kanne | US | Campbell | 2015-03-19 / 20150081353 - Systems and Methods for Interest-Driven Business Intelligence Systems Including Segment Data | 4 |
Steven Kanne | US | Elkhorn | 2016-05-05 / 20160127691 - METHOD, COMPUTER PROGRAM, AND SYSTEM FOR ADJUSTING CAMERAS | 1 |
Sebastian Kanne | DE | Schwaikheim | 2013-07-25 / 20130186470 - METHOD FOR IDENTIFYING A BLOCKAGE OF A DOSING VALVE OF AN SCR CATALYTIC CONVERTER SYSTEM | 3 |
Carl-Christan Kanne | US | Campbell | 2014-04-24 / 20140114971 - Systems and Methods for Interest-Driven Data Sharing in Interest-Driven Business Intelligence Systems | 1 |
Mark M. Kanne | US | Chandler | 2009-04-23 / 20090102733 - ANTENNA SYSTEM AND METHOD FOR CONTROLLING AN ANTENNA PATTERN OF A COMMUNICATION DEVICE | 1 |
David Kanne | US | Corte Madera | 2012-03-22 / 20120071513 - Compositions and Their Use as Anti-Tumor Agents | 3 |
Ramarao Kanneganti | US | Grosse Point Shores | 2014-07-10 / 20140196051 - RESOURCE MANAGEMENT USING ENVIRONMENTS | 1 |
Rama Kanneganti | US | Grosse Point Shores | 2012-09-13 / 20120232914 - MARKETPLACE FOR MARKET INFORMATION | 2 |
George K. Kannell | US | Florham Park | 2009-07-02 / 20090170422 - METHOD OF CONTROLLING WIRELESS COMMUNICATIONS | 2 |
Manoj K. Kannembath | US | Pleasanton | 2014-05-01 / 20140122331 - System and Method for Providing a Security Code | 1 |
Johannes Kannenberg | DE | Aurich | 2015-10-15 / 20150292475 - METHOD FOR PRODUCING A ROTOR BLADE | 5 |
Oliver Kannenberg | DE | Berlin | 2016-03-17 / 20160076896 - METHODS AND SYSTEMS FOR PROVIDING INFORMATION INDICATIVE OF A RECOMMENDED NAVIGABLE STRETCH | 1 |
Daniel G. Kannenberg | US | Waukesha | 2008-10-30 / 20080266801 - Phase change cooled power electronic module | 2 |
Elmar Kannenberg | US | Athens | 2013-10-03 / 20130260402 - ANTHRAX CARBOHYDRATES, SYNTHESIS AND USES THEREOF | 3 |
Donald P. Kannenberg | US | Irvine | 2011-09-08 / 20110218622 - INDUCTION ACTIVATION OF ADJUSTABLE ANNULOPLASTY RINGS AND OTHER IMPLANTABLE DEVICES | 1 |
Trent M. Kannenberg | US | Prior Lake | 2014-09-11 / 20140258043 - COMPARABLE PRODUCT MATCHING: SYSTEM AND METHOD | 1 |
William James Kannengieser | US | Conyers | 2012-12-27 / 20120324698 - TIRE CAVITY COVER AND METHOD OF PROTECTING | 1 |
Uwe Kannengiesser | DE | Duisburg | 2014-10-02 / 20140291032 - PROCESS FOR THE PRODUCTION OF A PIPE LINED WITH AN INLINER | 1 |
Detlef Kannengiesser | DE | Zwingenberg | 2015-01-29 / 20150027651 - MANUFACTURE OF CELLULOSIC PULP SHEETS | 3 |
Stephan Kannengiesser | DE | Wuppertal | 2016-03-24 / 20160084929 - METHOD AND APPARATUS TO CORRECT NOISE EFFECTS IN QUANTITATIVE TECHNIQUES IN MAGNETIC RESONANCE IMAGING | 16 |
Damien Kannengiesser | FR | Golbey | 2016-05-12 / 20160129623 - APPARATUS AND METHOD FOR FABRICATING CONTAINERS | 17 |
Thomas Kannengiesser | DE | Saarlouis | 2010-01-28 / 20100017946 - TOILET SEAT | 1 |
Damien Kannengiesser | FR | Golbey | 2016-05-12 / 20160129623 - APPARATUS AND METHOD FOR FABRICATING CONTAINERS | 17 |
Pauline Kannengiesser | FR | Pontoise | 2014-10-02 / 20140291894 - INJECTABLE COMPOSITE MATERIAL REINFORCED BY NATURAL FIBERS | 3 |
Christian Kannengiesser | DE | Lubeck | 2011-06-02 / 20110128609 - BEAM STEERING USING A THERMALLY ANISOTROPIC MEDIUM | 1 |
Stephan Kannengiesser | DE | Wuppertal | 2016-03-24 / 20160084929 - METHOD AND APPARATUS TO CORRECT NOISE EFFECTS IN QUANTITATIVE TECHNIQUES IN MAGNETIC RESONANCE IMAGING | 16 |
Damien Jean-Philippe Kannengiesser | FR | Golbey | 2016-02-25 / 20160052177 - MOULD WITH HYDROPHOBIC PROPERTIES | 1 |
Ori Kanner | IL | Burgeta | 2013-07-25 / 20130190852 - ELECTRODE FOR FINDING POINTS OF LOW IMPEDANCE AND APPLYING ELECTRICAL STIMULATION THERETO | 1 |
Steven B Kanner | US | Madison | 2015-12-17 / 20150361427 - Compositions and Methods for Inhibiting Gene Expression of Alpha-1 AntiTrypsin | 1 |
David Kanner | US | Oceanside | 2015-08-20 / 20150231470 - BATTING TEE FOR BASEBALL AND SOFTBALL | 1 |
Richard Kanner | US | Santa Ana | 2014-07-10 / 20140194462 - Alpha-7 Nicotinic Acetylcholine Receptor Allosteric Modulators, Their Derivatives and Uses Thereof | 2 |
Richard Clinton Kanner | US | Santa Ana | 2010-11-04 / 20100276373 - Thimerosal Removal Device | 1 |
Glenn Kanner | US | Plymouth | 2010-07-22 / 20100185156 - Methods and Devices for Minimally-Invasive Delivery of Cell-Containing Flowable Compositions | 2 |
Steven B. Kanner | US | Santa Monica | 2016-03-31 / 20160090411 - NUCLEIC ACID AND CORRESPONDING PROTEIN NAMED 158P1D7 USEFUL IN THE TREATMENT AND DETECTION OF BLADDER AND OTHER CANCERS | 19 |
Dov Kanner | DK | Copenhagen S | 2014-09-11 / 20140255992 - Process For Production Of Recombinant Human Growth Hormone | 2 |
Juuso Kanner | FI | Pirkkala | 2014-09-25 / 20140289386 - ELECTRONIC ARRANGEMENT AND RELATED METHOD FOR DYNAMIC RESOURCE MANAGEMENT | 1 |
Glenn Kanner | US | Duxbury | 2015-07-16 / 20150196324 - Artificial Insemination and Vaginal Insertion | 2 |
Sonia Kanner | US | San Diego | 2013-12-05 / 20130325648 - Wearable Article For the Concealment of Personal Items | 1 |
Martin Kanner | US | Plainview | 2016-03-10 / 20160072381 - ANALOG TIMER CIRCUIT WITH TIME CONSTANT MULTIPLICATION EFFECT | 1 |
Rowland W. Kanner | US | Guntersville | 2014-11-20 / 20140343490 - LOCKABLE SYRINGE AND METHOD OF ASSEMBLING SAME | 14 |
David Kanner | US | Redondo Beach | 2013-01-10 / 20130012340 - BASEBALL SWING TRAINING DEVICE | 1 |
Adam Kanner | US | New York | 2011-09-29 / 20110238497 - SYSTEMS AND METHODS FOR AN IMPROVED ONLINE TICKET MARKETPLACE | 1 |
Joshua L. Kanner | US | Cambridge | 2014-11-13 / 20140337286 - Systems and Methods for Construction Field Management and Operations with Building Information Modeling | 5 |
Steven Brian Kanner | US | Salt Lake City | 2015-12-03 / 20150344436 - PKM2 MODULATORS AND METHODS FOR THEIR USE | 1 |
Moshe Benjamin Kanner | US | Brooklyn | 2010-02-04 / 20100024320 - Arch Structure | 1 |
Joseph Kanner | IL | Rehovot | 2008-08-28 / 20080209584 - Pepper Plants Having Fruit With Altered Vitamin Content | 1 |
Abe Kanner | CA | Mississauga | 2015-06-25 / 20150177706 - SAFETY ASSURANCE OF MULTIPLE REDUNDANT SYSTEMS | 17 |
Scott A. Kanner | US | Brooklyn | 2014-10-30 / 20140322696 - FUNCTIONAL RECOVERY OF HUMAN LUNGS FOR TRANSPLANTATION | 1 |
Abe Kanner | CA | Mississauga | 2015-06-25 / 20150177706 - SAFETY ASSURANCE OF MULTIPLE REDUNDANT SYSTEMS | 17 |
Supriya Kannery | IN | Bangalore | 2009-06-04 / 20090144430 - System and Method for Dynamic Negotiation of Device Name with Wildcard Character | 1 |
Lars O. Kanngard | UA | Dubai | 2011-03-17 / 20110066512 - Applications of Stored Value Card | 1 |
Vinod Kanniah | US | Lexington | 2011-07-21 / 20110177252 - COATING COMPOSITIONS FOR PRODUCING TRANSPARENT SUPER-HYDROPHOBIC SURFACES | 1 |
Sundra Lakshmi Kanniah | IN | Vellore District | 2013-08-29 / 20130225805 - PREPARATION OF CRYSTALLINE BAZEDOXIFENE AND ITS SALTS | 1 |
Sundaralakshmi Kanniah | IN | Vellore | 2011-09-08 / 20110218360 - PREPARATION OF RASAGILINE AND SALTS THEREOF | 1 |
Vinod Kanniah | US | Woodridge | 2014-12-11 / 20140363683 - SCRATCH AND ABRASION RESISTANT UV BLOCKING GLASS COATING | 2 |
Tapio Kanniainen | FI | Oulu | 2015-08-06 / 20150216427 - SYSTEM FOR PROCESSING EXERCISE-RELATED DATA | 2 |
Panu Kanniainen | FI | Uusikaupunki | 2015-02-26 / 20150056482 - BATTERY PACK WITH A FIRE PREVENTING AGENT | 1 |
Karthikeyan Kanniappan | IN | Bangalore | 2014-01-30 / 20140029741 - Platform to Interact with Multiple Electronic Private Automatic Branch Exchange (EPBAX) Systems | 1 |
Senthil K. Kanniappan | US | San Jose | 2013-08-15 / 20130208663 - BANDWIDTH ALLOCATION FOR MULTIMEDIA CONFERENCING | 2 |
Senthil Kumar Kanniappan | US | San Jose | 2015-09-10 / 20150256567 - SELECTIVE DATA CONTENT SHARING | 1 |
Sivaguru Kanniappan | IN | Pondicherry | 2015-05-07 / 20150123040 - FUEL SLURRY HEATING SYSTEM AND METHOD | 2 |
Gopalakrishnan Kanniappan | IN | Thiruvannamalai | 2015-12-31 / 20150375978 - FORK ASSEMBLY FOR LIFTING MACHINES WITH INTERLOCKING TINES | 1 |
Senthil Kanniappan | US | San Jose | 2013-05-16 / 20130120522 - SYSTEM AND METHOD FOR ALERTING A PARTICIPANT IN A VIDEO CONFERENCE | 1 |
Othiyappan Kanniappan | IN | Chennai | 2015-02-19 / 20150050004 - SYSTEM EVENT ASSISTED LIVE KEYBOARD, VIDEO AND MOUSE (KVM) CAPTURE | 1 |
Tomi Sakain Kanninen | FI | Salo | 2009-02-05 / 20090032289 - Circuit board having two or more planar sections | 1 |
Pekka Kanninen | FI | Helsinki | 2014-07-03 / 20140183990 - ARRANGEMENT AND METHOD FOR COOLING AN ELECTRIC MACHINE | 1 |
Katja Kanninen | FI | Kuopio | 2016-01-07 / 20160000876 - IL-33 and Treatment of Neurodegenerative Diseases | 1 |
Pekka Kanninen | FI | Espoo | 2015-03-05 / 20150061427 - ELECTRIC MACHINE | 6 |
Marja Kanning | CH | Basel | 2015-10-22 / 20150296853 - USE OF TRYPTOPHAN RICH PROTEIN HYDROLYSATES | 1 |
Marja Willemien Kanning | NL | Wageningen | 2014-06-12 / 20140161950 - Processing Quinoa for Improved Protein-to-Carbohydrate Formulations | 1 |
David Kanning | US | Valley City | 2014-09-18 / 20140270929 - CLIP INSERT FOR DRIVE COUPLER | 1 |
Bastian Kanning | DE | Bremen | 2016-01-28 / 20160025553 - METHOD FOR WIRELESS SIGNAL TRANSMISSION AND SENSOR-CONTROLLED COMPONENT | 1 |
Torsten Kanning | DE | Eltville-Erbach | 2015-02-26 / 20150054933 - MOTOR VEHICLE WITH MULTIFUNCTIONAL DISPLAY INSTRUMENT | 10 |
Torsten Kanning | DE | Eltville-Erbach | 2015-02-26 / 20150054933 - MOTOR VEHICLE WITH MULTIFUNCTIONAL DISPLAY INSTRUMENT | 10 |
Ernest H. Kanning | US | Redmond | 2016-03-31 / 20160094143 - No-Break Power Transfer | 1 |
Torsten Kanning | DE | Eltville/erbach | 2010-09-30 / 20100244697 - MOTOR VEHICLE HAVING A HATCHBACK | 4 |
Kai Kanning | DE | Stuttgart | 2010-07-22 / 20100180860 - Valve drive train actuating device | 1 |
Tsutomu Kanno | JP | Osaka | 2008-09-25 / 20080230107 - ELECTRIC POWER GENERATION METHOD USING THERMOELECTRIC POWER GENERATION ELEMENT, THERMOELECTRIC POWER GENERATION ELEMENT AND METHOD OF PRODUCING THE SAME, AND THERMOELECTRIC POWER GENERATION DEVICE | 2 |
Yohei Kanno | JP | Kanagawa | 2011-07-07 / 20110164083 - Liquid Droplet Ejection System and Control Program of Ejection Condition of Compositions | 2 |
Ippei Kanno | JP | Kyoto | 2015-12-10 / 20150358183 - RECEIVER APPARATUS AND FREQUENCY SELECTIVITY INTERFERENCE CORRECTION METHOD | 11 |
Hiroshi Kanno | JP | Moriguchi City | 2011-01-27 / 20110017261 - SOLAR CELL MODULE | 1 |
Isaku Kanno | JP | Hyogo | 2012-12-20 / 20120320440 - Vibrating Mirror Element and Method for Manufacturing Vibrating mirror Element | 1 |
Yuji Kanno | JP | Kanagawa | 2010-06-10 / 20100145914 - DATABASE MANAGEMENT SERVER APPARATUS, DATABASE MANAGEMENT SYSTEM, DATABASE MANAGEMENT METHOD AND DATABASE MANAGEMENT PROGRAM | 1 |
Toshiyuki Kanno | JP | Kawasaki- Shi | 2010-05-06 / 20100113654 - FLAME-RESISTANT RESIN COMPOSITION | 3 |
Naoki Kanno | JP | Tokyo | 2014-10-23 / 20140313422 - PROJECTION TYPE VIDEO DISPLAY | 5 |
Ryoichi Kanno | JP | Tokyo | 2012-11-08 / 20120282036 - UNDERWATER STRUCTURE, CONSTRUCTION METHOD THEREFOR, AND DESIGN METHOD AND RENOVATION METHOD OF UNDERWATER-SIDE STRUCTURE | 1 |
Seiichiro Kanno | JP | Minato-Ku, Tokyo | 2015-12-24 / 20150371814 - Charged Particle Radiation Apparatus | 2 |
Toshio Kanno | JP | Aichi | 2016-02-18 / 20160045951 - SAND-MOLD MOLDING METHOD AND SAND-MOLD MOLDING APPARATUS | 2 |
Tetsuya Kanno | JP | Ibaraki | 2009-01-29 / 20090029406 - Npy Y4 Agonist as Therapeutic Agent for Disease Accompanied by Intestinal Tract Dysfunction | 1 |
Hiroshi Kanno | JP | Kobe-Shi | 2010-01-28 / 20100018563 - SOLAR CELL MODULE AND METHOD OF MANUFACTURING THE SAME | 2 |
Hiroshi Kanno | JP | Kanagawa | 2009-10-29 / 20090270334 - NEURONAL DIFFERENTIATION INHIBITOR PEPTIDE AND USE THEREOF | 1 |
Hiromasa Kanno | JP | Saitama | 2009-12-17 / 20090308648 - PRINTED BOARD AND IMAGE FORMATION APPARATUS | 1 |
Kouichirou Kanno | JP | Ishinomaki-Shi | 2012-12-20 / 20120318751 - BALLAST WATER TREATMENT EQUIPMENT, A BALLAST WATER DETOXIFYING TREATMENT SYSTEM USING THE SAME, AND A METHOD FOR TREATING THE BALLAST WATER | 1 |
Takeshi Kanno | JP | Niigata-Ken | 2011-01-27 / 20110019369 - ELECTRONIC CIRCUIT MODULE WITH GOOD HEAT DISSIPATION | 1 |
Takashi Kanno | JP | Chiba | 2010-02-11 / 20100036050 - CURABLE RESIN AND CURABLE COMPOSITION | 1 |
Takashi Kanno | JP | Asao-Ku | 2009-10-29 / 20090267803 - Scale for photoelectric encoder | 1 |
Shu Kanno | JP | Kanagawa | 2014-04-17 / 20140105661 - IMAGE FORMING APPARATUS | 1 |
Norihiro Kanno | JP | Tokyo | 2016-02-25 / 20160053353 - HOT-ROLLED FERRITIC STAINLESS-STEEL PLATE, PROCESS FOR PRODUCING SAME, AND STEEL STRIP | 4 |
Shuichi Kanno | JP | Sendai-Shi | 2014-12-18 / 20140369966 - PREVENTIVE AND/OR AMELIORATIVE AGENT FOR DISEASES, STAMINA ENHANCEMENT AGENT, ANTI-FATIGUE AGENT, AND PHARMACEUTICAL AND FOOD AND DRINK USING THEM | 2 |
Takahiro Kanno | JP | Odawara-Shi | 2014-08-07 / 20140220226 - FOOD PRODUCT FOR ENHANCING CHEWING ABILITY | 1 |
Takashi Kanno | JP | Kashiwa-Shi | 2009-01-15 / 20090016394 - LASER DIODE DRIVING DEVICE AND OPTICAL SCANNING DEVICE | 1 |
Fumio Kanno | JP | Utsunomiya-Shi Tochigi | 2010-03-11 / 20100058887 - PEDAL FOR VEHICLE | 1 |
Hiroyuki Kanno | JP | Fukushima | 2011-06-30 / 20110154922 - BI-DIRECTIONAL ROTARY ACTUATOR | 1 |
Takao Kanno | JP | Ibaraki | 2010-08-19 / 20100210494 - GREASE COMPOSITION AND PROCESS FOR PRODUCING THE SAME | 1 |
Seiichiro Kanno | JP | Kudamatsu | 2011-06-16 / 20110139370 - PLASMA PROCESSING APPARATUS | 2 |
Tsutomo Kanno | JP | Kyoto | 2015-11-12 / 20150325768 - THERMOELECTRIC GENERATOR AND PRODUCTION METHOD FOR THE SAME | 1 |
Toshiyuki Kanno | JP | Tokyo | 2009-04-23 / 20090105382 - FLAME RETARDANT RESIN TREATED ARTICLE | 3 |
Takeshi Kanno | JP | Tokyo | 2013-03-07 / 20130060630 - Advertisement Distribution System, Device and Method, and Advertisement Distribution Program | 2 |
Ken-Ichiro Kanno | JP | Hokkaido | 2009-09-17 / 20090230387 - ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC SEMICONDUCTOR THIN FILM AND ORGANIC SEMICONDUCTOR DEVICE | 2 |
Susumu Kanno | JP | Chiba | 2011-08-11 / 20110196097 - POLYCARBONATE RESIN COMPOSITION AND MOLDED BODY OF THE SAME | 2 |
Shuichi Kanno | JP | Hitachi | 2009-06-04 / 20090142244 - TREATMENT METHOD FOR DECOMPOSING PERFLUOROCOMPOUND, DECOMPOSING CATALYST AND TREATMENT APPARATUS | 2 |
Shinichiro Kanno | JP | Odawara | 2015-03-12 / 20150074349 - MANAGEMENT SYSTEM CALCULATING STORAGE CAPACITY TO BE INSTALLED/REMOVED | 9 |
Shinichi Kanno | JP | Minato-Ku | 2010-09-02 / 20100223424 - MEMORY SYSTEM AND CONTROL METHOD THEREOF | 1 |
Hideyuki Kanno | JP | Atsugi-Shi | 2014-12-25 / 20140379253 - TRAVELABLE AREA DISPLAY DEVICE | 2 |
Masaharu Kanno | JP | Kanagawa | 2011-05-05 / 20110102929 - DISK DRIVE AND METHOD OF TIMING CONTROL FOR SERVO-DATA DETECTION | 1 |
Itaru Kanno | JP | Takarazuka | 2015-04-16 / 20150104889 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, SEMICONDUCTOR MANUFACTURING AND INSPECTING APPARATUS, AND INSPECTING APPARATUS | 2 |
Satoru Kanno | JP | Kashiwa-Shi | 2011-12-29 / 20110318040 - IMAGE FORMING APPARATUS, METHOD OF CONTROLLING FIXING DEVICE, AND DEVICE AND METHOD FOR DETECTING ABNORMALITY OF THE FIXING DEVICE | 3 |
Minoru Kanno | JP | Shizuoka | 2010-01-21 / 20100015501 - Fuel-Cell Cartridge Connector | 2 |
Seiichiro Kanno | JP | Iwaki | 2013-12-12 / 20130327939 - SEMICONDUCTOR INSPECTING APPARATUS | 8 |
Minoru Kanno | JP | Sendai-Shi | 2015-05-07 / 20150125344 - BACTERICIDAL WATER GENERATING SYSTEM AND METHOD OF BACTERICIDAL WASHING | 7 |
Masayuki Kanno | JP | Kawasaki | 2009-06-11 / 20090147691 - RELAY DEVICE, COMPUTER READABLE MEDIUM STORING TEST PROGRAM AND A METHOD FOR TESTING RELAY DEVICE | 1 |
Masayoshi Kanno | JP | Kitaibaraki | 2011-03-03 / 20110053024 - Fuel cell using organic fuel | 1 |
Masato Kanno | JP | Meguro-Ku | 2010-08-26 / 20100213444 - ORGANIC SEMICONDUCTOR AND ORGANIC THIN-FILM TRANSISTOR | 1 |
Shinichiro Kanno | JP | Odawara-Shi | 2014-04-10 / 20140101497 - EVENT NOTIFICATION SYSTEM, EVENT INFORMATION AGGREGATION SERVER, AND EVENT NOTIFICATION METHOD | 1 |
Yuta Kanno | JP | Toyama-Shi | 2015-11-12 / 20150322212 - COMPOSITION FOR FORMING SILICON-CONTAINING RESIST UNDERLAYER FILM HAVING CYCLIC DIESTER GROUP | 19 |
Masahiro Kanno | JP | Kanagawa-Ken | 2011-05-12 / 20110111593 - PATTERN FORMATION METHOD, PATTERN FORMATION SYSTEM, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Takao Kanno | JP | Kitaibaraki | 2012-11-01 / 20120276755 - Grease for Electrical Contact and Slide Electricity Structure, Power Switch, Vacuum Circuit Breaker, Vacuum-Insulated Switchgear, and Vacuum-Insulated Switchgear Assembling Method | 1 |
Manabu Kanno | JP | Hokkaido | 2009-02-26 / 20090055066 - TWO-WHEELED MOTOR VEHICLE BRAKE CONTROL METHOD AND BRAKE CONTROL SYSTEM | 1 |
Kazuaki Kanno | JP | Osaka | 2014-04-10 / 20140100373 - PRODUCTION METHOD OF IMIDAZOLE DERIVATIVES | 1 |
Manabu Kanno | JP | Chiba | 2010-09-09 / 20100228755 - COMMUNICATION TERMINAL, TRANSMISSION METHOD, AND TRANSMISSION SYSTEM | 1 |
Mamoru Kanno | JP | Yamagala Prefecture | 2010-07-01 / 20100166997 - DECORATIVE SHEET WITH DIFFERENT BEADS IN DIFFERENT LAYERS | 1 |
Akira Kanno | JP | Tokyo | 2010-11-25 / 20100297620 - ACTIVATED PROTEASE INDICATOR | 1 |
Mamoru Kanno | JP | Sagae-City | 2013-08-22 / 20130216754 - DECORATIVE SHEET WITH DIFFERENT BEADS IN DIFFERENT LAYERS | 2 |
Seiichiro Kanno | JP | Tokyo | 2015-11-26 / 20150340198 - Apparatus and Method for Processing Sample, and Charged Particle Radiation Apparatus | 4 |
Keiichi Kanno | JP | Osaka-Shi | 2012-02-16 / 20120040113 - LAMINATE, PACKAGE, PACKAGING SHEET, PACKAGING MATERIAL, LABEL, AND CONTAINER | 1 |
Issei Kanno | JP | Saitama | 2012-02-16 / 20120039159 - SPECTRUM AGGREGATION FOR COMMUNICATION USING ROTATION ORTHOGONAL CODING | 1 |
Anne Elizabeth Kanno | US | Garland | 2008-09-11 / 20080217145 - Energy Switch Concept | 1 |
Wellington Massayuki Kanno | BR | Sao Carlos | 2008-10-16 / 20080250982 - High Resistance Gypsum Parts and Preparation Method to Obtain These Parts | 1 |
Atsuya Kanno | JP | Tokyo | 2009-03-19 / 20090073716 - Line Illuminating Device | 1 |
Masahiro Kanno | JP | Tokyo | 2008-08-21 / 20080199368 - Night soil drying treatment apparatus | 1 |
Seiichiro Kanno | JP | Kodaira | / - | 1 |
Takayuki Kanno | JP | Tokyo | 2014-04-10 / 20140098676 - METHOD FOR MONITORING CONNECTIVITY BY MEANS OF SUBSCRIBER TERMINAL DEVICE, AND CONTROL METHOD THEREFOR | 1 |
Shinsuke Kanno | JP | Tokyo | 2015-10-08 / 20150286010 - OPTICAL WAVEGUIDE DEVICE MODULE | 2 |
Koji Kanno | JP | Kawasaki-Shi | 2009-06-18 / 20090155713 - RESIST COMPOSITION AND PROCESS FOR PRODUCING SAME | 1 |
Koichi Kanno | JP | Okayama | 2009-08-27 / 20090214412 - PROCESS FOR PRODUCING CARBON MATERIAL AND ALKALI ACTIVATION APPARATUS | 1 |
Kiyotaka Kanno | JP | Hitachinaka | 2013-12-12 / 20130329384 - Transmission Control Device and Electronic Circuit Device | 2 |
Kenichi Kanno | JP | Itabashi-Ku | 2009-10-01 / 20090244525 - Lens holder and lens shape measuring apparatus | 1 |
Hideki Kanno | JP | Tokyo | 2013-08-15 / 20130209777 - CERAMICS SLIDING MEMBER FOR USE IN PURE WATER | 3 |
Keiichi Kanno | JP | Nagoya-City | 2010-07-29 / 20100190090 - STACK STRUCTURE OF SOLID OXIDE FUEL CELL APPARATUS | 1 |
Kazuya Kanno | JP | Seto-Shi | 2009-09-17 / 20090230817 - FERROELECTRIC SINGLE CRYSTAL, SURFACE ACOUSTIC WAVE FILTER COMPRISING THE SAME, AND PRODUCTION METHOD THEREOF | 1 |
Hidenori Kanno | JP | Tokyo | 2011-03-03 / 20110048878 - Rotary Damper | 3 |
Mamoru Kanno | JP | Yamagata Pref. | 2014-10-30 / 20140322473 - DECORATIVE FILM HAVING LOW GROSS HEAT OF COMBUSTION | 1 |
Hideaki Kanno | JP | Hyogo | 2009-05-14 / 20090123769 - VISIBLE LIGHT RESPONSE-TYPE TITANIUM OXIDE PHOTOCATALYST, METHOD FOR MANUFACTURING THE VISIBLE LIGHT RESPONSE-TYPE TITANIUM OXIDE PHOTOCATALYST, AND USE OF THE VISIBLE LIGHT RESPONSE-TYPE TITANIUM OXIDE PHOTOCATALYST | 1 |
Kazuhiko Kanno | JP | Saitama-Shi | 2009-01-29 / 20090026711 - COMPRESSION PISTON RING | 1 |
Shin-Ichi Kanno | JP | Kanagawa-Ken | 2009-09-03 / 20090222918 - Systems and methods for protecting a server computer | 1 |
Hiroshi Kanno | JP | Osaka | 2016-05-05 / 20160121732 - POWER TRANSMISSION DEVICE, VEHICLE EQUIPPED WITH POWER TRANSMISSION DEVICE, AND WIRELESS POWER TRANSMISSION SYSTEM | 53 |
Itaru Kanno | JP | Tokyo | 2016-03-03 / 20160064257 - SUBSTRATE LIQUID PROCESSING METHOD AND SUBSTRATE LIQUID PROCESSING APPARATUS | 7 |
Ryuta Kanno | JP | Kanagawa | 2012-02-09 / 20120036047 - SERVICE PROVIDING SYSTEM, INFORMATION PROCESSING DEVICE, METHOD, AND PROGRAM | 1 |
Yutaka Kanno | JP | Kanagawa | 2012-02-09 / 20120031690 - VEHICLE COMPONENT MOUNTING STRUCTURE | 2 |
Hideo Kanno | JP | Osaka | 2009-04-23 / 20090105325 - Substituted Pyrazolecarboxylic Acid Anilide Derivative or Salt Thereof, Intermediate Thereof, Agent for Agricultural and Horticultural Use, and Use Thereof | 1 |
Takaki Kanno | JP | Tokyo | 2015-01-15 / 20150018043 - RF FRONT-END MODULE AND MOBILE WIRELESS TERMINAL | 2 |
Tatsuya Kanno | JP | Tokyo | 2015-06-04 / 20150153706 - MANUFACTURING METHOD OF CARTRIDGE INCLUDING INFORMATION STORING ELEMENT, INFORMATION STORING ELEMENT EXCHANGING METHOD OF THE CARTRIDGE, THE CARTRIDGE AND IMAGE FORMING APPARATUS | 1 |
Takuya Kanno | JP | Meguro-Ku | 2013-07-25 / 20130190363 - THERAPEUTIC AGENT FOR NEUROLOGICAL DISEASES | 1 |
Toru Kanno | JP | Osaka-Shi | 2016-05-19 / 20160139454 - LIGHT DIFFUSION MEMBER, METHOD FOR MANUFACTURING SAME, AND DISPLAY DEVICE | 16 |
Junichi Kanno | JP | Tokyo | 2010-09-23 / 20100239896 - Protection Device For Secondary Batteries, And Battery Pack And Electronic Equipment Employing Same | 1 |
Masayoshi Kanno | JP | Iwaki | 2012-10-25 / 20120270092 - LITHIUM ION SECONDARY BATTERY AND BATTERY PACK SYSTEM | 1 |
Takashi Kanno | JP | Ichihara-Shi | 2015-07-02 / 20150183922 - POLYISOCYANATE COMPOSITION, SOLAR CELL MEMBER COVERING MATERIAL, SOLAR CELL MEMBER WITH COVER LAYER, MICROCAPSULE, AND BINDER FOR INK | 3 |
Chihiro Kanno | JP | Kanagawa | 2013-01-31 / 20130027414 - IMAGE GENERATING DEVICE, IMAGE GENERATING METHOD, AND NON-TRANSITORY INFORMATION STORAGE MEDIUM | 3 |
Takafumi Kanno | JP | Tokyo | 2012-11-22 / 20120296936 - TERMINAL DEVICE FOR EXECUTING A QUERY TO A DATABASE | 1 |
Yoshihisa Kanno | JP | Tokyo | 2010-02-04 / 20100025180 - TWIN CLUTCH DEVICE | 2 |
Yoshihisa Kanno | JP | Saitama | 2010-04-01 / 20100078251 - ELECTRIC MOTORCYCLE | 6 |
Tomonobu Kanno | JP | Minamisouma-Shi | 2012-11-22 / 20120295735 - GOLF CLUB SHAFT AND GOLF CLUB USING THE SAME | 1 |
Takayuki Kanno | JP | Yokohama | 2014-02-06 / 20140036923 - Packet Transfer Apparatus | 16 |
Shinya Kanno | JP | Nishishirakawa | 2014-10-30 / 20140320867 - METHOD FOR PROCESSING WAFER | 1 |
Hiroshi Kanno | JP | Yokkaichi-Shi | 2015-08-13 / 20150228337 - SEMICONDUCTOR MEMORY DEVICE AND CONTROL METHOD THEREOF | 23 |
Ikuo Kanno | JP | Kyoto | 2011-08-11 / 20110194668 - X-RAY CT APPARATUS AND METHOD THEREOF | 1 |
Minoru Kanno | JP | Kanagawa | 2013-01-10 / 20130008539 - COUPLER | 1 |
Masaki Kanno | JP | Tochigi | 2014-10-30 / 20140320787 - RED PHOSPHOR, METHOD FOR PRODUCING RED PHOSPHOR, WHITE LIGHT SOURCE, ILLUMINATING DEVICE, AND LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Asuka Kanno | JP | Kakegawa-Shi | 2011-04-28 / 20110096361 - PRINT CONTROL METHOD AND PRINT CONTROL APPARATUS FOR CONTROLLING PRINTING OF STRUCTURED DOCUMENT | 1 |
Kazuhiko Kanno | JP | Odawara-Shi | 2015-08-06 / 20150220051 - CARTRIDGE AND IMAGE FORMING APPARATUS | 18 |
Toshitake Kanno | JP | Shizuoka | 2009-07-02 / 20090165982 - Method for Predicting and Preventing Shrinkage Cavity of Iron Casting | 2 |
Isaku Kanno | JP | Kyoto-Shi | 2013-06-27 / 20130163061 - Vibrating Mirror Element | 5 |
Norihiro Kanno | JP | Chiyoda-Ku | 2014-01-23 / 20140023550 - FERRITIC STAINLESS STEEL SHEET EXCELLENT IN HEAT RESISTANCE AND WORKABILITY AND METHOD OF PRODUCTION OF SAME | 3 |
Toshitake Kanno | JP | Sunto-Gun | 2013-08-01 / 20130195712 - METHOD FOR REMOVING IMPURITIES IN MOLTEN CAST IRON, AND CAST IRON RAW MATERIAL | 1 |
Mamoru Kanno | JP | Yamagata | 2011-06-16 / 20110143330 - COMBINATION DRY ERASE BOARD/PROJECTION SCREEN | 1 |
Ken-Ichiro Kanno | JP | Sapporo-Shi | 2013-03-28 / 20130079530 - 6,13-DIHALOGEN-5,14-DIHYDROPENTACENE DERIVATIVE AND METHOD FOR PRODUCING 6,13-SUBSTITUTED-5,14-DIHYDROPENTACENE DERIVATIVE USING SAME | 1 |
Iwao Kanno | JP | Chiba-Shi | 2013-09-19 / 20130241555 - PET-MRI APPARATUS | 3 |
Masayoshi Kanno | JP | Kanagawa | 2014-09-04 / 20140247534 - ELECTROSTATIC CAPACITANCE ELEMENT AND RESONANCE CIRCUIT | 22 |
Asuka Kanno | JP | Kawasaki-Shi | 2010-04-08 / 20100088606 - IMAGE PROCESSING SYSTEM, SERVER APPARATUS, CLIENT APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 1 |
Iwao Kanno | JP | Chiba | 2013-12-05 / 20130324836 - PET DEVICE, PET-MRI APPARATUS, AND IMAGE PROCESSING METHOD | 3 |
Hirotoshi Kanno | JP | Kawasaki | 2014-05-22 / 20140141736 - WIRELESS COMMUNICATION DEVICE AND POWER CONTROL METHOD | 2 |
Yasuharu Kanno | JP | Namazu-Shi | 2011-07-14 / 20110171092 - Cerium/zirconium-base composite oxide, method for producing the same, oxygen storage/release component using said cerium-zirconium-base composite oxide, exhaust gas purification catalyst, and exhaust gas purification method using the same | 1 |
Yosuke Kanno | JP | Tokyo | 2015-06-11 / 20150160377 - FINE STRUCTURE, OPTICAL MEMBER, ANTIREFLECTION FILM, WATER-REPELLENT FILM, SUBSTRATE FOR MASS SPECTROMETRY, PHASE PLATE, PROCESS FOR PRODUCING FINE STRUCTURE, AND PROCESS FOR PRODUCING ANTIREFLECTION FILM | 1 |
Masahiro Kanno | JP | Yokoham-Shi | 2012-08-23 / 20120214094 - METHOD OF FORMING PATTERN | 1 |
Isaku Kanno | JP | Kobe-Shi | 2012-08-23 / 20120214104 - METHOD FOR PRODUCING MICROSTRUCTURE | 1 |
Hideyuki Kanno | JP | Minowa-Machi | 2015-10-15 / 20150295580 - OSCILLATOR, ELECTRONIC APPARATUS, AND MOVING OBJECT | 2 |
Yusuke Kanno | JP | Otawara-Shi | 2014-05-29 / 20140146944 - X-RAY DIAGNOSIS APPARATUS AND A DOSE MANAGEMENT METHOD | 1 |
Soichi Kanno | JP | Oshu-Shi | 2012-08-23 / 20120213249 - HEAT TREATMENT APPARATUS AND TEMPERATURE MEASURING METHOD THEREOF | 1 |
Yuta Kanno | JP | Toyama-Shi | 2015-11-12 / 20150322212 - COMPOSITION FOR FORMING SILICON-CONTAINING RESIST UNDERLAYER FILM HAVING CYCLIC DIESTER GROUP | 19 |
Shinichi Kanno | JP | Tokyo | 2016-05-05 / 20160124843 - MEMORY SYSTEM AND NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM | 84 |
Ichiro Kanno | JP | Yamanashi | 2015-09-03 / 20150246778 - DEVICE AND METHOD OF ARRAYING ARTICLES BY USING ROBOT, AND ARTICLE TRANSFER SYSTEM | 2 |
Hiroki Kanno | JP | Tokyo | 2014-02-27 / 20140059500 - DATA PROCESSING DEVICE AND METHOD OF PERFORMING DATA PROCESSING ACCORDING TO GESTURE OPERATION | 3 |
Shinichirou Kanno | JP | Tokyo | 2015-12-31 / 20150381734 - STORAGE SYSTEM AND STORAGE SYSTEM CONTROL METHOD | 1 |
Issei Kanno | JP | Fujimino-Shi | 2011-03-03 / 20110055363 - WIRELESS COMMUNICATION TERMINAL AND COMMUNICATION SYSTEM SELECTION METHOD | 2 |
Shinichiro Kanno | JP | Odawara | 2015-03-12 / 20150074349 - MANAGEMENT SYSTEM CALCULATING STORAGE CAPACITY TO BE INSTALLED/REMOVED | 9 |
Shuhei Kanno | JP | Osaka-Shi | 2015-01-15 / 20150017334 - MULTILAYER BODY AND CONTAINER | 2 |
Yoshinori Kanno | JP | Tokyo | 2010-01-28 / 20100021158 - OPTICAL COMMUNICATIONS SYSTEM WITHOUT USING A SPECIAL-PURPOSE EVALUATION SIGNAL | 1 |
Itaru Kanno | JP | Minato-Ku | 2015-05-14 / 20150128995 - SUBSTRATE CLEANING METHOD, SUBSTRATE CLEANING SYSTEM, AND MEMORY MEDIUM | 6 |
Masami Kanno | JP | Toyota-Shi | 2011-10-06 / 20110245033 - VEHICULAR HYBRID DRIVE SYSTEM | 1 |
Shin Kanno | JP | Tokyo | 2010-02-25 / 20100046256 - Rush Current Reduction Circuit and Electric Appliance | 1 |
Naoki Kanno | JP | Fujisawa-Shi | 2016-02-04 / 20160033912 - IMAGE FORMING APPARATUS | 5 |
Manabu Kanno | JP | Tokyo | 2016-03-03 / 20160066344 - TERMINAL DEVICE AND METHOD FOR CONTROLLING WIRELESS COMMUNICATION STATE | 2 |
Mitsuru Kanno | JP | Tokyo | 2010-08-12 / 20100202168 - Power supply circuit | 1 |
Masato Kanno | JP | Kanagawa | 2016-04-21 / 20160111357 - SEMICONDUCTOR DEVICE | 2 |
Basil Kanno | US | West Chester | 2010-04-15 / 20100094759 - Mobile Commerce Enablement Systems and Methods | 1 |
Asuka Kanno | JP | Fujisawa-Shi | 2016-01-07 / 20160006889 - INFORMATION PROCESSING SYSTEM AND INFORMATION PROCESSING METHOD | 2 |
Tohru Kanno | JP | Tokyo | 2008-09-04 / 20080212146 - Image signal processing circuit, image reading device, and image forming apparatus | 1 |
Hiroshi Kanno | JP | Atsugi-Shi | 2014-10-23 / 20140311867 - CONVEYOR AND CONVEYING METHOD | 1 |
Hironori Kanno | JP | Kawasaki | 2008-08-28 / 20080209281 - Storage device and control device | 1 |
Hiroshi Kanno | JP | Hyogo | 2011-10-13 / 20110247673 - SOLAR CELL MODULE | 1 |
Tarou Kanno | JP | Sendai | 2015-02-12 / 20150045720 - METHOD FOR TREATING LOCAL INFECTION | 1 |
Takamitsu Kanno | JP | Tokyo | 2015-12-24 / 20150369474 - Pressurized Incineration Facility and Pressurized Incineration Method | 2 |
Hiroyasu Kanno | JP | Tokyo | 2013-10-24 / 20130280499 - FOUNTAIN SOLUTION AND FOUNTAIN SOLUTION CONCENTRATES | 6 |
Satoru Kanno | JP | Shioya-Gun | 2014-03-13 / 20140074316 - ELECTRONIC CONTROL UNIT OF VEHICLE | 1 |
Hironori Kanno | JP | Fussa | 2014-03-13 / 20140071559 - READ/WRITE APPARATUS AND READ/WRITE METHOD | 1 |
Yasunori Kanno | JP | Obu-City | 2012-12-06 / 20120310520 - ROUTE CALCULATION APPARATUS | 2 |
Satoshi Kanno | JP | Hitachi | 2011-10-13 / 20110247729 - METHOD FOR IMPROVING RESIDUAL STRESS IN PIPE AND METHOD FOR CONSTRUCTION MANAGEMENT | 1 |
Yusuke Kanno | JP | Tokyo | 2015-08-20 / 20150236696 - PROGRAMMABLE CIRCUIT DEVICE AND CONFIGURATION INFORMATION RESTORATION METHOD | 2 |
Ryoichi Kanno | JP | Chiba | 2012-03-29 / 20120077051 - STEEL SHEET HAVING HIGH YOUNG'S MODULUS, HOT-DIP GALVANIZED STEEL SHEET USING THE SAME, ALLOYED HOT-DIP GALVANIZED STEEL, SHEET, STEEL PIPE HAVING HIGH YOUNG'S MODULUS, AND METHODS FOR MANUFACTURING THE SAME | 1 |
Nobuyuki Kanno | JP | Shizuoka | 2014-03-20 / 20140077581 - WHEEL FOR WHEELCHAIR AND WHEELCHAIR | 1 |
Naomi Kanno | JP | Kanagawa | 2015-10-22 / 20150297470 - COSMETIC METHOD | 2 |
Takamichi Kanno | JP | Gamo-Gun | 2014-04-24 / 20140112741 - Article Storage Facility and Article Transport Facility | 2 |
Ryo Kanno | JP | Osaka | 2016-02-04 / 20160032154 - PRESSURE-SENSITIVE ADHESIVE SHEET AND PRESSURE-SENSITIVE ADHESIVE COMPOSITION | 4 |
Ryo Kanno | JP | Tokyo | 2016-01-07 / 20160001109 - VOICE TRANSMITTER | 2 |
Minoru Kanno | JP | Sendai | 2015-11-26 / 20150335611 - WOUND HEALING ACCELERATOR | 3 |
Koji Kanno | JP | Tokyo | 2013-08-08 / 20130205271 - MACRO TIMING ANALYSIS DEVICE, MACRO BOUNDARY PATH TIMING ANALYSIS METHOD AND MACRO BOUNDARY PATH TIMING ANALYSIS PROGRAM | 4 |
Tohru Kanno | JP | Kawasaki-Shi | 2014-01-02 / 20140002170 - SAMPLING CLOCK GENERATOR CIRCUIT, AND IMAGE READER AND ELECTRONIC DEVICE INCORPORATING THE SAME | 3 |
Masaki Kanno | JP | Kawasaki | 2011-10-13 / 20110252278 - Virtual computer system, test method, and recording medium | 1 |
Taro Kanno | JP | Sendai | 2015-11-26 / 20150335611 - WOUND HEALING ACCELERATOR | 2 |
Hideki Kanno | JP | Ohta-Ku | 2009-10-01 / 20090242471 - POWER RECOVERY CHAMBER | 2 |
Hideyuki Kanno | JP | Saitama | 2012-12-27 / 20120329414 - FM RECEIVING DEVICE AND FILTERING METHOD | 1 |
Mitsuru Kanno | JP | Kanagawa | 2009-12-10 / 20090306151 - Pharmaceutical preparation containing an angiotensin II receptor antagonist and a calcium channel blocker | 2 |
Taro Kanno | JP | Tokyo | 2014-11-13 / 20140335954 - SERVER DEVICE, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM STORING GAME PROGRAM | 4 |
Kazunobu Kanno | JP | Toyohashi-Shi | 2009-03-12 / 20090066171 - METHOD FOR MANUFACTURING ARMATURE CORE AND ARMATURE | 1 |
Hironori Kanno | JP | Fussa-Shi | 2015-05-21 / 20150138667 - MAGNETIC DISK DEVICE | 3 |
Takakaki Kanno | JP | Tokyo | 2014-03-13 / 20140073268 - RF FRONT END MODULE AND MOBILE WIRELESS DEVICE | 1 |
Noriyuki Kanno | JP | Tokyo | 2011-02-24 / 20110043587 - CARD PRINTER AND CARD PRINTING/STACKING DEVICE | 1 |
Ryoji Kanno | JP | Yokohama | 2010-08-26 / 20100216024 - METHOD FOR PRODUCING POSITIVE ELECTRODE ACTIVE MATERIAL AND POSITIVE ELECTRODE ACTIVE MATERIAL | 2 |
Susumu Kanno | JP | Tokyo | 2013-11-28 / 20130314223 - VEHICLE INCLUDING SEAT BELT | 1 |
Atsushi Kanno | JP | Tokyo | 2014-03-27 / 20140086588 - HIGH-SPEED COMMUNICATION CONTROL SYSTEM | 2 |
Akihiro Kanno | JP | Hitachi-Shi | 2013-07-11 / 20130174627 - Method of Executing Water Jet Peening | 2 |
Yukiko Kanno | JP | Kanagawa | 2014-08-07 / 20140218531 - DRIVING ASSISTANCE APPARATUS | 3 |
Tohru Kanno | JP | Kanagawa | 2013-08-08 / 20130201373 - IMAGE PROCESSING APPARATUS AND METHOD | 8 |
Masahiko Kanno | JP | Tochigi | 2015-05-07 / 20150122874 - SOLDER PIECE, CHIP SOLDER AND METHOD OF FABRICATING SOLDER PIECE | 2 |
Yoshihiro Kanno | JP | Kanagawa | 2012-08-02 / 20120196031 - DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Masayoshi Kanno | JP | Tochigi | 2016-05-19 / 20160141883 - CONTROL CIRCUIT, RESONANCE CIRCUIT, ELECTRONIC DEVICE, CONTROL METHOD, CONTROL PROGRAM, AND SEMICONDUCTOR ELEMENT | 6 |
Makoto Kanno | JP | Kanagawa | 2016-03-31 / 20160091825 - POWDER CONTAINER DEVICE | 5 |
Junichi Kanno | JP | Kanagawa | 2013-05-09 / 20130113493 - PROTECTIVE SEMICONDUCTOR APPARATUS FOR AN ASSEMBLED BATTERY, A BATTERY PACK INCLUDING THE PROTECTIVE SEMICONDUCTOR APPARATUS, AND AN ELECTRONIC DEVICE | 2 |
Shinichi Kanno | JP | Kanagawa | 2009-05-21 / 20090132891 - STORAGE MEDIUM REPRODUCING APPARATUS, STORAGE MEDIUM REPRODUCING METHOD, AND COMPUTER PROGRAM PRODUCT FOR READING INFORMATION FROM STORAGE MEDIUM | 1 |
Itaru Kanno | JP | Kanagawa | 2013-07-25 / 20130189835 - METHOD FOR CLEANING A SEMICONDUCTOR DEVICE | 4 |
Hiroshi Kanno | JP | Matsumoto-City | 2016-02-25 / 20160056148 - SEMICONDUCTOR DEVICE | 3 |
Kyota Kanno | JP | Tokyo | 2013-05-09 / 20130117367 - CONTENT RECOMMENDATION SYSTEM, RECOMMENDATION METHOD AND INFORMATION RECORDING MEDIUM RECORDING RECOMMENDATION PROGRAM | 2 |
Masayoshi Kanno | JP | Tokyo | 2016-05-12 / 20160133387 - VARIABLE CAPACITANCE CIRCUIT, VARIABLE CAPACITANCE DEVICE, AND RESONANCE CIRCUIT AND COMMUNICATION APPARATUS USING THE SAME | 7 |
Osamu Kanno | JP | Kanagawa | 2013-01-31 / 20130029964 - [5, 6] HETEROCYCLIC COMPOUND | 3 |
Shuhei Kanno | JP | Osaka | 2012-05-17 / 20120118886 - MULTILAYER BODY AND CONTAINER | 1 |
Hiroyuki Kanno | JP | Tokyo | 2012-05-17 / 20120118958 - IC TAG COMMUNICATION APPARATUS | 1 |
Hideyuki Kanno | JP | Tokyo | 2015-09-03 / 20150248260 - IMAGE FORMATION SYSTEM | 12 |
Shuichi Kanno | JP | Tokyo | 2015-10-22 / 20150298044 - Carbon Dioxide Capture and Separation System | 2 |
Shinsuke Kanno | JP | Chiyoda-Ku | 2014-01-23 / 20140023310 - OPTICAL MODULATOR | 3 |
Tsunekazu Kanno | JP | Yokohama-Shi | 2014-09-18 / 20140265504 - VEHICLE SEAT | 1 |
Shuichi Kanno | JP | Hitachinaka | 2013-03-14 / 20130064746 - CO2 Sorbent | 5 |
Yoshihito Kanno | JP | Shizuoka-Ken | 2012-04-19 / 20120095635 - CONTROL APPARATUS FOR HYBRID VEHICLE | 7 |
Seiichiro Kanno | JP | Iwaki | 2013-12-12 / 20130327939 - SEMICONDUCTOR INSPECTING APPARATUS | 8 |
Yusuko Kanno | JP | Kodaira | 2011-03-10 / 20110057708 - Semicondutor Integrated Circuit Device | 1 |
Hiroki Kanno | JP | Kanagawa-Ken | 2009-01-29 / 20090027713 - MULTI-FUNCTION IMAGE PROCESSING APPARATUS AND METHOD | 1 |
Hiroshi Kanno | JP | Yokosuka-Shi | 2013-08-15 / 20130209428 - METHOD OF INDUCING DIFFERENTIATION OF BONE MARROW STROMAL CELLS TO NEURAL PRECURSOR CELLS, NEURAL PRECURSOR CELLS, AND USES THEREOF | 1 |
Ryo Kanno | JP | Miyagi | 2013-07-11 / 20130175755 - SHEET CONVEYING SYSTEM, COMPUTER PROGRAM PRODUCT, AND SHEET CONVEYING METHOD | 1 |
Katsunori Kanno | JP | Chiba | 2012-07-26 / 20120188486 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Yusuke Kanno | JP | Hachioji | 2012-02-02 / 20120025892 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 5 |
Akihiro Kanno | JP | Saitama | 2011-01-13 / 20110005817 - CAPACITOR-FORMING MATERIAL AND PRINTED WIRING BOARD PROVIDED WITH CAPACITOR | 4 |
Yoshiaki Kanno | JP | Sakura-Shi | 2011-03-10 / 20110058819 - OPTICAL TRANSMISSION DEVICE | 1 |
Kazuaki Kanno | JP | Ibaraki | 2012-01-12 / 20120010434 - PROCESS FOR PRODUCING BISPHENOL COMPOUND | 1 |
Akiko Kanno | JP | Kashiwa-Shi | 2014-06-19 / 20140168731 - DOCUMENT READING APPARATUS AND DOCUMENT READING METHOD | 10 |
Tohru Kanno | JP | Kanagawa | 2013-08-08 / 20130201373 - IMAGE PROCESSING APPARATUS AND METHOD | 8 |
Yasuharu Kanno | JP | Numazu-Shi | 2013-09-12 / 20130236381 - DENITRATION CATALYST COMPOSITION AND METHOD OF DENITRATION USING SAME | 7 |
Ippei Kanno | JP | Kyoto | 2015-12-10 / 20150358183 - RECEIVER APPARATUS AND FREQUENCY SELECTIVITY INTERFERENCE CORRECTION METHOD | 11 |
Yonezo Kanno | JP | Chiba | 2010-06-17 / 20100151426 - ELECTRIC TACTILE DISPLAY | 2 |
Genta Kanno | JP | Kawasaki-Shi | 2015-04-23 / 20150108636 - SUBMOUNT, ENCAPSULATED SEMICONDUCTOR ELEMENT, AND METHODS OF MANUFACTURING THE SAME | 1 |
Yusuke Kanno | JP | Kodaira | 2015-10-15 / 20150295572 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 19 |
Michihiro Kanno | JP | Kanagawa | 2015-06-25 / 20150179811 - THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME, AND DISPLAY UNIT AND ELECTRONIC APPARATUS | 3 |
Tsutomu Kanno | JP | Kyoto | 2015-12-31 / 20150380629 - THERMOELECTRIC GENERATION UNIT AND THERMOELECTRIC GENERATION SYSTEM | 30 |
Hideo Kanno | JP | Yokohama-Shi | 2013-04-18 / 20130093808 - ELEMENT SUBSTRATE, PRINTHEAD AND PRINTING APPARATUS | 8 |
Manabu Kanno | JP | Kanagawa | 2011-04-28 / 20110098903 - BRAKE CONTROL DEVICE | 2 |
Shinya Kanno | JP | Tokyo | 2009-03-12 / 20090068396 - Article having color pattern formed therein | 1 |
Yuki Kanno | JP | Tokyo | 2013-01-03 / 20130001932 - VEHICLE INTERIOR PANEL, AND AIRBAG DEVICE | 7 |
Hiroshi Kanno | JP | Yokohama | 2013-01-31 / 20130029730 - MOBILE ELECTRONIC APPARATUS, DANGER NOTIFYING METHOD, AND MEDIUM FOR STORING PROGRAM | 1 |
Kazuhiro Kanno | JP | Kanagawa | 2015-06-11 / 20150160361 - TOOL POSITION DETECTION DEVICE, BENDING DEVICE, TOOL, POSITION DETECTION METHOD OF ATTACHMENT MEMBER WITH RESPECT TO ATTACHMENT TARGET DEVICE | 2 |
Masahiro Kanno | JP | Yokohama-Shi | 2015-09-17 / 20150261092 - METHOD OF FORMING PATTERN AND LAMINATE | 6 |
Ryoji Kanno | JP | Kawasaki-Shi | 2015-12-10 / 20150357673 - SULFIDE SOLID ELECTROLYTE MATERIAL, BATTERY, AND PRODUCING METHOD FOR SULFIDE SOLID ELECTROLYTE MATERIAL | 4 |
Yasuharu Kanno | JP | Shizuoka | 2014-11-06 / 20140329669 - ALUMINA MATERIAL CONTAINING BARIUM SULFATE AND EXHAUST GAS PURIFYING CATALYST USING SAME | 1 |
Minoru Kanno | JP | Yokohama-Shi | 2010-10-21 / 20100266934 - COUPLER FOR FUEL CELL AND FUEL CELL | 1 |
Ryoji Kanno | JP | Yokohama-Shi | 2013-02-14 / 20130040208 - SULFIDE SOLID ELECTROLYTE MATERIAL, BATTERY, AND METHOD FOR PRODUCING SULFIDE SOLID ELECTROLYTE MATERIAL | 2 |
Shoichi Kanno | JP | Yokohama-Shi | 2014-06-19 / 20140173510 - INFORMATION PROCESSING APPARATUS, RECORDING MEDIUM, AND CONTROL METHOD | 3 |
Hiroshi Kanno | JP | Mie | 2012-09-06 / 20120224411 - NON-VOLATILE SEMICONDUCTOR STORAGE DEVICE AND FORMING METHOD | 2 |
Ryoji Kanno | JP | Tokyo | 2015-09-03 / 20150249263 - SOLID ELECTROLYTE AND SECONDARY BATTERY | 2 |
Hiroshi Kanno | JP | Mie-Ken | 2015-01-15 / 20150014622 - NON-VOLATILE MEMORY DEVICE | 7 |
Yoshihisa Kanno | JP | Wako | 2016-03-31 / 20160091089 - SHIFT DRUM ANGLE DETECTING DEVICE FOR TRANSMISSION | 1 |
Yasuharu Kanno | JP | Oyama-Shi | 2008-11-06 / 20080274383 - Process for Fabricating Pressure Vessel Liner | 1 |
Kenichiro Kanno | JP | Saitama | 2013-01-17 / 20130014776 - ALL-PURPOSE WIG, METHOD FOR FITTING WIG, AND CUSTOMIZED WIGAANM Hochi; HiroshiAACI TokyoAACO JPAAGP Hochi; Hiroshi Tokyo JPAANM Kanno; KenichiroAACI SaitamaAACO JPAAGP Kanno; Kenichiro Saitama JP | 1 |
Atsushi Kanno | JP | Osaka | 2011-05-12 / 20110113318 - INFORMATION PROCESSING DEVICE, METHOD, AND COMPUTER-READABLE RECORDING MEDIUM RECORDING PROGRAM | 1 |
Hiroshi Kanno | JP | Tokyo | 2010-12-02 / 20100304223 - NON-AQUEOUS ELECTROLYTE FOR BATTERY AND NON-AQUEOUS ELECTROLYTE BATTERY COMPRISING THE SAME AS WELL AS ELECTROLYTE FOR ELECTRIC DOUBLE LAYER CAPACITOR AND ELECTRIC DOUBLE LAYER CAPACITOR COMPRISING THE SAME | 3 |
Hiroshi Kanno | JP | Kawasaki | 2012-04-12 / 20120088520 - MOBILE COMMUNICATION APPARATUS, POSITION INFORMATION ACQUIRING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 7 |
Kiyotaka Kanno | JP | Tokyo | 2013-06-13 / 20130150668 - ENDOSCOPE APPARATUS | 2 |
Hiroshi Kanno | JP | Osaka-Shi | 2009-11-05 / 20090274433 - PHOTONIC CRYSTAL DEVICE | 2 |
Yohei Kanno | JP | Isehara | 2013-01-10 / 20130009289 - SEMICONDUCTOR DEVICE | 6 |
Hisashi Kanno | JP | Tokyo | 2015-11-05 / 20150315170 - PRODUCTION METHOD OF CARBONYL COMPOUND | 12 |
Hiroshi Kanno | JP | Kawasaki-Shi | 2011-09-01 / 20110210304 - STORAGE DEVICE | 7 |
Hiroshi Kanno | JP | Yokohama-Shi | 2012-01-12 / 20120009160 - CELLS FOR THE TREATMENT OF NERVOUS SYSTEM DISORDERS | 4 |
Hiroshi Kanno | JP | Yokkaichi-Shi | 2015-08-13 / 20150228337 - SEMICONDUCTOR MEMORY DEVICE AND CONTROL METHOD THEREOF | 23 |
Yohei Kanno | JP | Miyagi | 2011-06-30 / 20110159186 - FILM FORMING APPARATUS AND FILM FORMING METHOD | 2 |
Toru Kanno | JP | Osaka-Shi | 2016-05-19 / 20160139454 - LIGHT DIFFUSION MEMBER, METHOD FOR MANUFACTURING SAME, AND DISPLAY DEVICE | 16 |
Kiyoshi Kanno | JP | Kanagawa | 2009-01-01 / 20090001994 - SEMICONDUCTOR DEVICE INCLUDING FUSE AND METHOD FOR TESTING THE SAME CAPABLE OF SUPPRESSING ERRONEOUS DETERMINATION | 1 |
Junichi Kanno | JP | Yokohama-Shi | 2013-09-19 / 20130242446 - PROTECTIVE SEMICONDUCTOR DEVICE FOR SECONDARY CELL | 1 |
Yohei Kanno | JP | Atsugi | 2011-10-13 / 20110248089 - PAPER MONEY, COIN, VALUABLE INSTRUMENT, CERTIFICATES, TAG, LABEL, CARD, PACKING CONTAINERS, DOCUMENTS, RESPECTIVELY INSTALLED WITH INTEGRATED CIRCUIT | 2 |
Yasushi Kanno | JP | Aichi-Ken | 2009-09-17 / 20090230108 - WELDING METHOD AND WELDED ARTICLE | 1 |
Yasushi Kanno | JP | Fukushima | / - | 1 |
Hiroyasu Kanno | JP | Tokio | 2012-10-04 / 20120251941 - TONER RESINS FOR ELECTRONIC COPYING PURPOSES | 1 |
Toru Kanno | JP | Niiza-Shi | 2012-12-20 / 20120319283 - SEMICONDUCTOR DEVICE HAVING EXTERNAL ELECTRODES EXPOSED FROM ENCAPSULATION MATERIAL | 1 |
Hiroshi Kanno | JP | Kodaira-Shi | 2011-03-03 / 20110052937 - COPPER-ZINC ALLOY ELECTROPLATING BATH AND PLATING METHOD USING THE SAME | 1 |
Akiko Kanno | JP | Kashiwa-Shi | 2014-06-19 / 20140168731 - DOCUMENT READING APPARATUS AND DOCUMENT READING METHOD | 10 |
Hideo Kanno | JP | Hitachinaka | 2012-08-16 / 20120207646 - HEAT INSULATOR | 1 |
Mitsuyoshi Kanno | JP | Kanagawa-Ken | 2012-06-07 / 20120142521 - PHOTOCATALYST-COATED BODY AND PHOTOCATALYTIC COATING LIQUID THEREFOR | 3 |
Ichiro Kanno | JP | Abiko-Shi | 2016-04-21 / 20160109820 - TONER | 8 |
Itaru Kanno | JP | Kumamoto | 2013-12-26 / 20130340796 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 1 |
Hideaki Kanno | JP | Amagasaki-Shi | 2013-11-07 / 20130292605 - NEGATIVE ELECTRODE MATERIAL POWDER FOR LITHIUM ION SECONDARY BATTERY, NEGATIVE ELECTRODE FOR LITHIUM ION SECONDARY BATTERY USING THE SAME, AND LITHIUM ION SECONDARY BATTERY USING THE SAME | 5 |
Hisashi Kanno | JP | Tokyo | 2015-11-05 / 20150315170 - PRODUCTION METHOD OF CARBONYL COMPOUND | 12 |
Mitsuru Kanno | JP | Hiratsuka-Shi | 2011-11-17 / 20110281823 - Pharmaceutical preparation containing an angiotensin II Receptor antagonist, a calcium channel blocker and polyvinyl alcohol | 1 |
Mitsuyoshi Kanno | JP | Chigasaki-Shi | 2011-09-29 / 20110236284 - PHOTOCATALYST-COATED BODY AND PHOTOCATALYTIC COATING LIQUID | 3 |
Ichiro Kanno | JP | Minamitsuru-Gun | 2013-08-08 / 20130201346 - IMAGE PROCESSING DEVICE WITH FUNCTION FOR AUTOMATICALLY ADJUSTING SEARCH WINDOW | 3 |
Isao Kanno | JP | Shizuoka | 2014-01-30 / 20140030939 - OUTBOARD MOTOR | 1 |
Hiroshi Kanno | JP | Fukushima-Shi | 2013-08-15 / 20130210602 - Glass Fiber | 1 |
Kazuhiko Kanno | JP | Odawara-Shi | 2015-08-06 / 20150220051 - CARTRIDGE AND IMAGE FORMING APPARATUS | 18 |
Shigenori Kanno | JP | Shiojiri-Shi | 2010-09-23 / 20100238504 - PRINTING SYSTEM | 1 |
Kenjiro Kanno | JP | Tokyo | 2015-03-19 / 20150077948 - PRINTED CIRCUIT BOARD CONNECTION STRUCTURE | 1 |
Ichiro Kanno | JP | Abiko-Shi | 2016-04-21 / 20160109820 - TONER | 8 |
Renato Kanno | BR | Barao Sao Geraldo-Campinas | 2012-11-08 / 20120279809 - ELEVATOR SYSTEM | 1 |
Tsutomu Kanno | JP | Kyoto | 2015-12-31 / 20150380629 - THERMOELECTRIC GENERATION UNIT AND THERMOELECTRIC GENERATION SYSTEM | 30 |
Minoru Kanno | JP | Tokyo | 2010-12-30 / 20100328087 - Communication apparatus, connection control method for communication apparatus and method of determining state of communication plug relative to communication connector in communication apparatus | 1 |
Tsutomu Kanno | JP | Hitachi | 2013-01-03 / 20130000767 - METHOD OF MANUFACTURING RUBBER HOSE, RUBBER HOSE AND RUBBER HOSE WITH END CLAMP | 1 |
Shinichi Kanno | JP | Ota-Ku | 2015-05-07 / 20150127886 - MEMORY SYSTEM AND METHOD | 2 |
Taro Kanno | JP | Sendai-Shi | 2014-11-13 / 20140334976 - STERILIZER, ORAL CAVITY STERILIZER, STERILIZATION METHOD, STERILIZATION APPARATUS, AND STERILIZER EVALUATION METHOD | 2 |
Hideo Kanno | JP | Yokohama-Shi | 2013-04-18 / 20130093808 - ELEMENT SUBSTRATE, PRINTHEAD AND PRINTING APPARATUS | 8 |
Hideyuki Kanno | JP | Minowa | 2013-05-02 / 20130107467 - CIRCUIT SUBSTRATE, ELECTRONIC DEVICE, ELECTRONIC APPARATUS, AND METHOD OF MANUFACTURING CIRCUIT SUBSTRATE | 1 |
Akihiro Kanno | JP | Hitachi | 2013-08-01 / 20130195236 - Preventive Maintenance Method and Apparatus for a Structural Components in a Reactor Pressure Vessel | 1 |
Norihiro Kanno | JP | Hikari-Shi | 2016-01-28 / 20160024627 - HOT ROLLED FERRITIC STAINLESS STEEL SHEET, METHOD FOR PRODUCING SAME, AND METHOD FOR PRODUCING FERRITIC STAINLESS STEEL SHEET | 3 |
Yoshihisa Kanno | JP | Wako-Shi | 2011-06-16 / 20110139531 - ELECTRIC MOTORCYCLE | 1 |
Hiroo Kanno | US | Riverside | 2009-01-29 / 20090025642 - Feeding Method And Apparatus For Breeding Natural Enemy Insects And Rearing Method For Breeding Natural Enemy Insects | 1 |
Shashidhar Kannoori | US | San Jose | 2013-04-18 / 20130097622 - FRAMEWORK FOR SYSTEM COMMUNICATION FOR HANDLING DATA | 2 |
Vinod Kannoth | US | Glen Allen | 2009-03-12 / 20090070403 - DISTRIBUTED ENVIRONMENT CONTROLLED ACCESS FACILITY | 1 |
Hiroyuki Kannou | JP | Kishiwada City | 2013-04-04 / 20130084671 - METHOD FOR FRACTURING SEMICONDUCTOR SUBSTRATE, METHOD FOR FRACTURING SOLAR CELL, AND THE SOLAR CELL | 3 |
Hiroyuki Kannou | JP | Amagasaki City | 2015-07-23 / 20150206995 - SOLAR CELL, SOLAR CELL MODULE AND SOLAR CELL SYSTEM | 2 |
Hiroyuki Kannou | JP | Izumisano-City | 2008-09-04 / 20080213979 - METHOD AND APPARATUS FOR BREAKING SEMICONDUCTOR SUBSTRATE, METHOD FOR BREAKING SOLAR CELL AND METHOD FOR FABRICATION OF SOLAR CELL MODULE | 1 |
Hiroyuki Kannou | JP | Osaka | 2016-05-05 / 20160126392 - SOLAR-CELL MODULE | 3 |
Hiroyuki Kannou | JP | Izumisano-Shi, Osaka | 2013-11-21 / 20130306128 - SOLAR CELL AND SOLAR CELL MODULE | 1 |
Hiroyuki Kannou | JP | Kishiwada-Shi | 2012-05-24 / 20120125396 - SOLAR CELL MODULE | 1 |
Hiroyuki Kannou | JP | Kishiwada | 2009-03-26 / 20090078301 - SOLAR CELL MODULE | 1 |
Aimo Kannt | DE | Dreieich | 2015-05-14 / 20150133432 - SUBSTITUTED 6-(4-HYDROXY-PHENYL)-1H-PYRAZOLO[3,4-B]PYRIDINE DERIVATIVES AS KINASE INHIBITORS | 2 |
Aimo Kannt | DE | Frankfurt | 2010-04-01 / 20100081671 - Cycloalkylamine substituted isoquinoline derivatives | 2 |
Aimo Kannt | DE | Frankfurt Am Main | 2013-05-16 / 20130123143 - METHOD FOR IDENTIFYING A COMPOUND HAVING AN ANTIARRHYTHMIC EFFECT AS WELL AS USES RELATING THERETO | 5 |
Arun Kannu | US | San Diego | 2011-12-15 / 20110305237 - SECONDARY SYNCHRONIZATION CODEBOOK FOR E-UTRAN | 2 |
Saravanan Kannusamy | IN | Hyderabad | 2015-06-11 / 20150157628 - Pharmaceutical compositions of Lurasidone and Process for preparation thereof | 2 |
Tomohisa Kano | JP | Tokyo | 2012-11-29 / 20120303343 - Program, Information Storage Medium, Information Processing System, And Information Processing Method. | 1 |
Takaaki Kano | JP | Arakawa-Ku | 2013-08-29 / 20130221270 - SOIL IMPROVEMENT AGENT COMPOSITION | 1 |
Satoshi Kano | JP | Kasukabe-Shi | 2013-08-22 / 20130216191 - OPTICAL FIBER CONNECTOR COMPONENT AND OPTICAL FIBER CONNECTOR PROVIDED WITH OPTICAL FIBER CONNECTOR COMPONENT | 1 |
Yoshiki Kano | US | Sunnyvale | 2016-05-19 / 20160139851 - STORAGE SYSTEM HAVING A THIN PROVISIONING FUNCTION | 10 |
Takamitsu Kano | JP | Aichi | 2008-09-11 / 20080221270 - Thermoplastic Elastomer Composition | 1 |
Takahiro Kano | JP | Matsumoto | 2014-11-13 / 20140332259 - WIRING SUBSTRATE AND METHOD OF MANUFACTURING WIRING SUBSTRATE | 1 |
Takahiko Kano | JP | Tokyo | 2015-01-22 / 20150022718 - THIN IMAGE PICKUP APPARATUS | 5 |
Yasuhiro Kano | JP | Sakyo-Ku | 2012-06-28 / 20120164252 - SUPPLEMENT COMPRISING BLACKCURRANTS OR BOYSENBERRIES | 1 |
Mayumi Kano | JP | Gosen-Shi | 2015-03-26 / 20150086975 - IMMUNOLOGICAL ANALYSIS METHOD AND REAGENT | 3 |
Kazuhiko Kano | JP | Tokyo | 2013-08-01 / 20130193087 - WATER TREATMENT DEVICE AND WATER TREATMENT METHOD | 1 |
Yoko Kano | JP | Tokyo | 2013-08-01 / 20130194700 - LIBRARY DEVICE, ACCESSOR, AND METHOD OF POSITIONING FOR LIBRARY DEVICE | 1 |
Shinya Kano | JP | Tokyo | 2014-10-02 / 20140293683 - MAGNETO-RESISTIVE EFFECT ELEMENT | 1 |
Gentaro Kano | JP | Yokohama-Shi, Kangawa | 2016-01-28 / 20160028073 - POSITIVE ELECTRODE FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING THE SAME | 1 |
Kenichiro Kano | JP | Shiga | 2009-10-01 / 20090247030 - Fabric For Airbags | 1 |
Atsuhiro Kano | JP | Fukuroi-Shi | 2016-01-28 / 20160025155 - FRICTION PLATE PROVIDED WITH CORE PLATE AND MANUFACTURING METHOD THEREFOR | 1 |
Toshinobu Kano | JP | Tokushima | 2009-03-19 / 20090077462 - DOCUMENT PROCESSING DEVICE AND DOCUMENT PROCESSING METHOD | 6 |
Jun Kano | JP | Kanagawa | 2015-03-12 / 20150072719 - MOBILE TERMINAL, WIRELESS COMMUNICATION SYSTEM AND WIRELESS COMMUNICATION METHOD | 2 |
Toshinobu Kano | JP | Tokushima-Shi | 2010-05-06 / 20100115394 - DOCUMENT PROCESSING DEVICE AND DOCUMENT PROCESSING METHOD | 1 |
Takehiro Kano | CN | Guangzhou | 2014-11-20 / 20140340771 - Lens Barrel | 1 |
Hiroyuki Kano | JP | Aichi | 2011-05-19 / 20110114499 - METHOD FOR PRODUCING GRAPHENE | 9 |
Yusuke Kano | JP | Kanagawa | 2015-04-30 / 20150121191 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 4 |
Masataka Kano | KR | Hwaseong-Si | 2016-05-12 / 20160133754 - THIN FILM TRANSISTOR SUBSTRATE, METHOD OF MANUFACTURING THE SAME, AND LIQUID CRYSTAL DISPLAY PANEL HAVING THE SAME | 3 |
Shinya Kano | JP | Kawasaki | 2012-07-26 / 20120191875 - ADDRESS TRANSLATION METHOD, ADDRESS TRANSLATION PROXY RESPONSE METHOD, ADDRESS TRANSLATION DEVICE, AND ADDRESS TRANSLATION PROXY RESPONSE DEVICE | 13 |
Aki Kano | JP | Hyogo | 2009-07-09 / 20090173356 - DYED REGENERATED COLLAGEN FIBER, ARTIFICIAL HAIR, AND METHOD FOR DYE-FIXING TREATMENT OF DYED REGENERATED COLLAGEN FIBER | 1 |
Makoto Kano | JP | Yokohama-Shi | 2013-07-25 / 20130190216 - LOW FRICTION LUBRICATION ASSEMBLY | 8 |
Takashi Kano | JP | Nagoya | 2010-06-10 / 20100139451 - CRANKSHAFT MEMBER AND MANUFACTURING METHOD THEREOF | 1 |
Patrick O. Kano | US | Tucson | 2010-05-06 / 20100108916 - THERMALLY POWERED LOW DIMENSIONAL NANO-SCALE OSCILLATORS IN COUPLED MICRO-SCALE PHOTONIC CRYSTAL RESONANT DEFECT CAVITIES FOR GENERATION OF TERAHERTZ OR INFRARED RADIATION | 1 |
Yoshiki Kano | US | Bellevue | 2016-05-05 / 20160127472 - METHOD AND APPARATUS OF REDUNDANT PATH VALIDATION BEFORE PROVISIONING | 8 |
Takashi Kano | JP | Osaka | 2010-02-04 / 20100025701 - Method Of Fabricating Nitride-Based Semiconductor Light-Emitting Device And Nitride-Based Semiconductor Light-Emitting Device | 5 |
Yuji Kano | JP | Hitachinaka-Shi | 2014-12-11 / 20140361646 - Rotating Electric Machine and Vehicle Equipped with Rotating Electric Machine | 1 |
Kyoko Kano | JP | Ashigarakami-Gun | 2011-03-10 / 20110058197 - COLOR INFORMATION PROCESSING APPARATUS AND RECORDING MEDIUM | 2 |
Kenzo Kano | JP | Gunma | 2011-02-24 / 20110042481 - ATOMIZING APPARATUS | 1 |
Takashi Kano | JP | Hirakata-Shi | 2011-10-06 / 20110243171 - NITRIDE-BASED SEMICONDUCTOR LASER DEVICE | 2 |
Makoto Kano | JP | Yokohama-Shi | 2013-07-25 / 20130190216 - LOW FRICTION LUBRICATION ASSEMBLY | 8 |
Makoto Kano | JP | Kanagawa-Ken | 2011-08-04 / 20110191673 - APPARATUS, METHOD, AND PROGRAM FOR SUPPORTING PROCESSING OF CHARACTER STRING IN DOCUMENT | 2 |
Makoto Kano | JP | Kanagawa | 2015-11-05 / 20150315620 - METHOD FOR PRODUCING ACRYLAMIDE | 6 |
Takashi Kano | JP | Tokyo | 2015-03-26 / 20150083279 - NITROCARBURIZED CRANKSHAFT MEMBER AND STEEL FOR NITROCARBURIZED CRANKSHAFTS | 3 |
Makoto Kano | JP | Yokohama | 2011-02-03 / 20110028361 - LOW-FRICTION SLIDING MECHANISM | 5 |
Makoto Kano | JP | Ebina-Shi | 2011-02-24 / 20110044572 - DLC-COATED SLIDING MEMBER AND METHOD FOR PRODUCING THE SAME | 1 |
Kazuyuki Kano | JP | Ichinomiya | 2016-02-11 / 20160038120 - ULTRASONIC TRANSDUCER DEVICE, ULTRASONIC MEASUREMENT APPARATUS, HEAD UNIT, PROBE, AND ULTRASONIC IMAGING APPARATUS | 9 |
Yasuhiko Kano | JP | Utsunomiya-Shi | 2011-06-30 / 20110160295 - ANTITUMOR AGENT | 1 |
Tsutomu Kano | JP | Tokyo | 2015-07-23 / 20150201785 - METHOD FOR OPERATING FOOD MILL | 2 |
Jinetsu Kano | JP | Kamiina-Gun | 2012-08-02 / 20120193848 - COIL SPRING | 1 |
Yuji Kano | JP | Hitachinaka | 2016-05-19 / 20160141926 - Rotary Electrical Machine, and Rotor for Rotary Electrical Machine | 2 |
Yasuyuki Kano | JP | Anpachigun | 2011-05-26 / 20110122514 - LENS FIXING DEVICE AND OPTICAL PICKUP DEVICE | 1 |
Makoto Kano | JP | Fukushima | 2011-07-07 / 20110164351 - POWER SUPPLYING APPARATUS | 1 |
Hiroyuki Kano | JP | Miyoshi-Shi | 2015-01-29 / 20150030693 - ANTI-TUMOR AQUEOUS SOLUTION, ANTI-CANCER AGENT, AND METHODS FOR PRODUCING SAID AQUEOUS SOLUTION AND SAID ANTI-CANCER AGENT | 8 |
Akihito Kano | JP | Hachioji-Shi | 2009-06-04 / 20090143641 - HARVESTING DEVICE FOR ENDOSCOPE | 1 |
Takashi Kano | JP | Moriguchi-Shi | 2009-05-07 / 20090114941 - Semiconductor device and method of fabricating the same and method of forming nitride based semiconductor layer | 1 |
Kenji Kano | JP | Kyoto-Fu | 2010-02-18 / 20100040910 - ELECTROCATALYST AND ENZYMATIC ELECTRODE | 1 |
Kenji Kano | JP | Kanagawa | 2010-03-18 / 20100068537 - BARRIER LAMINATE, GAS BARRIER FILM, AND DEVICE USING THE SAME | 2 |
Kenji Kano | JP | Kashihara-Shi, Nara-Ken | 2010-07-15 / 20100178572 - ELECTRON TRANSFER MEDIATOR MODIFIED ENZYME ELECTRODE AND BIOFUEL CELL COMPRISING THE SAME | 1 |
Kazuhiko Kano | JP | Kariya-City | 2016-03-03 / 20160064645 - PIEZOELECTRIC THIN FILM AND METHOD FOR PRODUCING THE SAME | 1 |
Akira Kano | JP | Toyota-Shi, Aichi-Ken | 2016-02-25 / 20160055968 - METHOD OF PRODUCTION RARE-EARTH MAGNET | 1 |
Kenji Kano | JP | Kyoto | 2010-11-25 / 20100297743 - COENZYME-BINDING GLUCOSE DEHYDROGENASE | 5 |
Azuma Kano | JP | Odawara | 2011-04-07 / 20110082975 - DISK ARRAY SYSTEM AND METHOD FOR CONTROLLING DISK ARRAY SYSTEM | 2 |
Azuma Kano | JP | Hiratsuka | 2011-11-17 / 20110283062 - STORAGE APPARATUS AND DATA RETAINING METHOD FOR STORAGE APPARATUS | 4 |
Nobuo Kano | JP | Kanagawa | 2014-08-28 / 20140240158 - AD CONVERTER AND AD CONVERSION METHOD | 1 |
Mayumi Kano | JP | Niigata | 2012-05-03 / 20120107957 - TEST REAGENT, AND METHOD FOR MEASURING ANALYTE IN TEST SAMPLE USING SAME | 1 |
Kazuhiko Kano | JP | Aichi-Ken | 2012-05-03 / 20120107557 - MANUFACTURING METHOD OF PIEZOELECTRIC-BODY FILM, AND PIEZOELECTRIC-BODY FILM MANUFACTURED BY THE MANUFACTURING METHOD | 1 |
Hiroshi Kano | TW | Taipei City | 2012-04-05 / 20120081675 - MASS MEDIA VIDEO PLAYER | 1 |
Koji Kano | JP | Gunma | 2011-07-14 / 20110171510 - NON-AQUEOUS ELECTROLYTE BATTERY | 1 |
Yuji Kano | JP | Fukuoka | 2015-02-26 / 20150056872 - CONNECTOR TERMINAL AND METHOD OF FABRICATING THE SAME | 1 |
Masaru Kano | KR | Mie-Gun | 2014-08-21 / 20140232306 - MAGNET FLUX AMOUNT ESTIMATION DEVICE, ABNORMAL DEMAGNETIZE DETERMINATION DEVICE, SYNCHRONOUS MOTOR DRIVING DEVICE, AND ELECTRIC MOTOR CAR | 1 |
Atsushi Kano | JP | Utsunomiya-Shi | 2015-03-12 / 20150070664 - PROJECTION APPARATUS CAPABLE OF CHANGING PROJECTION LENS AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM THAT STORES PROGRAM TO BE EXECUTED BY PROJECTION APPARATUS | 2 |
Hirotsugu Kano | JP | Ehime | 2009-12-31 / 20090326250 - METHOD FOR PRODUCING PROPYLENE OXIDE | 1 |
Shuta Kano | JP | Tokyo | 2015-03-12 / 20150069162 - FISHING SPINNING REEL | 1 |
Hirotsugu Kano | JP | Niihama-Shi | 2012-11-01 / 20120277446 - METHOD FOR PRODUCING PROPYLENE OXIDE | 3 |
Yasuyuki Kano | JP | Yokohama-Shi | 2015-04-30 / 20150118786 - METHOD OF PRODUCING SOLAR CELL | 2 |
Hiroyuki Kano | JP | Miyoshi-Shi | 2015-01-29 / 20150030693 - ANTI-TUMOR AQUEOUS SOLUTION, ANTI-CANCER AGENT, AND METHODS FOR PRODUCING SAID AQUEOUS SOLUTION AND SAID ANTI-CANCER AGENT | 8 |
Koji Kano | JP | Tokyo | 2014-06-26 / 20140178718 - ELECTROCHEMICAL DEVICE | 1 |
Fumisha Kano | JP | Kawasaki | 2015-03-19 / 20150078505 - SIC MATRIX FUEL CLADDING TUBE WITH SPARK PLASMA SINTERED END PLUGS | 1 |
Tetsuro Kano | JP | Kashiwazaki | 2016-02-04 / 20160036039 - NONAQUEOUS ELECTROLYTE BATTERY AND BATTERY PACK | 6 |
Tsutomu Kano | JP | Fujimi-Shi | 2011-07-21 / 20110177221 - METHOD AND DEVICE FOR HEATING FOOD MATERIAL | 1 |
Mieko Kano | JP | Toyama-Shi | 2009-10-01 / 20090246996 - RIGHT-ANGLE CONNECTOR | 1 |
Ryuichi Kano | JP | Tokyo | 2015-03-26 / 20150082848 - ROLLING APPARATUS AND ROLLING MONITORING METHOD | 1 |
Yasuaki Kano | JP | Kanagawa | 2008-11-06 / 20080273259 - MAGNETIC TAPE CARTRIDGE AND MAGNETIC RECORDING AND REPRODUCING APPARATUS | 1 |
Fuyuki Kano | JP | Kanagawa | 2013-05-02 / 20130108311 - CLEANING MEMBER FOR IMAGE FORMING APPARATUS, CHARGING DEVICE, UNIT FOR IMAGE FORMING APPARATUS, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 5 |
Mitsuru Kano | JP | Taito-Ku | 2015-03-26 / 20150083043 - ROLLED FILM FORMATION APPARATUS | 1 |
Gentaro Kano | JP | Kanagawa | 2011-10-20 / 20110254437 - DISPLAY DEVICE HAVING PARABOLIC LIGHT REFLECTING PORTIONS FOR ENHANCED EXTRACTION OF LIGHT | 2 |
Hirotaka Kano | JP | Kanagawa | 2009-04-09 / 20090091770 - IMAGE DISPLAY APPARATUS, IMAGE DISPLAY METHOD AND IMAGE DISPLAY PROGRAM | 2 |
Ichiro Kano | FR | Montigny Le Bretonneux | 2016-04-21 / 20160107904 - Biocidal Purification Device | 5 |
Fumiyoshi Kano | JP | Kanagawa | 2009-11-05 / 20090273790 - WAVELENGTH-TUNABLE LIGHT GENERATOR AND OPTICAL COHERENCE TOMOGRAPHY DEVICE | 2 |
Hidekazu Kano | JP | Kanagawa | 2009-12-17 / 20090309751 - ELECTRONIC DEVICE CONTROLLING SYSTEM AND CONTROL SIGNAL TRANSMITTING DEVICE | 3 |
Shinya Kano | JP | Kanagawa | 2014-06-26 / 20140178032 - IMAGING APPARATUS, INFORMATION PROCESSING APPARATUS, CONTROL METHODS THEREFOR, AND PROGRAM | 3 |
Shoko Kano | JP | Kanagawa | 2011-02-17 / 20110040024 - NANOPARTICLE AND NANOPARTICLE COMPOSITE | 1 |
Shingo Kano | JP | Chiyoda-Ku | 2013-11-28 / 20130314199 - TRANSFORMER | 1 |
Norihisa Kano | JP | Kodaira-Shi | 2013-11-28 / 20130312890 - RUBBER LAMINATE, RUN-FLAT TIRE, AND PNEUMATIC TIRE | 1 |
Tomoko Kano | JP | Tokyo | 2013-11-28 / 20130317955 - APPARATUS INFORMATION OBTAINING APPARATUS, OPERATION INFORMATION PROVIDING APPARATUS, OPERATION INFORMATION PROVIDING METHOD, OPERATION INFORMATION PROVIDING PROGRAM AND THE RECORDING MEDIUM | 1 |
Jun Kano | JP | Ome-Shi | 2015-04-02 / 20150095956 - ELECTRONIC DEVICE, COMPUTER PROGRAM PRODUCT, AND INFORMATION CONTROL METHOD | 8 |
Toshihiro Kano | JP | Atsugi-Shi | 2015-04-02 / 20150092276 - LIGHT DIFFUSION REFLECTING PLATE | 1 |
Tetsuya Kano | JP | Toyota | 2014-07-24 / 20140205169 - OPHTHALMIC ANALYSIS APPARATUS AND OPHTHALMIC ANALYSIS PROGRAM | 1 |
Angelique Kano | US | Reno | 2014-07-03 / 20140184775 - EYE TRACKING WEARABLE DEVICES AND METHODS FOR USE | 1 |
Izua Kano | JP | Tokyo | 2013-11-14 / 20130304652 - CONTENT TRANSACTION MANAGEMENT SERVER DEVICE, CONTENT-PROVIDING SERVER DEVICE, AND TERMINAL DEVICE AND CONTROL PROGRAM | 34 |
Kazuya Kano | JP | Tsukuba-Shi | 2012-09-20 / 20120238588 - 1H-Pyrrolo[2,3-B]Pyridines | 1 |
Mikihito Kano | JP | Tsu | 2015-04-09 / 20150099849 - CURABLE COMPOSITION, AND CURED SYNTHETIC RESIN USING SAME | 1 |
Shinji Kano | JP | Gifu-Ken | 2012-09-27 / 20120243811 - FINITE LINEAR MOTION GUIDE UNIT WITH CROSS-ROLLER BEARING SYSTEM | 1 |
Takamitsu Kano | JP | Aichi-Ken | 2012-09-27 / 20120245250 - ANTI-FOG COATING COMPOSITION | 1 |
Izua Kano | JP | Kanagawa | 2011-11-10 / 20110276571 - COMMUNICATION TERMINAL, INFORMATION MANAGEMENT APPARATUS, AND PROGRAM | 7 |
Izua Kano | JP | Yokohama-Shi | 2009-03-26 / 20090083627 - Method and System for Providing Information in Virtual Space | 6 |
Fumihiko Kano | JP | Kobe-Shi | 2008-10-16 / 20080255371 - Method for improving optical purity of 1-benzyl-3-aminopyrolidine and salt for use therein | 1 |
Yoshinori Kano | JP | Kumagaya-Shi | 2016-05-05 / 20160128245 - COMPONENT FEEDING DEVICE, AND COMPONENT MOUNTING DEVICE | 3 |
Seigo Kano | JP | Aichi-Ken | 2009-03-12 / 20090065274 - Hybrid Driving Unit and Vehicle Carrying the Same | 1 |
Seigo Kano | JP | Anjo | 2008-10-23 / 20080258569 - Driving apparatus for vehicle | 1 |
Tetsuya Kano | JP | Saitama | 2012-10-04 / 20120246927 - SUCTION NOZZLE, MOUNTING APPARATUS, METHOD FOR MOUNTING ELECTRONIC COMPONENTS, AND METHOD FOR MANUFACTURING COMPONENT-MOUNTED SUBSTRATES | 1 |
Hiromichi Kano | JP | Okayama | 2012-10-04 / 20120247368 - PATTERN-FORMING OBJECTS FOR INCORPORATION INTO COATING MATERIAL COMPOSITION | 1 |
Takeshi Kano | JP | Nisshin-Shi | 2015-04-30 / 20150120139 - CHARACTERISTIC CHANGE DETECTION DEVICE FOR STEERING TRANSMISSION SYSTEM | 1 |
Toshiyuki Kano | JP | Kawasaki | 2016-05-05 / 20160124943 - FOREIGN LANGUAGE SENTENCE CREATION SUPPORT APPARATUS, METHOD, AND PROGRAM | 3 |
Yoshiki Kano | JP | Yokohama | 2013-09-12 / 20130238845 - SYSTEM AND METHOD FOR ALLOCATING CAPACITY | 20 |
Shinya Kano | JP | Inagi | 2015-12-03 / 20150350064 - ROUTE SETTING DEVICE AND ROUTE SETTING METHOD | 13 |
Hiroshi Kano | JP | Odawara-Shi | 2013-05-23 / 20130129711 - ANTI-ALLERGIC AGENT | 1 |
Ritsuko Kano | JP | Tokyo | 2015-06-04 / 20150154000 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND PROGRAM | 21 |
Mitsutoshi Kano | JP | Toyota-Shi | 2014-12-18 / 20140367982 - VEHICLE BUMPER BEAM | 6 |
Naomi Kano | JP | Chuo-Ku | 2010-01-28 / 20100023431 - PHYSICAL DISTRIBUTION CONTAINER MANAGEMENT SYSTEM | 1 |
Hirotaka Kano | JP | Chiba | 2014-01-16 / 20140019071 - METHOD FOR PRESS FORMING ANALYSIS | 1 |
Yuki Kano | JP | Obu-Shi | 2015-05-14 / 20150128522 - MOLDING FOR VEHICLE AND ATTACHMENT STRUCTURE OF MOLDING IN VEHICLE | 1 |
Hiroshi Kano | JP | Kanagawa | 2016-05-19 / 20160141491 - STORAGE ELEMENT AND MEMORY | 21 |
Gentaro Kano | JP | Yokohama-Shi, Kanagawa | 2016-02-04 / 20160036044 - POSITIVE ELECTRODE FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING THE SAME | 1 |
Hidekazu Kano | JP | Mishima-Shi | 2015-02-19 / 20150051308 - POLYMETHYLPENTENE CONJUGATE FIBER OR POROUS POLYMETHYLPENTENE FIBER AND FIBER STRUCTURE COMPRISING SAME | 2 |
Nozomu Kano | JP | Tokyo | 2015-07-09 / 20150192967 - Display Device, and Control Method for Display Device | 2 |
Takeshi Kano | JP | Osaka | 2015-05-28 / 20150148385 - PHARMACEUTICAL COMPOSITION CONTAINING A COMPOUND HAVING A THROMBOPOIETIN RECEPTOR AGONISTIC ACTIVITY | 1 |
Ryuji Kano | JP | Kanagawa | 2016-04-07 / 20160098827 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Makoto Kano | JP | Hachioji | 2015-09-10 / 20150251553 - CHARGE MANAGEMENT APPARATUS | 3 |
Takahiro Kano | JP | Osaka | 2016-03-03 / 20160065762 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING DEVICE, AND PROGRAM | 2 |
Mitsuki Kano | JP | Osaka | 2014-12-11 / 20140364389 - Combination Therapy | 2 |
Kazuya Kano | JP | Osaka | 2012-05-31 / 20120135997 - PHARMACEUTICAL COMPOSITION COMPRISING A LACTAM OR BENZENESULFONAMIDE COMPOUND | 2 |
Kenichiro Kano | JP | Osaka | 2011-04-28 / 20110097956 - Fabric for Airbag | 5 |
Hirotsugu Kano | JP | Osaka | 2009-08-27 / 20090216034 - PROCESS FOR PRODUCING OLEFIN OXIDE | 1 |
Hiroyuki Kano | JP | Osaka | 2010-01-21 / 20100014683 - NOISE REDUCTION DEVICE | 1 |
Masanori Kano | JP | Osaka | 2009-06-11 / 20090148640 - GAS BARRIER COMPOSITE FILM FOR HYDROTHERMALLY PROCESSABLE PACKAGE AND PACKAGING BAG OBTAINED BY USING SAME | 2 |
Amanda Kano | US | Livermore | 2016-02-11 / 20160040207 - METHOD FOR CULTURING MAMMALIAN CELLS TO IMPROVE RECOMBINANT PROTEIN PRODUCTION | 2 |
Hiroshi Kano | JP | Ibaraki | 2015-06-11 / 20150159117 - EDIBLE FAT OR OIL, FOOD CONTAINING SAME, AND PROCESS FOR PRODUCING SAME | 1 |
Keiko Kano | JP | Tokyo | 2011-08-11 / 20110195561 - ADHESIVE SHEET | 1 |
Takaaki Kano | JP | Tokyo-To | 2012-02-02 / 20120026690 - HEAT EXCHANGE MEDIUM AND ELECTRIC STORAGE DEVICE | 1 |
Akira Kano | JP | Osaka | 2016-05-05 / 20160126550 - NEGATIVE-ELECTRODE ACTIVE MATERIAL FOR SODIUM-ION SECONDARY BATTERY, METHOD FOR MANUFACTURING SAID NEGATIVE-ELECTRODE ACTIVE MATERIAL, AND SODIUM-ION SECONDARY BATTERY | 4 |
Taichi Kano | JP | Kanagawa | 2015-10-01 / 20150274989 - Metal Surface Processing Agent | 2 |
Tomonori Kano | JP | Otsu-Shi | 2012-11-01 / 20120272688 - MANUFACTURING APPARATUS FOR A THIN GLASS SHEET | 1 |
Tomohisa Kano | JP | Suwa-Shi | 2010-07-01 / 20100166483 - Recording Device And Control Method For A Recording Device | 1 |
Tomohisa Kano | JP | Shiojiri | 2009-08-06 / 20090195629 - MEDIUM PROCESSING DEVICE | 1 |
Tomohisa Kano | JP | Shiojiri-Shi | 2015-01-22 / 20150022610 - PRINTER AND PRINTING SYSTEM | 4 |
Shuta Kano | JP | Higashikurume-Shi | 2015-07-09 / 20150189865 - FISHING REEL | 1 |
Yutaka Kano | JP | Yokohama-Shi | 2016-04-07 / 20160096364 - PRINTING APPARATUS AND DRIVING CONTROL METHOD FOR PRINTHEAD | 40 |
Hirotaka Kano | JP | Tokyo | 2015-10-15 / 20150294043 - METHOD FOR SPECIFYING STRETCH FLANGE LIMIT STRAIN AND METHOD FOR DETERMINING FEASIBILITY OF PRESS FORMING | 2 |
Takashi Kano | JP | Aichi | 2012-01-12 / 20120006831 - MANUFACTURING METHOD FOR FOAM MOLDED ARTICLE AND PACKAGING MATERIAL | 1 |
Kimiko Kano | US | Boulder | 2012-01-12 / 20120006444 - COILED UMBILICAL TUBING | 1 |
Toshio Kano | JP | Kanagawa | 2015-12-03 / 20150346839 - INPUT APPARATUS, ELECTRONIC DEVICE AND SENSOR SHEET | 5 |
Yosuke Kano | JP | Saitama | 2012-04-19 / 20120091875 - LIGHT SOURCE DEVICE | 4 |
Takeyoshi Kano | JP | Kanawaga | 2010-01-07 / 20100003533 - METAL-FILM-COATED MATERIAL AND PROCESS FOR PRODUCING THE SAME, METALLIC-PATTERN-BEARING MATERIAL AND PROCESS FOR PRODUCING THE SAME, COMPOSITION FOR POLYMER LAYER FORMATION, NITRILE GROUP-CONTAINING POLYMER AND METHOD OF SYNTHESIZING THE SAME, COMPOSITION CONTAINING NITRILE GROUP-CONTAINING POLYMER, AND LAMINATE | 1 |
Takeyoshi Kano | JP | Ashigarakami-Gun | 2015-05-14 / 20150132544 - INK COMPOSITION, IMAGE FORMING METHOD, AND PRINTED MATERIAL | 2 |
Mitsuyoshi Kano | JP | Minato-Ku | 2013-04-18 / 20130095073 - SKIN PROPERTIES IMPROVING AGENT FOR ORAL ADMINISTRATION | 1 |
Takeyoshi Kano | JP | Kanagawa | 2016-01-28 / 20160024317 - COMPOSITION FOR FORMING CONDUCTIVE FILM, AND CONDUCTIVE FILM MANUFACTURING METHOD USING SAME | 11 |
Jun Kano | JP | Ome-Shi | 2015-04-02 / 20150095956 - ELECTRONIC DEVICE, COMPUTER PROGRAM PRODUCT, AND INFORMATION CONTROL METHOD | 8 |
Katsuyuki Kano | JP | Tokyo | 2013-01-24 / 20130019768 - Relief Printing Apparatus, Printed Matter Using the Same, and Method of Manufacturing Organic Electroluminescent Element | 1 |
Mitsuru Kano | JP | Tokyo | 2015-10-15 / 20150292086 - LAMINATE, GAS BARRIER FILM, AND MANUFACTURING METHOD THEREFOR | 4 |
Takashi Kano | JP | Hirakata-City | 2009-07-09 / 20090174035 - Semiconductor Device | 1 |
Hidekazu Kano | JP | Tokyo | 2014-10-23 / 20140313145 - PORTABLE ELECTRONIC DEVICE | 3 |
Koji Kano | JP | Kyotanabe-Shi | 2011-12-22 / 20110312914 - CARBON MONOXIDE REMOVAL AGENT | 1 |
Tomohisa Kano | JP | Nagano-Ken | 2011-12-22 / 20110309727 - Board Module And Printer | 1 |
Masaru Kano | JP | Mie | 2015-09-10 / 20150256105 - INVERTER CONTROLLER, POWER CONVERTER, AND CAR | 1 |
Ritsuko Kano | JP | Tokyo | 2015-06-04 / 20150154000 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND PROGRAM | 21 |
Yasuo Kano | JP | Tokyo | 2009-11-26 / 20090289765 - Wireless identification tag | 1 |
Kenji Kano | JP | Ashigarakami-Gun | 2011-12-15 / 20110306747 - POLYESTER FILM AND METHOD FOR PRODUCING SAME, BACKSHEET FOR SOLAR CELL, AND SOLAR CELL MODULE | 1 |
Junji Kano | JP | Tokyo | 2008-09-18 / 20080225922 - Rod-Type Solid-State Laser System | 1 |
Hiroshi Kano | JP | Tokyo | 2009-01-22 / 20090020585 - Aluminum alloy brazing sheet having high-strength and production method therefor | 1 |
Masaki Kano | JP | Tokyo | 2009-01-22 / 20090019927 - Gear pair evaluation apparatus, gear pair evaluation program, and gear pair whose tooth surfaces are evaluated using the apparatus and program | 1 |
Shingo Kano | JP | Tokyo | 2009-05-07 / 20090118401 - Curable Composition | 1 |
Mitsunobu Kano | JP | Tokyo | 2012-10-11 / 20120258042 - COMBINED USE OF TGF-B SIGNALING INHIBITOR AND ANTITUMOR AGENT | 2 |
Shinnosuke Kano | JP | Tokyo | 2009-10-01 / 20090241664 - Angular rate detection apparatus providing stable output | 1 |
Akihito Kano | JP | Tokyo | 2012-02-09 / 20120035606 - Body Tissue Incision Apparatus | 3 |
Masataka Kano | JP | Kakogawa-Shi | 2013-04-11 / 20130087739 - SCINTILLATOR MATERIAL AND SCINTILLATION DETECTOR | 1 |
Kenta Kano | JP | Tokyo | 2010-04-15 / 20100089535 - LABEL PEELING UNIT AND LABEL PRINTER | 1 |
Osamu Kano | JP | Tokyo | 2010-06-24 / 20100157154 - IMAGE PROCESSING APPARATUS | 1 |
Nobuyuki Kano | JP | Tokyo | 2010-08-05 / 20100198670 - AFFILIATE SYSTEM AND AFFILIATE DEVICE | 2 |
Katsuhiro Kano | JP | Tokyo | 2014-12-25 / 20140377254 - SUBTYPES OF HUMANIZED ANTIBODY AGAINST INTERLEUKIN-6 RECEPTOR | 3 |
Munehide Kano | JP | Tokyo | 2010-10-21 / 20100266633 - AIDS VIRUS VACCINES USING SENDAI VIRUS VECTOR | 1 |
Hiromi Kano | JP | Tokyo | 2010-11-25 / 20100295233 - Sheet feeding apparatus, image reading apparatus and image forming apparatus | 4 |
Tsuyoshi Kano | JP | Tokyo | 2010-12-23 / 20100325387 - ARITHMETIC PROCESSING APPARATUS, PROCESSING UNIT, ARITHMETIC PROCESSING SYSTEM, AND ARITHMETIC PROCESSING METHOD | 3 |
Takaaki Kano | JP | Tokyo | 2013-05-23 / 20130131363 - Surfactant Composition for Agricultural Chemicals | 4 |
Hideaki Kano | JP | Tokyo | 2013-04-04 / 20130083322 - NONLINEAR OPTICAL MICROSCOPE AND NONLINEAR OPTICAL MICROSCOPY | 1 |
Yusuke Kano | JP | Tokyo | 2010-05-06 / 20100110453 - Image Formation Instruction Apparatus, Image Formation Instruction Program, Image Formation Instruction Method, Image Formation Server, Image Formation Server Program, Processing Method for Image Formation Server, Image Formation Control Apparatus, Program for Image Formation Control Apparatus, and Image-Forming Method | 1 |
Rui Kano | JP | Tokyo | 2010-06-03 / 20100136558 - CANINE CD20 GENE | 2 |
Harumi Kano | JP | Tokyo | / - | 1 |
Yoshiki Kano | JP | Tokyo | 2008-09-04 / 20080215643 - Mapping Apparatus for Backup and Restoration of Multi-Generation Recovered Snapshots | 1 |
Yoshiki Kano | JP | Kanagawa | 2012-08-02 / 20120198191 - METHOD AND APPARATUS FOR DE-DUPLICATION AFTER MIRROR OPERATION | 6 |
Yoshiki Kano | JP | Yokohama | 2013-09-12 / 20130238845 - SYSTEM AND METHOD FOR ALLOCATING CAPACITY | 20 |
Yoshiki Kano | JP | Sagamihara | 2012-01-12 / 20120011240 - METHOD AND APPARATUS FOR MANAGING VIRTUAL PORTS ON STORAGE SYSTEMS | 6 |
Tokuji Kano | JP | Zushi Kanagawa | 2016-03-17 / 20160080893 - PORTABLE TERMINAL, DATA TRANSMISSION METHOD AND DATA TRANSMISSION SYSTEM | 2 |
Natsuki Kano | JP | Tokyo | 2015-07-09 / 20150195378 - INFORMATION PROCESSING APPARATUS, SERVER, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING SYSTEM | 4 |
Shingo Kano | JP | Shinagawa-Ku | 2012-12-13 / 20120316253 - CURABLE COMPOSITION | 1 |
Koichiro Kano | JP | Tokyo | 2016-04-28 / 20160113970 - PERIODONTAL TISSUE REGENERATION MATERIAL | 1 |
Toshiaki Kano | JP | Tokyo | 2013-03-28 / 20130078403 - INJECTION MOLDING DEVICE, INJECTION MOLDING METHOD AND HOUSING | 1 |
Toshikazu Kano | JP | Osaka | 2015-09-24 / 20150267310 - TIN OR TIN ALLOY ELECTROPLATING BATH AND PROCESS FOR PRODUCING BUMPS USING SAME | 1 |
Keiki Kano | JP | Ishinomaki-Shi | 2012-12-20 / 20120318751 - BALLAST WATER TREATMENT EQUIPMENT, A BALLAST WATER DETOXIFYING TREATMENT SYSTEM USING THE SAME, AND A METHOD FOR TREATING THE BALLAST WATER | 1 |
Goro Kano | JP | Yokohama-Shi | 2015-08-06 / 20150222136 - ELECTRONIC DEVICE, AND METHOD CONTROLLING ELECTRONIC POWER SUPPLY | 3 |
Fumiyoshi Kano | JP | Chiryu-City | 2011-12-01 / 20110294403 - WAFER PROCESSING METHOD, WAFER POLISHING APPARATUS, AND INGOT SLICING APPARATUS | 1 |
Akira Kano | JP | Kawasaki-Shi | 2015-10-29 / 20150310592 - IMAGE PROCESSING APPARATUS THAT PERFORMS IMAGE RESTORATION PROCESSING AND IMAGE PROCESSING METHOD | 12 |
Azuma Kano | JP | Tokyo | 2015-12-31 / 20150378604 - COMPUTER SYSTEM AND CONTROL METHOD FOR COMPUTER SYSTEM | 1 |
Sawako Kano | JP | Hyogo | 2015-11-05 / 20150319523 - SPEAKER SYSTEM | 7 |
Osamu Kano | JP | Chigasaki-Shi | 2013-03-21 / 20130071284 - TITANIUM ALLOY COMPLEX POWDER CONTAINING COPPER POWDER, CHROMIUM POWDER OR IRON POWDER, TITANIUM ALLOY MATERIAL CONSISTING OF THIS POWDER, AND PROCESS FOR PRODUCTION THEREOF | 2 |
Akira Kano | JP | Toyota-Shi | 2016-02-25 / 20160055969 - MANUFACTURING METHOD OF RARE-EARTH MAGNET | 3 |
Toru Kano | JP | Chiba-Ken | 2015-10-01 / 20150274338 - FUNCTIONAL TRAYS FOR HANDLING PRODUCTS IN A MATERIALS HANDLING FACILITY | 1 |
Horihisa Kano | JP | Tokyo | 2011-12-01 / 20110290396 - PNEUMATIC TIRE | 1 |
Yasutoshi Kano | JP | Kariya-Shi | 2015-07-02 / 20150183238 - Feed Device and Printer | 5 |
Akio Kano | JP | Ome-Shi | 2011-10-27 / 20110260689 - INFORMATION PROCESSING APPARATUS AND CHARGE AND DISCHARGE CONTROL METHOD | 2 |
Akio Kano | JP | Oume-Shi | 2010-11-11 / 20100283431 - INFORMATION PROCESSING APPARATUS AND METHOD FOR CONTROLLING BATTERY CHARGE | 1 |
Akira Kano | JP | Kawasaki-Shi | 2015-10-29 / 20150310592 - IMAGE PROCESSING APPARATUS THAT PERFORMS IMAGE RESTORATION PROCESSING AND IMAGE PROCESSING METHOD | 12 |
Hioryuki Kano | JP | Aichi | 2011-02-24 / 20110045207 - METHOD FOR PRODUCING CARBON NANOWALLS | 2 |
Hiroaki Kano | JP | Okazaki-Shi | 2016-02-25 / 20160052556 - REAR PART STRUCTURE OF VEHICLE | 4 |
Hirokazu Kano | JP | Kyoto | 2012-02-02 / 20120024123 - SLITTER | 2 |
Hiroshi Kano | JP | Kyotanabe City | 2009-02-05 / 20090033792 - Image Processing Apparatus And Method, And Electronic Appliance | 2 |
Katsuaki Kano | JP | Matsuyama-Shi | 2015-12-17 / 20150362471 - WATER QUALITY MEASURING DEVICE | 2 |
Hiroshi Kano | JP | Fukushima | 2015-08-06 / 20150222131 - BATTERY HOLDER, BATTERY RECEIVING CASE, BATTERY PACK, ELECTRICITY ACCUMULATION SYSTEM, ELECTRONIC INSTRUMENT, ELECTRIC VEHICLE, AND ELECTRIC POWER SYSTEM | 5 |
Hiroyuki Kano | JP | Aichi-Ken | 2009-12-17 / 20090310134 - Multi Micro-Hollow Cathode Light Source and Multi-Atomic Simulataneous Absorption Spectrum Analyzer | 1 |
Hiroyuki Kano | JP | Nishikamo-Gun | 2011-03-17 / 20110063609 - Spectroscopy method and spectroscope | 3 |
Kazuyuki Kano | JP | Ichinomiya | 2016-02-11 / 20160038120 - ULTRASONIC TRANSDUCER DEVICE, ULTRASONIC MEASUREMENT APPARATUS, HEAD UNIT, PROBE, AND ULTRASONIC IMAGING APPARATUS | 9 |
Jun Kano | JP | Yokohama-Shi | 2008-12-18 / 20080310339 - Radio Communication System, Radio Communication Apparatus and Radio Communication Method | 1 |
Jun Kano | JP | Yokohama | 2009-10-01 / 20090245189 - Radio Communication Terminal, Radio Base Station, and Packet Communication Method | 2 |
Junji Kano | JP | Chiyoda-Ku | 2009-06-04 / 20090141746 - SOLID-STATE LASER DEVICE | 1 |
Junya Kano | JP | Sendai | 2010-05-06 / 20100108941 - METHOD FOR PRODUCING CARBIDE OF TRANSITION METAL AND/OR COMPOSITE CARBIDE OF TRANSITION METAL | 1 |
Katsuhiro Kano | JP | Nagoya-Shi | 2010-08-05 / 20100195992 - Walking beam type heat treatment apparatus | 1 |
Kazuhiko Kano | JP | Toyoake-City | 2016-01-28 / 20160025580 - PRESSURE SENSOR | 6 |
Kazuhiko Kano | JP | Toyoake-Shi | 2011-02-24 / 20110041605 - Angular rate sensor | 3 |
Kazuhiko Kano | JP | Aichi | 2008-12-04 / 20080296529 - Piezoelectric thin film, piezoelectric material, and fabrication method of piezoelectric thin film and piezoelectric material, and piezoelectric resonator, actuator element, and physical sensor using piezoelectric thin film | 1 |
Kazuya Kano | JP | Ibaraki | 2009-10-29 / 20090270456 - Novel chemical compounds | 2 |
Manabu Kano | JP | Kyoto | 2011-01-27 / 20110016967 - FLUID DISTRIBUTION DEVICE, MICRO PLANT, METHOD OF DESIGNING FLUID DISTRIBUTION DEVICE, AND METHOD OF DETECTING BLOCKAGE OF FLOW CHANNEL | 2 |
Masaaki Kano | JP | Kawasaki-Shi | 2015-07-09 / 20150195462 - IMAGE CAPTURING APPARATUS, CONTROL METHOD THEREOF, AND STORAGE MEDIUM | 5 |
Masao Kano | JP | Gamagori-City | 2009-03-12 / 20090070001 - CONTROLLER FOR HYBRID VEHICLE | 4 |
Masaru Kano | JP | Shiga | 2009-12-03 / 20090297297 - BOOK BINDING APPARATUS FOR PERFECT BOOK BINDING | 1 |
Shigeyuki Kano | JP | Tokyo | 2015-10-08 / 20150285796 - Peptide and Antibody Test Material for Detecting Both Vivax Malaria and Falciparum Malaria | 1 |
Masataka Kano | JP | Tokyo-To | 2012-08-23 / 20120211705 - DEVICE COMPRISING POSITIVE HOLE INJECTION TRANSPORT LAYER, METHOD FOR PRODUCING THE SAME AND INK FOR FORMING POSITIVE HOLE INJECTION TRANSPORT LAYER | 3 |
Hiroaki Kano | JP | Aichi | 2011-11-17 / 20110281447 - LOCKING DEVICE FOR POWER FEEDING PLUG | 1 |
Masatoshi Kano | JP | Toyota-Shi | 2010-08-19 / 20100206675 - VENTILATED DISC ROTOR | 2 |
Masaya Kano | JP | Hamamatsu-Shi | 2015-10-29 / 20150312690 - Audio Processing Apparatus and Audio Processing Method | 5 |
Mitsuru Kano | JP | Fukushima-Ken | 2009-06-11 / 20090147193 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Noriyuki Kano | JP | Aichi | 2011-01-27 / 20110018165 - IN-MOLD MOLDED PRODUCT COATING MOLD AND IN-MOLD MOLDED PRODUCT COATING FORMING METHOD | 1 |
Shoji Kano | JP | Gunma | 2012-04-26 / 20120100698 - METHOD FOR FORMING AN ALUMINUM NITRIDE THIN FILM | 3 |
Shoji Kano | JP | Gunma-Ken | 2009-12-17 / 20090308859 - CERAMIC HEATER AND METHOD OF MANUFACTURING THE SAME | 1 |
Shoji Kano | JP | Annaka | 2010-01-07 / 20100003510 - Corrosion-resistant multilayer ceramic member | 1 |
Akira Kano | JP | Kawasaki | 2015-11-19 / 20150327780 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Takahiro Kano | JP | Amagasaki-Shi | 2009-01-22 / 20090021764 - IMAGE FORMING APPARATUS CAPABLE OF APPROPRIATE SECURITY MANAGEMENT | 1 |
Takahiro Kano | JP | Toyonaka-Shi | 2014-12-25 / 20140379917 - INFORMATION PROCESSING APPARATUS AND RECORDING MEDIUM | 9 |
Takatoshi Kano | JP | Toyama | 2009-05-14 / 20090122174 - SOLID-STATE IMAGING DEVICE AND METHOD OF DRIVING THE SAME | 1 |
Takuya Kano | JP | Okazaki-Shi | 2011-05-12 / 20110107884 - METHOD FOR MANUFACTURING A PRECURSOR LENS FOR A RIM-SHAPED LENS | 1 |
Takuya Kano | JP | Kawasaki | 2009-03-05 / 20090063735 - Method and Apparatus for Operating an Age Queue for Memory Request Operations in a Processor of an Information Handling System | 1 |
Terunari Kano | JP | Hamura-Shi | 2009-12-03 / 20090294155 - FLEXIBLE PRINTED CIRCUIT BOARD, SHIELD PROCESSING METHOD FOR THE CIRCUIT BOARD AND ELECTRONIC APPARATUS | 1 |
Tomonori Kano | JP | Shigaken | 2009-01-15 / 20090013725 - Device for Manufacturing Sheet Glass and Method for Manufacturing Sheet Glass | 1 |
Tomonori Kano | JP | Shiga | 2015-10-08 / 20150284281 - METHOD FOR PRODUCING GLASS PLATE | 4 |
Tomoyuki Kano | JP | Toyota-Shi | 2009-03-12 / 20090065284 - Torque tube | 1 |
Toshihiro Kano | JP | Nagakute | 2008-10-30 / 20080270015 - Traffic situation determination systems, methods, and programs | 3 |
Tsuyoshi Kano | JP | Yokohama | 2009-12-10 / 20090304388 - Optical Branching Apparatus and Passive Optical Network System | 1 |
Tsuyoshi Kano | JP | Toshima-Ku | 2011-03-17 / 20110061484 - DEVICE FOR URGING RELATIVE MOVEMENT AND ELECTRONIC APPARATUS EMPLOYING DEVICE FOR URGING RELATIVE MOVEMENT | 1 |
Yasuyuki Kano | JP | Anpachi-Gun | 2011-10-20 / 20110255392 - OPTICAL PICKUP DEVICE | 2 |
Yoshiaki Kano | JP | Aichi-Ken | 2009-01-22 / 20090021095 - Rotary electric machine | 1 |
Yuichiro Kano | JP | Shizuoka | 2008-09-18 / 20080227849 - Orally Disintegrable Solid Preparation Comprising Povidone-Iodine | 1 |
Keisaku Kano | JP | Takasaki-Shi | 2009-08-13 / 20090202134 - PRINT INSPECTING APPARATUS | 1 |
Toyohiro Kano | JP | Nissin-City | 2009-11-26 / 20090288411 - External combustion engine | 1 |
Shiho Kano | JP | Hiroshima | 2010-11-11 / 20100285147 - CRUDE DRUG EXTRACT-BLENDED LIQUID MEDICINE | 1 |
Yuko Kano | JP | Yokohama-Shi | 2010-06-10 / 20100145063 - 2- thioethenyl substituted carbapenem derivatives | 1 |
Shigeru Kano | JP | Tokyo | 2013-10-03 / 20130257811 - INTERACTIVE DISPLAY DEVICE | 2 |
Akihito Kano | JP | Hino-Shi | 2013-08-15 / 20130211197 - Endoscopic Surgical Instrument | 3 |
Ryo Kano | JP | Obu-City | 2016-04-28 / 20160118923 - BRUSHLESS MOTOR AND MOTOR CONTROL DEVICE | 1 |
Tokuji Kano | JP | Kanagawa-Ken | 2013-07-04 / 20130169881 - VIDEO/BROADCAST DATA RECEIVING SYSTEM | 2 |
Takuya Kano | JP | Takaoka-Shi | 2014-01-23 / 20140024832 - METHOD FOR PRODUCING DICHLOROPYRAZINE DERIVATIVE | 2 |
Bassel Kano | US | Boston | 2010-11-04 / 20100278301 - STEREOSCOPIC X-RAY SYSTEM AND METHOD | 1 |
Yoshiki Kano | US | Bellevue | 2016-05-05 / 20160127472 - METHOD AND APPARATUS OF REDUNDANT PATH VALIDATION BEFORE PROVISIONING | 8 |
Yusuke Kano | JP | Yokohama-Shi | 2013-10-31 / 20130290841 - NON-TRANSITORY COMPUTER READABLE MEDIUM, VIRTUAL-SHEET MANAGEMENT APPARATUS, AND VIRTUAL-SHEET MANAGEMENT METHOD | 1 |
Junko Kano | JP | Chuo-Ku | 2013-01-03 / 20130004449 - Conditioner And Conditioning Shampoo Compound Containing Pentaerythritol Ester | 1 |
Akihito Kano | DE | Hamburg | 2013-03-07 / 20130060249 - SURGICAL TREATMENT DEVICE | 1 |
Kazuhiko Kano | JP | Fuchu-Shi | 2013-09-19 / 20130240479 - METHOD FOR PRODUCING FILTRATION FILTER | 2 |
Hidekazu Kano | JP | Nagaokakyo-Shi | 2016-02-04 / 20160034089 - TOUCH INPUT DEVICE AND TOUCH INPUT DETECTING METHOD | 4 |
Yasutoshi Kano | JP | Nagoya-Shi | 2013-10-03 / 20130258030 - PRINTER | 1 |
Ryo Kano | JP | Nagoya-City | 2014-01-16 / 20140019505 - TRANSFER FUNCTION ESTIMATION APPARATUS, METHOD AND PROGRAM | 1 |
Tetsuya Kano | JP | Toyota-Shi | 2014-04-24 / 20140112562 - OPHTHALMIC ANALYSIS APPARATUS AND OPHTHALMIC ANALYSIS PROGRAM | 1 |
Yoshio W. Kano | US | Barrington | 2014-04-24 / 20140110238 - TWIST MOUNT ROTARY SELECTOR SWITCH | 1 |
Yasuyuki Kano | JP | Kanagawa | 2015-12-24 / 20150372184 - METHOD OF MANUFACTURING SOLAR BATTERY CELL | 2 |
Toshiyuki Kano | JP | Kanagawa-Ken | 2013-06-13 / 20130151239 - ORTHOGRAPHICAL VARIANT DETECTION APPARATUS AND ORTHOGRAPHICAL VARIANT DETECTION PROGRAM | 1 |
Takeyoshi Kano | JP | Ashigara-Kami-Gun | 2016-04-07 / 20160099397 - COMPOSITION FOR FORMING THERMOELECTRIC CONVERSION LAYER, THERMOELECTRIC CONVERSION ELEMENT, AND THERMOELECTRIC POWER GENERATING COMPONENT | 2 |
Koji Kano | JP | Takasaki-Shi | 2013-05-16 / 20130120909 - LITHIUM ION CAPACITOR | 1 |
Junichi Kano | JP | Atsugi-Shi | 2016-02-11 / 20160040995 - DEVICE FOR PREDICTING ENERGY CONSUMPTION AND METHOD FOR PREDICTING ENERGY CONSUMPTION | 1 |
Takahiro Kano | JP | Toyonaka-Shi | 2014-12-25 / 20140379917 - INFORMATION PROCESSING APPARATUS AND RECORDING MEDIUM | 9 |
Muneaki Kano | JP | Aichi | 2011-10-13 / 20110251318 - ADHESIVE COMPOSITION | 2 |
Kazuyuki Kano | JP | Ichinomiya, Aichi | 2011-10-27 / 20110263982 - ULTRASONIC SENSOR AND ELECTRONIC DEVICE | 1 |
Yu Kano | JP | Ageo-Shi | 2009-09-03 / 20090220370 - GAS COMPRESSOR | 1 |
Gentaro Kano | JP | Yokohama-Shi | 2015-11-05 / 20150318555 - CURRENT COLLECTOR FOR BATTERY AND BATTERY USING SAME | 1 |
Shoji Kano | JP | Annaka-Shi | 2014-09-18 / 20140263281 - CERAMIC HEATER | 4 |
Gentaro Kano | JP | Fukushima | 2014-05-15 / 20140134500 - ANODE AND BATTERY USING SAME | 3 |
Shinya Kano | JP | Inagi | 2015-12-03 / 20150350064 - ROUTE SETTING DEVICE AND ROUTE SETTING METHOD | 13 |
Hiroyuki Kano | JP | Hyogo | 2016-03-31 / 20160093283 - NOISE CONTROLLER AND NOISE CONTROL METHOD FOR REDUCING NOISE FROM OUTSIDE OF SPACE | 13 |
Masataka Kano | JP | Saga-Ken | 2011-10-06 / 20110244639 - METHOD FOR MANUFACTURING A PATTERN FORMED BODY, METHOD FOR MANUFACTURING A FUNCTIONAL ELEMENT, AND METHOD FOR MANUFACTURING A SEMICONDUCTOR ELEMENT | 1 |
Yoshihisa Kano | JP | Tokyo | 2013-02-14 / 20130037304 - INSULATED WIRE | 1 |
Toyohiro Kano | JP | Chiryu-City | 2013-02-14 / 20130038071 - POWER GENERATOR | 1 |
Yasunobu Kano | JP | Kyoto | 2012-07-12 / 20120177687 - GENE EXPRESSING A BIFIDOBACTERIUM SURFACE-PRESENTED FUSION PROTEIN | 2 |
Mark Edward Kanode | US | Apex | 2014-12-04 / 20140355482 - METHODS, SYSTEMS, AND COMPUTER PROGRAM PRODUCTS FOR ORGANIZING, MANAGING, AND SELECTIVELY DISTRIBUTING ROUTING INFORMATION IN A SIGNALING MESSAGE ROUTING NODE | 18 |
Juveria Kanodia | US | Kirkland | 2010-09-30 / 20100250324 - PROVIDING LOCAL CONTEXTUAL INFORMATION WITH CONTEXTUAL ADVERTISEMENTS | 1 |
Sanjay Kanodia | US | Ashburn | 2012-01-05 / 20120005325 - Systems and methods for automated processing of devices | 1 |
Juveria Kanodia | US | San Diego | 2014-05-08 / 20140129642 - WORK PROCESS COLLABORATION MANAGEMENT | 1 |
Sachin Kanodia | US | San Jose | 2015-07-23 / 20150208426 - CHANNEL ASSIGNMENT FOR WIRELESS ACCESS NETWORKS | 14 |
Sachin Kanodia | US | San Jose | 2015-07-23 / 20150208426 - CHANNEL ASSIGNMENT FOR WIRELESS ACCESS NETWORKS | 14 |
Neal Kanodia | US | Mountain View | 2015-08-06 / 20150220538 - Processing the Results of Multiple Search Queries in a Mapping Application | 3 |
Nico Kanoffsky | DE | Bolanden | 2015-06-04 / 20150152778 - EXHAUST-GAS TURBOCHARGER | 5 |
Takayuki Kanoh | JP | Nara | 2008-11-27 / 20080291494 - Image processing device and image processing server | 1 |
Takayuki Kanoh | JP | Osaka-Shi | 2013-10-03 / 20130258384 - SERVER SYSTEM, PRINT SYSTEM, AND PRINTER | 2 |
Keigo Kanoh | JP | Yamato-Shi | 2009-11-05 / 20090273589 - El Device | 1 |
Yuichi Kanoh | JP | Yamanashi | 2009-03-19 / 20090071912 - DEVICE AND METHOD FOR REMOVING LINT | 1 |
Katsuki Kanoh | JP | Osaka-Shi | 2009-03-05 / 20090062415 - METHODS OF PRODUCING RIGID POLYURETHANE FOAMS | 1 |
Yasushi Kanoh | JP | Tokyo | 2012-04-26 / 20120102271 - CACHE MEMORY SYSTEM AND CACHE MEMORY CONTROL METHOD | 5 |
Masashi Kanoh | JP | Tsukuba-Shi | 2016-05-05 / 20160126596 - METHOD FOR PRODUCING LAMINATE BATTERY, APPARATUS FOR PRODUCING LAMINATE BATTERY, AND LAMINATE BATTERY | 5 |
Masashi Kanoh | JP | Tsukubamirai-Shi | 2015-06-04 / 20150155538 - ELECTRICAL INSULATION LAYER AND BATTERY DEVICE | 2 |
Kohichi Kanoh | JP | Kobe-Shi | 2010-01-21 / 20100017191 - MICROCOMPUTER SIMULATOR | 1 |
Eiji Kanoh | JP | Miyagi | 2009-12-10 / 20090302829 - SPECTRUM ANALYZER SYSTEM AND SPECTRUM ANALYZE METHOD | 1 |
Shigeyoshi Kanoh | JP | Ishikawa | 2015-12-31 / 20150376309 - NEW POLY(DIPHENYLACETYLENE) COMPOUND, PREPARATION METHOD THEREFOR, AND USE THEREOF AS OPTICAL ISOMER SEPARATING AGENT | 2 |
Hiroshi Kanoh | JP | Tokyo | 2011-03-03 / 20110053354 - METHOD OF MANUFACTURING LAYER-STACKED WIRING | 6 |
Mitsuyoshi Kanoh | JP | Saitama | 2011-09-22 / 20110227540 - Direct-Current Power Source Apparatus | 1 |
Yasushi Kanoh | JP | Minato-Ku | 2009-12-10 / 20090307463 - INTER-PROCESSOR, COMMUNICATION SYSTEM, PROCESSOR, INTER-PROCESSOR COMMUNICATION METHOD, AND COMMUNICATION METHOD | 3 |
Masashi Kanoh | JP | Ibaraki | 2015-01-22 / 20150022140 - ELECTRICITY STORAGE SYSTEM AND CARTRIDGE | 1 |
Mitsuyoshi Kanoh | JP | Tokyo | 2014-10-23 / 20140312915 - Battery-State Monitoring System | 3 |
Takashi Kanoh | JP | Yokohama-Shi | 2014-12-25 / 20140375624 - IMAGE PROCESSING DEVICE, IMAGE DISPLAY DEVICE AND IMAGE PROCESSING METHOD | 1 |
Takashi Kanoh | JP | Tokyo | 2009-07-30 / 20090190064 - COLOR FILTER AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Fumiyoshi Kanoh | JP | Chiryu-City | 2013-08-22 / 20130217305 - HIGH HARDNESS MATERIAL WORKING METHOD AND WORKING APPARATUS | 1 |
Naoki Kanoh | JP | Osaka-Shi | 2015-09-10 / 20150253883 - DISPLAY DEVICE AND DISPLAY METHOD | 1 |
Eisei Kanoh | JP | Tokyo | 2013-12-12 / 20130330876 - Novel Heterocyclic Compound, Method For Producing Intermediate Therefor, And Use Thereof | 1 |
Keigo Kanoh | JP | Yamato | 2013-01-03 / 20130002637 - PIXEL CIRCUIT, IMAGE DISPLAY APPARATUS, DRIVING METHOD THEREFOR AND DRIVING METHOD OF ELECTRONIC DEVICE | 1 |
Shinichiro Kanoh | JP | Miyagi | 2015-08-27 / 20150238108 - EYEWEAR | 2 |
Soichiro Kanoh | JP | Tokyo | 2015-02-12 / 20150040894 - Aerosolized Dapsone as a Therapy for Inflammation of the Airway and Abnormal Mucociliary Transport | 2 |
Shigeyoshi Kanoh | JP | Kanazawa-Shi | 2011-05-12 / 20110112264 - PROCESS FOR PRODUCING POLYMERIZATION CATALYST OF ALKYLENE OXIDE AND POLY(ALKYLENE OXIDE) | 1 |
Masaya Kanoh | JP | Hamamatsu-Shi | 2009-03-05 / 20090060227 - Audio signal output device | 2 |
Katsuhiko Kanoh | JP | Nagoya | 2010-09-30 / 20100242277 - APPARATUS AND METHOD FOR SHAPING ELECTRIC WIRE FOR STATOR COIL OF ELECTRIC ROTATING MACHINE | 1 |
Eiji Kanoh | JP | Tokyo | 2009-12-10 / 20090306936 - SAMPLING APPARATUS, SAMPLING METHOD AND RECORDING MEDIUM | 4 |
Shinji Kanoh | JP | Mino-Shi | 2010-09-30 / 20100247007 - LINEAR MOTION GUIDE UNIT WITH MEANS FOR KEEPING CAGE AGAINST STRAYING OR WANDERING | 1 |
Shinji Kanoh | JP | Gifu-Ken | 2012-03-29 / 20120076447 - BALL-SPLINE WITH ROTARY MECHANISM | 2 |
Chaitanya Kanojia | US | West Newton | 2016-03-10 / 20160073161 - Method and System for Scheduling Recordings of Television Programs in Television Distribution Systems | 15 |
Deepika Kanojia | IN | New Delhi | 2014-02-20 / 20140051643 - ANTICANCER AGENT | 1 |
Komal Kanojia | IN | Bengaluru | 2012-12-06 / 20120309935 - METHOD OF REDUCING GLYCOSYLATION OF PROTEINS, PROCESSES AND PROTEINS THEREOF | 1 |
Chaitanya Kanojia | US | Waban | 2008-10-30 / 20080271070 - Negotiated access to promotional insertion opportunity | 1 |
Chaitanya Kanojia | US | West Newton | 2016-03-10 / 20160073161 - Method and System for Scheduling Recordings of Television Programs in Television Distribution Systems | 15 |
Tomohiro Kanokogi | JP | Osaka | 2011-03-24 / 20110067798 - DI FORMING WATER-BASED COLLANT OF LAMINATED METAL SHEET AND METHOD FOR DI FORMING LAMINATED METAL SHEET | 1 |
Tomohiro Kanokogi | JP | Yao | 2015-04-23 / 20150107326 - DI FORMING WATER-BASED COOLANT OF LAMINATED METAL SHEET AND METHOD OF DI FORMING LAMINATED METAL SHEET | 1 |
Hiroaki Kanokogi | JP | Musashino-Shi | 2010-01-28 / 20100023140 - FIELD DEVICE MANAGEMENT APPARATUS AND FIELD DEVICE MANAGEMENT SYSTEM | 1 |
Mitsuru Kanokogi | JP | Tokyo-To | 2013-01-03 / 20130003040 - Electronic Distance Measuring Method And Electronic Distance Measuring Instrument | 2 |
Hiroaki Kanokogi | JP | Tokyo | 2015-08-06 / 20150222674 - DEVICE SETTING APPARATUS, STORAGE STORING DEVICE SETTING PROGRAM, AND METHOD FOR SHARING INFORMATION AMONG A PLURALITY OF DEVICE SETTING APPARATUSES | 4 |
Yogesh Kanole | IN | Pune | 2012-02-23 / 20120043105 - Sealed Circuit Breaker | 2 |
Yogesh D. Kanole | IN | Pune | 2012-11-08 / 20120281341 - Sealed Circuit Breaker | 3 |
Mikihiro Kanomata | JP | Kanagawa | 2011-03-31 / 20110078523 - OUTPUT CONTROL SCAN FLIP-FLOP, SCAN TEST CIRCUIT USING THE SAME, AND TEST DESIGN METHOD | 1 |
Takeshi Kanomata | JP | Sendai-Shi | 2010-06-24 / 20100156579 - FERROMAGNETIC SHAPE MEMORY ALLOY AND ITS USE | 1 |
Kazuki Kanomata | JP | Saitama | 2013-10-31 / 20130285535 - METAL HALIDE LAMP | 1 |
Akinori Kanomata | JP | Nagoya-Shi | 2015-02-26 / 20150057429 - PRODUCTION METHOD OF POLYARYLENE SULFIDE, CYCLIC POLYARYLENE SULFIDE PELLET AND PRODUCTION METHOD THEREOF | 3 |
Mikihiro Kanomata | JP | Shizuoka | 2016-03-03 / 20160062933 - INFORMATION PROCESSING APPARATUS AND TERMINAL APPARATUS | 1 |
Yoshiteru Kanomata | JP | Fuji-Shi | 2009-07-09 / 20090175916 - Sheet-shaped cosmetic product | 1 |
Mikihiro Kanomata | JP | Izunokuni | 2016-05-12 / 20160133103 - COMMODITY SALES DATA PROCESSING APPARATUS, DOCKING STATION USED IN THE SAME COMMODITY SALES DATA PROCESSING APPARATUS, AND CONTROL METHOD FOR THE DOCKING STATION | 1 |
Akinori Kanomata | JP | Nagoya | 2015-05-07 / 20150126668 - POLYARYLENE SULFIDE RESIN COMPOSITION, MANUFACTURING METHOD OF RESIN COMPOSITION AND MOLDED PRODUCT OF RESIN COMPOSITION | 3 |
Yoshihiro Kanomata | JP | Yokohama | 2010-06-10 / 20100144339 - RADIO ACCESS POINT TESTING METHOD AND TESTING APPARATUS | 2 |
Takeshi Kanomata | JP | Tokyo | 2013-06-27 / 20130161243 - HIGH-PRESSURE FLUORESCENCE FLOW CELL, FLOW CELL ASSEMBLY, FLUORESCENCE DETECTOR, AND SUPERCRITICAL FLUID CHROMATOGRAPH | 4 |
Osamu Kanome | JP | Saitama-Ken | 2016-03-31 / 20160090251 - ELECTROSTATIC ADSORPTIVE BELT AND METHOD OF MANUFACTURING THEREOF, ASSEMBLY, AND CONVEYANCE SYSTEM | 2 |
Naoko Kanome | JP | Sapporo-Shi | 2011-02-24 / 20110045964 - METHOD FOR PRODUCING NOBLE METAL-SUPPORTED PHOTOCATALYST PARTICLES | 1 |
Atsushi Kanome | JP | Tokyo | 2015-10-22 / 20150301454 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND RESIST PATTERN FORMING METHOD | 3 |
Yuji Kanome | JP | Yokohama-Shi | 2016-02-25 / 20160052279 - PRINTING DEVICE | 21 |
Osamu Kanome | JP | Saitama | 2015-07-30 / 20150214627 - ANTENNA APPARATUS AND ELECTRONIC DEVICE | 2 |
Osamu Kanome | JP | Yokohama-Shi | 2010-06-17 / 20100151510 - SUBSTRATE FOR CELL CULTURE, PRODUCING METHOD THEREOF AND SCREENING METHOD FOR CELL CULTURE CONDITIONS UTILIZING THE SAME | 2 |
Ryuzo Kanomi | JP | Hyogo | 2011-01-27 / 20110020762 - ORTHODONTIC BRACKET | 1 |
Avi Kanon | US | Jersusalem | 2014-06-26 / 20140181535 - TAP-TO-WAKE AND TAP-TO-LOGIN NEAR FIELD COMMUNICATION (NFC) DEVICE | 1 |
Lars Kanon | SE | Uppsala | 2014-04-24 / 20140109374 - AUTOMATED INSTALLATION PROCEDURE FOR A DISPOSABLE FLOW PATH | 2 |
Ashur William Kanon | US | Folsom | 2012-06-14 / 20120145489 - MACHINE-ROOM-LESS ELEVATOR SYSTEM AND METHOD THEREOF | 1 |
Konstantinos Kanonakis | US | New Brunswick | 2016-04-14 / 20160105376 - Network Virtualization and Resource Allocation For the Internet of Things | 9 |
Konstantinos Kanonakis | US | New Brunswick | 2016-04-14 / 20160105376 - Network Virtualization and Resource Allocation For the Internet of Things | 9 |
Konstantinos Kanonakis | GR | Athens | 2013-02-21 / 20130045012 - Multi-Service Provisioning in Orthogonal Frequency Division Multiplexing-Passive Optical network OFDMA-PON | 1 |
Konstantinos Kanonakis | GB | Athens | 2013-07-04 / 20130170826 - 802.3av Compliant Method Using Small Timescale Bandwidth Assignment for Increased ONU Downstream Energy Efficiency | 1 |
Uri Kanonich | IL | Hertzelia | 2008-09-04 / 20080212971 - Wireless Infrared Multimedia System | 1 |
Zvika Kanonich | IL | Caesarea | 2016-02-11 / 20160037908 - WORKING STATION | 1 |
Madhu Kanoor | US | Parsippany | 2012-04-19 / 20120096135 - MANAGING SETTINGS OF COMPUTING DEVICES | 1 |
Yuichiro Kanoshima | JP | Kanagawa | 2009-02-26 / 20090051942 - Image output apparatus using radio contact element | 1 |
Keisaku Kanou | JP | Himeji | 2011-09-22 / 20110227275 - STACKED OBJECT FEED-OUT APPARATUS AND METHOD FOR FEEDING OUT STACKED OBJECTS | 1 |
Makoto Kanou | JP | Kanagawa | 2011-01-27 / 20110021819 - PROCESS FOR PRODUCTION OF AMIDE COMPOUNDS | 1 |
Naoya Kanou | JP | Yamato-Shi | 2012-02-02 / 20120024459 - METHOD FOR MANUFACTURING MULTILAYER FOAM | 1 |
Akio Kanou | JP | Ome | 2015-06-25 / 20150180269 - POWER SUPPLY DEVICE | 1 |
Makoto Kanou | JP | Yokohama-Shi | 2014-05-15 / 20140134683 - METHOD FOR PRODUCING ACRYLAMIDE | 5 |
Seigo Kanou | JP | Anjo-Shi | 2014-07-10 / 20140195135 - VEHICLE DRIVE CONTROL DEVICE | 1 |
Shigehiro Kanou | JP | Koga-Shi | 2013-08-22 / 20130216782 - DECORATIVE RESIN SHEET, AND MOLDED RESIN ARTICLE AND PROCESS FOR PRODUCTION THEREOF | 1 |
Motoaki Kanou | JP | Kodaira-Shi | 2014-06-12 / 20140158269 - RUBBER COMPOSITION AND PNEUMATIC TIRE USING THE RUBBER COMPOSITION | 2 |
Yasuaki Kanou | JP | Isesaki-Shi | 2015-03-05 / 20150064039 - FLUID MACHINE AND RANKINE CYCLE | 3 |
Takehiro Kanou | JP | Yokkaichi | 2015-05-14 / 20150132977 - ELECTRICAL CONNECTION BOX | 1 |
Mitsuhiro Kanou | JP | Kariya-Shi | 2012-05-03 / 20120107628 - Three-Metallic-Component Type Composite Nanometallic Paste, Method Of Bonding, And Electronic Component | 1 |
Tatsuo Kanou | JP | Sakura-Shi | 2012-05-03 / 20120103398 - SURFACE-TREATED SUBSTRATE, LIGHT-RECEIVING-SIDE PROTECTIVE SHEET FOR SOLAR CELL USING THE SAME, AND SOLAR CELL MODULE | 1 |
Motoaki Kanou | JP | Tokyo | 2014-01-23 / 20140024762 - RUBBER COMPOSITION FOR PNEUMATIC TIRE | 3 |
Rui Kanou | JP | Yamanashi | 2014-08-28 / 20140240488 - APPEARANCE INSPECTION DEVICE AND METHOD FOR OBJECT HAVING LINE PATTERN | 1 |
Takeshi Kanou | JP | Susono-Shi | 2013-09-26 / 20130253731 - VEHICLE CONTROL SYSTEM | 1 |
Osamu Kanou | JP | Chigasaki-Shi | 2014-11-13 / 20140334964 - Alpha + beta or beta titanium alloy and method for producing same | 2 |
Takeshi Kanou | JP | Nisshin-Shi | 2015-04-30 / 20150120134 - CHARACTERISTIC CHANGE DETECTION DEVICE FOR STEERING TRANSMISSION SYSTEM | 1 |
Kazuyo Kanou | JP | Yokohama-Shi | 2014-09-25 / 20140285681 - MULTI-VIEW IMAGING APPARATUS AND METHOD OF SENDING IMAGE DATA | 2 |
Tetsuya Kanou | JP | Toyota | 2016-02-04 / 20160029886 - OPTICAL COHERENCE TOMOGRAPHY APPARATUS AND FUNDUS IMAGE PROCESSING PROGRAM | 1 |
Hideki Kanou | US | 2008-10-02 / 20080238849 - Liquid crystal display device | 1 | |
Kazuyo Kanou | JP | Kanagawa | 2015-03-12 / 20150071605 - MOVING IMAGE PLAYER DEVICE | 1 |
Mitsunari Kanou | JP | Seto | 2009-07-02 / 20090166150 - METHOD AND APPARATUS FOR DISCRIMINATING DOCUMENTS | 1 |
Kazuhiko Kanou | JP | Takasaki-Shi | 2014-06-19 / 20140169721 - THRUST ROLLER BEARING AND METHOD FOR MANUFACTURING THRUST RACE THEREOF | 1 |
Tetsuya Kanou | JP | Toyota-Shi | 2015-10-01 / 20150272432 - OPHTHALMIC PHOTOGRAPHY DEVICE, OPHTHALMIC PHOTOGRAPHY METHOD, AND OPHTHALMIC PHOTOGRAPHY PROGRAM | 1 |
Terunari Kanou | JP | Tokyo | 2011-06-30 / 20110155450 - PRINTED CIRCUIT BOARD AND ELECTRONIC APPARATUS | 1 |
Satoshi Kanou | JP | Ebina-Shi | 2009-10-15 / 20090257074 - RECORDING MATERIAL MOVING DEVICE AND IMAGE FORMING DEVICE | 3 |
Kazuhiko Kanou | JP | Gunma | 2011-06-30 / 20110158573 - THRUST ROLLER BEARING AND METHOD FOR MANUFACTURING THRUST RACE THEREOF | 1 |
Hideki Kanou | JP | Tokyo | 2009-12-10 / 20090303265 - LCD DEVICE AND METHOD OF CONTROLLING THE SAME | 3 |
Tadahiko Kanou | JP | Sakura-Shi | 2015-11-19 / 20150329049 - OBJECT DETECTION DEVICE, DRIVING ASSISTANCE DEVICE, OBJECT DETECTION METHOD, AND OBJECT DETECTION PROGRAM | 2 |
Hirotaka Kanou | JP | Toyokawa-Shi | 2015-08-06 / 20150220031 - FUSER AND IMAGE FORMING APPARATUS | 4 |
Hisashi Kanou | JP | Kumamoto | 2016-02-18 / 20160049570 - THERMOELECTRIC CONVERSION MODULE | 1 |
Takekazu Kanou | JP | Kariya-City | 2012-03-22 / 20120067077 - Torque estimating divice for compressor | 1 |
Taikan Kanou | JP | Kawasaki-Shi | 2015-02-26 / 20150054998 - SOLID-STATE IMAGING APPARATUS | 3 |
Terunari Kanou | JP | Hamura-Shi | 2013-08-29 / 20130220662 - ELECTRONIC APPARATUS AND PRINTED WIRING BOARD | 5 |
Taiki Kanou | JP | Aichi-Ken | 2011-05-19 / 20110116966 - ALUMINUM ALLOY, METHOD OF CASTING ALUMINUM ALLOY, AND METHOD OF PRODUCING ALUMINUM ALLOY PRODUCT | 1 |
Masanobu Kanou | JP | Hino-Shi | 2010-05-20 / 20100125059 - 1-BIARYLAZETIDINONE DERIVATIVE | 1 |
Taizou Kanou | JP | Kumagaya | 2010-05-27 / 20100130134 - NONLINEAR DISTORTION COMPENSATING APPARATUS AND METHOD | 1 |
Hiroshi Kanou | JP | Tokyo | 2010-07-15 / 20100176398 - ELECTRONIC DEVICE IMPROVED IN HEAT RADIATION PERFORMANCE FOR HEAT GENERATED FROM ACTIVE ELEMENT | 1 |
Tetsuya Kanou | JP | Hangawa | 2010-07-15 / 20100175934 - OPTIMISED ENERGY STORAGE DEVICE | 1 |
Hideto Kanou | JP | Kanagawa | 2010-07-29 / 20100189031 - Wireless Communication Apparatus | 3 |
Yasuaki Kanou | JP | Gunma | 2010-08-19 / 20100205959 - Waste Heat Utilization Device for Internal Combustion Engine | 2 |
Tadahiko Kanou | JP | Saitama | 2014-12-25 / 20140375815 - IMAGE PROCESSING DEVICE | 3 |
Masayuki Kanou | JP | Kyoto-Shi | 2010-09-23 / 20100242107 - Image Processing Device | 1 |
Hideto Kanou | JP | Yokohama-Shi | 2010-09-23 / 20100238844 - MULTIBAND WIRELESS COMMUNICATION METHOD AND MULTIBAND WIRELESS COMMUNICATION APPARATUS | 1 |
Atsushi Kanou | JP | Anjo-Shi | 2015-11-19 / 20150328796 - CUTTING DEVICE | 2 |
Yasuaki Kanou | JP | Maebashi-Shi | 2011-02-24 / 20110041505 - Waste Heat Utilization Device for Internal Combustion Engine | 2 |
Takehiro Kanou | JP | Yokkaichi-City | 2014-05-01 / 20140118986 - ONBOARD ELECTRONIC CONTROL UNIT | 3 |
Gaku Kanou | JP | Ibaraki | 2014-01-09 / 20140010705 - COPPER OR COPPER REDUCED IN ALPHA RAY EMISSION, AND BONDING WIRE OBTAINED FROM THE COPPER OR COPPER ALLOY AS RAW MATERIAL | 4 |
Takayuki Kanou | JP | Hagagun | / - | 1 |
Tomoki Kanou | JP | Yokkaichi-Shi | 2008-09-18 / 20080223597 - Electrical Connection Box | 1 |
Takayuki Kanou | JP | Tochigi | 2011-01-27 / 20110016947 - HOT BULGE FORMING DIE APPARATUS | 1 |
Akio Kanou | JP | Tokyo | 2016-05-12 / 20160134137 - SYSTEM | 4 |
Kinya Kanou | JP | Osaka-Fu | 2010-11-11 / 20100283216 - BICYCLE WITH TWO FRONT WHEELS | 1 |
Kazuhiko Kanou | JP | Tokyo | 2010-12-09 / 20100312538 - APPARATUS FOR IN SILICO SCREENING, AND METHOD OF IN SILOCO SCREENING | 2 |
Kazuyo Kanou | JP | Yokohama Kanagawa | 2016-03-17 / 20160080687 - IMAGE OUTPUT DEVICE, IMAGE OUTPUT METHOD, AND RECORDING MEDIUM | 1 |
Hideki Kanou | JP | Kawasaki-Shi | 2010-12-23 / 20100321983 - SEMICONDUCTOR MEMORY DEVICE CAPABLE OF DRIVING NON-SELECTED WORD LINES TO FIRST AND SECOND POTENTIALS | 2 |
Kinya Kanou | JP | Osaka | 2011-10-20 / 20110254238 - MULTIPLE WHEEL VEHICLE | 2 |
Hiroyuki Kanou | JP | Aichi | 2015-12-31 / 20150377504 - VENTILATION APPARATUS | 1 |
Kunihiko Kanou | JP | Tokoname-Shi | 2015-08-06 / 20150217964 - PAPER FOLDING APPARATUS AND IMAGE FORMING APPARATUS | 2 |
Muneaki Kanou | JP | Aichi | 2009-02-26 / 20090050019 - 2-Cyanoacrylate Composition | 2 |
Takenori Kanou | JP | Toyota | 2012-03-15 / 20120061199 - DRIVE PLATE AND RING GEAR MEMBER OF DRIVE PLATE | 1 |
Yasunobu Kanou | JP | Shizuoka | 2009-03-19 / 20090071741 - MOTORCYCLE | 1 |
Hideto Kanou | JP | Yokohama | 2009-04-16 / 20090098905 - Multiband Radio Communication Device and Filter Activation Control Method | 1 |
Hirotaka Kanou | JP | Tokokawa-Shi | 2012-11-29 / 20120301195 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Akihiko Kanouda | JP | Hitachinaka-Shi | 2013-04-11 / 20130088201 - BATTERY PACK AND BATTERY PACK CONTROLLER | 1 |
Akihiko Kanouda | JP | Ibaraki | 2009-03-26 / 20090079382 - ELECTRICAL POWER UNIT | 1 |
Akihiko Kanouda | JP | Tokyo | 2015-01-29 / 20150029772 - POWER SUPPLY DEVICE AND METHOD OF OPERATING DEVICE | 3 |
Akihiko Kanouda | JP | Hitachinaka | 2013-08-01 / 20130194698 - POWER CONVERTER, CONTROL METHOD OF POWER CONVERTER, AND HARD DISK DRIVE | 15 |
Tomonori Kanougi | JP | Chiba | 2012-02-09 / 20120031831 - HOLLOW-FIBER ELEMENT FOR ORGANIC-VAPOR SEPARATION | 5 |
Wael Kanoun | FR | Nozay | 2013-05-02 / 20130111548 - METHOD FOR ADAPTING SECURITY POLICIES OF AN INFORMATION SYSTEM INFRASTRUCTURE | 1 |
Wael Kanoun | FR | Paris | 2016-02-25 / 20160057030 - MONITORING INTERACTIONS WITH AUDIOVISUAL CONTENT | 1 |
Mouhcine Kanouni | US | New York | 2009-04-09 / 20090090887 - Antifreeze compositions | 1 |
Toufike Kanouni | US | San Diego | 2015-02-05 / 20150038510 - CINNOLINE DERIVATIVES | 5 |
Toufike Kanouni | US | La Jolla | 2016-05-12 / 20160130247 - INHIBITORS OF LYSINE SPECIFIC DEMETHYLASE-1 | 14 |
Toufike Kanouni | US | La Jolla | 2016-05-12 / 20160130247 - INHIBITORS OF LYSINE SPECIFIC DEMETHYLASE-1 | 14 |
Toufike Kanouni | US | Carlsbad | 2013-07-18 / 20130184252 - DIHYDROPYRROLONAPHTHYRIDINONE COMPOUNDS AS INHIBITORS OF JAK | 1 |
Trevor Kanous | US | Lakewood | 2015-03-19 / 20150075931 - INTERDIGITATED CELLULAR CUSHIONING | 3 |
Yordan Kanov | BG | Mezdra | 2016-03-03 / 20160065550 - DIFFERENT AUTHENTICATION PROFILES | 2 |
Arkadi Kanovich | IL | Haifa | 2015-08-27 / 20150238162 - COMPUTED RADIOGRAPHY POSITIONING METHOD AND SYSTEM | 2 |
Dalibor Kanovsky | CZ | Stare Mesto | 2012-08-23 / 20120212008 - VEHICLE CAB | 1 |
Nachum Kanovsky | US | Sunnyvale | 2015-04-16 / 20150106597 - Computer Processor With Deferred Operations | 1 |
Eric R. Kanowsky | US | Santa Barbara | 2016-02-11 / 20160038229 - TISSUE CONTACT DETECTION PRIOR TO AND DURING AN ABLATION PROCEDURE | 8 |
Eric R. Kanowsky | US | Santa Barbara | 2016-02-11 / 20160038229 - TISSUE CONTACT DETECTION PRIOR TO AND DURING AN ABLATION PROCEDURE | 8 |
Izuru Kanoya | JP | Wako-Shi | 2012-02-16 / 20120040825 - Hydrogen Storage Material and Method for Producing the Same | 5 |
Izuru Kanoya | JP | Fujimina-Shi | 2009-02-05 / 20090035175 - HYDROGEN STORAGE MATERIAL | 1 |
Izuru Kanoya | JP | Saitama | 2013-04-18 / 20130095394 - METAL OXYGEN BATTERY | 1 |
Toshimitsu Kanoyadani | JP | Kanagawa | 2010-08-05 / 20100193335 - Method and device for sorting irregularly shaped articles | 1 |
Ryoji Kanoyadani | JP | Yokohama-Shi | 2014-02-06 / 20140036321 - APPARATUS AND METHOD FOR CONTROLLING THE APPARATUS | 3 |
Bakir Kanpurwala | US | Ann Arbor | 2009-12-10 / 20090303714 - ADJUSTABLE LIGHT | 1 |
Nobuki Kanrei | JP | Kanagawa-Ken | 2014-09-18 / 20140264688 - SOLID STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Nobuki Kanrei | JP | Yokohama Kanagawa | 2016-03-31 / 20160093742 - SEMICONDUCTOR DEVICE | 1 |
Ryoji Kanri | JP | Zushi-Shi | 2016-04-14 / 20160101623 - PROCESSING METHOD OF SILICON SUBSTRATE, FABRICATING METHOD OF SUBSTRATE FOR LIQUID EJECTION HEAD, AND FABRICATING METHOD OF LIQUID EJECTION HEAD | 13 |
Ryoji Kanri | JP | Zushi-Shi | 2016-04-14 / 20160101623 - PROCESSING METHOD OF SILICON SUBSTRATE, FABRICATING METHOD OF SUBSTRATE FOR LIQUID EJECTION HEAD, AND FABRICATING METHOD OF LIQUID EJECTION HEAD | 13 |
Koichi Kanryo | JP | Nagaokakyo-Shi | 2015-05-21 / 20150136839 - METHOD FOR MANUFACTURING ELECTRONIC DEVICE | 1 |
Koichi Kanryo | JP | Kyoto | 2014-07-24 / 20140204550 - MODULE BOARD | 2 |
Koichi Kanryo | JP | Otsu-Shi | 2011-01-13 / 20110006106 - METHOD FOR MANUFACTURING ELECTRONIC COMPONENT MODULE | 1 |
Bipin Parsottam Kansagra | IN | Navi Mumbai | 2015-04-23 / 20150111038 - AMIDES OF 2-AMINO-4-ARYLTHIAZOLE COMPOUNDS AND THEIR SALTS | 1 |
Bipin Parsottam Kansagra | IN | Ahmedabad | 2015-07-23 / 20150203484 - PROCESS FOR THE PREPARATION OF TENELIGLIPTIN | 4 |
Piyush Kumar Kansagra | IN | Gujarat | 2010-01-14 / 20100008987 - Modified Release Pharmaceutical Composition of Bupropion Hydrochloride | 1 |
Markku Kansakoski | FI | Oulu | 2013-01-17 / 20130017609 - APPARATUS AND METHOD FOR INDICATING A PHYSICAL OR CHEMICAL PHENOMENONAANM Kansakoski; MarkkuAACI OuluAACO FIAAGP Kansakoski; Markku Oulu FIAANM Hurme; EeroAACI EspooAACO FIAAGP Hurme; Eero Espoo FIAANM Hakalahti; LeenaAACI OuluAACO FIAAGP Hakalahti; Leena Oulu FIAANM Korhonen; RaimoAACI TampereAACO FIAAGP Korhonen; Raimo Tampere FIAANM Kemppainen; AnttiAACI OuluAACO FIAAGP Kemppainen; Antti Oulu FI | 4 |
Yasumasa Kansaku | JP | Kawasaki-Shi | 2012-02-23 / 20120044558 - DIAPHRAGM DEVICE FOR PROJECTOR | 1 |
Takashi Kansaku | JP | Tokyo | 2015-12-17 / 20150364427 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 3 |
Takashi Kansaku | JP | Chuo-Ku | 2011-11-03 / 20110269308 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Axaya Kansal | IN | Mohali | 2015-10-01 / 20150278802 - METHOD AND DEVICE FOR UTILIZING AN AVAILABLE BALANCE IN MOBILE MONEY ACCOUNT WHILE ROAMING | 1 |
Vivek Kansal | US | San Jose | 2014-08-07 / 20140219638 - Multi-Tier Recorder to Enable Seek-Back Unique Copy Recording | 4 |
Gagan Kansal | IN | Gurgaon | 2016-01-21 / 20160021734 - SEMICONDUCTOR DEVICE WITH ACTIVE SHIELDING OF LEADS | 1 |
Anant Kansal | IN | Bangalore | 2014-12-25 / 20140380436 - DIGITAL RIGHTS MANAGEMENT OF CONTENT WHEN CONTENT IS A FUTURE LIVE EVENT | 9 |
Vinod Kumar Kansal | IN | Faridabad | 2010-12-23 / 20100324055 - SOLID STATES FORMS OF VARENICLINE SALTS AND PROCESSES FOR PREPARATION THEREOF | 7 |
Anant Kansal | IN | Bangalore | 2014-12-25 / 20140380436 - DIGITAL RIGHTS MANAGEMENT OF CONTENT WHEN CONTENT IS A FUTURE LIVE EVENT | 9 |
Sachin S. Kansal | US | Sunnyvale | 2012-01-26 / 20120021767 - UPDATING POSITION ASSIST DATA ON A MOBILE COMPUTING DEVICE | 8 |
Sudhanshu Kansal | IN | New Delhi | 2015-02-26 / 20150056570 - DENTAL WATER JET | 1 |
Aman Kansal | US | Bellevue | 2008-08-21 / 20080199095 - Pixel Extraction And Replacement | 1 |
Rakesh Kansal | US | Scottsdale | 2008-09-11 / 20080217749 - Low Capacitance Transient Voltage Suppressor | 1 |
Aman Kansal | US | Redmond | 2015-12-31 / 20150381425 - OPPORTUNISTICALLY CONNECTING PRIVATE COMPUTATIONAL RESOURCES TO EXTERNAL SERVICES | 9 |
Aman Kansal | US | Redmond | 2015-12-31 / 20150381425 - OPPORTUNISTICALLY CONNECTING PRIVATE COMPUTATIONAL RESOURCES TO EXTERNAL SERVICES | 9 |
Aman Kansal | US | Issaquah | 2014-11-27 / 20140351613 - VIRTUAL MACHINE POWER CONSUMPTION MEASUREMENT AND MANAGEMENT | 35 |
Rajat Kansal | US | Mountain View | 2015-03-19 / 20150081630 - Generating Offline Content | 1 |
Manish Kansal | IN | Hyderbad | 2013-01-24 / 20130024431 - EVENT DATABASE FOR EVENT SEARCH AND TICKET RETRIEVAL | 1 |
Sudhanshu Kansal | IN | Delhi | 2013-11-14 / 20130302744 - ORTHODONTIC SEPARATOR | 1 |
Vinod Kumar Kansal | IN | Haryana | 2014-04-03 / 20140094604 - NEW INTERMEDIATES AND PROCESSES FOR PREPARING TICAGRELOR | 22 |
Sachin S. Kansal | US | Sunnyvale | 2012-01-26 / 20120021767 - UPDATING POSITION ASSIST DATA ON A MOBILE COMPUTING DEVICE | 8 |
Sachin Kansal | US | Sunnyvale | 2016-03-03 / 20160063562 - SYSTEM AND METHOD FOR PROVIDING ADVERTISEMENT DATA TO A MOBILE COMPUTING DEVICE | 3 |
Ankit Kansara | IN | Bangalore | 2010-06-10 / 20100142531 - METHOD AND SYSTEM FOR ENHANCING ROUTING IN MULTIPROTOCOL LABEL SWITCHING (MPLS) | 1 |
Himanshu Kansara | US | Santa Clara | 2015-10-08 / 20150286802 - SYSTEM AND METHOD FOR CLINICAL TRIAL MANAGEMENT | 1 |
Peter Kanschat | DE | Soest | 2015-06-11 / 20150162407 - Semiconductor Device with Recombination Region | 17 |
Yasuki Kansha | JP | Tokyo | 2016-03-24 / 20160083266 - SEAWATER DESALINATION DEVICE AND SEAWATER DESALINATION METHOD | 5 |
Jaroslaw Kansi | US | Blacksburg | 2012-06-07 / 20120142719 - Benzoic acid, benzoic acid derivatives and heteroaryl carboxylic acid conjugates of hydrocodone, prodrugs, methods of making and use thereof | 1 |
Can Kansin | TR | Istanbul | 2016-04-28 / 20160118083 - Interactive Video Generation | 1 |
Urszula Kanska | PL | Jelenia Gora | 2011-10-06 / 20110245466 - METHOD OF PRODUCTION OF POLYANIONIC DRUG-CARRIER CONJUGATES | 1 |
Manoj Kanskar | US | Portland | 2016-04-14 / 20160104997 - MULTIPLE FLARED LASER OSCILLATOR WAVEGUIDE | 6 |
Manoj Kanskar | US | Madison | 2011-05-19 / 20110116523 - METHOD OF BEAM FORMATTING SE-DFB LASER ARRAY | 4 |
Jaroslaw Kanski | US | Blacksburg | 2015-11-26 / 20150335759 - Benzoic Acid, Benzoic Acid Derivatives and Heteroaryl Carboxylic Acid Conjugates of Hydrocodone, Prodrugs, Methods of Making and Use Thereof | 11 |
Jaroslaw Kanski | US | Blacksburg | 2015-11-26 / 20150335759 - Benzoic Acid, Benzoic Acid Derivatives and Heteroaryl Carboxylic Acid Conjugates of Hydrocodone, Prodrugs, Methods of Making and Use Thereof | 11 |
Ali Kanso | CA | Montreal | 2014-11-06 / 20140331079 - Disable Restart Setting for AMF Configuration Components | 8 |
Ali Kanso | CA | Montreal | 2014-11-06 / 20140331079 - Disable Restart Setting for AMF Configuration Components | 8 |
Ali Kanso | CA | Ville Saint-Laurent | 2015-04-02 / 20150095708 - AUTOMATIC GENERATION OF ENTITY TYPES FILES | 3 |
Martti Kansola | FI | Tampere | 2010-03-25 / 20100074044 - CEMENT SILO STRUCTURE FOR MINING MACHINE | 1 |
Hassan Kansoul | SE | Norsborg | 2011-09-22 / 20110230902 - ANASTOMOSIS DEVICE | 2 |
Stig Kare Kanstad | NO | Fana | 2012-05-03 / 20120103621 - SUBSEA SYSTEM WITH SUBSEA COOLER AND METHOD FOR CLEANING THE SUBSEA COOLER | 2 |
Stig Kaare Kanstad | NO | Bergen | 2015-10-08 / 20150285035 - CONTROLLED PRESSURE EQUALIZATION | 1 |
Stig Kaare Kanstad | NO | Fana | 2014-01-23 / 20140020876 - Cross Reference to Related Applications | 1 |
Stig Kanstad | NO | Bergen | 2015-07-02 / 20150184490 - METHOD AND APPARATUS FOR REMOVING HYDRATE PLUGS | 1 |
Stig Kåre Kanstad | NO | Fana | 2012-06-21 / 20120152558 - HEAT TRANSPORT DEAD LEG | 2 |
Gerasimos Kanstantatos | ES | Castelldfels | 2013-01-10 / 20130009129 - QUANTUM DOT OPTICAL DEVICES WITH ENHANCED GAIN AND SENSITIVITY AND METHODS OF MAKING SAME | 1 |
Janice W. Kansy | US | Coronado | 2012-06-07 / 20120141490 - Antibodies That Bind Selectively To P25 And Uses Therefor | 1 |
Manfred Kansy | DE | Freiburg | 2009-07-23 / 20090187365 - Method for Assessing Absorption Properties of Low Solubility Compounds | 2 |
Heinz Kansy | DE | Koln | 2009-12-03 / 20090294364 - METHOD FOR THE PRODUCTION OF CHEMICAL AND PHARMACEUTICAL PRODUCTS WITH INTEGRATED MULTICOLUMN CHROMATOGRAPHY | 1 |
Shashi Kant | DK | Aalborg East | 2010-04-15 / 20100091891 - LINK QUALITY METRIC BASED ANTENNA SELECTION FOR TRANSCEIVERS | 1 |
Joydeep Kant | IN | Haryana | 2010-06-10 / 20100145099 - NOVEL POLYMORPHIC FORMS OF MILNACIPRAN HYDROCHLORIDE | 1 |
Peter Kant | US | Arlington | 2012-06-14 / 20120148020 - INTEGRATED PORTABLE CHECKPOINT SYSTEM | 1 |
Shashi Kant | IN | Faridabad | 2015-10-01 / 20150274857 - DISTANCE MEASURING METHOD AND DISTANCE MEASURING ELEMENT | 4 |
Michael Kant | DE | Berlin | 2014-10-30 / 20140322360 - METHOD AND DEVICE FOR ATTRACTING BLOOD-SUCKING ARTHROPODS | 3 |
Tushar Beuria Kant | IN | Mumbai | 2011-07-21 / 20110178142 - PHARMACEUTICAL COMPOSITION FOR THERAPEUTIC OR PROPHYLACTIC TREATMENT OF BACTERIAL INFECTIONS AND ASSOCIATED DISEASES | 1 |
Shashi Kant | SE | Kista | 2014-12-18 / 20140369300 - Iterative Interference Cancellation Method | 2 |
Rishi Kant | US | Boulder | 2013-06-06 / 20130141809 - REMOVABLE WINDOW INSULATOR | 2 |
Rudra Kant | IN | Chas | 2015-03-26 / 20150088784 - SYSTEM AND METHOD OF MESSAGE THREAD MANAGEMENT | 1 |
Pragya Kant | CA | Truro | 2011-06-23 / 20110152099 - BIOACTIVE COMPOUNDS OF ASCOPHYLLUM NODOSUM AND THEIR USE FOR ALLEVIATING SALT-INDUCED STRESS IN PLANTS | 1 |
Joydeep Kant | US | Cherry Hill | 2009-12-31 / 20090326263 - Process for the preparation of aniline-derived thyroid receptor ligands | 1 |
Mayank Kant | IN | Bangalore | 2015-05-14 / 20150135012 - NETWORK NODE FAILURE PREDICTIVE SYSTEM | 1 |
Latha Kant | US | Basking Ridge | 2012-10-11 / 20120257498 - METHOD AND SYSTEM FOR PROVIDING END-TO-END QoS IN CONVERGED NETWORKS USING PROBABILISTIC PREFERENTIAL ADMISSION CONTROL | 4 |
Shashi Kant | SE | Lund | 2015-05-28 / 20150146546 - METHOD AND NODES IN A WIRELESS COMMUNICATION SYSTEM | 4 |
Nishi Kant | US | Fremont | 2016-05-19 / 20160142366 - METHOD AND SYSTEM FOR AUTOMATIC PROVISIONING OF ENTERPRISE PRIVATE NETWORK OVER 3G/4G MOBILE WIRELESS NETWORKS WHILE MAINTAINING RESPECTIVELY CONSISTENT IDENTITIES | 14 |
Ravi Kant | US | Savage | 2011-12-01 / 20110295545 - METHOD AND SYSTEM FOR DETECTING ABNORMAL OPERATION IN A HYDROCRACKER | 2 |
Johannes Kant | DE | Erkner | 2014-10-30 / 20140322360 - METHOD AND DEVICE FOR ATTRACTING BLOOD-SUCKING ARTHROPODS | 1 |
Sashi Kant | SE | Lund | 2011-10-27 / 20110261868 - Channel Quality Determination of a Wireless Communication Channel Based on Received Data | 1 |
Abhay Sudhakarrao Kant | IN | Dubai | 2009-02-05 / 20090037121 - SYSTEM AND METHOD FOR DETECTION OF ROTOR ECCENTRICITY BASELINE SHIFT | 1 |
Benjamin Erich Kant | US | Lexington | 2014-03-20 / 20140079441 - Volumetric Toner Cartridge Having Toner Agitators | 6 |
Devanshu Kant | US | San Diego | 2010-09-23 / 20100237991 - BIOMETRIC SCANNING ARRANGEMENT AND METHODS THEREOF | 1 |
Krishna Kant | US | Portland | 2009-07-02 / 20090172681 - SYSTEMS, METHODS AND APPARATUSES FOR CLOCK ENABLE (CKE) COORDINATION | 5 |
Surya Kant | AU | Horsham | 2013-03-21 / 20130074214 - Nitrogen Responsive Early Nodulin Gene | 1 |
Rishi Kant | US | Belmont | 2015-04-02 / 20150090661 - LOW RESISTANCE MICROFABRICATED FILTER | 2 |
Abhay Sudhakar Rao Kant | AE | Dubai | 2008-08-28 / 20080208429 - Systems and methods for steam turbine remote monitoring, diagnosis and benchmarking | 1 |
Shashi Kant | US | Cambridge | 2012-05-17 / 20120124029 - CROSS MEDIA KNOWLEDGE STORAGE, MANAGEMENT AND INFORMATION DISCOVERY AND RETRIEVAL | 1 |
Nishi Kant | US | Fremont | 2016-05-19 / 20160142366 - METHOD AND SYSTEM FOR AUTOMATIC PROVISIONING OF ENTERPRISE PRIVATE NETWORK OVER 3G/4G MOBILE WIRELESS NETWORKS WHILE MAINTAINING RESPECTIVELY CONSISTENT IDENTITIES | 14 |
Nishi Kant | US | San Jose | 2014-01-30 / 20140029519 - Wireless Architecture in Support of Voice and Data Communications | 7 |
Raisa Kantaev | IL | Ashdod | 2011-07-14 / 20110171137 - NOVEL NANOSTRUCTURES AND PROCESS OF PREPARING SAME | 1 |
Kamol Kantajaraniti | US | 2015-02-26 / 20150052956 - GEAR LEVER LOCKING DEVICE | 1 | |
Kamol Kantajaraniti | TH | Bangkhane | 2012-09-13 / 20120229265 - Gear Lever Locking Device | 1 |
Kamol Kantajaraniti | TH | Bangkok | 2015-02-26 / 20150052956 - GEAR LEVER LOCKING DEVICE | 3 |
Darshan V. Kantak | US | Pasadena | 2014-02-27 / 20140058845 - ESTIMATING ON-LINE ADVERTISING INVENTORY VALUE BASED ON CONTRACT ELIGIBILITY INFORMATION | 16 |
Ameya Kantak | US | Sunnyvale | 2009-08-27 / 20090211690 - Rapid Prototyping of Microstructures Using a Cutting Plotter | 1 |
Darshan V. Kantak | US | Pasadena | 2014-02-27 / 20140058845 - ESTIMATING ON-LINE ADVERTISING INVENTORY VALUE BASED ON CONTRACT ELIGIBILITY INFORMATION | 16 |
Darshan Kantak | US | Pasadena | 2012-01-26 / 20120022937 - ADVERTISEMENT BRAND ENGAGEMENT VALUE | 6 |
Seema Kantak | US | Pacifica | 2011-04-28 / 20110097340 - FULLY HUMAN ANTI-VEGF ANTIBODIES AND METHODS OF USING | 2 |
Ameya Kantak | US | Encino | 2012-10-04 / 20120247642 - RAPID PROTOTYPING OF MICRO-STRUCTURES USING A CUTTING PLOTTER | 1 |
Ameya Kantak | US | Pleasanton | 2015-04-30 / 20150119662 - SENSOR WITH OPTICAL INTERFACE | 1 |
Milind V. Kantak | US | Wilmington | 2016-02-04 / 20160030857 - Component Recovery Process | 1 |
Milind V. Kantak | US | Mayfield Heights | 2009-05-14 / 20090123800 - Post-reformer treatment of reformate gas | 1 |
Darshan Kantak | US | Bellevue | 2015-12-31 / 20150379569 - ASSIGNING SCORES TO ELECTRONIC COMMUNICATIONS WITH EXTENSIONS | 1 |
Ameya S. Kantak | US | Encino | 2011-09-22 / 20110230735 - ANALYTE SENSOR APPARATUSES HAVING IMPROVED ELECTRODE CONFIGURATIONS AND METHODS FOR MAKING AND USING THEM | 1 |
Darshan Vishwanath Kantak | US | Bellevue | 2014-12-25 / 20140379473 - SPONSORED ONLINE CONTENT MANAGEMENT USING QUERY CLUSTERS | 1 |
Shusuke Kantake | JP | Tokyo | 2008-08-21 / 20080201099 - PULSE WIDTH ADJUSTMENT CIRCUIT, PULSE WIDTH ADJUSTMENT METHOD, AND TEST APPARATUS FOR SEMICONDUCTOR DEVICE | 1 |
Shusuke Kantake | JP | Gunma | 2011-10-13 / 20110248733 - TEST APPARATUS AND TEST METHOD | 2 |
Mannepalli Lakshmi Kantam | IN | Andhra Pradesh | 2010-04-01 / 20100081084 - NOVEL DIAZONAPHTHOQUINONESULFONIC ACID BISPHENOL DERIVATIVE USEFUL IN PHOTO LITHOGRAPHIC SUB MICRON PATTERNING AND A PROCESS FOR PREPARATION THEREOF | 1 |
M. Lakshmi Kantam | IN | Hyderabad | 2011-12-22 / 20110313158 - Two Component Recyclable Heterogeneous Catalyst, Process for Preparation Thereof and its Use for Preparation of Amines | 1 |
Mannepalli Lakshmi Kantam | IN | Hyderabad | 2010-06-17 / 20100147683 - NOVEL POTENTIOMETRIC CHOLESTEROL SENSOR FOR THE QUANTITATIVE ESTIMATION OF TOTAL CHOLESTEROL IN HUMAN BLOOD SERUM | 1 |
Shobha Kantamneni | US | Fairfax | 2008-12-25 / 20080315147 - PERFLUOROALKYL SUBSTITUTED ACRYLATE MONOMERS AND POLYMERS THEREOF | 1 |
Siva P. Kantamneni | US | Largo | 2015-11-26 / 20150339784 - Avatar Service for Multi-Channel Systems With Full-Scale Business Context Sensitivity | 3 |
Harish Kantamneni | US | Redmond | 2011-11-10 / 20110276953 - DYNAMIC TOKEN RESOLUTION DURING COMPILATION | 6 |
Harish V. Kantamneni | US | Redmond | 2009-08-06 / 20090199159 - DECLARATIVE EXECUTION CONTEXT SPLITTING | 2 |
Raj Gopal Kantamneni | US | San Jose | 2009-01-22 / 20090024964 - CALCULATING COGNITIVE EFFICIENCY SCORE FOR NAVIGATIONAL INTERFACES BASED ON EYE TRACKING DATA | 1 |
Kaisa Kantanen | FI | Riihimaki | 2015-12-31 / 20150375960 - BRAKE, AND ELEVATOR SYSTEM | 1 |
Juho Kantanen | FI | Vuorentausta | 2016-03-03 / 20160060067 - METHOD AND ARRANGEMENT FOR HANDLING NARROW ROLLS | 3 |
Seiko Kantani | JP | Shiga | 2009-10-29 / 20090266762 - METHOD FOR OPERATING REVERSE OSMOSIS MEMBRANE FILTRATION PLANT, AND REVERSE OSMOSIS MEMBRANE FILTRATION PLANT | 1 |
Seiko Kantani | JP | Otsu-Shi, Shiga | 2015-11-19 / 20150329381 - ELEMENT UNIT, SEPARATION MEMBRANE MODULE, ATTACHING AND DETACHING METHOD FOR SEPARATION MEMBRANE ELEMENT (AS AMENDED) | 1 |
Seiko Kantani | JP | Otsu | 2015-01-22 / 20150021260 - SEPARATION MEMBRANE ELEMENT AND SEPARATION MEMBRANE MODULE | 1 |
Seiko Kantani | JP | Otsu-Shi | 2013-11-21 / 20130306559 - CHEMICAL CLEANING METHOD FOR IMMERSED MEMBRANE ELEMENT | 1 |
Nicholas W. Kantany | US | Manchester | 2016-04-07 / 20160097327 - SYNCHRONIZED AIR MODULATING SYSTEM | 1 |
Nicholas W. Kantany | US | Holden | 2012-01-12 / 20120009853 - PORTABLE DRILL BIT SHARPENER | 1 |
Carla Kantara | US | Dickinson | 2015-12-17 / 20150359855 - METHODS OF USING THROMBIN DERIVATIVES TO TREAT MEDULLOBLASTOMA | 1 |
Albena Kantardzhieva | US | Cambridge | 2016-03-03 / 20160061818 - ISLET1 (ISL1) And Hearing Loss | 2 |
Harini Kantareddy | IN | Hyderabad | 2014-09-18 / 20140281755 - Identify Failed Components During Data Collection | 1 |
Jeffrey Kantarek | US | Chicago | 2010-06-10 / 20100145765 - Methods and Systems for Conducting Research on an Airplane | 1 |
Jeff Kantarek | US | Blue Island | / - | 1 |
Jeff Kantarek | US | Chicago | 2015-10-01 / 20150275240 - METHODS AND MATERIALS FOR CULTIVATION AND/OR PROPAGATION OF A PHOTOSYNTHETIC ORGANISM | 1 |
Stefanos Kantaridis | BE | Brussels | 2016-05-05 / 20160122688 - HARD SURFACE CLEANERS COMPRISING ETHOXYLATED ALKOXYLATED NONIONIC SURFACTANTS | 1 |
Hagop Kantarjian | US | Bellaire | / - | 1 |
Christopher Kantarjiev | US | Palo Alto | 2016-04-07 / 20160098669 - TECHNIQUES FOR PROCESSING CUSTOMER SERVICE TRANSACTIONS AT CUSTOMER SITE USING MOBILE COMPUTING DEVICE | 8 |
Christopher Angel Kantarjiev | US | Palo Alto | 2009-04-09 / 20090094085 - Scheduling delivery of products via the internet | 1 |
Christopher A. Kantarjiev | US | Palo Alto | 2016-04-14 / 20160102981 - POSITION ACCURACY TESTING SYSTEM | 4 |
Christopher Kantarjiev | US | Palo Alto | 2016-04-07 / 20160098669 - TECHNIQUES FOR PROCESSING CUSTOMER SERVICE TRANSACTIONS AT CUSTOMER SITE USING MOBILE COMPUTING DEVICE | 8 |
Yulia Kantarovich | IL | Rehovot | 2013-01-03 / 20130007213 - Optimized Cooperation Between Resource List Servers and Presence Servers | 2 |
Panagiotis Kantartzis | GB | London | 2014-01-16 / 20140015852 - Method Of Processing Image Data From An Electromagnetic Tomography Machine | 1 |
Murat Kantas | TR | Istanbul | 2014-08-21 / 20140231407 - Oven With Increased Cooking Efficiency | 1 |
Saïd Kantas | FR | Le Cres | 2011-03-31 / 20110073566 - WINDING FOR A CONTACT OF A MEDIUM-VOLTAGE VACUUM CIRCUIT-BREAKER WITH IMPROVED ENDURANCE, AND AN ASSOCIATED CIRCUIT-BREAKER OR VACUUM CIRCUIT-BREAKER, SUCH AS AN AC GENERATOR DISCONNECTOR CIRCUIT-BREAKER | 3 |
Saïd Kantas | FR | Le Cres | 2011-03-31 / 20110073566 - WINDING FOR A CONTACT OF A MEDIUM-VOLTAGE VACUUM CIRCUIT-BREAKER WITH IMPROVED ENDURANCE, AND AN ASSOCIATED CIRCUIT-BREAKER OR VACUUM CIRCUIT-BREAKER, SUCH AS AN AC GENERATOR DISCONNECTOR CIRCUIT-BREAKER | 3 |
Saïd Kantas | FR | Le Cres | 2011-03-31 / 20110073566 - WINDING FOR A CONTACT OF A MEDIUM-VOLTAGE VACUUM CIRCUIT-BREAKER WITH IMPROVED ENDURANCE, AND AN ASSOCIATED CIRCUIT-BREAKER OR VACUUM CIRCUIT-BREAKER, SUCH AS AN AC GENERATOR DISCONNECTOR CIRCUIT-BREAKER | 2 |
Saïd Kantas | FR | Le Cres | 2011-03-31 / 20110073566 - WINDING FOR A CONTACT OF A MEDIUM-VOLTAGE VACUUM CIRCUIT-BREAKER WITH IMPROVED ENDURANCE, AND AN ASSOCIATED CIRCUIT-BREAKER OR VACUUM CIRCUIT-BREAKER, SUCH AS AN AC GENERATOR DISCONNECTOR CIRCUIT-BREAKER | 2 |
Said Kantas | FR | Montpellier | 2015-06-11 / 20150162151 - ARC CONTROL DEVICE FOR VACUUM BULB | 2 |
Kantatsu Co., Ltd. | JP | Yaita-Shi | 2013-07-25 / 20130188264 - IMAGE PICKUP LENS | 7 |
Kantatsu Co., Ltd. | US | 2013-08-29 / 20130222927 - IMAGING LENS AND IMAGING DEVICE | 2 | |
Anshul Kantawala | US | Frederick | 2010-10-07 / 20100254262 - Tuning congestion control in IP multicast to mitigate the impact of blockage | 1 |
Jordan Kantchev | CA | Longueuil | 2015-06-04 / 20150153091 - TRANSCRITICAL R744 REFRIGERATION SYSTEM FOR SKATING RINKS WITH TOTAL CONDENSATION AND WITHOUT FLASH-GAS BYPASS | 4 |
Kris Kantebet | US | Canton | 2009-01-22 / 20090019843 - Approach for Delivering a Liquid Reductant into an Exhaust Flow of a Fuel Burning Engine | 1 |
Richard Allen Kanten | US | Hudsonville | 2014-12-04 / 20140358090 - MEDICAL TUBE HARNESS | 1 |
James P. Kanter | US | San Ramon | 2016-04-14 / 20160101089 - NOVEL PHARMACEUTICAL SALTS AND POLYMORPHS OF A FACTOR XA INHIBITOR | 6 |
Andrew S. Kanter | US | New York | 2014-05-01 / 20140122117 - Method and System for Concept-Based Terminology Management | 1 |
Jennifer L. Kanter | US | Boston | 2013-10-24 / 20130281409 - Myelin Sheath Fatty Acids that Resolve Neuroinflammation | 1 |
Jeffrey Andrew Kanter | US | San Francisco | 2015-09-17 / 20150261760 - PROVIDING RECOMMENDATIONS ON A SOCIAL NETWORKING SYSTEM PAGE | 11 |
James Kanter | US | Hayward | 2013-08-01 / 20130197230 - METHODS OF PREPARING QUINOLINE DERIVATIVES | 2 |
Andrew Stuart Kanter | US | Northbrook | 2013-03-28 / 20130080191 - Method for Implementing a Controlled Medical Vocabulary | 4 |
David M. Kanter | US | San Diego | 2015-12-17 / 20150363892 - SYSTEMS METHODS AND COMPUTER PROGRAM PRODUCTS FOR ENCODING AND DECODING TAX RETURN DATA | 2 |
Theo Gerrit Kanter | SE | Ronninge | 2011-09-15 / 20110222427 - Method and Apparatus For Enabling Services and Media in a Communication Network | 8 |
Joan R. Kanter | US | Imperial Beach | 2011-05-19 / 20110117562 - Diagnosis and Treatment of Chronic Lymphocytic Leukemia (CLL) | 2 |
Max Kanter | US | San Francisco | 2014-10-23 / 20140317128 - NATURAL LANGUAGE SEARCH | 1 |
Jeff Kanter | US | 2014-12-11 / 20140365320 - VIEW-BASED PLACEMENT OF ADVERTISEMENTS IN SCROLLABLE ADVERTISEMENT UNITS | 1 | |
Kara Kanter | US | Roswell | 2011-08-04 / 20110187051 - Board Game Teaching Healthy Eating Habits | 2 |
Ray D. Kanter | US | San Antonio | 2015-11-12 / 20150322680 - PROTECTIVE FLOORING SYSTEM | 1 |
Theo Gerrit Kanter | SE | Ronninge | 2011-09-15 / 20110222427 - Method and Apparatus For Enabling Services and Media in a Communication Network | 8 |
Theo Kanter | SE | Ronninge | 2010-06-17 / 20100151817 - Method And Apparatus For Monitoring Client Behaviour | 3 |
Jeff Kanter | US | San Francisco | 2014-06-12 / 20140164500 - Transmission Of Notifications For Retrieving An Application On A Mobile Client Device | 2 |
Ricardo E Kanter | US | Pasadena | 2013-03-21 / 20130070915 - System and Method for Selection of On Hold Media Content | 1 |
Gregory S. Kanter | US | Chicago | 2014-08-21 / 20140233942 - System and method for measuring the phase of a modulated optical signal | 12 |
James Kanter | US | South San Francisco | 2010-09-23 / 20100240893 - NITROGENOUS HETEROCYCLIC COMPOUNDS AND PROCESS FOR MAKING NITROGENOUS HETEROCYCLIC COMPOUNDS AND INTERMEDIATES THEREOF | 1 |
Gregg Kanter | US | Boston | 2011-06-02 / 20110129438 - IMMUNOGENIC PROTEIN CONSTRUCTS | 2 |
Daniel Kanter | US | Cincinnati | 2010-01-07 / 20100003764 - OPTICAL SENSOR | 1 |
Jonathan Kanter | US | Olympic Valley | 2014-11-20 / 20140344648 - TURBO DECODING TECHNIQUES | 3 |
Ray D. Kanter | US | 2011-08-18 / 20110197531 - Protective Flooring System | 1 | |
Aaron Kanter | US | Somerville | 2016-04-21 / 20160108091 - CYCLIC POLYPEPTIDES FOR THE TREATMENT OF HEART FAILURE | 4 |
David Levy Kanter | US | San Francisco | 2016-02-11 / 20160044239 - DIAGONAL COLLECTION OF OBLIQUE IMAGERY | 5 |
Adam Kanter | US | Gibsonia | 2013-07-25 / 20130190575 - Lateral Retractor System and Methods of Use | 1 |
Jeffrey Andrew Kanter | US | San Francisco | 2015-09-17 / 20150261760 - PROVIDING RECOMMENDATIONS ON A SOCIAL NETWORKING SYSTEM PAGE | 11 |
Brian Kanter | US | Lincoln | 2011-05-05 / 20110102685 - Digital Picture Frame with Cellular Data Communication Functionality | 1 |
Ross Kanter | US | Wrentham | 2014-01-23 / 20140024985 - COMPRESSION GARMENT ASSEMBLY | 3 |
Jeffrey Andrew Kanter | US | 2013-05-30 / 20130139067 - Changing Identities in a Social Networking System | 1 | |
Noah Kanter | US | Seattle | 2016-04-28 / 20160114251 - Game Rewards Based on Device Characteristics | 1 |
Daniel S. Kanter | US | Cincinnati | 2016-02-11 / 20160041105 - Optical Sensor | 1 |
Ofir Avraham Kanter | IL | Yoqneam | 2010-05-27 / 20100131831 - LOW POWER CHIEN-SEARCH BASED BCH/RS DECODING SYSTEM FOR FLASH MEMORY, MOBILE COMMUNICATIONS DEVICES AND OTHER APPLICATIONS | 3 |
Ofir Avraham Kanter | IL | Yokneam Ilit | 2012-01-05 / 20120005558 - SYSTEM AND METHOD FOR DATA RECOVERY IN MULTI-LEVEL CELL MEMORIES | 2 |
Ayelet Kanter | IL | Yokneam Ilit | 2014-07-31 / 20140214730 - SYSTEM AND METHOD FOR NEURAL MODELING OF NEUROPHYSIOLOGICAL DATA | 5 |
Andrew S. Kanter | US | Highland Park | 2015-06-04 / 20150154362 - Method and System for Concept-Based Terminology Management | 1 |
Gregory S. Kanter | US | Chicago | 2014-08-21 / 20140233942 - System and method for measuring the phase of a modulated optical signal | 12 |
Ido Kanter | IL | Rehovot | 2010-12-30 / 20100332575 - High-Speed Random Number Generator | 1 |
Ayelet Kanter | IL | Yokneam | 2011-05-26 / 20110125023 - TRANSTHORACIC PULMONARY DOPPLER ULTRASOUND | 1 |
Eran Kanter | IL | Elkana | 2012-09-06 / 20120223851 - ELECTRONIC COUNTER MEASURE SYSTEM | 2 |
Johannes Kanters | DE | Ludwigsburg | 2008-09-11 / 20080217174 - Gas Sensor | 1 |
Gertjan Kanters | DE | Stuttgart | 2010-06-24 / 20100154389 - PROCEDURE FOR REGENERATING AN EXHAUST GAS AFTER TREATMENT SYSTEM | 1 |
Deonysius Huibert Adrianus Johannes Kanters | NL | Schuinesloot | 2010-02-25 / 20100047250 - ACTIVATION EPITOPE OF FCY RII (CD32), BINDING MOLECULES THAT SPECIFICALLY BIND THE EPITOPE AND MEANS AND METHODS FOR THE DETECTION OF THE EPITOPE, AND USES OF SAID EPITOPE OR SAID BINDING MOLECULES | 1 |
Ingemar Kantervik | SE | Tumba | 2010-01-21 / 20100013668 - METHOD IN A MEDICAL TELEMETRY SYSTEM AND MEDICAL TELEMETRY SYSTEM | 1 |
Thatikonda Sai Ravi Kanth | IN | Hyderabad | 2013-03-14 / 20130063588 - NON-CONTACT FLUID LEAK DETECTION SYSTEM | 1 |
Shazia Irshad Kanth | US | New York | 2015-10-29 / 20150309036 - Diagnostic Markers of Indolent Prostate Cancer | 1 |
Daniel Kanth | DE | Ludwigsburg | 2013-12-19 / 20130338872 - METHOD AND DEVICE FOR INITIATING AN OPERATION OF A MOTOR VEHICLE UNDER EMERGENCY CONDITIONS | 4 |
Sudha Kanth | IN | Bangalore | 2011-10-27 / 20110262018 - Automatic Cardiac Functional Assessment Using Ultrasonic Cardiac Images | 1 |
Stephan Kanthak | DE | Aachen | 2015-02-26 / 20150058018 - MULTIPLE PASS AUTOMATIC SPEECH RECOGNITION METHODS AND APPARATUS | 1 |
Stephan Kanthak | US | Chatham | 2014-11-06 / 20140330552 - Machine Translation Using Global Lexical Selection and Sentence Reconstruction | 3 |
Sebastian Kanthak | US | San Jose | 2013-12-26 / 20130346540 - Storing and Moving Data in a Distributed Storage System | 4 |
Venkata Raji Reddy Kanthala | IN | Hyderabad | 2015-12-03 / 20150351149 - SYSTEMS AND METHODS FOR ENHANCED CALL RE-ESTABLISHMENT | 10 |
Vijayalakshmi Kanthamneni | US | Allentown | 2010-09-23 / 20100238794 - N+1 Protection Using a Processor-Based Protection Device | 1 |
Anoop Kanthan | US | New York | 2012-02-16 / 20120042354 - Entitlement conflict enforcement | 1 |
Kantharaju | US | Philadelphia | 2014-02-20 / 20140050793 - Novel Compositions for Inhibiting Virus Entry and Promoting Virolysis, and Methods Thereof | 1 |
Swaroop Kantharaju | CA | Saint-Laurent | 2016-04-07 / 20160096558 - MODULAR AERODYNAMIC SKIRT ASSEMBLY | 2 |
Swaroop Mulenahalli Kantharaju | CA | Montreal | 2016-04-07 / 20160096556 - AERODYNAMIC TRACTOR-TRAILER GAP REDUCER AND ASSEMBLY THEREOF | 2 |
Anumantha G. Kanthasamy | US | Ames | 2011-05-12 / 20110112182 - DESIGN, SYNTHESIS AND FUNCTIONAL CHARACTERIZATION OF ROTTLERIN ANALOGS | 1 |
Arthi Kanthasamy | US | Ames | 2011-03-10 / 20110059174 - PKCdelta REGULATES NEUROINFLAMMATORY EVENTS | 1 |
Abedan Kanthasamy | MY | Kuala Lumpur | 2015-09-24 / 20150267448 - MULTI-LEVEL SOFA HINGE FOR SOFA CONVERTIBLE | 8 |
Abedan Kanthasamy | MY | Kuala Lumpur | 2015-09-24 / 20150267448 - MULTI-LEVEL SOFA HINGE FOR SOFA CONVERTIBLE | 8 |
Anumantha Kanthasamy | US | Ames | 2012-05-03 / 20120108549 - NEUROPROTECTIVE COMPOUNDS AND THEIR USE | 1 |
Anumantha Gounder Kanthasamy | US | Ames | 2011-03-10 / 20110059174 - PKCdelta REGULATES NEUROINFLAMMATORY EVENTS | 1 |
Subramanian Kanthi | CA | Vaughan | 2015-06-11 / 20150161616 - System and Method of Creating and Using a Reference Device Profile | 1 |
Arjun K. Kantimahanti | MY | Penang | 2012-12-06 / 20120309200 - METHOD FOR FABRICATING A BOTTOM OXIDE LAYER IN A TRENCH | 1 |
Rama Kantipudi | US | Austin | 2010-07-08 / 20100174623 - System and Method for Managing Items of Interest Selected from Online Merchants | 1 |
Terrence Kantner | GB | Bath | 2014-12-11 / 20140364586 - FUNCTIONALIZING REAGENTS AND THEIR USES | 3 |
Steven S. Kantner | US | St. Paul | 2010-10-28 / 20100272784 - WATER-SOLUBLE PRESSURE SENSITIVE ADHESIVES | 3 |
Steven S. Kantner, Ii | US | St. Paul | 2013-06-06 / 20130143991 - WATER-SOLUBLE PRESSURE SENSITIVE ADHESIVES | 1 |
Kouhei Kanto | JP | Saitama-Shi | 2014-03-27 / 20140084225 - ANTISTATIC RELEASE AGENT, ANTISTATIC RELEASE COATED FILM AND ANTISTATIC RELEASE BASE MATERIAL | 1 |
Toru Kanto | JP | Kiyosu-Shi | 2016-02-11 / 20160043274 - GROUP III NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND PRODUCTION METHOD THEREFOR | 2 |
Kohei Kanto | JP | Saitama | 2015-12-03 / 20150348670 - CURABLE ANTISTATIC ORGANOPOLYSILOXANE COMPOSITION AND ANTISTATIC SILICONE FILM | 1 |
Yusuke Kanto | JP | Saitama | 2011-10-06 / 20110245395 - Top Coat Composition | 2 |
Teruyuki Kanto | JP | Hyogo | 2009-02-05 / 20090036855 - Particulate water-absorbing agent with water-absorbing resin as main component, method for production of the same, and absorbing article | 1 |
Hiroshi Kanto | JP | Tokyo | 2008-11-13 / 20080280607 - System for changing location registration | 1 |
Nobuyuki Kanto | JP | Kobe | 2013-08-01 / 20130194432 - IMAGING APPARATUS AND METHOD OF IMPROVING IMAGE QUALITY OF IMAGED IMAGE | 5 |
Takuji Kanto | JP | Osaka | 2011-06-30 / 20110156853 - REACTOR-USE COMPONENT AND REACTOR | 1 |
Takanori Kanto | JP | Aichi-Ken | 2009-12-17 / 20090309340 - Side airbag apparatus | 1 |
Yusuke Kanto | JP | Kawasaki-Shi | 2013-07-11 / 20130177848 - Polymer, Resist Material Containing Same, and Method for Forming Pattern Using Same | 1 |
Yusuke Kanto | JP | Fujimino-Shi | 2014-08-07 / 20140221589 - Polymerizable Fluoromonomer, Fluoropolymer, Resist Material, and Method of Pattern Formation | 2 |
Kenta Kanto | JP | Tokyo | 2016-02-25 / 20160054119 - SHAPE MEASUREMENT DEVICE, STRUCTURE PRODUCTION SYSTEM, SHAPE MEASUREMENT METHOD, STRUCTURE PRODUCTION METHOD, AND SHAPE MEASUREMENT PROGRAM | 3 |
Takanori Kanto | JP | Kiyosu-Shi | 2014-10-02 / 20140291973 - SEAT CUSHION AIRBAG APPARATUS | 4 |
Kohei Kanto | JP | Saitama-Shi | 2016-03-03 / 20160060497 - METHOD OF MANUFACTURING ANTISTATIC FILM | 4 |
Hiroaki Kanto | JP | Osaka | 2015-05-28 / 20150147042 - IMAGING APPARATUS AND IMAGING METHOD | 1 |
Eric Kanto | US | Tucson | 2015-10-08 / 20150288323 - FLEXIBLE BUILDING-INTEGRATED PHOTOVOLTAIC STRUCTURE | 5 |
Yuji Kanto | JP | Numazu-Shi | 2009-10-08 / 20090249771 - Exhaust Gas Purification System for a V Type 8-Cylinder Internal Combustion Engine | 1 |
Kazuhiro Kanto | JP | Kanagawa-Ken | 2009-06-18 / 20090156138 - ARRAY ANTENNA SYSTEM AND TRANSMIT/RECEIVE MODULE THEREOF | 2 |
Lukasz Kantoch | PL | Czeladz | 2012-12-20 / 20120322432 - FEATURE BASED MANAGEMENT | 1 |
Philip W. Kantoff | US | Brookline | 2012-05-17 / 20120121618 - Predicting And Treating Prostate Cancer | 1 |
Philip W. Kantoff | US | Needham | 2013-04-18 / 20130095481 - METHODS FOR PREDICTING LIKELIHOOD OF RESPONDING TO TREATMENT | 1 |
James Kantola | US | Waukegan | 2013-08-29 / 20130226114 - DRAINAGE SYSTEM | 3 |
Janne Kantola | FI | Lempaala | 2010-08-12 / 20100201602 - Connector | 1 |
Raymond A. Kantola | US | Brighton | 2013-05-02 / 20130104382 - METHOD AND APPARATUS FOR CONVERTING A MIG WELDER INTO A CARBON-ARC CUTTER | 2 |
Juhana Kantola | FI | Espoo | 2014-05-01 / 20140115960 - SYSTEM, A METHOD, AND A TROUGH FOR THE CULTIVATION OF PLANTS | 1 |
Troy Kantola | US | Whitmore Lake | 2013-08-29 / 20130220115 - Piston Assembly Including A Polymer Coating With Hard Particles Applied to Sliding Surfaces | 5 |
Kristiina Kantola | FI | Vtt | 2014-02-27 / 20140059067 - EXCHANGE OF INFORMATION | 1 |
Troy Clayton Kantola | US | Whitmore Lake | 2013-03-07 / 20130055993 - CYLINDER LINER WITH A THERMAL BARRIER COATING | 1 |
Lasse Juhani Kantola | JP | Kawaguchi-Shi | 2012-07-26 / 20120188404 - Enhanced Timer Functionality for Camera Systems | 1 |
Juhana Kantola | FI | Kangasala | 2009-12-31 / 20090320430 - APPARATUS FOR PICKING MUSHROOMS | 1 |
Jari Kantola | FI | Sajaniemi | 2016-02-11 / 20160039634 - ELEVATOR CONTROL PANEL, AND AN ELEVATOR | 2 |
Jouni Kantola | FI | Eura | 2013-03-28 / 20130074616 - INTELLIGENT TOOTHBRUSH MONTIORING DEVICE | 2 |
Jim Kantola | US | Walikegon | 2011-09-29 / 20110238022 - CORPOREAL DRAINAGE SYSTEM | 1 |
Janne Tapio Kantola | FI | Lempaala | 2013-11-21 / 20130307790 - Methods And Apparatus For Device Control | 1 |
Peter T. Kantola | US | Ravenna | 2013-03-14 / 20130062497 - REVERSE DETACH MOUNTING SYSTEM | 1 |
Juha-Heikki Kantola | FI | Oulu | 2016-03-10 / 20160070822 - Method, Apparatus and Computer Program Code for Design and Visualization of a Physical Object | 1 |
Martin Kantola | FI | Karpero | 2008-09-11 / 20080219485 - Apparatus, System and Method for Acoustic Signals | 2 |
Matti Kantola | FI | Nokia | 2012-10-18 / 20120264399 - COMMUNICATION DEVICES AND METHOD OF COMMUNICATION | 2 |
Tuomo Kantomaa | FI | Kello | 2011-12-22 / 20110311934 - Orthodontic Bracket and Arrangement for Correcting Irregularities of the Teeth | 1 |
Tuomas Kantonen | FI | Espoo | 2014-10-23 / 20140312884 - METHOD AND ARRANGEMENT FOR DETERMINING LOCATION AND/OR SPEED OF A MOVING OBJECT AND USE OF THE ARRANGEMENT | 2 |
Charlotta Kanto Oeqvist | DE | Kempen | 2016-03-24 / 20160081354 - METHOD FOR TREATMENT OF MICROORGANISMS DURING PROPAGATION, CONDITIONING AND FERMENTATION USING HOPS ACID EXTRACTS AND NISIN | 5 |
Philip A. Kantor | US | Las Vegas | 2014-12-11 / 20140360898 - Combination Cell Phone and Eyeglass Case | 6 |
Igor Kantor | US | Raleigh | 2016-05-05 / 20160125740 - UNMANNED AERIAL VEHICLE IDENTITY AND CAPABILITY VERIFICATION | 7 |
Vit Kantor | US | Wauconda | 2015-01-15 / 20150020051 - METHOD AND APPARATUS FOR AUTOMATED CONVERSION OF SOFTWARE APPLICATIONS | 1 |
Kornél Kantor | HU | Kecskemet | 2011-05-26 / 20110121553 - Steering column arrangement for motor vehicles | 1 |
Curtis Joseph Kantor | US | Houston | 2015-01-29 / 20150032605 - SYSTEMS AND METHODS FOR DIGITAL CHECK CONVERSION | 1 |
Mark Kantor | US | San Francisco | 2015-02-19 / 20150050997 - 2.5-DIMENSIONAL GRAPHICAL OBJECT SOCIAL NETWORK | 1 |
Judith A. Kantor | US | Glenshaw | 2014-12-18 / 20140369926 - RECOMBINANT MONOCLONAL ANTIBODIES AND CORRESPONDING ANTIGENS FOR COLON AND PANCREATIC CANCERS | 1 |
Ehud Kantor | IL | Hod-Hasharon | 2015-10-22 / 20150297903 - SYSTEM AND METHOD FOR PROVIDING NONINVASIVE VENTILATION | 2 |
Kristopher Kantor | US | Oceanport | 2008-12-18 / 20080313056 - Ordering and Image Transmission System and Method Utilizing Instant Messaging | 1 |
Yoav Kantor | IL | Ramat-Hasharon | 2011-09-22 / 20110230164 - SYSTEM AND METHOD FOR IDENTIFYING ROAMING-SPECIFIC COST SAVING USAGE PATTERNS | 1 |
Judith Kantor | US | Rockville | 2013-07-25 / 20130189268 - COLON AND PANCREAS CANCER SPECIFIC ANTIGENS AND ANTIBODIES | 3 |
Michael J. Kantor | US | Madison | 2012-12-06 / 20120310674 - Electronic Health Record System Utilizing Disparate Record Sources | 3 |
Barbara C. Kantor | US | Boulder | 2010-01-14 / 20100005601 - Reflective ink, dye or paint | 1 |
Angela Kantor | US | Pepperell | 2015-03-12 / 20150071944 - STABLE ANTIBODY COMPOSITIONS AND METHODS OF STABILIZING SAME | 8 |
Bradley A. Kantor | US | Plymouth | 2010-10-07 / 20100253403 - Radiation-Hardened Charge Pump Topology | 2 |
Judith A. Kantor | US | Rockville | 2011-06-02 / 20110129416 - RECOMBINANT MONOCLONAL ANTIBODIES AND CORRESPONDING ANTIGENS FOR COLON AND PANCREATIC CANCERS | 3 |
Angela Kantor | US | Pepperell | 2015-03-12 / 20150071944 - STABLE ANTIBODY COMPOSITIONS AND METHODS OF STABILIZING SAME | 8 |
Sherwood Kantor | US | Sacramento | 2016-03-10 / 20160066886 - INTRAVASCULAR ULTRASOUND IMAGING SYSTEM WITH SLIP RING INTERFACE AND ASSOCIATED DEVICES, SYSTEMS, AND METHODS | 2 |
Aron B. Kantor | US | Los Angeles | 2011-12-29 / 20110319148 - VIRTUAL AND LOCATION-BASED MULTIPLAYER GAMING | 1 |
John Kantor | US | Healdsburg | 2015-07-09 / 20150190618 - Balloon Catheter With Elastomeric Sheath and Methods | 2 |
Brian Kantor | US | Portland | 2011-12-29 / 20110320618 - METHOD OF AUTOMATICALLY DETERMINING LINK SPEED FOR A MULTISPEED FIBER LINK MODULE | 1 |
Stanislaw Kantor | US | Buffalo Grove | 2012-05-31 / 20120134570 - HIGH THROUGHPUT, OPTICAL METHOD AND SYSTEM FOR DETERMINING THE EFFECT OF A TEST SUBSTANCE ON NON-CONTIGUOUS LIVING CELLS | 1 |
Arthur Kantor | CZ | Praha 7 | 2016-04-28 / 20160117314 - Automatic Question Generation from Natural Text | 1 |
Aner Kantor | IL | Kibutz Eilot | 2009-08-20 / 20090206866 - DEVICE AND METHOD FOR TESTING A DEVICE | 1 |
Mark Kantor | DE | Freiburg | 2012-07-12 / 20120178959 - PROCESS FOR PREPARING OCTENOIC ACID DERIVATIVES | 2 |
John Kantor | US | Hobe Sound | 2013-01-03 / 20130001052 - MECHANICAL INTERLOCK SOCKET OUTLET | 1 |
Evgeniy A. Kantor | RU | Ufa | 2009-10-08 / 20090253212 - METHOD OF DETERMINING THE EFFECTIVENESS OF WATER PURIFICATION | 1 |
George Kantor | US | Lakewood | 2013-10-24 / 20130280668 - Orthodontic Appliance with Encoded Information Formed in the Base | 1 |
John F. Kantor | US | Hobe Sound | 2013-03-14 / 20130065416 - BUSHING SEAL FOR REEFER PLUG | 1 |
Aaron Thomas Kantor | US | Cleveland Heights | 2013-05-16 / 20130122980 - INTERACTIVE MOBILE LEARNING (IML) PLATFORM | 1 |
Kornel Kantor | HU | Kecskemet | 2015-07-09 / 20150191068 - AIR SPRING ARRANGEMENT WITH INTEGRATED CONTROL VALVE | 3 |
Arcadiy G. Kantor | US | Seattle | 2013-05-09 / 20130117376 - DOCUMENT COLLABORATION | 4 |
Lev I. Kantor | RU | Ufa | 2009-10-08 / 20090253212 - METHOD OF DETERMINING THE EFFECTIVENESS OF WATER PURIFICATION | 1 |
Yaron Y. Kantor | IL | Tel Aviv | 2010-03-11 / 20100063938 - SYSTEM AND METHOD FOR FINANCIAL DATA MANAGEMENT AND COMPUTATION | 1 |
Alon Kantor | IL | Tel Aviv | 2010-10-07 / 20100254615 - METHODS FOR DOCUMENT-TO-TEMPLATE MATCHING FOR DATA-LEAK PREVENTION | 3 |
Alexander Kantor | IL | Jerusalem | 2010-06-10 / 20100145243 - METHOD AND MASSAGE DEVICE FOR STIMULATING ACTIVE POINTS LOCATED ON A HUMAN BACK | 1 |
John Kantor | CH | Zurich | 2012-03-22 / 20120067103 - Method of Forming a Drug-Eluting Medical Device | 1 |
Roman Kantor | IE | Dublin | 2011-12-15 / 20110304705 - METHOD AND APPARATUS FOR IMAGING TISSUE TOPOGRAPHY | 1 |
Jeffrey Paul Kantor | US | Tucson | 2014-02-27 / 20140054859 - PORTABLE SUPPORT FRAME FOR ARCHERY TARGET AND BACKSTOP | 1 |
Arkady Kantor | US | Buffalo Grove | 2012-02-16 / 20120039445 - Modular Fixed Beam-Limiting Device For Intraoral X-Ray System | 4 |
Jacob Lee Kantor | US | San Antonio | 2014-03-20 / 20140080638 - SYSTEMS AND METHODS FOR PROVIDING TRAINING AND INSTRUCTION TO A FOOTBALL KICKER | 1 |
Stewart Kantor | US | Redwood | 2010-12-02 / 20100303033 - SYSTEM AND METHOD FOR THE DELIVERY OF HIGH SPEED DATA SERVICES OVER DEDICATED AND NON-DEDICATED PRIVATE LAND MOBILE RADIO (PLMR) CHANNELS USING COGNITIVE RADIO TECHNOLOGY | 1 |
Gail Susan Kantor | US | Valley Village | 2009-03-12 / 20090067349 - Method and apparatus for virtual auditorium usable for a conference call or remote live presentation with audience response thereto | 1 |
Arcadiy Gregory Kantor | US | Seattle | 2014-09-18 / 20140282921 - RESOURCE-BASED ACTION ATTRIBUTION | 2 |
Svetlana Kantor | IL | Kfar-Saba | 2013-09-26 / 20130249634 - POWER AMPLIFIER WITH AN ADAPTIVE BIAS | 2 |
Joel R. Kantor | US | San Diego | 2010-10-28 / 20100274650 - REFERRAL-BASED LOYALTY PROGRAM | 1 |
Larry Kantor | US | San Diego | 2010-10-28 / 20100274650 - REFERRAL-BASED LOYALTY PROGRAM | 1 |
John D. Kantor | US | Santa Rosa | 2010-07-08 / 20100174353 - Drug-Polymer Coated Stent | 1 |
Aaron B. Kantor | US | San Carlos | 2015-01-29 / 20150031562 - BIOMARKERS FOR MULTIPLE SCLEROSIS AND METHODS OF USE THEREOF | 2 |
Philip Andrew Kantor | US | Las Vegas | 2015-11-19 / 20150331404 - Irrigation Controller and System Integrating No-Watering Restrictions and an Empirically-Derived Evapotranspiration Local Characteristic Curve | 2 |
Barbara Kantor | US | Boulder | 2014-04-10 / 20140096724 - Article of manufacture for stretchable night visibility bands for people and animals | 1 |
Kenneth L. Kantor | US | Berkeley | 2015-03-05 / 20150063624 - MAGNETIC SUSPENSION TRANSDUCER | 4 |
John Kantor | US | Santa Rosa | 2011-11-03 / 20110270339 - Two-Stage Delivery Systems and Methods for Fixing a Leadless Implant to Tissue | 3 |
Michael Kantor | US | Houston | 2015-10-01 / 20150273238 - AUTOMATIC OPTIMAL IMRT/VMAT TREATMENT PLAN GENERATION | 2 |
Steven L. Kantor | CA | Oshawa | 2014-06-12 / 20140161680 - APPARATUS AND PROCESS FOR THE CONTROLLED REDUCTION OF ORGANIC MATERIAL VIA MICROWAVE RADIATION | 1 |
Gadi Kan-Tor | IL | Givataym | 2015-10-01 / 20150272500 - COMFORTABLE AND PERSONALIZED MONITORING DEVICE, SYSTEM, AND METHOD FOR DETECTING PHYSIOLOGICAL HEALTH RISKS | 1 |
Tsvi Kan-Tor | IL | Ramat-Hasharon | 2009-09-24 / 20090240440 - Non-Invasive Glucose Monitoring | 1 |
Manoj Kantroo | NZ | Manukau | 2011-08-25 / 20110208536 - CLINICAL TEST INFORMATION MANAGING APPARATUS AND NON-TRANSITORY STORAGE MEDIUM | 1 |
Daniel William Kantrow | US | Ann Arbor | 2014-12-25 / 20140377051 - TURBOCHARGER | 1 |
Allen B. Kantrowitz | US | Miami | 2013-10-03 / 20130261676 - BONE ANCHOR | 3 |
Adrian Kantrowitz | US | Auburn Hills | 2014-03-27 / 20140088340 - STABLE AORTIC BLOOD PUMP IMPLANT | 2 |
Adrian Kantrowitz | US | Detroit | 2014-12-11 / 20140364680 - RIGID BODY AORTIC BLOOD PUMP IMPLANT | 1 |
Christopher Kantrowitz | US | Los Angeles | 2015-09-03 / 20150249694 - MANAGING DOWNLOADS OF LARGE DATA SETS | 1 |
Mark Kantrowitz | US | Cranberry Township | 2009-07-09 / 20090177491 - Method for Minimizing the Cost of Post-Treatment Follow-Up and Diagnostic Tests | 1 |
Allen B. Kantrowitz | US | Williamstown | 2012-06-14 / 20120150149 - PERCUTANEOUS ACCESS DEVICE SYSTEM FACILITATING CELL GROWTH THEREON | 6 |
Allen B. Kantrowitz | US | Detroit | 2014-12-11 / 20140364680 - RIGID BODY AORTIC BLOOD PUMP IMPLANT | 1 |
Evan R. Kantrowitz | US | Waban | 2012-02-02 / 20120028892 - INHIBITORS OF FRUCTOSE 1,6-BISPHOSPHATASE AND METHODS OF USE THEREOF | 1 |
Allen B. Kantrowitz | US | Miami Beach | 2013-05-02 / 20130104284 - INFECTION CONTROL GLOVE WITH SENSORY CONTAMINATION INDICATOR | 3 |
Sergey Veniaminovich Kantsevoy | US | Owings Mills | 2015-05-07 / 20150126906 - Methods and Devices for Diagnostic and Therapeutic Interventions in the Peritoneal Cavity | 4 |
Sergey Kantsevoy | US | Owings Mills | 2016-02-25 / 20160051128 - ENDOLUMINAL SYSTEM FOR GASTROINTESTINAL TREATMENT | 13 |
Sergey Veniaminovich Kantsevoy | US | Silver Spring | 2013-04-11 / 20130090526 - ANASTOMOSIS SYSTEM | 3 |
Sergey Kantsevoy | US | Owings Mills | 2016-02-25 / 20160051128 - ENDOLUMINAL SYSTEM FOR GASTROINTESTINAL TREATMENT | 13 |
Sergey V. Kantsevoy | US | Owings Mills | 2011-03-31 / 20110077662 - DEVICES AND METHODS FOR TREATMENT OF GASTROINTESTINAL DISORDERS | 2 |
Vasily Kantsler | IL | Rechovot | 2010-08-05 / 20100193407 - Device and Method For Particle Manipulation in Fluid | 1 |
John Joseph Kantura | US | Hiram | 2008-11-06 / 20080271827 - Pnuematic tire | 1 |
Anshul Kantwala | US | Frederick | 2014-05-08 / 20140126357 - ECN-ENABLED MULTICAST PROTOCOL FOR WIRELESS COMMUNICATION SYSTEMS UNDER BLOCKAGE | 1 |
Apostolos Kantzas | CA | Calgary | 2016-02-18 / 20160047762 - Multi-Phase Metering Device for Oilfield Applications | 4 |
Christopher P. Kantzes | US | Minnieapolis | 2012-02-23 / 20120046911 - HANDHELD FIELD MAINTENANCE TOOL WITH INTEGRATION TO EXTERNAL SOFTWARE APPLICATION | 1 |
Christopher P. Kantzes | US | Minneapolis | 2012-02-16 / 20120041744 - HANDHELD FIELD MAINTENANCE TOOL WITH FIELD DEVICE SIMULATION CAPABILITY | 6 |
Pete Kantzos | US | Chandler | 2010-09-16 / 20100233504 - METHOD OF MANUFACTURE OF A DUAL MICROSTRUCTURE IMPELLER | 1 |
Chinmay Kanuga | US | Porter Ranch | 2013-10-31 / 20130284735 - Packaging for Multiple Medical Containers | 1 |
Suman Kanuganti | US | San Diego | 2016-04-21 / 20160111019 - METHOD AND SYSTEM FOR PROVIDING FEEDBACK OF AN AUDIO CONVERSATION | 3 |
Kapil Kanugo | US | Folsom | 2013-10-17 / 20130271800 - HOLOGRAPHIC DISPLAY SYSTEMS, METHODS AND DEVICES | 1 |
Satish Kanugovi | IN | Bangalore | 2016-04-21 / 20160112239 - METHODS AND DEVICES FOR PROVIDING APPLICATION SERVICES TO USERS IN COMMUNICATIONS NETWORK | 9 |
Satish Kanugovi | IN | Bangalore | 2016-04-21 / 20160112239 - METHODS AND DEVICES FOR PROVIDING APPLICATION SERVICES TO USERS IN COMMUNICATIONS NETWORK | 9 |
Satish Kanugovi | IN | Karnataka | 2013-05-30 / 20130137446 - DYNAMIC REORGANIZATION OF CELL STRUCTURES IN WIRELESS NETWORKS | 2 |
Kathleen Kanuk | US | Chardon | 2015-09-17 / 20150258317 - Hydrotherapy Covering | 1 |
Satoru Kanuka | JP | Fujinomiya-City | 2011-07-21 / 20110178506 - PROTECTOR | 1 |
Hideyuki Kanuka | JP | Yokohama-Shi | 2013-09-05 / 20130231973 - BUSINESS ANALYSIS DESIGN SUPPORT DEVICE, BUSINESS ANALYSIS DESIGN SUPPORT METHOD AND NON-TRANSITORY COMPUTER-READABLE MEDIUM CONTAINING BUSINESS ANALYSIS DESIGN SUPPORT PROGRAM | 1 |
Hideyuki Kanuka | JP | Tokyo | 2015-03-26 / 20150088590 - REQUIREMENTS DEFINITION PROCESS AIDING SYSTEM | 1 |
Bennett Kanuka | CA | Ottawa | 2014-12-04 / 20140355592 - SYSTEM AND METHOD FOR WIRELESS DEVICE DETECTION, RECOGNITION AND VISIT PROFILING | 1 |
Nariyasu Kanuka | JP | Mie | 2012-05-24 / 20120130137 - PRODUCTION PROCESS OF CONJUGATED DIENE | 2 |
Kiran S. Kanukurthy | US | Cottage Grove | 2014-12-25 / 20140377135 - FILTER SYSTEMS INCLUDING PATTERNED OPTICAL ANALYTE SENSORS AND OPTICAL READERS | 6 |
Kiran Kanukurthy | US | Iowa City | 2008-11-13 / 20080281298 - Electronic support system for biological data sensor | 1 |
Michiko Kanuma | JP | Kusatsu-Shi, Shiga | 2015-11-26 / 20150336894 - PEST CONTROL AGENT | 1 |
Tsuyoshi Kanuma | JP | Kawasaki | 2016-01-28 / 20160023596 - METHOD OF EMANATING A SOUND FROM A VEHICLE | 4 |
Daisuke Kanuma | JP | Matsumoto-Shi | 2012-10-11 / 20120257234 - FACSIMILE APPARATUS | 2 |
Tsuyoshi Kanuma | JP | Kawasaki-Shi | 2013-01-10 / 20130009769 - VEHICLE NOTIFICATION SOUND EMITTING APPARATUS | 6 |
Kosuke Kanuma | JP | Toshima-Ku | 2011-07-21 / 20110178304 - 7-PIPERIDINOALKYL-3, 4-DIHYDROQUINOLONE DERIVATIVE | 2 |
Yoshihiko Kanuma | JP | Gunma | 2009-10-29 / 20090266245 - LIQUID FOOD HEATING APPARATUS & STEAM JET NOZZLE FOR LIQUID FOOD HEATING APPARATUS | 1 |
Kosuke Kanuma | JP | Tokyo | 2009-02-05 / 20090036448 - PYRIMIDINE DERIVATIVES AND METHODS OF TREATMENT RELATED TO THE USE THEREOF | 1 |
Koji Kanuma | JP | Ora-Gun | 2015-05-21 / 20150141586 - PHENYL-CONTAINING FUNCTIONAL POLYSILOXANES AND POLYCARBONATE-POLYSILOXANE COPOLYMERS MADE THEREFROM | 1 |
Sandeep Kanumuri | US | Sunnyvale | 2011-04-07 / 20110080954 - MOTION VECTOR PREDICTION IN VIDEO CODING | 5 |
Venu Gopalraju Kanumuri | IN | Chennai | 2012-09-27 / 20120246323 - MECHANISM FOR ADAPTIVELY CHOOSING UTILITY COMPUTING APPLICATIONS BASED ON NETWORK CHARACTERISTICS AND EXTENDING SUPPORT FOR ADDITIONAL LOCAL APPLICATIONS | 1 |
Peddi R. Kanumuri | US | Elkridge | 2009-04-16 / 20090099862 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR PROVIDING HEALTH CARE SERVICES PERFORMANCE ANALYTICS | 1 |
Phanindra S. Kanumuri | US | Snoqualmie | 2009-04-16 / 20090099861 - INGESTION AND DISTRIBUTION OF MULTIPLE CONTENT TYPES | 1 |
Sandeep Kanumuri | US | Redmond | 2016-04-21 / 20160112638 - VIDEO STABILIZATION USING PADDED MARGIN PIXELS | 12 |
Sandeep Kanumuri | US | Redmond | 2016-04-21 / 20160112638 - VIDEO STABILIZATION USING PADDED MARGIN PIXELS | 12 |
Venu Gopalraju Kanumuri | IN | Tamil Nadu | 2011-08-04 / 20110191223 - Internet Control Management and Accounting in a Utility Computing Environment | 1 |
Phanindra Kanumuri | IN | Hyderabad | 2013-03-07 / 20130060744 - Personalized Event Search Experience using Social data | 1 |
Phanindra Kanumuri | IN | Hyderbad | 2013-01-24 / 20130024431 - EVENT DATABASE FOR EVENT SEARCH AND TICKET RETRIEVAL | 1 |
Sandeep Kanumuri | US | San Jose | 2012-03-22 / 20120069905 - MOVING IMAGE ENCODING AND DECODING DEVICE | 1 |
Sreeram Kanumuri | US | San Diego | 2013-10-24 / 20130282833 - DYNAMIC GROUP AND EVENT UPDATE METHOD IN PHONE BASED IMPROMPTU MEET-UP APP | 1 |
Phanindra Kanumuri | US | Issaquah | 2011-10-27 / 20110264512 - USING STRUCTURED OFFER DATA TO CREATE KEYWORD BASED ADVERTISEMENTS | 1 |
Deepanjan Kanungo | IN | Bangalore | 2014-12-25 / 20140380436 - DIGITAL RIGHTS MANAGEMENT OF CONTENT WHEN CONTENT IS A FUTURE LIVE EVENT | 5 |
Biswajit Kanungo | US | San Jose | 2014-02-27 / 20140059442 - NETWORK DEVICE MANAGEMENT AND VISUALIZATION | 1 |
Mandakini Kanungo | US | Webster | 2016-02-25 / 20160057413 - ROBUST COLORIMETRIC PROCESSING METHOD FOR PAPER BASED SENSORS | 12 |
Sanat Kanungo | IN | Cuttack | 2015-09-17 / 20150261826 - METHODS FOR RECONCILING TRANSACTIONS AND DEVICES THEREOF | 1 |
Biraja P. Kanungo | US | San Jose | 2016-03-03 / 20160060181 - HEAT TREATED CERAMIC SUBSTRATE HAVING CERAMIC COATING | 20 |
Biraja Kanungo | US | San Jose | 2016-02-25 / 20160056059 - COMPONENT FOR SEMICONDUCTOR PROCESS CHAMBER HAVING SURFACE TREATMENT TO REDUCE PARTICLE EMISSION | 3 |
Rajesh Kanungo | US | Oakland | 2012-10-04 / 20120254622 - Secure Access to Electronic Devices | 1 |
Mandakini Kanungo | US | Penfield | 2015-12-24 / 20150367654 - System And Method For Forming Hydrophobic Structures In A Porous Substrate | 32 |
Rajesh Kanungo | US | Santa Clara | 2009-03-19 / 20090077381 - SYSTEMS AND METHOD FOR THE TRANSPARENT MANAGEMENT OF DOCUMENT RIGHTS | 1 |
Rajesh Kanungo | US | Sunnyvale | 2015-02-05 / 20150039896 - SYSTEM AND METHOD FOR POOL-BASED IDENTITY GENERATION AND USE FOR SERVICE ACCESS | 7 |
Tapas Kanungo | US | San Jose | 2012-05-03 / 20120109972 - SYSTEM AND METHOD FOR IDENTIFYING SIMILAR MOLECULES | 7 |
Mandakini Kanungo | US | Penfield | 2015-12-24 / 20150367654 - System And Method For Forming Hydrophobic Structures In A Porous Substrate | 32 |
Biraja P. Kanungo | US | San Jose | 2016-03-03 / 20160060181 - HEAT TREATED CERAMIC SUBSTRATE HAVING CERAMIC COATING | 20 |
Biraja Prasad Kanungo | US | San Jose | 2015-12-31 / 20150375358 - CHAMBER COMPONENTS WITH POLISHED INTERNAL APERTURES | 7 |
Mandakini Kanungo | US | Webster | 2016-02-25 / 20160057413 - ROBUST COLORIMETRIC PROCESSING METHOD FOR PAPER BASED SENSORS | 12 |
Tapas Kanungo | US | Redmond | 2014-12-18 / 20140372441 - CONFLATING ENTITIES USING A PERSISTENT ENTITY INDEX | 4 |
Rajesh Kanuri | US | Santa Clara | 2011-09-15 / 20110223773 - LOW TEMPERATURE PROCESS FOR DEPOSITING A HIGH EXTINCTION COEFFICIENT NON-PEELING OPTICAL ABSORBER FOR A SCANNING LASER SURFACE ANNEAL OF IMPLANTED DOPANTS | 1 |
Sridhar V. Kanuri | US | Milford | 2015-12-24 / 20150372323 - FUEL CELL COMPONENT HAVING A FLAP EXTENDING FROM A POLYMER IMPREGNATED REGION | 8 |
Sridhar V. Kanuri | US | Milford | 2015-12-24 / 20150372323 - FUEL CELL COMPONENT HAVING A FLAP EXTENDING FROM A POLYMER IMPREGNATED REGION | 8 |
Mrudula Kanuri | IN | Bangalore | 2014-12-25 / 20140379846 - TECHNIQUE FOR COORDINATING MEMORY ACCESS REQUESTS FROM CLIENTS IN A MOBILE DEVICE | 4 |
Kalyan Kumar Kanuri | IN | Bangalore | 2010-03-18 / 20100069096 - APPARATUS, METHOD, AND MANUFACTURE FOR MANAGING SCALABLE AND TRACEABLE EXCHANGES OF CONTENT BETWEEN ADVERTISERS AND PUBLISHERS FOR MOBILE DEVICES | 3 |
Kalyan Kanuri | IN | Bangalore | 2015-10-15 / 20150294375 - FREQUENT MARKUP TECHNIQUES FOR USE IN NATIVE ADVERTISEMENT PLACEMENT | 1 |
Vamsi Kanuri | US | Chicago | 2015-07-23 / 20150206238 - Principal Protector | 1 |
Mrudula Kanuri | IN | Hyderabad | 2015-05-07 / 20150123977 - LOW LATENCY AND HIGH PERFORMANCE SYNCHRONIZATION MECHANISM AMONGST PIXEL PIPE UNITS | 1 |
Nagendra Kanuturi | IN | Bangalore | 2015-03-19 / 20150081806 - Techniques for Requesting Web Conference Recordings Automatically via a Conference Invitation Response | 1 |
Narasimhan Kanvar | US | Philadelphia | 2015-12-31 / 20150379096 - SYSTEM AND METHOD FOR AUTOMATICALLY CONNECTING MULTIPLE, DISTINCT TABLES OF A DATA REPOSITORY | 2 |
Nicolai Kanved | DK | Vejle | 2011-06-02 / 20110129564 - Continuous Formation Of Center-Filled Chewing Gum | 1 |
Prashant Kanvihalli | IN | Bangalore | 2013-11-07 / 20130297764 - HIERARCHICAL SERVICE MANAGEMENT | 2 |
Jagat Rakesh Kanwar | NZ | Palmerston North | 2009-08-13 / 20090202574 - METHODS OF IMMUNE OR HAEMATOLOGICAL ENHANCEMENT, INHIBITING TUMOUR FORMATION OR GROWTH, AND TREATING OR PREVENTING CANCER | 1 |
Jagat Rakesh Kanwar | AU | Geelong | 2010-04-15 / 20100092497 - METHODS OF IMMUNE OR HAEMATOLOGICAL ENHANCEMENT, INHIBITING TUMOUR FORMATION OR GROWTH, AND TREATING OR PREVENTING CANCER | 1 |
Daman Preet Singh Kanwar | US | Glendale | 2012-10-11 / 20120255537 - ADJUSTABLE PORTABLE GRILL | 1 |
Deepak Kanwar | US | South Burlington | 2009-03-12 / 20090070403 - DISTRIBUTED ENVIRONMENT CONTROLLED ACCESS FACILITY | 1 |
Kuldeep Kanwar | GB | Hull | 2010-07-01 / 20100163085 - Free Standing Treatment Device For A Dishwasher | 1 |
Lalita Kanwar | IN | Bangalore | 2013-08-29 / 20130225805 - PREPARATION OF CRYSTALLINE BAZEDOXIFENE AND ITS SALTS | 1 |
Sushil K. Kanwar | US | West Hartford | 2011-12-15 / 20110306933 - Safety cannula with automatic retractable needle | 3 |
Sandeep Kanwar | IN | New Delhi | 2012-06-28 / 20120165320 - NOVEL AZABICYCLOHEXANES | 2 |
Rupinder Kaur Kanwar | AU | Geelong | 2009-02-19 / 20090048339 - COMPOSITIONS OF CIS-9, TRANS-11 CONJUGATED LINOLEIC ACID AND VACCENIC ACID AND USES THEREOF | 1 |
Rinku Kanwar | US | St. Paul | 2009-03-12 / 20090070403 - DISTRIBUTED ENVIRONMENT CONTROLLED ACCESS FACILITY | 1 |
Rahul K. Kanwar | US | West Hartford | 2011-03-03 / 20110054398 - Medical syringe with retractable needle | 1 |
Rupinder Kaur Kanwar | NZ | Auckland | 2009-05-07 / 20090118174 - Novel peptides and methods for the treatment of inflammatory disorders | 1 |
Seema Kanwar | IN | Chandigarh | 2009-07-02 / 20090171104 - PROCESS FOR THE PREPARATION OF ORLISTAT | 2 |
Jagat Rakesh Kanwar | NZ | Auckland | 2011-07-28 / 20110182943 - METHODS OF IMMUNE OR HEMATOLOGICAL ENHANCEMENT, INHIBITING TUMOUR FORMATION OR GROWTH, AND TREATING OR PREVENTING CANCER, CANCER SYMPTOMS, OR THE SYMPTOMS OF CANCER TREATMENTS | 1 |
Hitesh Kanwathirtha | US | Seattle | 2013-03-14 / 20130067433 - HIDDEN REPRESENTATIONS FOR MARSHALING THROUGH HOSTED SCRIPTING ENVIRONMENTS | 2 |
Stefan Kanya | AT | Gleisdorf | 2010-01-14 / 20100005875 - Method for monitoring performance test stands having at least one load assembly | 1 |
Kevin Ronald Kanya | US | Liberty Township | 2015-09-24 / 20150267327 - SPUNBOND WEB MATERIAL WITH IMPROVED TACTILE SOFTNESS ATTRIBUTES | 7 |
Rajanikanth Kanyaboina | US | Schaumburg | 2009-05-21 / 20090128304 - METHOD AND APPARATUS FOR TACTILE INTERFACE FOR REVIEWING RADIOLOGICAL IMAGES | 1 |
Praveen Kanyadi | IN | Bangalore Karnataka | 2013-05-09 / 20130117364 - SOCIAL SHARING AND INFLUENCE GRAPH SYSTEM AND METHOD | 1 |
Supriya Kanyal | US | Provo | 2015-12-24 / 20150367253 - PHOTOLUMINESCENT THIN-LAYER CHROMATOGRAPHY PLATE AND METHODS FOR MAKING SAME | 2 |
Pradeep Kanyar | IN | Bangalore | 2011-11-10 / 20110274114 - FCoE ISOLATED PORT CHANNELS AND FCoE SESSION RESYNCHRONIZATION IN vPC/MCEC ENVIRONMENTS USING DCBXP | 1 |
Judit Kanyo | HU | Budapest Xiii | 2009-11-05 / 20090276245 - AUTOMATED HEALTHCARE IMAGE REGISTRATION WORKFLOW | 1 |
Zoltan F. Kanyo | US | North Haven | 2016-02-04 / 20160031926 - Triazole Compounds and Methods of Making and Using the Same | 11 |
Zoltan F. Kanyo | US | North Haven | 2016-02-04 / 20160031926 - Triazole Compounds and Methods of Making and Using the Same | 11 |
Pal Kanyó | CH | Muhlethurnen | / - | 1 |
Pal Kanyó | CH | Muhlethurnen | / - | 1 |
Adam J. Kanyuh | US | Streamwood | 2015-04-16 / 20150101921 - PROCESS FOR RECOVERING HEAT FROM A HYDROCARBON SEPARATION | 4 |
Carlo Kanz | LU | Mamer | 2016-02-25 / 20160052344 - RUBBER COMPOSITION CONTAINING TIN CARBOXYLATE SALT | 9 |
William Kanz | US | Woodinville | 2015-08-20 / 20150231367 - FLEXIBLE SHEATH ASSEMBLIES AND INTERVENTIONAL CATHETER SYSTEMS INCORPORATING THEM | 1 |
Carlo Kanz | LU | Mamer | 2016-02-25 / 20160052344 - RUBBER COMPOSITION CONTAINING TIN CARBOXYLATE SALT | 9 |
William R. Kanz | US | Woodinville | 2016-04-21 / 20160106899 - GUIDABLE INTRAVASCULAR BLOOD PUMP AND RELATED METHODS | 1 |
William Russell Kanz | US | Woodinville | 2011-12-29 / 20110319773 - Multipurpose Host System for Invasive Cardiovascular Diagnostic Measurement Acquisition Including an Enhanced Dynamically Configured Graphical Display | 2 |
Harald Kanz | US | North Hills | 2009-09-17 / 20090230273 - SUSPENSION SYSTEM | 1 |
William R. Kanz | US | Sacramento | 2015-03-12 / 20150073202 - GUIDABLE INTRAVASCULAR BLOOD PUMP AND RELATED METHODS | 5 |
Yaron Kanza | IL | Kibbutz Tzuba | 2011-02-17 / 20110040750 - Routing Methods For Multiple Geographical Entities | 3 |
Tomer Kanza | GB | London | 2011-06-16 / 20110145570 - Certified Abstracted and Anonymous User Profiles For Restricted Network Site Access and Statistical Social Surveys | 1 |
Tadashi Kanzaka | JP | Tokyo | 2015-11-26 / 20150337780 - FLUID MACHINE AND FLUID MACHINE SYSTEM EQUIPPED WITH THE SAME | 3 |
Ryuji Kanzaka | JP | Nishikamo-Gun | 2010-03-04 / 20100050980 - AIR CLEANER UNIT FOR INTERNAL COMBUSTION ENGINE | 3 |
Ryuji Kanzaka | JP | Miyoshi-Shi | 2013-09-05 / 20130228307 - HEAT EXCHANGER | 3 |
Kenji Kanzaka | JP | Tokyo | 2013-10-10 / 20130265293 - PROJECTION TYPE DISPLAY DEVICE AND METHOD OF RESTART PROCESSING | 4 |
Ikuo Kanzaka | JP | Tsukubamirai-Shi | 2012-11-15 / 20120286178 - VACUUM VALVE BELLOWS | 1 |
Takuo Kanzaki | JP | Osaka-Shi | 2016-03-03 / 20160059432 - Method for Producing Cut Bodies and Method for Cutting Fiber-Reinforced Resin | 1 |
Keizou Kanzaki | JP | Yokohama-Shi | 2015-05-07 / 20150125643 - COATING COMPOSITION AND COATED METAL PLATE, METAL CONTAINER AND METAL CLOSURE COATED WITH THE COATING COMPOSITION | 3 |
Norihisa Kanzaki | JP | Shiga | 2016-02-25 / 20160054601 - DISPLAY DEVICE | 1 |
Yohsuke Kanzaki | JP | Osaka-Shi | 2015-04-23 / 20150108467 - SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 16 |
Yoshiyuki Kanzaki | JP | Fukushima-Shi | 2009-11-19 / 20090282885 - Method of forming spring washer blind-holes into a piston for an automobile transmission | 3 |
Shinya Kanzaki | JP | Kyoto | 2011-01-27 / 20110023192 - NOVEL STEVIA VARIETY AND METHOD OF PRODUCING SWEETENER | 1 |
Eisuke Kanzaki | JP | Fujisawa | 2016-02-25 / 20160054572 - STEREOSCOPIC IMAGE | 5 |
Masahi Kanzaki | JP | Toyohashi-Shi | 2014-11-20 / 20140341643 - STRUCTURE AND METHOD FOR MOUNTING A METAL COLLAR | 1 |
Eisuke Kanzaki | JP | Kanagawa-Ken | 2016-05-19 / 20160138930 - NOTIFYING A MOBILE BODY THAT THE MOBILE BODY IS APPROACHING PARTICULAR AREA | 5 |
Naoyuki Kanzaki | JP | Ibaraki-Shi | 2009-02-19 / 20090048307 - 5-pyridyl-1, 3-azole compounds, process for producing the same and use there of | 1 |
Naoyuki Kanzaki | JP | Osaka | 2012-09-13 / 20120232028 - Bicyclic Compound, Production and Use Thereof | 2 |
Manabu Kanzaki | JP | Amagasaki-Shi | 2013-08-15 / 20130206272 - Cr-CONTAINING AUSTENITIC ALLOY TUBE AND METHOD FOR PRODUCING THE SAME | 4 |
Makoto Kanzaki | JP | Sendai-Shi | 2008-12-04 / 20080299086 - Cultured muscle cells with high metabolic activity and method for production of the cultured muscle cells | 1 |
Keizou Kanzaki | JP | Yokohama | 2008-11-27 / 20080292224 - Plastic Pouch and Manufacturing Method Therefor | 1 |
Susumu Kanzaki | JP | Kisarazu-Shi | 2008-09-11 / 20080221256 - POLYPROPYLENE RESIN COMPOSITION AND MOLDED ARTICLE | 1 |
Shozo Kanzaki | JP | Chiyoda-Ku | 2015-11-12 / 20150326000 - POWER SUPPLY CONTROL APPARATUS OF ELECTRIC LOAD | 10 |
Go Kanzaki | JP | Fukushima | 2016-01-07 / 20160003357 - SEALING DEVICE | 9 |
Shuji Kanzaki | JP | Hyogo | 2011-02-17 / 20110039961 - Method for producing particulate water absorbing agent containing water absorbent resin as main component | 1 |
Kouji Kanzaki | JP | Osaka | 2011-03-10 / 20110056933 - HIGH-FREQUENCY HEATING APPARATUS | 2 |
Yasunobu Kanzaki | JP | Hyogo | 2010-11-11 / 20100287596 - RECEIVING DEVICE | 5 |
Hideyuki Kanzaki | JP | Hyogo | 2010-01-21 / 20100017548 - BUFFER MANAGEMENT DEVICE, BUFFER MANAGEMENT METHOD, AND INTEGRATED CIRCUIT FOR BUFFER MANAGEMENT | 4 |
Takao Kanzaki | JP | Miyoshi-Shi | 2014-10-16 / 20140309827 - MOTOR VEHICLE HAVING TRACTION MOTOR | 6 |
Shozo Kanzaki | JP | Chiyoda-Ku | 2015-11-12 / 20150326000 - POWER SUPPLY CONTROL APPARATUS OF ELECTRIC LOAD | 10 |
Yosuke Kanzaki | JP | Osaka | 2014-10-16 / 20140306220 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 11 |
Koreyasu Kanzaki | JP | Oita | 2014-02-06 / 20140039303 - X-RAY DIAGNOSIS APPARATUS | 1 |
Takao Kanzaki | JP | Miyoshi-Shi, Aichi-Ken | 2015-11-12 / 20150326156 - INVERTER | 1 |
Takao Kanzaki | US | 2015-11-12 / 20150326156 - INVERTER | 1 | |
Daisuke Kanzaki | JP | Tokyo | 2012-09-13 / 20120229091 - VOLTAGE MONITOR SEMICONDUCTOR DEVICE, BATTERY PACK, AND ELECTRONIC DEVICE EMPLOYING BATTERY PACK | 1 |
Hajime Kanzaki | JP | Tokyo | 2014-09-11 / 20140256331 - WIRELESS COMMUNICATION SYSTEM AND WIRELESS COMMUNICATION METHOD AND BASE STATION DEVICE | 1 |
Tomoyuki Kanzaki | JP | Yao-Shi | 2015-01-29 / 20150027502 - WASHING DEVICE AND WASHING METHOD | 1 |
Kouji Kanzaki | JP | Shiga | 2014-07-10 / 20140190961 - COOKING APPARATUS | 1 |
Toshinori Kanzaki | JP | Minato-Ku | 2015-07-30 / 20150211506 - CAPACITY CONTROL VALVE | 1 |
Masato Kanzaki | JP | Tokyo | 2014-07-31 / 20140212470 - CULTURED CELL SHEET, PRODUCTION METHOD, AND TISSUE REPAIR METHOD USING THEREOF | 2 |
Yohsuke Kanzaki | JP | Osaka | 2014-04-24 / 20140110249 - SPUTTERING TARGET, METHOD FOR MANUFACTURING SAME, AND METHOD FOR MANUFACTURING THIN FILM TRANSISTOR | 8 |
Hiromichi Kanzaki | JP | Saitama | 2016-02-04 / 20160034049 - POSITION INDICATOR AND MANUFACTURING METHOD THEREOF | 2 |
Shingo Kanzaki | JP | Kanagawa | 2014-03-06 / 20140060912 - PACKAGE SUBSTRATE AND ELECTRONIC DEVICE | 1 |
Sho Kanzaki | JP | Niihama-Shi | 2010-04-15 / 20100092865 - CARBON COMPOSITE MATERIALS AND PROCESS FOR PRODUCTION THEREOF | 1 |
Susumu Kanzaki | JP | Ichihara-Shi | 2014-03-13 / 20140073730 - POLYPROPYLENE-BASED RESIN COMPOSITION AND MOLDED ARTICLE MADE THEREOF | 1 |
Jun Kanzaki | JP | Hiroshima-Shi | 2014-08-07 / 20140216397 - DIESEL ENGINE | 1 |
Syuji Kanzaki | JP | Hyoko | 2013-01-03 / 20130005904 - PROCESS FOR PRODUCING WATER-ABSORBING RESIN | 1 |
Takashi Kanzaki | JP | Nagoya-Shi, Aichi-Ken | 2013-05-30 / 20130135385 - INKJET RECORDING APPARATUS | 1 |
Yoshiyuki Kanzaki | JP | Shizuoka | 2009-11-19 / 20090283972 - BONDED PISTON SEAL | 1 |
Yohsuke Kanzaki | JP | Kizugawa-Shi | 2009-11-12 / 20090277983 - METHOD AND APPARATUS FOR PRODUCING FILM ROLL, AND FILM ROLL | 1 |
Susumu Kanzaki | JP | Kisarazu | 2009-10-08 / 20090253849 - POLYPROPYLENE RESIN COMPOSITION AND INJECTION MOLDED ITEM FOR AUTOMOBILE THEREFROM | 1 |
Takahiro Kanzaki | JP | Tokyo | 2009-02-26 / 20090049701 - METHOD FOR DESIGNING STRUCTURE BY DRAWING CURVE APPROXIMATELY WITH CIRCULAR SEGMENT, AND STRUCTURE THEREBY | 1 |
Syuji Kanzaki | JP | Himeji-Shi | 2013-10-03 / 20130261276 - METHOD FOR PRODUCING PARTICULATE WATER ABSORBENT RESIN | 3 |
Taisuke Kanzaki | JP | Nagaokakyo-Shi | 2016-04-28 / 20160118191 - MULTILAYER CERAMIC CAPACITOR | 17 |
Kouji Kanzaki | JP | Nara | 2012-02-23 / 20120043316 - HIGH-FREQUENCY HEATING EQUIPMENT | 2 |
Yukari Kanzaki | JP | Minato-Ku | 2016-03-10 / 20160068593 - MONOCLONAL ANTIBODY AGAINST NECROSIS MARKER PRDX4 AND USE THEREOF | 3 |
Naoyuki Kanzaki | JP | Osaka-Shi | 2009-09-24 / 20090239839 - RECEPTOR ANTAGONIST | 1 |
Shotaro Kanzaki | JP | Nagoya-Shi | 2015-02-05 / 20150035906 - PIEZOELECTRIC ACTUATOR AND LIQUID JETTING APPARATUS | 2 |
Hajime Kanzaki | JP | Hachioji | 2009-08-20 / 20090207932 - WIRELESS COMMUNICATION APPARATUS WITH A PLURALITY OF ANTENNA ELEMENTS | 1 |
Shogo Kanzaki | JP | Anjo-City | 2015-03-19 / 20150075311 - ACTUATOR AND METHOD FOR MANUFACTURING THE SAME | 2 |
Shigeru Kanzaki | JP | Saitama | 2013-06-06 / 20130144441 - GAIT GENERATING DEVICE FOR LEGGED MOBILE ROBOT AND OPERATIONAL TARGET GENERATING DEVICE FOR ROBOT | 2 |
Hajime Kanzaki | JP | Yokohama | 2011-06-30 / 20110158190 - CELLULAR RADIO COMMUNICATION SYSTEM, RADIO BASE STATION APPARATUS AND RADIO TERMINAL UNIT | 1 |
Tomoyuki Kanzaki | JP | Kawasaki | 2009-08-13 / 20090204653 - APPARATUS HAVING DATA INSTALLED AND METHOD OF UPGRADING DATA | 1 |
Natsumi Kanzaki | JP | Ibaraki | 2011-06-30 / 20110159503 - DNA EXTRACTION METHOD FOR BURSAPHELENCHUS XYLOPHILUS FROM WOOD CHIPS, LAMP PRIMER SET FOR BURSAPHELENCHUS XYLOPHILUS, AND DETECTION METHOD FOR BURSAPHELENCHUS XYLOPHILUS FROM WOOD CHIPS | 1 |
Yohsuke Kanzaki | JP | Osaka | 2014-04-24 / 20140110249 - SPUTTERING TARGET, METHOD FOR MANUFACTURING SAME, AND METHOD FOR MANUFACTURING THIN FILM TRANSISTOR | 8 |
Takashi Kanzaki | JP | Nakatsu-Shi | 2013-03-07 / 20130055496 - FLUSH WATER TANK APPARATUS AND DISCHARGE APPARATUS | 1 |
Daisuke Kanzaki | JP | Yokohama-Shi | 2013-09-19 / 20130241471 - CHARGE CONTROL CIRCUIT AND BATTERY DEVICE | 1 |
Keizou Kanzaki | JP | Kanagawa | 2012-10-18 / 20120263400 - PLASTIC POUCH AND MANUFACTURING METHOD THEREFOR | 3 |
Yosuke Kanzaki | JP | Osaka | 2014-10-16 / 20140306220 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 11 |
Kazuhiro Kanzaki | JP | Tokyo | 2014-03-13 / 20140071265 - ENDOSCOPE | 3 |
Yoshiyuki Kanzaki | JP | Fukushima | 2009-06-04 / 20090140498 - Sealing Device | 2 |
Shigeru Kanzaki | JP | Wako-Shi | 2012-10-04 / 20120254081 - OPTIMIZATION CONTROL SYSTEM | 2 |
Tatsuya Kanzaki | JP | Sagamihara | 2013-06-27 / 20130162388 - SURFACE MOUNTING VARISTOR | 1 |
Jyunji Kanzaki | JP | Wakayama | 2010-04-29 / 20100104945 - SECONDARY BATTERY AND METHOD FOR MANUFACTURING SECONDARY BATTERY | 1 |
Hisao Kanzaki | JP | Osaka | 2012-08-30 / 20120220048 - MAGNETIC MARKER PARTICLE AND METHOD FOR PRODUCING THE SAME | 5 |
Motoi Kanzaki | JP | Tokyo | 2010-09-09 / 20100223992 - Flowmeter | 1 |
Taisuke Kanzaki | JP | Omihachiman-Shi | 2015-11-19 / 20150332854 - LAMINATED CERAMIC ELECTRONIC COMPONENT AND METHOD FOR PRODUCING LAMINATED CERAMIC ELECTRONIC COMPONENT | 2 |
Yuusuke Kanzaki | JP | Kanagawa | 2011-10-13 / 20110249167 - VIEW FINDER | 1 |
Eisuke Kanzaki | JP | Tokyo | 2014-05-08 / 20140125690 - DEVICE AND METHOD FOR REDUCING POWER CONSUMPTION IN DISPLAY DEVICES | 1 |
Yohsuke Kanzaki | JP | Osaka-Shi | 2015-04-23 / 20150108467 - SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 16 |
Hajime Kanzaki | JP | Hiratsuka | 2013-01-03 / 20130003669 - TRANSMISSION POWER ADJUSTMENT METHOD IN WIRELESS COMMUNICATION SYSTEM AND BASE STATION | 6 |
Akihiko Kanzaki | JP | Osaka | 2014-10-02 / 20140296565 - MANUFACTURING METHOD FOR SULFONIC ACID GROUP-CONTAINING ETHER COMPOUND | 2 |
Yasushi Kanzaki | JP | Tokyo | 2014-06-19 / 20140166577 - APPARATUS AND METHOD FOR PRODUCING POTABLE WATER | 1 |
Susumu Kanzaki | JP | Chiba | 2010-11-18 / 20100292392 - POLYPROPYLENE RESIN COMPOSITION AND MOLDED ARTICLE MADE THEREOF | 1 |
Hisao Kanzaki | JP | Ibaraki-Shi | 2014-02-13 / 20140045031 - HEAT-RESISTANT POROUS FILM, SEPARATOR FOR NONAQUEOUS BATTERY, AND NONAQUEOUS BATTERY | 1 |
Yoshio Kanzaki | JP | Kanagawa | 2014-06-19 / 20140168343 - WRITING CONTROLLING APPARATUS, IMAGE FORMING APPARATUS, AND COMPUTER PROGRAM PRODUCT | 1 |
Takashi Kanzaki | JP | Nagoya-Shi | 2011-10-06 / 20110242153 - LIQUID EJECTION APPARATUS | 2 |
Akihiko Kanzaki | JP | Suita-Shi | 2013-04-11 / 20130090425 - AQUEOUS POLY(METH)ACRYLIC ACID-BASED POLYMER SOLUTION | 2 |
Manabu Kanzaki | JP | Tokyo | 2015-11-12 / 20150322560 - Cr-CONTAINING AUSTENITIC ALLOY AND METHOD FOR PRODUCING THE SAME | 3 |
Yoshiki Kanzaki | JP | Oyama-Shi | 2013-04-25 / 20130098033 - INTAKE CONTROLLER AND METHOD OF INTAKE CONTROLLING FOR INTERNAL COMBUSTION ENGINE | 1 |
Shozo Kanzaki | JP | Tokyo | 2016-05-05 / 20160128215 - ELECTRONIC CONTROL DEVICE | 5 |
Toyoki Kanzaki | JP | Kyoto-Shi | 2010-09-16 / 20100229902 - PARTICLE INSPECTION AND REMOVAL APPARATUS AND PARTICLE INSPECTION AND REMOVAL PROGRAM | 1 |
Yukio Kanzaki | JP | Tokyo | 2010-02-25 / 20100044202 - ELECTRIC OPERATING DEVICE OF VEHICLE SEAT | 2 |
Katsuhisa Kanzaki | JP | Tottori-Shi | 2010-06-24 / 20100156311 - Electronic Device and Light Emission Control Method For Electronic Device | 1 |
Toshinori Kanzaki | JP | Tokyo | 2015-12-03 / 20150345655 - CAPACITY CONTROL VALVE | 3 |
Tomohiko Kanzaki | JP | Tokyo | 2010-03-25 / 20100073506 - IMAGE PROCESSOR AND CAMERA | 5 |
Teruaki Kanzaki | JP | Tokyo | 2010-06-24 / 20100155960 - SEMICONDUCTOR DEVICE | 3 |
Ryuhei Kanzaki | JP | Tokyo | 2015-02-26 / 20150055033 - TRANSPARENT ELECTROCONDUCTIVE LAMINATE | 2 |
Yoshio Kanzaki | JP | Tokyo | 2008-08-28 / 20080205934 - OPTICAL WRITING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Go Kanzaki | JP | Fukushima-Shi | 2012-04-12 / 20120086173 - Oil seal | 3 |
Mitsuyuki Kanzaki | JP | Takaishi-Shi | 2012-05-03 / 20120108700 - AIR-DRYING POLYESTER (METH)ACRYLATE RESIN COMPOSITION, STRUCTURE, AND METHOD FOR APPLYING THE RESIN COMPOSITION | 1 |
Syuji Kanzaki | JP | Hyogo | 2014-04-24 / 20140114035 - METHOD AND APPARATUS FOR PRODUCING POLYACRYLIC ACID (SALT)-BASED WATER ABSORBENT RESIN | 5 |
Yasue Kanzaki | JP | Chiba-Shi | 2013-01-24 / 20130023591 - Phenyl-Containing Organopolysiloxane Composition, Raw Cosmetic Material, and Glossy Cosmetic Material | 2 |
Taisuke Kanzaki | JP | Nagaokakyo-Shi | 2016-04-28 / 20160118191 - MULTILAYER CERAMIC CAPACITOR | 17 |
Shuhei Kanzakitani | JP | Osaka | 2011-11-10 / 20110275727 - CROSS-LINKED RESIN FOAM AND PROCESS FOR PRODUCING THE SAME | 1 |
Motoki Kanzawa | JP | Hachioji-Shi | 2014-03-06 / 20140064773 - IMAGE FORMING APPARATUS, METHOD OF INDICATING REMAINING QUANTITY OF CONSUMABLE MATERIAL AND NON-TRANSITORY COMPUTER-READABLE MEDIUM | 1 |
Chihiro Kanzawa | JP | Nisshin-Shi | 2012-10-25 / 20120270693 - LUBRICANT OIL, FRICTION MEMBER, AND GEAR-TYPE DIFFERENTIAL WITH DIFFERENTIAL LIMITING FUNCTION | 1 |
Kazunori Kanzawa | JP | Saitama | 2013-02-28 / 20130050592 - TELEVISION RECEIVER AND ELECTRONIC DEVICE | 1 |
Motoki Kanzawa | JP | Tokyo | 2009-05-21 / 20090127843 - IMAGE FORMING APPARATUS, IMPOSITION METHOD FOR BOOKLET-SHAPED PRINTS, AND BOOKLET-SHAPED PRINTS | 1 |
Yoshihiko Kanzawa | JP | Osaka | 2014-02-06 / 20140036572 - METHOD FOR DRIVING NONVOLATILE STORAGE ELEMENT, AND NONVOLATILE STORAGE DEVICE | 25 |
Yasunari Kanzawa | US | Sunnyvale | 2010-07-29 / 20100192030 - METHOD AND APPARATUS FOR IMPLEMENTING A HIERARCHICAL DESIGN-FOR-TEST SOLUTION | 2 |
Yoshihiko Kanzawa | JP | Kyoto | 2011-10-06 / 20110244645 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Yusuke Kanzawa | JP | Nagakute-Shi | 2015-10-29 / 20150308014 - SIC SINGLE CRYSTAL, SIC WAFER, SIC SUBSTRATE, AND SIC DEVICE | 1 |
Yoshihito Kanzawa | JP | Tokyo | 2011-07-28 / 20110184017 - STABLE AQUEOUS SOLUTION COMPOSITION CONTAINING SULFONAMIDE COMPOUND | 2 |
Tomofumi Kanzawa | JP | Toyohashi-Shi | 2012-11-15 / 20120289651 - BINDER COMPOSITION FOR SELF-CURING MOLD FORMATION | 1 |
Nobuyuki Kanzawa | JP | Matsumoto-Shi | 2016-02-18 / 20160050764 - MOUNTING JIG FOR SEMICONDUCTOR DEVICE | 2 |
Tomoya Kanzawa | JP | Gunma-Ken | 2013-10-31 / 20130285714 - TUNER | 1 |
Satoshi Kanzawa | JP | Kurume-City | 2011-02-03 / 20110024054 - TIRE SHAPING APPARATUS | 1 |
Hiromi Kanzawa | JP | Osaka | 2015-09-17 / 20150260900 - ELECTRONIC DEVICE | 3 |
Tomofumi Kanzawa | JP | Aichi | 2010-12-09 / 20100307714 - STRUCTURE FOR PRODUCING CAST ARTICLES | 1 |
Tomokazu Kanzawa | JP | Saitama | 2013-09-19 / 20130242361 - IMAGE CAPTURING DEVICE, COLOR MEASURING DEVICE, COLOR MEASURING SYSTEM, IMAGE FORMING APPARATUS, AND COLOR MEASURING METHOD | 5 |
Rentaro Kanzawa | JP | Kyoto | 2009-08-27 / 20090212646 - BALANCE MEMBER, MOTOR, AND DISK DRIVE APPARATUS | 1 |
Yuuki Kanzawa | JP | Tokyo | 2015-12-31 / 20150376730 - HOT-ROLLED STEEL SHEET AND MANUFACTURING METHOD THEREOF | 3 |
Tomokazu Kanzawa | JP | Kanagawa | 2016-04-21 / 20160107440 - LIQUID DROPLET DISCHARGE DEVICE, LIQUID DROPLET DISCHARGE METHOD, AND NON-TRANSITORY STORAGE MEDIUM STORING PROGRAM | 2 |
Naoki Kanzawa | JP | Tokyo | 2015-03-26 / 20150084263 - SHEET SUPPLY DEVICE AND IMAGE FORMING APPARATUS | 2 |
Naoki Kanzawa | JP | Sagamihara | 2014-01-16 / 20140016113 - DISTANCE SENSOR USING STRUCTURED LIGHT | 1 |
Kirk G. Kanzelberger | US | Shoreline | 2012-11-01 / 20120278315 - Integrated phonetic matching methods and systems | 1 |
Robert L. Kanzelman | US | Rochester | 2013-11-14 / 20130305197 - METHOD AND SYSTEM FOR OPTIMAL DIAMETER BOUNDING OF DESIGNS WITH COMPLEX FEED-FORWARD COMPONENTS | 25 |
Steve H. Kanzer | US | Ann Arbor | 2011-09-01 / 20110212189 - GASTRORETENTIVE ORAL HIGH DOSE ZINC PREPARATIONS | 5 |
Mary Ann Kanzius | US | Erie | 2013-06-20 / 20130153440 - RF SYSTEMS AND METHODS FOR PROCESSING SALT WATER | 2 |
Bjoern Kanzler | DE | Reutlingen | 2014-11-06 / 20140327901 - Rangefinder | 1 |
Ulrich Kanzler | DE | Stein | 2011-10-27 / 20110264844 - METHOD FOR TRANSMITTING PROGRAM CODES TO A MEMORY OF A CONTROL DEVICE, PARTICULARLY FOR MOTOR VEHICLES | 1 |
Ralf Kanzler | DE | Leverkussen | 2011-10-27 / 20110263899 - Novel Process for the Preparation of Amino Acid Derivatives | 1 |
Walter Kanzler | AT | Graz | 2012-02-09 / 20120035380 - PROCESS FOR PREPARING EPICHLOROHYDRIN FROM GLYCEROL | 1 |
Steven Gerd Kanzler | US | Rochester Hills | 2016-04-14 / 20160101730 - VEHICLE BLIND SPOT SYSTEM OPERATION WITH TRAILER TOW | 1 |
Kimberly E. Kanzler | US | West Babylon | 2016-02-25 / 20160052054 - Apparatus for Three-Dimensionally Printing Reactive Materials | 1 |
Kurt Kanzler | US | Mission Viejo | 2010-09-30 / 20100246013 - LOW ABSORPTION OPTICAL COATING APPARATUS AND RELATED METHODS USING THF4/BAF2 COMBINATION | 1 |
Otto Kanzler | AT | Boeheimkirchen | 2013-02-21 / 20130045892 - PANELS OF IMMORTALIZED MAMMALIAN CELLS AND THEIR USE | 1 |
Helmut Kanzler | DE | Illerberg | 2010-09-16 / 20100231034 - TRACKED VEHICLE | 1 |
Roland Kanzler | AT | Graz | 2015-08-13 / 20150224730 - SCREW PRESS | 1 |
Steven Gerd Kanzler | DE | Lindau | 2012-10-18 / 20120262284 - Method and System for Warning a Driver of a Vehicle About Potential Obstacles Behind the Vehicle | 1 |
Helmut Kanzler | DE | Voehringen | 2010-09-23 / 20100236107 - Piste Grooming Vehicle With Cable Torque Compensation | 1 |
Waldemar Kanzler | DE | Bietigheim-Bissingen | 2014-05-29 / 20140144427 - POLYMERIC MATERIALS FOR EXTERNAL APPLICATIONS WITH SELF-HEALING SURFACE PROPERTIES AFTER SCRATCHES OR ABRASION DAMAGE | 2 |
Ralf Kanzler | DE | Leverkusen | 2016-02-11 / 20160039744 - Process for Preparing Lacosamide | 4 |
Jörn Kanzow | DE | Kiel | 2012-06-28 / 20120164919 - Method for Machining Flat Workpieces | 2 |
Jörn Kanzow | DE | Kiel | 2012-06-28 / 20120164919 - Method for Machining Flat Workpieces | 2 |
Jung-Hui Kao | TW | Hsin-Chu | 2014-12-18 / 20140367802 - SELF-ALIGNED INSULATED FILM FOR HIGH-K METAL GATE DEVICE | 4 |
Yu-Hua Kao | US | Cambridge | 2009-05-14 / 20090123813 - MULTIFUNCTIONAL MIXED METAL OLIVINES FOR LITHIUM ION BATTERIES | 1 |
Ming-Hsing Kao | SG | Singapore | 2009-08-20 / 20090205686 - WAFER CLEANING APPARATUS | 1 |
Ching-Wen Kao | TW | Taichung | 2008-12-11 / 20080302616 - Brake disk with grooves | 1 |
Yi-Wen Kao | TW | Banqao City | 2010-06-17 / 20100148133 - P-TYPE METAL OXIDE SEMICONDUCTOR MATERIAL AND FABRICATION METHOD THEREOF | 1 |
Yuei-Yi Kao | TW | Taibao City | 2011-06-16 / 20110140916 - Radar warning device with GPS wireless transmission and drive recording function | 1 |
Pei-Ling Kao | TW | Kaohsiung City | 2014-10-30 / 20140321163 - LIGHT GUIDE DEVICE AND BACKLIGHT MODULE CONTAINING THE SAME | 1 |
Shih-Wei Kao | TW | Hsinchu City | 2013-08-08 / 20130202108 - METHOD AND DEVICE FOR GENERATION OF SECRET KEY | 1 |
Chain-Shiun Kao | TW | Taipei City | 2013-02-14 / 20130038550 - MULTI-PROTECTION TOUCH LOCK | 1 |
I-Ling Kao | TW | Taipei City | 2013-02-28 / 20130049573 - OPTICAL FILM AND LIGHT EMITTING DEVICE | 2 |
Chiate Kao | TW | Hsinchu City | 2013-02-14 / 20130038295 - BACKUP BATTERY PROTECTION SYSTEM | 1 |
Chien-Chih Kao | TW | Hsin-Tien | 2011-03-17 / 20110063804 - Portable Electronic Device | 2 |
Kuo-Chen Kao | TW | Kaohsiung City | 2016-05-12 / 20160129906 - AUTOMATIC TRACKIG COLLISION AVOIDANCE SYSTEM AND METHOD THEREOF | 1 |
Chih-Kuang Kao | TW | Chupei City | 2011-05-05 / 20110101529 - BARRIER LAYER FOR COPPER INTERCONNECT | 1 |
Hou-Wang Kao | TW | Taipei Hsien | 2010-05-13 / 20100117818 - SPEED-REDUCTION ALERT DEVICE | 2 |
Yung-Shun Kao | TW | Taipei Hsien | 2012-03-22 / 20120071028 - CONNECTOR AND ELECTRONIC SYSTEM USING THE SAME | 3 |
Wei-Chao Kao | TW | Taipei Hsien | 2011-01-13 / 20110006189 - DOUBLE-LENS OPTICAL SCANNING DEVICE AND METHOD OF USING THE SAME | 1 |
Ming-Hui Kao | TW | Taipei Hsien | 2010-02-18 / 20100039766 - SHOCKPROOFING MODULE AND ASSEMBLY OF THE SHOCKPROOFING MODULE AND AN ELECTRONIC DEVICE CARRIER CASE | 3 |
Jung-Chun Kao | TW | New Taipei City | 2015-07-16 / 20150198581 - METHOD, MOBILE APPLICATION, AND SYSTEM FOR PROVIDING FOOD SAFETY MAP | 2 |
Ching-Kuo Kao | TW | New Taipei City | 2013-02-14 / 20130037143 - EXHAUST MACHINE | 1 |
Chih-Hung Kao | TW | Taipei Hsien | 2009-03-12 / 20090066701 - IMAGE BROWSING METHOD AND IMAGE BROWSING APPARATUS THEREOF | 2 |
Yu Tsung Kao | TW | Taipei Hsien | 2011-05-19 / 20110119003 - COMPUTER POWER MEASUREMENT DEVICE | 2 |
Chien-Chih Kao | TW | Taipei Hsien | 2011-12-15 / 20110304975 - COMPUTER SYSTEM AND BASE THEREOF | 4 |
Chien-Hsun Kao | TW | Taipei | 2013-02-21 / 20130044257 - MOBILE DEVICE WITH SIDE-MOUNTED CAMERA MODULE | 1 |
Ching-Shang Kao | TW | Taipei Hsien | 2009-12-10 / 20090303703 - Solar-Powered LED Street Light | 1 |
Che-I Kao | TW | Rende Township | 2011-04-28 / 20110095626 - Hybrid Electret | 1 |
Yen-Ting Kao | TW | Taipei Hsien | 2009-07-02 / 20090170601 - METHOD FOR RECOGNIZING THE POSITION OF A GAMING DEVICE | 1 |
Cheng-Yao Kao | TW | Taichung City | 2009-05-07 / 20090113723 - Safety device for garden pruners | 1 |
Chen-I Kao | TW | Huatan Township | 2008-10-16 / 20080254255 - GEL FOAM ELASTOMER AND METHOD OF MANUFACTURING SAID GEL FOAM ELASTOMER | 1 |
Pao-Yi Kao | TW | Kaohsiung Hsien | 2011-10-06 / 20110241502 - CORNER JOINT COUPLING STRUCTURE OF ALUMINUM EXTRUSION CABINET | 1 |
Wei-Che Kao | TW | Changhua | 2010-03-25 / 20100073763 - Adjustable optical signal delay module and method thereof | 1 |
Chia-Ning Kao | TW | Taichung City | 2010-02-11 / 20100032191 - FLEXIBLE PRINTED CIRCUITBOARD STRUCTURE | 3 |
Chen-Lung Kao | TW | Kuanyin Industrial Park | 2011-01-20 / 20110015378 - Novel reactive dyestuff with N-alkylamino group | 1 |
Chien-Long Kao | TW | Hsin Chu County | 2012-09-13 / 20120233412 - MEMORY MANAGEMENT SYSTEM AND METHOD THEREOF | 2 |
Min-Sheng Kao | TW | Tucheng City | 2010-12-16 / 20100315176 - ACTIVE BACK-END TERMINATION CIRCUIT | 1 |
Chen-Lung Kao | TW | Dayuan Township | 2009-07-09 / 20090176972 - Novel reactive dyestuff with N,N-dialkylamino bridge group | 1 |
Chen-Lung Kao | TW | Taoyuan Hsien | 2009-02-26 / 20090054645 - Bridge compound with N, N-dialkylamino group and application thereof | 1 |
Yu-Ching Kao | TW | New Taipei City | 2013-08-22 / 20130214071 - SINGLE-ROLLER AUTOMATIC PAPER FEEDING MECHANISM | 1 |
Chih-Chuan Kao | TW | Yonghe City | 2010-01-14 / 20100007715 - Method of Shooting Angle Adjustment for an Image Capturing Device that Moves Along a Circular Path | 1 |
Ai-Hua Kao | TW | Taitung City | 2013-02-07 / 20130035493 - Process for Preparing Form A of Atazanavir Sulfate | 1 |
Ying-So Kao | TW | Dali City | 2012-06-21 / 20120157265 - Smartphone Capable of Replacing Meter of Electronic Exercise Machine | 1 |
Pai-Ling Kao | TW | Sinjhuang City | 2012-06-28 / 20120161553 - WATER-COOLING STRUCTURE FOR ELECTRIC MOTOR | 3 |
Sheng Liang Kao | TW | New Taipei City | 2013-02-21 / 20130047121 - METHOD AND SYSTEM FOR PARAMETER CONFIGURATION | 1 |
Chin Fa Kao | TW | Chung Chou Town | 2009-09-24 / 20090237857 - Hydraulic stun gun | 1 |
Ching Chun Kao | TW | Chu Tung Town | / - | 1 |
Ching-Man Kao | TW | Taoyuan Hsien | 2008-11-13 / 20080278274 - Combined transformer | 1 |
Chin-Wang Kao | TW | Kaohsiung Hsien | 2008-11-13 / 20080281112 - Diamine and polyamic acid derived therefrom for liquid crystal orientation applications | 2 |
Chi-Yi Kao | TW | Wanly Town | 2010-04-29 / 20100105357 - APPARATUS AND METHOD FOR RENEWING A KEY, COMPUTER READABLE MEDIUM THEREOF AND WIRELESS NETWORK COMPRISING THE SAME | 1 |
Fu-Iiang Kao | TW | Xindian City | 2010-09-30 / 20100245894 - DIRECT-SCAN-TO-PRINT SCANNER AND RELATED METHOD | 1 |
Ghi-Hwei Kao | TW | Banciao City | 2009-11-05 / 20090273221 - ERGONOMIC CHAIR | 1 |
Golden-City Kao | TW | Banqiao City | 2011-03-31 / 20110074340 - Portable electronic device charging module with changeable plug connector | 1 |
Hsiang-Chin Kao | TW | Tu Cheng City | 2008-10-30 / 20080265193 - Automatic flush actuation apparatus | 1 |
Hsiang-Chun Kao | TW | Lugang | 2010-12-23 / 20100321174 - Vehicular collision warning system | 2 |
Hsu-Chi Kao | TW | Sindian City | 2011-01-13 / 20110007395 - SLIDING COVER STRUCTURE AND AN ELECTRONIC APPARATUS | 3 |
Hsu-Chia Kao | TW | Pingjhen City | 2008-09-18 / 20080224955 - PLASMA DISPLAY PANEL WITH HIGH BRIGHTNESS | 2 |
Hsu-Pin Kao | TW | Pingjhen City | 2009-04-23 / 20090102772 - IMAGE DISPLAY SYSTEM | 3 |
Hueh-Wu Kao | TW | Hsinchu Hsien | 2009-10-22 / 20090261914 - CRYSTAL OSCILLATOR CIRCUITS | 1 |
Hui-Hsin Kao | TW | Jhongli City | 2010-09-09 / 20100226129 - Method for Controlling Brightness and Increasing Uniformity of Light Generated By Lambertian Surface Sources | 2 |
I-Feng Kao | TW | Tainan | 2009-02-19 / 20090045198 - Waste Container with Electrostatically Controlled Cover | 1 |
I-Liang Kao | TW | Jhubei City | 2010-03-04 / 20100053779 - DIGITAL PRESENTER USING GOOSENECK | 1 |
Jung-Yang Kao | TW | Pingtung County | 2015-07-02 / 20150189253 - DEPTH MAP ALIGNING METHOD AND SYSTEM | 8 |
Kuang Chien Kao | TW | Jung-He City | 2009-03-05 / 20090061409 - DEVICE AND METHOD FOR ARRANGING LEARNING COURSES | 2 |
Kuang-Shiung Kao | TW | Taichung County | 2012-01-19 / 20120015782 - COAXIAL LOAD WHEEL AND CRANKS | 2 |
Lin-Chieh Kao | TW | Caotun Town | 2010-08-05 / 20100193814 - LIGHT-EMITTING DIODE | 4 |
Lin-Chieh Kao | TW | Taichung City | 2013-06-06 / 20130140581 - OPTICAL DEVICE | 3 |
Ling Chih Kao | TW | Jhonghe City | 2010-09-09 / 20100225867 - TFT ARRAY SUBSTRATE AND TWISTED NEMATIC LIQUID CRYSTAL DISPLAY PANEL | 1 |
Lu-Yang Kao | TW | Ping-Tung City | 2009-03-12 / 20090065820 - Method and structure for simultaneously fabricating selective film and spacer | 1 |
Mei-Chung Kao | TW | Nan-Tou Hsien | 2008-11-27 / 20080289395 - Testing machine | 1 |
Tzu-Wei Kao | TW | Hsinchu | 2014-09-25 / 20140284604 - SEMICONDUCTOR STRUCTURE FOR EXTREME ULTRAVIOLET ELECTROSTATIC CHUCK WITH REDUCED CLAMPING EFFECT | 1 |
Hung-Chun Kao | TW | Taipei City | 2012-11-01 / 20120278427 - Method for transmitting information between multiple electronic pages | 4 |
Mei-Chung Kao | TW | Nantou County | 2009-06-11 / 20090145029 - GUARD FENCE FOR USE IN DROP TEST | 1 |
Ming-Hui Kao | TW | New Taipei City | 2012-08-16 / 20120205334 - MODULAR SUPPORT DEVICE | 2 |
Hsing-Suang Kao | TW | Tu-Cheng | 2013-10-31 / 20130285471 - TUNER CIRCUIT | 8 |
Shieng-Ting Kao | TW | New Taipei City | 2015-03-19 / 20150081859 - METHOD, APPARATUS AND SYSTEM FOR CONNECTING DEVICES TO A NETWORK | 2 |
Mei-Lan Kao | TW | Taichung | 2011-03-03 / 20110048203 - Workpiece Supporting Device Of A Circular Sawing Machine | 1 |
Yi-Feng Kao | TW | Xizhi City | 2009-06-25 / 20090163494 - PROTEIN KINASE INHIBITORS | 1 |
Ming Jung Kao | TW | Taichung Hsien | 2011-08-25 / 20110204627 - Electrical generating device with potential energy of water or fluid | 2 |
Chen-Lung Kao | TW | Taipei City | 2016-04-21 / 20160111064 - LOAD DRIVING APPARATUS ADAPTED TO DRIVE OUTDOOR DISPLAY | 10 |
Ming-Tsan Kao | TW | Hsin-Chu City | 2016-02-18 / 20160050700 - FIRST ELECTRONIC APPARATUS CAPABLE OF ACTIVELY PAIRING WITH SECOND ELECTRONIC APPARATUS FOR WIRELESS COMMUNICATION AND CORRESPONDING METHOD | 43 |
Neng-Sen Kao | TW | Fengshan | 2011-01-27 / 20110017524 - DUAL-SUBSTRATE CAPACITIVE TOUCH PANEL | 1 |
Nien-An Kao | TW | Xindian City | 2014-02-20 / 20140050287 - DATA SLICER FOR REDUCING SYSTEM START-UP TIME AND RECEIVER WITH THE SAME | 2 |
Se-Tsung Kao | TW | Taoyuan | 2009-07-02 / 20090165707 - Adjustable Film Applicator | 1 |
Shen-Chuan Kao | TW | Chung Ho City | 2009-01-01 / 20090002571 - Television Card with Remote Control Module | 1 |
Sheng Long Kao | TW | Keelung City | 2009-07-02 / 20090167592 - METHOD FOR SMALL-SCALE FISHING BOAT EQUIPPED WITH RADAR RECEIVER TO AVOID SHIP COLLISION AND THE RADAR RECEIVER THEREFOR | 1 |
Shen-Mu Kao | TW | Bade City | 2010-08-19 / 20100207749 - Alarm Device of a Bicycle | 3 |
Shiang-Lun Kao | TW | Tainan | 2012-07-05 / 20120170693 - COMMUNICATION RECEIVER AND A RECEIVING METHOD | 2 |
Yih-Chyun Kao | TW | Hsin-Chu | 2011-06-23 / 20110147733 - SEMICONDUCTOR DEVICE STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Meng-Chao Kao | TW | Taipei City | 2013-06-13 / 20130147852 - Three-Dimensional Image Display Device | 17 |
Suey-Sheng Kao | TW | Taichung Hsien | 2010-06-10 / 20100143316 - NOVEL STRAIN OF BACILLUS AMYLOLIQUEFACIENS AND ITS USE | 1 |
Suey-Sheng Kao | TW | Taichung County | 2011-02-03 / 20110027246 - NOVEL BACILLUS THURINGIENSIS STRAIN FOR INHIBITING INSECT PESTS | 2 |
Szu Fu Kao | TW | Jhonghe City | 2010-10-14 / 20100258598 - Garment hanger | 2 |
Ta Hai Kao | TW | Tainan | 2010-04-22 / 20100095960 - Oxygen generator | 2 |
Yu-Chih Kao | TW | Tainan City | 2011-06-23 / 20110151134 - METHOD FOR MANUFACTURING MICRO-NANO IMPRINT MOULD AND IMPRINTING PROCESS | 2 |
Te-Tean Kao | TW | Taichung | 2008-10-23 / 20080259171 - SYSTEM AND METHOD FOR COMPENSATING OFFSET OF A SOLID-STATE IMAGING DEVICE | 1 |
Chia Hung Kao | TW | Taitung City | 2013-05-23 / 20130132342 - System, Method and Computer Readable Storage Medium for Data Synchronization | 1 |
Chang-Jung Kao | TW | Taipei County | 2009-02-12 / 20090040343 - METHODS AND APPARATUSES FOR DEFECTIVE PIXEL DETECTION AND CORRECTION | 1 |
Tsung-Fu Kao | TW | Taipei County | 2010-10-21 / 20100265285 - BLOTTING FILLISTER STRUCTURE AND PAPER CARRYING APPARATUS | 3 |
Selvin Kao | US | Ladera Ranch | 2015-12-10 / 20150357769 - INSULATING ELECTRICAL PLUGS AND METHOD OF MANUFACTURE | 8 |
Shun-Hau Kao | TW | Taipei County | 2010-12-09 / 20100308781 - Quick-Start Low Dropout Regulator | 3 |
Che-I Kao | TW | Tainan County | 2011-10-20 / 20110255720 - ELECTRET DIAPHRAGM AND SPEAKER USING THE SAME | 1 |
Yin-Tse Kao | US | La Mirada | 2014-05-15 / 20140133809 - INTEGRATED AND SEALED OPTO-ELECTRONIC DEVICE ASSEMBLY | 2 |
Ling Chih Kao | TW | Taipei County | 2010-12-30 / 20100328277 - LIQUID CRYSTAL DISPLAY AND PIXEL ARRANGEMENT METHOD THEREOF | 1 |
Shane Kao | US | Santa Clara | 2013-01-17 / 20130018492 - FANTASY SPORTS TRADE EVALUATOR SYSTEM AND METHODAANM Trdinich; JoeAACI San JoseAAST CAAACO USAAGP Trdinich; Joe San Jose CA USAANM Graben; MichaelAACI San JoseAAST CAAACO USAAGP Graben; Michael San Jose CA USAANM Belmarch; RonAACI Los AngelesAAST CAAACO USAAGP Belmarch; Ron Los Angeles CA USAANM Kao; ShaneAACI Santa ClaraAAST CAAACO USAAGP Kao; Shane Santa Clara CA USAANM Francis; JoeAACI Santa ClaraAAST CAAACO USAAGP Francis; Joe Santa Clara CA US | 2 |
Wen Lin Kao | TW | Hsinchu County | 2012-06-14 / 20120146535 - LED CONTROLLER ASIC AND PWM MODULE THEREOF | 1 |
Yi-Min Kao | TW | Taipei County | 2010-05-20 / 20100125713 - DATA BACKUP SYSTEM AND DATA BACKUP METHOD THEREOF | 1 |
Kuo-Shu Kao | TW | Taipei County | 2008-11-20 / 20080284011 - BUMP STRUCTURE | 1 |
Chien-Yu Kao | TW | Taipei County | 2010-04-29 / 20100104053 - APPARATUS AND METHOD FOR ESTIMATING INTER-CARRIER INTERFERENCE POWER AND NOISE POWER IN A CHANNEL | 2 |
Ting Hui Kao | TW | Danshui Town | 2008-09-04 / 20080214097 - HANDHELD ELECTRIC GRINDER | 1 |
Mao-Lin Kao | TW | Zhunan Township | 2013-09-05 / 20130230375 - AUTOMATED MATERIAL HANDLING SYSTEM AND METHOD FOR SEMICONDUCTOR MANUFACTURING | 1 |
Ping-Hung Kao | TW | Taipei City | 2013-02-28 / 20130049849 - FILTER SYSTEM CAPABLE OF AUTOMATICALLY ADJUSTING BANDWIDTH AND METHOD OF AUTOMATICALLY ADJUSTING BANDWIDTH OF A FILTER | 1 |
Tsair Kao | TW | Taichung County | 2010-02-25 / 20100049070 - DEVICE OF IMPROVING AUTOMATIC REAL-TIME HEART RATE VARIABILITY ANALYSIS USING ACCELEROMETER | 1 |
Tseng-Chi Kao | TW | Hsin-Tien City | 2010-06-10 / 20100144942 - LIGHTWEIGHT MODELING COMPOSITION | 1 |
Min-Sheng Kao | TW | Taipei County | 2010-12-16 / 20100315165 - Broadband high output current output stage | 1 |
Chin-Shan Kao | TW | Taipei County | 2011-06-16 / 20110145610 - POWER SAVING DEVICE AND ALL-IN-ONE PC HAVING THE SAME | 2 |
Chi-Ying Kao | TW | Tao-Yuan | 2015-11-12 / 20150322475 - ELECTRODE FOR URIC ACID AND METHOD OF PRODUCING THE SAME | 1 |
Hsi Feng Kao | TW | Taipei County | 2008-10-16 / 20080252472 - AUTOMATIC LIQUID LEVEL MONITORING APPARATUS | 1 |
Kung-Ming Kao | TW | Taipei County | 2009-10-15 / 20090257203 - MOBILE COMMUNICATION DEVICE WITH REPLACEABLE FUNCTIONAL MODULES | 1 |
Jason Kao | TW | Taipei County | 2009-12-03 / 20090297349 - H-shaped Vertical Axis Type Windmill Structure | 1 |
Tu-Tsung Kao | TW | Xindian City | 2010-06-10 / 20100146252 - COMPUTER MOTHERBOARD WITH AUTOMATICALLY ADJUSTED HARDWARE PARAMETER VALUE | 1 |
Peng-Feng Kao | TW | Taipei County | 2009-12-03 / 20090295331 - CHARGING DEVICE | 5 |
Y. H. Kao | TW | Tainan | 2009-07-09 / 20090176126 - Magnesium metal product with reinforcing structure | 1 |
Chi-Yi Kao | TW | Taipei County | 2009-12-10 / 20090304076 - MEMORY ARRANGEMENT METHOD AND SYSTEM FOR AC/DC PREDICTION IN VIDEO COMPRESSION APPLICATIONS BASED ON PARALLEL PROCESSING | 1 |
Yung-Shun Kao | TW | Taipei County | 2010-04-29 / 20100105228 - Circuit board module and connector protecting cover thereof | 2 |
Yu-Chang Kao | TW | Taipei County | 2010-10-28 / 20100271277 - Slot Antenna | 1 |
Nien-An Kao | TW | Taipei County | 2011-03-24 / 20110070859 - LOW NOISE AMPLIFIER AND RADIO FREQUENCY SIGNAL RECEIVER | 1 |
Ming-Chun Kao | TW | New Taipei City | 2011-09-29 / 20110239206 - KEYBOARD DEFINITION UPDATING METHOD AND COMPUTER SYSTEM | 1 |
Chen-Chun Kao | US | East Peoria | 2008-08-28 / 20080203069 - EDM process for manufacturing reverse tapered holes | 1 |
Ying-Shou Kao | TW | Sanshia Jen | 2010-09-16 / 20100229515 - Peaky filter | 1 |
Yi-Yu Kao | TW | Miao-Li County | 2011-02-10 / 20110031512 - THIN FILM TRANSISTOR SUBSTRATE | 1 |
Chi-Chang Kao | US | Setauket | 2008-10-09 / 20080247512 - Sagittal Focusing Laue Monochromator | 1 |
Sun-Chueh Kao | US | Hillsborough | 2008-12-18 / 20080312380 - Bimodal polyethylene compositions for blow molding appplications | 2 |
Chi-Hsiu Kao | TW | New Taipei City | 2015-11-05 / 20150317181 - OPERATING SYSTEM SWITCHING METHOD | 1 |
Wei-Cheng Kao | TW | Taipei City | 2014-11-20 / 20140338408 - ELECTRONIC SYSTEM WITH LOCKING FUNCTION BY ELECTRONICALLY CONTROLLED | 1 |
Yuan-Hua Kao | US | Holmdel | 2009-01-01 / 20090003830 - LOSS OF SIGNAL DETECTION | 1 |
Huai-Hung Danny Kao | US | Syosset | / - | 1 |
Titus Kao | US | Somerville | 2009-05-21 / 20090132661 - Forwarding Un-responded to Instant Messages to Electronic Mail | 1 |
Junan Kao | US | Cincinnati | 2009-08-06 / 20090194435 - ARRAY OF PRODUCTS | 1 |
Cai-Yu Kao | TW | Taipei | 2011-09-29 / 20110236882 - QUANTITATIVE MEASUREMENT OF NANO/MICRO PARTICLE ENDOCYTOSIS WITH CELL MASS SPECTROMETRY | 1 |
Chen-Yu Kao | TW | Hsinchu | 2011-09-29 / 20110236747 - COMPOSITE MATERIAL FOR NEGATIVE ELECTRODE, METHOD FOR FABRICATING THE SAME AND ELECTROCHEMICAL DEVICE USING THE SAME | 1 |
Yu Chun Kao | TW | Taichung | 2009-08-06 / 20090193660 - Adjustable cake cutter | 1 |
Imin Kao | US | Stonybrook | 2013-03-21 / 20130073103 - ELECTRICAL MONITORING AND CONTROL SYSTEM | 2 |
Anne Kao | US | Bellevue | 2014-05-01 / 20140122586 - DETERMINATION OF LATENT INTERACTIONS IN SOCIAL NETWORKS | 4 |
Chia-Cheng Kao | US | Bloomington | 2010-02-18 / 20100040576 - Modified Oligonucleotides For The Treatment Of Hepatitis C Infection | 1 |
Chen-Yuan Kao | TW | Hsin-Chu | 2016-03-03 / 20160064332 - Metal Cap Apparatus and Method | 1 |
Yu Ling Kao | TW | Tao Yuan | 2008-10-16 / 20080254311 - PALLADIUM-CONTAINING PLATING SOLUTION AND ITS USES | 1 |
Hung-Chun Kao | TW | New Taipei | 2016-01-28 / 20160028856 - METHOD, SYSTEM AND APPARATUS FOR PROVIDING SERVICES ACROSS NETWORKS | 1 |
Richard L. Kao | US | Northbrook | 2014-12-11 / 20140364654 - DIMETHYL ETHER (DME) PRODUCTION PROCESS | 5 |
Chin-Fu Kao | TW | Taipei City | 2014-05-15 / 20140131864 - Connector Design for Packaging Integrated Circuits | 11 |
Rom-Shen Kao | US | Durham | 2010-03-04 / 20100057971 - Multi-Mode Bus Inversion Method and Apparatus | 5 |
David Taiyung Kao | US | Ashburn | 2015-12-17 / 20150363451 - TIMESTAMP NORMALIZED COUNTER DATA | 3 |
Wei-Fong Kao | TW | Taipei | 2012-06-28 / 20120165688 - WIRELESS OPTICAL PULSIMETRY SYSTEM FOR A HEALTHCARE ENVIRONMENT | 1 |
Wayne Kao | US | Mountain View | 2016-03-24 / 20160085879 - DISPLAY SHOWING INTERSECTION BETWEEN USERS OF A SOCIAL NETWORKING SYSTEM | 17 |
Joseph H. Kao | US | Albuquerque | 2016-01-07 / 20160004893 - MULTI-PROTOCOL RFID SYSTEM | 7 |
Chin-Lung Kao | TW | Taichung City | 2015-08-13 / 20150224617 - MULTISPINDLE MACHINING CENTER | 2 |
Tsongming Kao | US | Sunnyvale | 2015-12-03 / 20150346478 - Protection of Thin Film Transistors in a Display Element Array from Visible and Ultraviolet Light | 10 |
Yih-Sien Kao | US | Bethesda | 2010-03-25 / 20100074438 - SYSTEMS AND METHODS FOR KEY MANAGEMENT | 1 |
Yeh-Chun Kao | TW | Taoyuan County | 2015-10-29 / 20150311588 - COMMUNICATION DEVICE AND METHOD FOR DESIGNING MULTI-ANTENNA SYSTEM THEREOF | 1 |
Chi-Jen Kao | TW | New Taipei City | 2015-08-20 / 20150236307 - Structure and Method for Packaging Organic Optoelectronic Device | 6 |
Jeff Kao | US | Princeton Junction | 2010-07-08 / 20100170044 - INFLATABLE AIR MATTRESS WITH IMBEDDED PUMP | 1 |
Victor Kao | US | Princeton Junction | 2010-07-08 / 20100170044 - INFLATABLE AIR MATTRESS WITH IMBEDDED PUMP | 1 |
Chia-Jen Kao | TW | Hsin-Chu | 2010-12-02 / 20100302749 - Controlling Warpage in BGA Components in a Re-flow Process | 1 |
Shuo-Chun Kao | US | Sunnyvale | 2016-02-25 / 20160056804 - CLOCK SIGNAL DISTRIBUTION POWER EFFICIENCY IMPROVEMENT | 8 |
Wei-Chao Kao | TW | Hsi Chih | 2011-02-10 / 20110032399 - DOUBLE-LIGHT SOURCES OPTICAL SCANNING DEVICE AND METHOD OF USING THE SAME | 1 |
Kuo Feng Kao | TW | Hsin-Chu | 2011-06-30 / 20110157061 - Touch-Sensing Display Device and Touch-Sensing Module Thereof | 4 |
Weiyuan John Kao | US | Middleton | 2010-08-19 / 20100209509 - BIFUNCTIONAL-MODIFIED HYDROGELS | 1 |
Tony Shuo-Chun Kao | US | Sunnyvale | 2014-12-25 / 20140376582 - POWER-EFFICIENT HIGH-SPEED DRIVER FOR A VERTICAL-CAVITY SURFACE-EMITTING LASER | 3 |
Yu-Mao Kao | TW | Hsinchu City | 2015-12-17 / 20150363239 - DYNAMIC TASK SCHEDULING METHOD FOR DISPATCHING SUB-TASKS TO COMPUTING DEVICES OF HETEROGENEOUS COMPUTING SYSTEM AND RELATED COMPUTER READABLE MEDIUM | 1 |
Ya-Chen Kao | TW | Hsin-Chu | 2010-10-14 / 20100258886 - SPIN TORQUE TRANSFER MAGNETIC TUNNEL JUNCTION STRUCTURE | 3 |
Yu-Jin Kao | TW | Hsinchu | 2014-05-01 / 20140118743 - OPTICAL MEASURING APPARATUS AND OPTICAL MEASURING METHOD | 1 |
Ares Shiung-Pin Kao | TW | Taipei City | 2012-06-07 / 20120140639 - CONVERGENCE FOR CONNECTIVITY FAULT MANAGEMENT | 1 |
Chien-Wei Kao | TW | Zhubei City | 2011-10-27 / 20110263187 - WIRE SAW AND METHOD FOR FABRICATING THE SAME | 1 |
Hung-An Kao | TW | Hsinchu City | 2015-10-29 / 20150309061 - BANDSAW MACHINE HEALTH MONITORING SYSTEM | 1 |
Mei-Wen Kao | TW | Tainan City | 2014-05-01 / 20140120130 - Extraction Technology of Xiao-Yao-Drink | 1 |
Yuan Kao | US | Dayton | 2010-09-09 / 20100224519 - Thin Object Holder Apparatus for Use with a Portable Device Patent | 1 |
Ming-Chang Kao | TW | Bali Township | 2010-07-01 / 20100164040 - Microlens Structure for Image Sensors | 1 |
Lisa Marie Kao | US | Miami Beach | 2010-10-07 / 20100254915 - DENTAL CLEANING AND POLISHING COMPOSITION COMPRISING DIAMOND PARTICLES | 1 |
Joseph P.y. Kao | US | Silver Spring | 2011-07-07 / 20110165087 - TARGETED DELIVERY OF IMAGING PROBES FOR IN VIVO CELLULAR IMAGING | 2 |
Ming-Chi Kao | TW | Taipei Hsien | 2011-09-29 / 20110235277 - HEAT PIPE CAPABLE OF TRANSFORMING DYNAMIC ENERGY INTO ELECTRIC ENERGY AND RELATED HEAT-DISSIPATING MODULE | 1 |
Lien-Te Kao | TW | New Taipei City | 2014-11-06 / 20140327856 - LIQUID CRYSTAL DISPLAY DEVICE AND ELECTRONIC EQUIPMENT HAVING THE SAME | 5 |
Changquing C. Kao | US | Brentwood | 2015-07-23 / 20150202461 - SYSTEM AND METHODS FOR OPTICAL STIMULATION OF NEURAL TISSUES | 4 |
Henry Kao | US | St. Louis | 2010-11-25 / 20100297158 - ANTICANCER VACCINE AND DIAGNOSTIC METHODS AND REAGENTS | 1 |
Shuo-Ting Kao | TW | Chupei | 2012-06-07 / 20120139608 - Multiplexer | 1 |
Shih-Ning Kao | US | Cupertino | 2014-04-24 / 20140110231 - SLIDE INPUT COMPONENT ASSEMBLIES OF AN ELECTRONIC DEVICE AND METHODS FOR MAKING THE SAME | 1 |
Keith L. Kao | US | Los Altos | 2013-01-03 / 20130002862 - MEASURING DEVICE USER EXPERIENCE THROUGH DISPLAY OUTPUTS | 1 |
Kai-Peng Kao | TW | Hsinchu City | 2013-07-25 / 20130188754 - TRANSMITTER AND FREQUENCY DEVIATION REDUCTION METHOD THEREOF | 3 |
Jonathan C. Kao | US | Stanford | 2016-02-18 / 20160048753 - Multiplicative recurrent neural network for fast and robust intracortical brain machine interface decoders | 4 |
Chieh Kai Kao | TW | Taipei | 2015-05-14 / 20150131719 - RATE-DISTORTION OPTIMIZED QUANTIZATION METHOD | 2 |
Daphne Annina Kao | US | San Francisco | 2014-01-09 / 20140013218 - FACILITATING DYNAMIC CROSS-BLOCK JOINING OF REPORTS IN AN ON-DEMAND SERVICES ENVIRONMENT | 1 |
Mao-Yuan Kao | TW | Taoyuan County | 2014-10-16 / 20140310332 - METHOD FOR ACCESSING PLURAL CLOUD STORAGES, ELECTRONIC APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Coleman Kao | US | Chino Hills | 2014-03-13 / 20140068895 - DECORATIVE SELF-LOCKING "C" CLIP FOR GARMENT STRAPS | 1 |
Feng-Sheng Kao | TW | Taichung | 2013-01-31 / 20130029858 - Method of Drug Screening through Quantitative Detection by Atomic Force Microscopy and Effective Protein Chips Development through Method Thereof | 1 |
Chen-Kuo Kao | TW | Hsinchu Shien | 2013-01-24 / 20130021053 - PROBE CARD HAVING ADJUSTABLE HIGH FREQUENCY SIGNAL TRANSMISSION PATH FOR TRANSMISSION OF HIGH FREQUENCY SIGNAL | 1 |
Wei-Tung Kao | TW | Tainan City | 2015-10-29 / 20150307339 - Pneumatically Operated Opener Device | 1 |
Wen-Hong Kao | US | Brown Deer | 2012-08-30 / 20120219857 - BATTERY GRID | 9 |
Chia-Wei Kao | TW | New Taipei City | 2014-10-02 / 20140298059 - ELECTRONIC APPARATUS AND ASSOCIATED POWER MANAGEMENT METHOD | 1 |
Ming-Chi Kao | TW | New Taipei City | 2015-06-04 / 20150153785 - ELECTRONIC DEVICE HAVING SUPPORTING MECHANISM | 3 |
Brian W. Kao | US | Farmington Hills | 2014-11-27 / 20140347356 - SYSTEM AND METHOD FOR DETERMINING A MATED SURFACE OF AN OBJECT HAVING A PLURALITY OF MEMBERS | 1 |
Chih-Pin Benjamin Kao | US | Silver Springs | 2010-12-16 / 20100318629 - Altering Software Behavior Based on Internet Connectivity | 1 |
Huai-Hung Kao | US | Syosset | 2016-05-19 / 20160136152 - OXYMORPHONE CONTROLLED RELEASE COMPOSITIONS | 16 |
Chun-Wei Kao | TW | Taipei City | 2013-09-19 / 20130243204 - SOUND QUALITY TESTING METHOD AND SYSTEM | 2 |
Hsing-Suang Kao | TW | Tu-Cheng | 2013-10-31 / 20130285471 - TUNER CIRCUIT | 8 |
Chen-Lung Kao | TW | Taipei City | 2016-04-21 / 20160111064 - LOAD DRIVING APPARATUS ADAPTED TO DRIVE OUTDOOR DISPLAY | 10 |
Sophia Kao | TW | Taichung | 2016-05-05 / 20160121113 - Diabetes glucagon mitigation system and method with an electrical energy wave generator | 1 |
Chih-Wei Kao | TW | Puli Township | 2011-06-09 / 20110135102 - METHOD, COMPUTER READABLE STORAGE MEDIUM AND SYSTEM FOR LOCALIZING ACOUSTIC SOURCE | 1 |
Tzu-Pin Kao | TW | Tainan City | 2013-04-25 / 20130100512 - ONE-DIMENSIONAL SCANNING DISPLAYER FOR PROJECTING IMAGE ONTO PUPILS | 3 |
Ping Kao | TW | Taipei City | 2014-10-23 / 20140312401 - MEMORY CELL HAVING A RECESSED GATE AND MANUFACTURING METHOD THEREOF | 1 |
Yu-Pin Kao | TW | Tainan City | 2011-09-29 / 20110234203 - VOLTAGE DETECTION CIRCUIT AND METHOD THEREOF | 1 |
Chin-Hsing Kao | TW | Taoyuan County | 2011-09-29 / 20110234173 - Method of Estimating Battery Recharge Time and Related Device | 2 |
Chung-En Kao | TW | Miaoli County | 2015-04-30 / 20150118843 - SHIELDING DESIGN FOR METAL GAP FILL | 3 |
In-Koune Kao | TW | Hsinchu City | 2015-10-22 / 20150301628 - CIRCUIT OF FREQUENCY COUNTER AND METHOD THEREOF | 1 |
Shen-Mu Kao | TW | Taoyuan County | 2013-07-25 / 20130186205 - LIQUID PRESSURE SENSING STRUCTURE | 1 |
Chaofeng Eric Kao | US | Golden | 2011-02-17 / 20110040575 - APPLIANCE AND PAIR DEVICE FOR PROVIDING A RELIABLE AND REDUNDANT ENTERPRISE MANAGEMENT SOLUTION | 1 |
Chin-Tzu Kao | TW | Changhua County | 2016-05-05 / 20160126358 - THIN-FILM TRANSISTOR | 8 |
Kuo-Feng Kao | TW | Taipei County | 2011-06-09 / 20110134053 - TOUCH DISPLAY PANEL, PIXEL STRUCTURE AND MULTI-SENSING STRUCTURE | 1 |
Philip Kao | TW | Taipei | 2011-11-03 / 20110267756 - WEAR-RESISTANT KEYBOARDS METHODS FOR PRODUCING SAME | 1 |
Hsin-Yi Kao | TW | Hsinchu County | 2014-11-27 / 20140349837 - Method of Producing Fiber Catalyst and Fiber Catalyst Thereof | 1 |
Dan Kao | AU | Northbridge | 2015-11-05 / 20150314144 - RESPIRATOR | 4 |
Kuo-Tung Kao | TW | Guishan Shiang | 2011-04-14 / 20110087233 - METHOD FOR GUIDING SYMMETRIC IMPLANTATION OF BONE SCREWS | 4 |
Chih-Chiang Kao | TW | Gueishan Township | 2012-05-31 / 20120136628 - MACHINE TOOL DATA ACQUISITION DEVICE AND METHOD | 1 |
Sandy K. Kao | US | Austin | 2012-07-19 / 20120185815 - METHOD FOR EXTRACTING INFORMATION FOR A CIRCUIT DESIGN | 9 |
Chien-Min Kao | US | Wilmette | 2015-05-28 / 20150146949 - IMAGE RECONSTRUCTION FROM LIMITED OR INCOMPLETE DATA | 8 |
Kuo-Wen Kao | TW | New Taipei City | 2015-12-03 / 20150349514 - MULTIFUNCTIONAL ELECTRICAL POWER OUTPUT PROTECTION DEVICE | 1 |
Peter Kao | US | San Clemente | 2013-02-14 / 20130041306 - Therapeutic method for treatment of hypertension | 2 |
Ta-Wei Kao | TW | Sijhih City | 2012-01-26 / 20120018786 - HIGHLY STRAINED SOURCE/DRAIN TRENCHES IN SEMICONDUCTOR DEVICES | 2 |
Ming-Jer Kao | TW | Tainan City | 2009-01-15 / 20090014705 - PHASE CHANGE MEMORY DEVICE AND METHOD FOR FABRICATING THE SAME | 1 |
Wei-Chen Kao | TW | Shulin City | 2009-08-13 / 20090199361 - Slidable dual-axis hinge assembly | 4 |
Yao Tsung Kao | TW | Tainan City | 2015-06-25 / 20150173550 - Household Electrothermal Vaporization Heater | 7 |
Gary D. Kao | US | Wynnewood | 2015-10-08 / 20150285786 - COMPOSITIONS, METHODS AND MICROFLUIDICS DEVICE FOR TELOMERASE BASED IN VITRO DIAGNOSTIC ASSAYS FOR DETECTING CIRCULATING TUMOR CELLS (CTC) | 3 |
Kuo-Jang Kao | US | Gainesville | 2015-05-21 / 20150140021 - Therapeutic Biologic for Treatment of Hepatocellular Carcinoma | 8 |
Yu-Pin Kao | TW | Hsinchu Hsien | 2011-03-10 / 20110057620 - CHARGE/DISCHARGE PROTECTION CIRCUIT AND DISCHARGING PROTECTION METHOD | 1 |
Yu-Sheng Kao | TW | Tainan City | 2015-11-05 / 20150314505 - SIMPLE INJECTION MOLDING MACHINE STRUCTURE AND INJECTION METHOD FOR THE SAME | 3 |
Chuni Kao | US | Sammamish | 2012-04-26 / 20120101396 - CONTINUOUS OUTPATINET ECG MONITORING SYSTEM | 4 |
Yu-Hua Kao | US | Midland | 2015-12-24 / 20150372303 - Method for Making Lithium Transition Metal Olivines Using Water/Cosolvent Mixtures | 3 |
Ya-Fen Kao | TW | New Taipei City | 2016-05-19 / 20160141804 - ELECTRICAL PLUG CONNECTOR | 20 |
Yu-Yueh Kao | CN | Taiwan | 2009-02-19 / 20090045370 - CONTROLLING VALVE | 1 |
Dan Kao | AU | Chatswood | 2009-05-14 / 20090120434 - Compliant coupling or adaptor | 1 |
Dan Kao | AU | New South Wales | 2009-08-06 / 20090194106 - Humidifier and/or flow generator for CPAP device | 1 |
Keng Nye Kao | SG | Singapore | 2010-02-11 / 20100031786 - DISPENSING MATERIAL FOR APPLICATIONS | 2 |
Benjamin Chi Ming Kao | HK | Hong Kong | 2010-08-05 / 20100198777 - SEQUENCE ONLINE ANALYTICAL PROCESSING SYSTEM | 1 |
Feng-Jung Kao | US | Minneapolis | 2010-05-20 / 20100125554 - Memory Recovery Across Reboots of an Emulated Operating System | 1 |
Shu Jen F. Kao | US | Brown Deer | 2012-08-30 / 20120219857 - BATTERY GRID | 4 |
Tony Kao | US | Lynnwood | 2010-12-16 / 20100318589 - EDUCATIONAL ENTITY ARCHITECTURE AND OBJECT MODEL | 1 |
Sheau Van Kao | CA | Oakville | 2010-11-18 / 20100288162 - PIGMENTED PHASE CHANGE INKS CONTAINING LOW MOLECULAR WEIGHT QUATERNARY AMMONIUM SALT DISPERSANTS | 2 |
Cheng-Yueh Kao | CN | Shanghai | 2011-02-17 / 20110037552 - POLYAMIDE COMPOSITIONS AND BOBBINS MADE THEREOF | 1 |
Cheng-Nan Kao | TW | Changhua County | 2016-02-11 / 20160040286 - PARTICLE IMPROVEMENT FOR SINGLE WAFER APPARATUS | 1 |
Dan Kao | AU | Northbridge, New South Wales | 2011-11-24 / 20110283999 - HUMIDIFIER AND/OR FLOW GENERATOR FOR CPAP | 2 |
Richard Yi Tsun Kao | CN | Hong Kong | 2011-02-24 / 20110046747 - ANTIBACTERIAL SURFACE AND METHOD OF FABRICATION | 1 |
Y. H. Kao | TW | Tainan City | 2010-08-12 / 20100203356 - Magnesium alloy compound type thermal metal material | 1 |
Perre Kao | TW | Tainan City | 2015-05-07 / 20150125998 - METAL BUMPS FOR COOLING DEVICE CONNECTION | 4 |
Bunsho Kao | JP | Tokyo | 2011-03-03 / 20110052693 - METHOD FOR PRODUCING ARTIFICIAL SKIN | 1 |
Y.h. Kao | TW | Tainan City | 2009-05-07 / 20090117402 - Thermal module | 2 |
Chi-An Kao | TW | Tainan City | 2014-08-07 / 20140222376 - METHOD FOR SEARCHING, ANALYZING, AND OPTIMIZING PROCESS PARAMETERS AND COMPUTER PROGRAM PRODUCT THEREOF | 4 |
Chung-Hsu Kao | TW | Tainan City | 2014-05-29 / 20140146556 - VEHICLE LAMP APPARATUS | 2 |
Ching-Pin Kao | TW | Tainan City | 2015-03-19 / 20150079700 - SYSTEMS AND METHODS FOR SIMILARITY-BASED SEMICONDUCTOR PROCESS CONTROL | 2 |
Hsueh-Chung Kao | TW | Tainan City | 2010-07-01 / 20100165631 - REFLECTOR ELEMENT OF LIGHTING UNIT | 3 |
Sun-Chueh Kao | US | Houston | 2016-01-28 / 20160024238 - MULTIPLE CATALYST SYSTEM COMPRISING METALLOCENES AND TRIDENTATE NITROGEN BASED LIGAND COMPLEXES FOR OLEFIN POLYMERISATION | 1 |
Wei-Shuo Kao | TW | Taichuang City | 2014-06-05 / 20140153349 - Simultaneous Two/Dual Port Access on 6T SRAM | 2 |
Shiau-Wen Kao | TW | Hsinchu City | 2015-01-22 / 20150022268 - DC OFFSET CANCELLATION CIRCUIT | 7 |
Cheng-Fu Kao | TW | Taipei City | 2011-11-10 / 20110275105 - Methods of inducing pluripotency | 1 |
Kin-Fu Kao | TW | Taipei | 2012-07-19 / 20120181499 - QUATERNARY GALLIUM TELLURIUM ANTIMONY (M-GaTeSb) BASED PHASE CHANGE MEMORY DEVICES | 1 |
Kai-Pon Kao | TW | Hsinchu City | 2009-07-02 / 20090168641 - METHOD AND CIRCUIT FOR FREQUENCY OFFSET ESTIMATION IN FREQUENCY DOMAIN IN THE ORTHOGONAL FREQUENCY DIVISION MULTIPLEXING BASEBAND RECEIVER FOR IEEE 802.11A/G WIRELESS LAN STANDARD | 1 |
Yung-Shin Kao | TW | Hsinchu City | 2010-11-18 / 20100289529 - POWER-ON DETECTOR AND METHOD THEREOF | 1 |
Wen-Hsien Kao | TW | Yilan County | 2009-05-21 / 20090126092 - Method for forming sanitary foaming in sanitary device | 1 |
Che I. Kao | TW | Hsinchu City | 2011-11-24 / 20110288203 - FIRE RESISTANT MATERIAL AND FORMULATION THEREOF | 4 |
Shih-Rong Kao | TW | Hsinchu City | 2012-05-10 / 20120113327 - METHOD FOR PERFORMING VIDEO DISPLAY CONTROL WITHIN A VIDEO DISPLAY SYSTEM, AND ASSOCIATED VIDEO PROCESSING CIRCUIT AND VIDEO DISPLAY SYSTEM | 7 |
Tzu-Han Kao | TW | Sinjhuang City | 2009-02-05 / 20090037845 - Method and System for Editing Web Data | 1 |
Chih-Kuang Kao | TW | Chu-Pei City | 2014-04-17 / 20140106562 - Barrier Layer for Copper Interconnect | 1 |
Hung Chen Kao | TW | Luzhu Township | 2013-03-07 / 20130057484 - LIQUID CRYSTAL DISPLAY WITH DETACHABLE TOUCH SENSOR | 1 |
Hsiang-Chieh Kao | TW | Taoyuan County | 2013-03-07 / 20130058404 - METHOD OF MOTION PREDICTION OF MULTIMEDIA VIDEO CODING | 1 |
Chien-Ya Kao | TW | Hsinchu City | 2013-03-07 / 20130059369 - MICROALGAE CULTIVATION MODULE | 1 |
Tune-Hune Kao | TW | Hsinchu City | 2015-05-07 / 20150122533 - METHOD FOR FORMING METAL CIRCUIT, LIQUID TRIGGER MATERIAL FOR FORMING METAL CIRCUIT AND METAL CIRCUIT STRUCTURE | 4 |
Kuo-Shu Kao | TW | Hsinchu City | 2014-05-15 / 20140134459 - SOLDER, SOLDER JOINT STRUCTURE AND METHOD OF FORMING SOLDER JOINT STRUCTURE | 4 |
Hong-Sing Kao | TW | Hsinchu City | 2010-11-25 / 20100295608 - DEMODULATION METHOD UTILIZING DELAYED-SELF-SAMPLING TECHNIQUE | 2 |
Ming Tsan Kao | TW | Hsinchu City | 2014-06-12 / 20140161310 - Device and Method for Determining Gesture and Operation Method of Gesture Determining Device | 14 |
Tze Huei Kao | TW | Hsinchu Hsien | 2011-06-30 / 20110157374 - Circuit for Calibrating Sync-on-Green Signal and Associated Method | 1 |
Peng-Cheng Kao | TW | Hsinchu City | 2012-02-23 / 20120043663 - POWER AND GROUND ROUTING OF INTEGRATED CIRCUIT DEVICES WITH IMPROVED IR DROP AND CHIP PERFORMANCE | 4 |
Cheng-Lung Kao | TW | Hsinchu City | 2011-02-17 / 20110037675 - COPLANAR ANTENNA UNIT AND COPLANAR ANTENNA | 1 |
Chen-Yu Kao | TW | Hsinchu City | 2011-04-28 / 20110097842 - Method for preparing IGZO particles and method for preparing IGZO film by using the IGZO particles | 2 |
Yi-Chun Kao | TW | Hsinchu | 2016-04-28 / 20160118478 - METHOD OF MANUFACTURING THIN FILM TRANSISTOR | 7 |
Linus Tzu-Hsiang Kao | SG | Singapore | 2011-06-02 / 20110129931 - MICROFLUIDIC SYSTEM FOR DETECTING A BIOLOGICAL ENTITY IN A SAMPLE | 1 |
Jau-Hong Kao | TW | Hsinchu City | 2010-06-03 / 20100135530 - METHODS AND SYSTEMS FOR CREATING A HIERARCHICAL APPEARANCE MODEL | 1 |
Chao Yang Kao | TW | Hsinchu City | 2008-09-18 / 20080225948 - Method of Data Reuse for Motion Estimation | 1 |
H. Pin Kao | US | Fremont | 2015-08-27 / 20150238919 - Microfluidic Devices And Method For Their Use | 12 |
Jean Swey Kao | US | Cupertino | 2015-07-30 / 20150215572 - Digital Security Surveillance System | 10 |
Chen-Kuan Kao | TW | Jhu-Nan | 2015-05-07 / 20150124236 - LIGHT EXPOSURE SYSTEM AND LIGHT EXPOSURE PROCESS | 2 |
Yi-Hsiang Kao | TW | Miao-Li | 2008-09-25 / 20080231390 - Matching impedance method, impedance matching circuit, and personal computer employing the impedance matching circuit | 1 |
Tai-Chi Kao | TW | Hsinchu City | 2009-01-22 / 20090021266 - DEFECT DETECTION SYSTEM WITH MULTILEVEL OUTPUT CAPABILITY AND METHOD THEREOF | 1 |
Ming Tsan Kao | TW | Hsin-Chu County | 2015-04-30 / 20150116480 - ADAPTED MOBILE CARRIER AND AUTO FOLLOWING SYSTEM | 12 |
Yi-Hsuan Kao | TW | Taipei City | 2013-12-05 / 20130322718 - METHOD AND APPARATUS FOR MEASUREMENTS OF THE BRAIN PERFUSION IN DYNAMIC CONTRAST-ENHANCED COMPUTED TOMOGRAPHY IMAGES | 2 |
Chi-Yueh Kao | US | Columbus | 2012-05-24 / 20120126920 - LAMINATE COMPOSITE AND METHOD FOR MAKING SAME | 1 |
Po-Hao Kao | TW | New Taipei City | 2014-12-18 / 20140366579 - Mixing Apparatus for Substrate Ion Exchange Systems with Multi-Component Ion Exchange Baths and Methods of Mixing Such Baths | 1 |
Chen Yi Kao | TW | Hsinchu City | 2009-12-17 / 20090309715 - Contact-less card reader having associated campaign encrypting/decrypting means and associated campaign processing means | 2 |
Chih-Lung Kao | US | San Diego | 2015-08-06 / 20150221639 - DIGITAL CIRCUIT DESIGN WITH SEMI-CONTINUOUS DIFFUSION STANDARD CELL | 2 |
Shin-Ming Kao | TW | Taoyuan County | 2009-02-12 / 20090040418 - LED device and back panel of liquid crystal display | 1 |
Cheng-Chieh Kao | TW | Taoyuan County | 2014-09-18 / 20140267073 - TOUCH PANEL STRUCTURAL AND DISPLAY DEVICE USING TOUCH PANEL | 1 |
Tsung-Chih Kao | TW | Yonghe City | 2011-11-17 / 20110279033 - ELECTRONIC LAMP CONVERTER | 3 |
Ching-Hung Kao | TW | Hsin-Chu Hsien | 2012-07-26 / 20120190168 - METHOD FOR FORMING TRENCHES AND TRENCH ISOLATION ON A SUBSTRATE | 8 |
Wei-Xin Kao | TW | Taoyuan County | 2013-09-05 / 20130230791 - Current collection apparatus and method of processing for a solid oxide fuel cell thereof | 10 |
Hung-Han Kao | TW | Taoyuan County | 2010-02-18 / 20100040850 - RESIN COMPOSITION AND DIFFUSION PLATE | 1 |
Yu-Chih Kao | TW | Kaohsiung | 2016-03-10 / 20160067932 - INFRARED ABSORPTION FILM, INFRARED ABSORPTION FILM MANUFACTURING METHOD AND CAMERA MODULE COMPRISING THE INFRARED ABSORPTION FILM | 4 |
Min-Feng Kao | TW | Chiayi | 2015-07-30 / 20150214266 - CMOS IMAGE SENSOR AND METHOD FOR FORMING THE SAME | 2 |
Hsien-Chang Kao | TW | Taoyuan County | 2010-02-18 / 20100040277 - PANEL INSPECTION DEVICE AND INSPECTION METHOD OF PANEL | 1 |
Wu-Chun Kao | TW | Taoyuan County | 2010-06-17 / 20100148271 - Method for gate leakage reduction and Vt shift control and complementary metal-oxide-semiconductor device | 1 |
Chun-Yu Kao | TW | Gukeng Shiang | 2010-05-20 / 20100122515 - POISON-FILTER MATERIAL AND PRODUCTION METHOD THEREOF | 1 |
Yu-Che Kao | TW | Taichung City | 2011-03-03 / 20110051433 - LUMINAIRE DEVICE | 1 |
Hsin-Chien Kao | TW | Pingtung City | 2009-06-11 / 20090147689 - Method, network apparatus and computer readable medium thereof for detecting the defect of the network | 1 |
Chuck Kao | US | Dublin | 2013-03-28 / 20130080427 - PRESENTING USER PREFERENCE ACTIVITIES | 2 |
Yih-Feng Kao | TW | Tao-Yuan City | 2008-11-20 / 20080284756 - METHOD AND DEVICE FOR HANDLING LARGE INPUT MECHANISMS IN TOUCH SCREENS | 1 |
Yih-Feng Kao | TW | Tao Yuan | 2008-11-20 / 20080284746 - ELECTRONIC DEVICE | 2 |
Yih-Feng Kao | TW | Taoyuan | 2013-10-24 / 20130283283 - PORTABLE ELECTRONIC DEVICE AND CONTROL METHOD THEREFOR | 6 |
Kuo Feng Kao | TW | New Taipei City | 2016-05-05 / 20160121225 - GAME HISTORY RECORDING APPARATUS AND METHOD FOR RECORDING AND INTERACTING WITH GAME HISTORY | 4 |
Yih-Feng Kao | TW | Taoyuan City | 2015-12-03 / 20150345957 - SATELLITE NAVIGATION METHOD AND SYSTEM | 6 |
Ming Tsan Kao | TW | Hsinchu City | 2014-06-12 / 20140161310 - Device and Method for Determining Gesture and Operation Method of Gesture Determining Device | 14 |
Chei Kao | TW | Hsinchu City | 2011-06-30 / 20110159267 - STARCH FILM AND METHOD FOR MANUFACTURING STARCH FOAM | 1 |
Jiann-Shiun Kao | TW | Hsinchu City | 2016-02-11 / 20160038695 - MEDICINE BOX COUNTER | 1 |
Shao-Hua Kao | US | Santa Clara | 2016-03-31 / 20160092053 - CONTROLLING REMOTE PRESENTATIONS THROUGH A WEARABLE DEVICE | 1 |
Chen-Ying Kao | TW | Taoyuan County | 2015-07-09 / 20150192678 - SATELLITE POSITIONING METHOD, SATELLITE POSITIONING APPARATUS, AND COMPUTER-READABLE MEDIUM | 3 |
Shih-Ming Kao | TW | Taoyuan County | 2010-11-25 / 20100294361 - PROCESS OF FORMING A GRID ELECTRODE ON THE FRONT-SIDE OF A SILICON WAFER | 4 |
Simon Kao | TW | Tainan City | 2013-10-03 / 20130256189 - ARTICLE DISPLAY DEVICE | 1 |
Yu-Hsiang Kao | TW | Hsinchu City | 2015-03-19 / 20150082259 - LAYOUT OPTIMIZATION FOR INTEGRATED CIRCUIT DESIGN | 3 |
Yi-Lung Kao | TW | Taoyuan County | 2010-05-13 / 20100119729 - METHOD FOR FABRICATING CARBON-ENRICHED FILM | 2 |
Daphne Kao | US | San Francisco | 2014-09-18 / 20140278755 - SYSTEMS, METHODS, AND APPARATUSES FOR IMPLEMENTING CHANGE VALUE INDICATION AND HISTORICAL VALUE COMPARISON | 1 |
Kelvin Kao | US | Long Beach | 2014-12-25 / 20140378986 - FOOT PEDAL SYSTEM AND APPARATUS | 3 |
Chia Wen Kao | TW | New Taipei City | 2015-04-30 / 20150116201 - METHOD AND APPARATUS FOR MARKING ELECTRONIC DOCUMENT | 3 |
Yung-Ming Kao | TW | Chutung | 2016-05-12 / 20160132039 - CUTTING TOOL CONTROLLER AND METHOD OF CONTROLLING THE SAME | 2 |
Yung-Ming Kao | TW | Taichung County | 2009-06-04 / 20090140683 - REHABILITATION ROBOT AND TUTORIAL LEARNING METHOD THEREFOR | 1 |
Chi-Fu Kao | US | San Jose | 2015-10-01 / 20150282375 - EXPANDABLE SERVER CASE | 1 |
Shih-Han Kao | TW | Kaohsiung City | 2012-07-26 / 20120190632 - ANTI-BACTERIAL INFECTION, INFLAMMATION, AND LEUKEMIA COMPOSITION AND USE THEREOF | 1 |
Hao-Chun Kao | TW | Taichung City | 2012-12-27 / 20120329985 - Optimal hydolysis conditions of soy protein to produce peptides with lipolysis-stimulating activity and their sequencing and use thereof | 1 |
Kuo-Feng Kao | TW | Shijr City | 2012-12-27 / 20120327299 - CABLE FOR TWO-WAY DIGITAL SIGNALING AND ELECTRICAL SIGNAGE SYSTEM EMPLOYING THE SAME | 1 |
Kuo-Wei Kao | TW | Taipei Hsien | 2014-01-23 / 20140021801 - PROXIMITY SENSING STRUCTURE AND ELECTRONIC DEVICE HAVING PROXIMITY SENSING CAPABILITY | 1 |
Jui-Hung Kao | TW | Taipei Hsien | 2015-02-12 / 20150046510 - THIRD PARTY SHARING-INFORMATION SEVER AND METHOD AND SYSTEM FOR GENERATING PERSONALIZED WEB PAGE THROUGH THE THIRD PARTY SHARING-INFORMATION SEVER | 3 |
Jung-Pin Kao | TW | Taipei Hsien | 2011-09-15 / 20110222720 - SPEAKER MODULE APPLIED FOR A PORTABLE COMPUTER | 2 |
Chien-Chung Kao | TW | Tu-Cheng | 2012-07-26 / 20120192223 - SET-TOP BOX AND PROGRAM RECORDING METHOD | 1 |
Huai-Hung Kao | US | Syosset | 2016-05-19 / 20160136152 - OXYMORPHONE CONTROLLED RELEASE COMPOSITIONS | 16 |
Yu-Li Kao | TW | Yonghe City | 2011-05-05 / 20110102585 - SURVEILLANCE DEVICE FOR POWER LINE PEER-TO-PEER SIGNAL TRANSMISSION | 2 |
Yu-Chien Kao | TW | Miao-Li County | 2016-04-07 / 20160098129 - TOUCH PANEL AND DISPLAY TOUCH PANEL | 7 |
Kuo-Feng Kao | TW | Xinbei | 2015-12-31 / 20150378464 - TOUCH SENSOR UNIT AND TOUCH SENSOR DEVICE | 4 |
Ming-Tze Kao | TW | Taipei City | 2012-08-02 / 20120192411 - METHOD FOR ELECTRICAL CONNECTION BETWEEN TWO SURFACES OF CERAMIC SUBSTRATE | 2 |
Chih-Chang Kao | TW | New Taipei | 2015-10-01 / 20150277522 - ETHERNET POWER SOURCE EQUIPMENT | 1 |
Ming-Sheng Kao | TW | Kaohsiung | 2010-10-07 / 20100254170 - DC to AC inverter | 1 |
Yu-Chien Kao | TW | Tainan County | 2009-12-24 / 20090316061 - LIQUID CRYSTAL DISPLAY | 1 |
Ghi-Hwei Kao | TW | Taipei City | 2012-05-17 / 20120119552 - AUTOMATICALLY ADJUSTABLE CHAIR STRUCTURE | 1 |
Shih-Chang Kao | TW | Kaohsiung City | 2012-04-26 / 20120102052 - SPECIFICATION ESTABLISHING METHOD FOR CONTROLLING SEMICONDUCTOR PROCESS | 6 |
Chih-Chiang Kao | TW | Kaohsiung City | 2012-07-26 / 20120187423 - LIGHT EMITTING DIODE DEVICE | 4 |
Ker-Yih Kao | TW | Miao-Li County | 2016-05-19 / 20160141390 - METHOD FOR MANUFACTURING DISPLAY PANEL | 8 |
Shiau-Wen Kao | TW | Kaohsiung City | 2010-12-09 / 20100308914 - LOW NOISE AMPLIFIER | 1 |
Yi-Fan Kao | TW | Taoyuan County 327 | 2015-01-15 / 20150014031 - COMPOUND CARRIER BOARD STRUCTURE OF FLIP-CHIP CHIP-SCALE PACKAGE AND MANUFACTURING METHOD THEREOF | 1 |
Chei Kao | TW | Tainan County | 2014-02-27 / 20140058006 - FIRE-RESISTANT POLYURETHANE FOAM | 2 |
Chung-Yao Kao | TW | Kaohsiung City | 2012-02-09 / 20120032351 - SEMICONDUCTOR PACKAGE | 5 |
Chien-Ping Kao | US | Hershey | 2015-01-29 / 20150031240 - ELECTRICAL CONNECTOR WITH TWO GROUND BARS CONNECTING EACH OTHER | 11 |
Jen-Chieh Kao | TW | Kaohsiung City | 2010-08-12 / 20100200974 - SEMICONDUCTOR PACKAGE STRUCTURE USING THE SAME | 3 |
Wei-Wen Kao | TW | Taoyuan City | 2011-05-26 / 20110125453 - LOCATING APPARATUS, LOCATING METHOD AND COMPUTER PROGRAM STORAGE PRODUCT THEREOF FOR A MOBILE DEVICE | 1 |
Huan-Chun Kao | TW | Kaohsiung City | 2010-07-01 / 20100168298 - METHOD FOR MANUFACTURING HYDROGENATED BLOCK COPOLYMER | 1 |
Minghui Kao | US | Rochester Hills | 2011-11-24 / 20110288840 - VEHICLE SYSTEM MODELING SYSTEMS AND METHODS | 1 |
Ming-Shing Kao | TW | Kaohsiung City | 2009-01-29 / 20090028701 - FAN BEARING STRUCTURE | 2 |
Pai-Ling Kao | TW | New Taipei City | 2012-08-02 / 20120193081 - HEAT-DISSIPATION STRUCTURE AND MANUFACTURING METHOD THEREOF | 1 |
San-Pao Kao | TW | New Taipei City | 2014-05-08 / 20140126134 - PORTABLE ELECTRONIC DEVICE WITH HINGE STRUCTURE | 19 |
Yi-Sheng Kao | TW | New Taipei City | 2015-05-21 / 20150135410 - BELT STRUCTURE | 13 |
Shao-Wen Kao | TW | Taipei City | 2015-01-15 / 20150019621 - Cross-Platform System for Remote-Controlling Network Camera | 1 |
Ya-Chen Kao | TW | Fuxing Township | 2016-05-19 / 20160141298 - STI RECESS METHOD TO EMBED NVM MEMORY IN HKMG REPLACEMENT GATE TECHNOLOGY | 13 |
Ming-Tsan Kao | TW | Hsin-Chu City | 2016-02-18 / 20160050700 - FIRST ELECTRONIC APPARATUS CAPABLE OF ACTIVELY PAIRING WITH SECOND ELECTRONIC APPARATUS FOR WIRELESS COMMUNICATION AND CORRESPONDING METHOD | 43 |
Hsiang-I Kao | TW | New Taipei | 2015-01-22 / 20150025403 - MOOD ANALYSIS METHOD, SYSTEM, AND APPARATUS | 2 |
Cheng-Liang Kao | TW | Tainan Hsien | 2009-05-14 / 20090123074 - SMOKE DETECTION METHOD BASED ON VIDEO PROCESSING | 1 |
Chien-Yu Kao | TW | Sanchong | 2009-11-12 / 20090279624 - METHOD AND APPARATUS FOR SPATIAL MAPPING MATRIX SEARCHING | 1 |
Chien-Yu Kao | TW | Sanchong City | 2010-01-14 / 20100008438 - SYSTEM AND METHOD FOR BIT ALLOCATION AND INTERLEAVING | 2 |
Cheng-Chieh Kao | TW | Taipei | 2011-05-26 / 20110123734 - FLAT VACUUM GLASS STRUCTURE | 1 |
Chieh-Chi Kao | TW | Taipei | 2013-07-04 / 20130169680 - SOCIAL SYSTEM AND METHOD USED FOR BRINGING VIRTUAL SOCIAL NETWORK INTO REAL LIFE | 1 |
Ya-Chen Kao | TW | Taoyuan County | 2015-07-23 / 20150206891 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 5 |
Yung-Shun Kao | TW | New Taipei City | 2016-05-19 / 20160143127 - SLAT FASTENING ASSEMBLY | 6 |
Yu-Yu Kao | TW | Taipei City | 2016-02-04 / 20160035943 - FLUORESCENT COMPOSITE RESIN SUBSTRATE WHITE LIGHT LIGHT EMITTING DIODE | 1 |
Kai-Po Kao | TW | New Taipei City | 2015-01-22 / 20150024079 - DOUGH-SKIN FOLDING DEVICE | 1 |
Ching-Hung Kao | TW | Zhudong Township | 2013-03-21 / 20130069189 - BONDING PAD STRUCTURE AND FABRICATING METHOD THEREOF | 1 |
Chia-Chun Kao | TW | Tainan City | 2015-12-03 / 20150348834 - Hard Mask Removal Scheme | 2 |
Mei-Wen Kao | US | 2014-05-01 / 20140120130 - Extraction Technology of Xiao-Yao-Drink | 1 | |
Kuo-Pao Kao | TW | New Taipei City | 2013-03-21 / 20130069426 - HYBRID POWER AND ELECTRICITY SYSTEM FOR ELECTRIC VEHICLES | 1 |
Hsin-Ching Kao | TW | Hsinchu County | 2010-08-05 / 20100197883 - POLYESTER RESIN AND FABRICATION METHOD THEREOF | 6 |
Yu Chieh Philip Kao | US | Seattle | 2012-08-02 / 20120197535 - Methods for identifying bacteria | 1 |
Yu-Li Kao | TW | Taipei City | 2012-08-02 / 20120198040 - REMOTE INFORMATION COMMUNICATION SYSTEM AND LINKING METHOD THEREOF | 1 |
Li-Jen Kao | TW | Shu Lin City | 2010-06-03 / 20100133081 - Keypad manufacturing method and device thereof | 1 |
Ya-Hui Kao | TW | Tu-Cheng | 2013-03-21 / 20130073904 - SYSTEM AND METHOD FOR MANAGING TEST OF BASEBOARD MANAGEMENT CONTROLLER | 1 |
Wei-Xin Kao | TW | Taoyuan County | 2013-09-05 / 20130230791 - Current collection apparatus and method of processing for a solid oxide fuel cell thereof | 10 |
Wei H. Kao | US | Rancho Palos Verdes | 2014-02-27 / 20140056643 - APPLICATION OF HIGH TOUGHNESS, LOW VISCOSITY NANO-MOLECULAR RESIN FOR REINFORCING POTHOLE PATCHING MATERIALS IN ASPHALT AND CONCRETE BASE PAVEMENT | 2 |
Ming-Chih Kao | TW | Taipei City | 2015-01-22 / 20150026827 - METHOD FOR APPLICATION MANAGEMENT, CORRESPONDING SYSTEM, AND USER DEVICE | 1 |
Hsin-Ching Kao | TW | Baoshan Township | 2011-04-28 / 20110098437 - Copolymer and Method for Manufacturing the Same and Packaging Material Utilizing the Same | 2 |
Mao-Lin Kao | TW | Jhunan Township | 2010-06-10 / 20100143082 - PORTABLE STOCKER AND METHOD OF USING SAME | 1 |
Pin Kao | US | Fremont | 2011-07-07 / 20110164862 - HEATED COVER METHODS AND TECHNOLOGY | 1 |
Chien-Min Kao | TW | Taoyuan County | 2014-09-11 / 20140254204 - HALF-BRIDGE DC/DC CONVERTER WITH ASYMMETRIC PULSE CONTROLLING PROCESS | 2 |
Chen-Yuan Kao | TW | Zhudong Township | 2016-04-07 / 20160099216 - SEMICONDUCTOR DEVICE STRUCTURE AND METHOD FOR FORMING THE SAME | 7 |
Lung-Ching Kao | TW | Taipei City | 2012-08-09 / 20120199902 - TRENCH MOS BARRIER SCHOTTKY (TMBS) HAVING MULTIPLE FLOATING GATES | 1 |
Steven Kao | TW | Taipei | 2013-12-12 / 20130332451 - SYSTEM AND METHOD FOR CORRELATING PERSONAL IDENTIFIERS WITH CORRESPONDING ONLINE PRESENCE | 1 |
Ching-Cheng Kao | TW | New Taipei City 242 | 2015-01-29 / 20150030506 - EMBEDDED MULTIFUNCTIONAL AIR PURIFIER USED IN KITCHEN | 1 |
Ragis H.c. Kao | TW | Taipei | 2015-12-31 / 20150382405 - PRINTED SHIELD WITH GROUNDED MATRIX AND PASS THROUGH SOLDER POINT SYSTEMS AND METHODS | 2 |
Tung-Han Kao | TW | Luchu Hsiang | 2012-05-10 / 20120115012 - Rechargeable battery installation mechanism | 2 |
Sui-An Kao | TW | Taichung | 2015-03-12 / 20150072517 - FABRICATION METHOD OF SEMICONDUCTOR STRUCTURE | 3 |
Dah-Yu Kao | TW | Taipei | 2014-03-06 / 20140064424 - Method of separating amorphous iron oxides | 1 |
Shu-Yi Kao | TW | Hsinchu County | 2015-03-05 / 20150067623 - TIMING ANALYSIS METHOD FOR NON-STANDARD CELL CIRCUIT AND ASSOCIATED MACHINE READABLE MEDIUM | 5 |
Chih-Chieh Kao | TW | Taipei | 2013-12-19 / 20130335962 - LIGHTING ASSEMBLY HAVING A WAVEFORM REFLECTOR | 1 |
Chao-Chieh Kao | TW | New Taipei City | 2014-04-03 / 20140093308 - COUPLING ELEMENT AND INJECTION MOLDING OBJECT WITH THE COUPLING ELEMENT IMPLANTED THEREIN | 2 |
Tsung-Ching Kao | TW | New Taipei City | 2014-04-17 / 20140104198 - TOUCH SCREEN PEN WITH CLEANING FUNCTION | 2 |
Chi-Hao Kao | TW | Taipei | 2013-05-23 / 20130132648 - PORTABLE STORAGE DEVICE AND THE METHOD OF DYNAMICALLY ADJUSTING THE OPERATING MODES THEREOF | 1 |
Ker-Yih Kao | TW | Miao-Li County | 2016-05-19 / 20160141390 - METHOD FOR MANUFACTURING DISPLAY PANEL | 8 |
Chen-Tai Kao | TW | Taipei | 2014-09-11 / 20140255000 - VIDEO PLAYBACK SYSTEM AND METHOD BASED ON HIGHLIGHT INFORMATION | 2 |
Sandy Kao | US | Austin | 2008-12-04 / 20080301242 - SYSTEM AND METHOD FOR PROTECTING CHAT CONTENT | 1 |
Cheng Chia Kao | US | College Station | 2008-12-04 / 20080299138 - Toll-Like Receptor 3 Modulators and Uses Thereof | 1 |
Lung-Ching Kao | CN | Taipei | 2012-08-09 / 20120200975 - LOW FORWARD VOLTAGE DROP TRANSIENT VOLTAGE SUPPRESSOR AND METHOD OF FABRICATING | 1 |
Cheng C. Kao | US | College Station | 2009-06-04 / 20090142300 - Compositions and methods related to toll-like receptor-3 | 1 |
I-Lung Kao | US | Round Rock | 2010-03-18 / 20100070505 - Classification of Data in a Hierarchical Data Structure | 6 |
James Kao | US | Austin | 2009-02-12 / 20090039935 - Providing A Low Phase Noise Reference Signal | 1 |
Sandy K. Kao | US | Austin | 2012-07-19 / 20120185815 - METHOD FOR EXTRACTING INFORMATION FOR A CIRCUIT DESIGN | 9 |
Che-I Kao | TW | Tainan City | 2015-12-03 / 20150344656 - FIRE-RESISTANT POLYURETHANE MATERIAL AND FIRE-RESISTANT STRUCTURE | 2 |
Sun-Chueh Kao | US | Pearland | 2016-04-28 / 20160115260 - Oxalic Acid Diamides As Modifiers For Polyolefin Catalysts | 8 |
James T. Kao | US | Austin | 2011-03-31 / 20110076977 - Signal Processor Suitable for Low Intermediate Frequency (LIF) or Zero Intermediate Frequency (ZIF) Operation | 1 |
David Kao | US | Frisco | 2013-10-03 / 20130257475 - ON-DIE SYSTEM AND METHOD FOR CONTROLLING TERMINATION IMPEDANCE OF MEMORY DEVICE DATA BUS TERMINALS | 4 |
I-Lung Kao | US | Austin | 2014-08-07 / 20140223508 - Dynamically Constructed Capability for Enforcing Object Access Order | 3 |
Ming-Chung Kao | TW | Hsinchu County | 2009-04-02 / 20090089548 - METHOD FOR PRELOADING DATA IN A CPU PIPELINE | 1 |
Ching-Hung Kao | TW | Chu-Tung Town | 2009-04-23 / 20090104730 - METHODS FOR FABRICATING A CMOS IMAGE SENSOR | 1 |
Ching-Hung Kao | TW | Hsinchu Hsien | 2012-05-03 / 20120108000 - METHOD OF FABRICATING METAL OXIDE SEMICONDUCTOR DEVICE | 6 |
Grant M. Kao | US | Rowland Heights | 2015-11-12 / 20150321955 - RADIAL COAL ASH BASED MICRO-ARCHITECTURES AND METHOD OF SYNTHESIS | 5 |
Chi-Yi Kao | US | San Jose | 2014-01-30 / 20140030541 - ALTERNATE PAD STRUCTURES/PASSIVATION INTEGRATION SCHEMES TO REDUCE OR ELIMINATE IMC CRACKING IN POST WIRE BONDED DIES DURING CU/LOW-K BEOL PROCESSING | 2 |
Ching-Hung Kao | TW | Hsinchu | 2011-02-24 / 20110045626 - Method for Fabricating Optical Device | 1 |
Fu-Sheng Kao | TW | Taipei City | 2014-04-10 / 20140099163 - CLAMPING COMPONENT AND ELECTRONIC DEVICE USING THE SAME | 1 |
Ching-Hung Kao | TW | Hsin-Chu Hsien | 2012-07-26 / 20120190168 - METHOD FOR FORMING TRENCHES AND TRENCH ISOLATION ON A SUBSTRATE | 8 |
Kuan-Yu Kao | TW | New Taipei City | 2013-03-28 / 20130076630 - KEYSTROKE EVENT NOTIFICATION METHOD AND COMPUTER SYSTEM THEREOF | 1 |
Chih-Pin Kao | US | Redmond | 2014-12-18 / 20140373014 - INHERITED PRODUCT ACTIVATION FOR VIRTUAL MACHINES | 4 |
Stanley Tafeng Kao | US | Torrance | 2015-05-07 / 20150124303 - SUBSTRATE-GUIDED WAVE-BASED TRANSPARENT HOLOGRAPHIC CENTER HIGH MOUNTED STOP LIGHT AND METHOD OF FABRICATION THEREOF | 5 |
Yao Lin Kao | TW | Kaohsiung City | 2012-05-03 / 20120108985 - CUFFLESS BLOOD PRESSURE MONITOR | 1 |
Chih-Chiang Kao | TW | Taoyuan City | 2016-05-12 / 20160132033 - MACHINE TOOL POWER CONSUMPTION PREDICTION SYSTEM AND METHOD | 1 |
Chia-Chih Kao | TW | Taoyuan County | 2012-07-05 / 20120169714 - DISPLAY SYSTEM | 3 |
Daniel J. Kao | US | Lake Forest | 2010-06-10 / 20100145447 - Flexible, Automated Capsulorhexis Device | 1 |
Huahung Kao | US | San Jose | 2016-03-31 / 20160093602 - PACKAGE-ON-PACKAGE STRUCTURES | 11 |
Selvin Kao | US | Rancho Santa Margarita | 2012-07-12 / 20120175811 - MODULAR OVER-MOLD HARNESS | 2 |
Sam Kao | US | Los Altos | 2013-02-07 / 20130032851 - OPTOELECTRONIC ARCHITECTURE HAVING COMPOUND CONDUCTING SUBSTRATE | 4 |
Jean Swey Kao | US | Cupertino | 2015-07-30 / 20150215572 - Digital Security Surveillance System | 10 |
H. Pin Kao | US | Fremont | 2015-08-27 / 20150238919 - Microfluidic Devices And Method For Their Use | 12 |
Jung-Yang Kao | TW | Wandan Township | 2012-12-13 / 20120317275 - METHODS AND DEVICES FOR NODE DISTRIBUTION | 1 |
Richard F. C. Kao | US | San Jose | 2010-04-15 / 20100090722 - High speed integrated circuit | 1 |
Chien-Teh Kao | US | Sunnyvalle | 2010-04-15 / 20100093151 - OXIDE ETCH WITH NH4-NF3 CHEMISTRY | 1 |
Tien-Lu Kao | US | Fullerton | 2010-03-18 / 20100065327 - Cable assembly with molded grounding bar and method of making same | 1 |
Sam Kao | US | San Mateo | 2011-05-26 / 20110121353 - OPTOELECTRONIC ARCHITECTURE HAVING COMPOUND CONDUCTING SUBSTRATE | 2 |
Yu-Tsung Kao | TW | Jumg-Ho City | 2010-02-11 / 20100037079 - BUILT-IN SYSTEM POWER MANAGEMENT CIRCUIT AND MOTHERBOARD WITH THEREOF | 1 |
Daniel J. Kao | US | Laguna Niguel | 2011-06-16 / 20110144632 - Flooded Liquefaction Hand Piece Engine | 1 |
Jungcheng Kao | US | San Jose | 2009-07-02 / 20090167662 - POWER MOSFET INTEGRATION | 1 |
I-Ming Kao | US | San Jose | 2009-06-18 / 20090157711 - Method and System for Managing Volumes Within a Compilation of Content | 1 |
John Kao | US | Davis | 2013-08-01 / 20130198902 - MULTIPLE VIRUS RESISTANCE IN PLANTS | 4 |
Daniel Kao | US | San Jose | 2011-07-07 / 20110167430 - API AND BUSINESS LANGUAGE SCHEMA DESIGN FRAMEWORK FOR MESSAGE EXCHANGES | 2 |
Fu-Jen Kao | TW | Taipei | 2012-06-14 / 20120145904 - WIRELESS RADIATION SENSOR | 10 |
Fu-Jen Kao | TW | Kaohsiung | 2009-08-13 / 20090203118 - OPTICAL SYSTEM INCLUDING NANOSTRUCTURES FOR BIOLOGICAL OR CHEMICAL SENSING | 1 |
Pen-Jung Kao | TW | Taipei | 2012-05-03 / 20120106036 - BUILT-IN TYPE ANTENNA DISPLAYER | 1 |
Andrew Kao | US | Sunnyvale | 2010-07-29 / 20100191572 - Systems and Methods to Use Rules and Constraints for Service Consolidation | 1 |
Sherry Kao | US | Pasadena | 2012-09-20 / 20120240052 - METHODS AND SYSTEMS FOR USE IN CUSTOMIZING DISPLAYED CONTENT ASSOCIATED WITH A PORTABLE STORAGE MEDIUM | 5 |
Daniel T. Kao | US | San Jose | 2010-01-14 / 20100011074 - PUBLICATION OF INFORMATIONAL MESSAGES TO SOFTWARE APPLICATIONS IN A COMPUTING ENVIRONMENT | 1 |
Yi-Chin Kao | TW | Taipei City | 2013-03-28 / 20130079823 - CHIROPRACTIC MACHINE | 1 |
Fu-Jen Kao | TW | Taipei City | 2012-06-07 / 20120140215 - RETRO-REFLECTOR MICROARRAY AND APPLICATION THEREOF | 3 |
Chia-Ling Kao | US | Mountain View | 2009-12-03 / 20090293907 - METHOD OF SUBSTRATE POLYMER REMOVAL | 2 |
Yung-Hsiang Kao | US | San Mateo | 2014-10-16 / 20140308277 - PERTUZUMAB VARIANTS AND EVALUATION THEREOF | 6 |
Shao-Kong Kao | US | San Ramon | 2013-11-14 / 20130305236 - HITLESS SOFTWARE UPGRADES | 2 |
Chih-Hung Kao | TW | Changhua County | 2010-08-19 / 20100207729 - METHOD AND SYSTEM FOR TESTING RFID TAGS | 1 |
Stephen Kao | US | Sunnyvale | 2016-05-12 / 20160128857 - BI-DIRECTIONAL STENT DELIVERY SYSTEM | 9 |
Stephen Kao | US | Mountain View | 2013-03-07 / 20130060321 - MULTIPLE INDEPENDENT NESTED STENT STRUCTURES AND METHODS FOR THEIR PREPARATION AND DEPLOYMENT | 2 |
Chih-Hung Kao | CN | Taiwan | 2009-02-19 / 20090045370 - CONTROLLING VALVE | 1 |
Meng-Ping Kao | US | La Jolla | 2016-04-21 / 20160112725 - METHODS AND APPARATUS FOR IN-LOOP DE-ARTIFACT FILTERING | 2 |
Ping Kao | US | Yorba Linda | 2009-10-08 / 20090254398 - Computer system for managing orders for and deliveries of goods | 1 |
Mao-Lin Kao | TW | Miaoli County | 2015-05-14 / 20150131070 - Photolithography System, Method for Transporting Photo-Mask and Unit Therein | 3 |
Yu-Shan Kao | TW | Taipei | 2015-02-12 / 20150043131 - POWER DISTRIBUTION DEVICE AND ASSEMBLING METHOD THEREOF | 1 |
Chi-Hung Kao | TW | Taoyuan Hsien | 2009-02-19 / 20090046478 - BACKLIGHT MODULE AND OPTICAL FILM THEREOF | 1 |
Yu-Shan Kao | TW | Taipei 11492 | 2015-02-12 / 20150044889 - ELECTRICAL CONNECTOR AND ASSEMBLY OF THE ELECTRICAL CONNECTOR AND A CIRCUIT BOARD | 1 |
I-Pieng Peter Kao | US | Sunnyvale | 2013-02-21 / 20130044810 - 2-BIN PARALLEL DECODER FOR ADVANCED VIDEO PROCESSING | 3 |
Tsung-Chih Kao | TW | New Taipei City | 2012-09-13 / 20120229052 - AUTOMATIC LIGHTING SYSTEM | 2 |
Chi-Hsien Kao | TW | Taipei City | 2016-03-24 / 20160088483 - NETWORK COMMUNICATION APPARATUS | 2 |
Wayne Kao | US | Mountain View | 2016-03-24 / 20160085879 - DISPLAY SHOWING INTERSECTION BETWEEN USERS OF A SOCIAL NETWORKING SYSTEM | 17 |
Andrew Kao | US | Fremont | 2009-06-18 / 20090153165 - High Density Interconnect System Having Rapid Fabrication Cycle | 2 |
Peter N. Kao | US | Palo Alto | 2009-06-18 / 20090156978 - Arterio-venous shunt devices | 1 |
Ming-Hsiang Kao | TW | Tainan City | 2015-09-17 / 20150263123 - COMMON SOURCE OXIDE FORMATION BY IN-SITU STEAM OXIDATION FOR EMBEDDED FLASH | 1 |
Chia-Hung Kao | TW | Tu-Cheng | 2010-05-06 / 20100110393 - PROJECTOR | 11 |
Pei-Chun Kao | TW | Taipei City | 2014-03-20 / 20140080722 - METHOD OF SNP DETECTION BY USING DASH TECHNIQUE IN BEAD-BASED MICROFLUIDICS | 1 |
Sophia W. Kao | US | Cupertino | 2008-11-13 / 20080281789 - Method and apparatus for implementing a search engine using an SRAM | 1 |
Jung-Hong Kao | US | Los Altos | 2008-10-16 / 20080254787 - System, Method, and Apparatus for Avoiding Call Drop for a Wireless Phone | 1 |
Camilla M. Kao | US | Palo Alto | 2008-08-21 / 20080200659 - Combinatorial polyketide libraries produced using a modular PKS gene cluster as scaffold | 1 |
Yi Tsun Richard Kao | CN | Hong Kong | 2014-06-05 / 20140155478 - ANTIFUNGAL COMPOUND AND USES THEREOF | 5 |
Hsiang-Lun Kao | TW | Taoyuan County | 2015-09-17 / 20150262860 - Method of Semiconductor Integrated Circuit Fabrication | 1 |
Ming-Hui Kao | TW | Hsichih | 2011-05-26 / 20110124278 - Rack Body with Airflow Blocking Mechanism | 2 |
Jui-Ken Kao | TW | New Taipei City | 2015-12-10 / 20150357218 - GAS DISTRIBUTOR USED IN WAFER CARRIERS | 2 |
Ching-Cheng Kao | TW | New Taipei City | 2014-03-20 / 20140079595 - EMBEDDED MULTIFUNCTIONAL AIR PURIFIER USED IN KITCHEN | 1 |
Yi-Chien Kao | TW | Miao-Li County | 2015-09-17 / 20150263043 - DISPLAY DEVICE | 2 |
Ko-Bin Kao | TW | Shengang Township | 2014-09-04 / 20140248768 - Mask Assignment Optimization | 4 |
Hung-Chen Kao | TW | New Taipei City | 2014-01-16 / 20140015563 - TRACE STRUCTURE FOR THE TOUCH PANEL AND ELECTRICAL TESTING METHOD | 1 |
Jui-Chien Kao | TW | Taichung City | 2016-04-07 / 20160096264 - SLEEVE BRACKET ASSEMBLY | 13 |
Chien-Yu Kao | TW | New Taipei City | 2014-01-09 / 20140009338 - ANTENNA APPARATUS AND METHOD FOR CONTROLLING ANTENNA ARRAY | 1 |
Chien-Yi Kao | TW | New Taipei City | 2013-04-04 / 20130083415 - COLOR FILTER AND METHOD FOR MANUFACTURING THE SAME | 1 |
Jui-Chien Kao | TW | Tali City | 2012-06-21 / 20120152871 - TOOL HANGER ASSEMBLY | 14 |
Jerry C. Kao | US | Ann Arbor | 2010-04-29 / 20100102854 - CIRCULAR EDGE DETECTOR | 2 |
Huang-Hsiao Kao | TW | Taoyuan | 2015-02-05 / 20150034471 - KEYBOARD HAVING TACTILE FEEDBACK | 5 |
Xun-Wei Kao | TW | Magong City | 2011-12-15 / 20110307847 - Hybrid system combining TLM simulators and HW accelerators | 1 |
Chien Hsiu Kao | TW | New Taipei City | 2014-02-13 / 20140043256 - DISPLAY AND HOUSING THEREOF | 1 |
Jerry Kao | US | Ann Arbor | 2011-09-08 / 20110215854 - Clock Distribution Network Architecture with Clock Skew Management | 3 |
Chi-Kuan Kao | US | Troy | 2014-10-09 / 20140299441 - DRY DUAL CLUTCH TRANSMISSION ACTUATION SYSTEM USING ELECTRICAL MOTOR WITH FORCE AIDED LEVER | 28 |
Selvin Kao | US | Ladera Ranch | 2015-12-10 / 20150357769 - INSULATING ELECTRICAL PLUGS AND METHOD OF MANUFACTURE | 8 |
Ting-Kuo Kao | TW | Hsin-Tien | 2010-09-16 / 20100235014 - METHOD AND SYSTEM OF TEMPERATURE-CONTROL FOR ELECTRONIC COMPONENT | 1 |
Ting-Kuo Kao | TW | Taipei City | 2010-07-01 / 20100162597 - IDENTIFICATION MODULE FOR FACILITATING INSTALLATION OF FUNCTIONAL COMPONENT | 1 |
Ting-Kuo Kao | TW | Taipei | 2010-10-28 / 20100275042 - COMPUTER AND EXPANDABLE POWER SUPPLY SYSTEM THEREOF | 6 |
Kai-Jie Kao | TW | Hsinchu City | 2015-09-17 / 20150259730 - MICROFLUIDIC CHIP, OPERATING SYSTEM AND OPERATING METHOD OF THE MICROFLUIDIC CHIP FOR FLUORESCENCE IN SITU HYBRIDIZATION | 1 |
Tseng-Ting Kao | TW | Kaohsiung City | 2012-08-16 / 20120208220 - METHOD AND KIT FOR DETECTING FOLTATE | 1 |
Kuo-Hua Kao | TW | Sinjhuang City | 2011-03-24 / 20110069008 - MOUSE STRUCTURE WITH ADJUSTABLE CLICKING FORCE FUNCTION | 2 |
Tien-I Kao | TW | New Taipei City | 2016-04-14 / 20160103934 - METHOD FOR ARRANGING JOINTS TO 3D MODEL, ARRANGING APPARATUS FOR THE METHOD, AND APPLICATION PROGRAM FOR USING THE SAME | 3 |
Stephen Chang-Chi Kao | SG | Sentosa Island | 2015-01-15 / 20150017639 - Molecular Diagnostic Assay Device And Method Of Use | 2 |
Jen Chang Kao | TW | Taoyuan County | 2014-03-13 / 20140075026 - CLOUD DATABASE MANAGEMENT METHOD | 1 |
Te-Yu Kao | TW | Jhubei City | 2009-12-10 / 20090303344 - RECORDING MIDIUM OF DIGITAL PHOTO FILE AND METHOD OF GENERATING DIGITAL PHOTO FILE | 1 |
Ta-Chun Kao | TW | Keelung City | 2009-12-17 / 20090307855 - Structure of wringable mop | 1 |
Wen-Hong Kao | US | Brown Deer | 2012-08-30 / 20120219857 - BATTERY GRID | 9 |
Yu-Hao Kao | TW | New Taipei City | 2014-09-04 / 20140246592 - OPTICAL SENSOR SYSTEM | 2 |
Yen-Pin Kao | TW | Taipei City | 2012-08-23 / 20120212497 - VERIFICATION METHOD AND COMPUTER SYSTEM USING THE SAME | 1 |
Ping-Sheng Kao | TW | Hsinchu City | 2016-05-19 / 20160143188 - HEAT DISSIPATING MODULE | 1 |
Chung-En Kao | TW | Toufen Township | 2015-10-29 / 20150307985 - ROTATION PLUS VIBRATION MAGNET FOR MAGNETRON SPUTTERING APPARATUS | 11 |
Chia-Liang Kao | TW | Hsinchu City | 2016-05-19 / 20160137011 - Handguard Structure For Movable Scooter | 1 |
Richard Kao | US | Northbrook | 2013-10-31 / 20130287917 - FATTY ACIDS FROM PHYTOPLANKTON | 1 |
Hung-Jen Kao | US | 2012-04-26 / 20120097985 - Light Emitting Diode (LED) Package And Method Of Fabrication | 1 | |
Ming-Tsai Kao | TW | Taipei | 2014-03-13 / 20140071572 - SURGE PROTECTION DEVICE | 1 |
Dan Kao | AU | Sydney | 2016-04-28 / 20160114122 - BREATHABLE GAS APPARATUS WITH HUMIDIFIER | 8 |
Hao-Jen Kao | TW | Taipei City | 2015-12-10 / 20150358936 - METHOD OF ESTIMATING A POSITION OF A SIGNAL SOURCE, AND SERVER AND MOBILE DEVICE UTILIZING THE SAME | 1 |
Hsu-Pin Kao | TW | New Taipei | 2015-03-05 / 20150062481 - DISPLAY DEVICE | 1 |
Hong-Sing Kao | TW | Hsin-Chu County | 2014-10-23 / 20140312962 - CAPACITIVE SWITCH HAVING HIGH ACCURACY | 1 |
Chung-Ting Kao | TW | Kaohsiung City | 2011-12-22 / 20110314203 - RESOURCE ADJUSTMENT METHODS AND SYSTEMS FOR VIRTUAL MACHINES | 1 |
Chih-Ming Kao | TW | Kaohsiung City | 2011-12-22 / 20110309027 - METHOD FOR DEGRADING CHLORINATED-ORGANIC POLLUTANT | 1 |
Hong-Sing Kao | TW | Hsin-Chu City | 2014-12-11 / 20140362037 - CAPACITANCE SENSING CIRCUIT AND POWER SUPPLYING APPARATUS UTILIZING THE CAPACITANCE SENSING CIRCUIT | 1 |
Hong-Sing Kao | TW | Jhudong Township | 2015-03-05 / 20150061757 - LOW DROPOUT LINEAR REGULATORS AND STARTING METHODS THEREFOR | 1 |
Yu-Hsun Kao | TW | New Taipei City | 2015-03-05 / 20150065552 - Use of Indolyl and Indolinyl Hydroxamates for Treating Heart Failure of Neuronal Injury | 1 |
Chih-Ming Kao | TW | Jhubei City | 2015-03-05 / 20150061082 - CONTACT PLUG AND METHOD FOR MANUFACTURING THE SAME | 1 |
Chun-Hsiung Kao | TW | Hsinchu County | 2011-07-14 / 20110172384 - PHOSPHORUS-CONTAINING EPOXY RESIN AND METHOD FOR SYNTHESIZING THE SAME | 1 |
Ming-Chuan Kao | TW | Tu-Cheng | 2013-06-13 / 20130147704 - ELECTRONIC DEVICE PROVIDING SHAKE GESTURE IDENTIFICATION AND METHOD | 5 |
Yu-Ling Kao | TW | Kweishang | 2011-03-17 / 20110064631 - HYDROGEN GENERATOR AND THE APPLICATION OF THE SAME | 2 |
Daphne A. Kao | US | San Francisco | 2014-06-19 / 20140173513 - USER INTERFACE DATE SELECTORS FOR HISTORICAL REPORTS | 3 |
Ming Chien Kao | TW | Taipei | 2014-05-22 / 20140142661 - Sympathetic ganglion stimulation apparatus for treatment of hyperhidrosis, Raynauds phenomenon, cerebral ischemia, asthma and hypertension | 2 |
Stephen Kao | US | Sunnyvale | 2016-05-12 / 20160128857 - BI-DIRECTIONAL STENT DELIVERY SYSTEM | 9 |
Min-Feng Kao | TW | Chiayi City | 2016-05-19 / 20160141325 - Method and Apparatus for Low Resistance Image Sensor Contact | 35 |
Tzu-Teng Kao | TW | Taipei | 2012-08-30 / 20120218273 - DYNAMIC TYPESETTING METHOD OF DISPLAY IMAGE | 1 |
Huahung Kao | US | San Jose | 2016-03-31 / 20160093602 - PACKAGE-ON-PACKAGE STRUCTURES | 11 |
Feng-Jung Kao | US | Roseville | 2014-05-08 / 20140130026 - OPERAND AND LIMITS OPTIMIZATION FOR BINARY TRANSLATION SYSTEM | 1 |
Lien-Te Kao | TW | Hsinchu County | 2012-04-19 / 20120092813 - FLAT PANEL DISPLAY HAVING INTEGRAL HOUSING | 1 |
Chung-Ting Kao | TW | Taipei City | 2016-03-24 / 20160087839 - NETWORK GROUPING SYSTEM AND THE NETWORK GROUPING METHOD THEREOF | 8 |
Ping-Jung Kao | TW | Keelung | 2016-05-12 / 20160132180 - Capacitive Touch Circuit and Touch Sensor and Capacitive Touch System Using The Same | 1 |
Shuo-Chun Kao | US | Sunnyvale | 2016-02-25 / 20160056804 - CLOCK SIGNAL DISTRIBUTION POWER EFFICIENCY IMPROVEMENT | 8 |
Yu-Te Kao | TW | Hsinchu City | 2014-05-15 / 20140133270 - Ultrasound Transmission Circuit and Time Delay Calibration Method Thereof | 1 |
Tzu-Teng Kao | TW | Taipei City | 2013-05-23 / 20130132827 - AUTOMATIC ABSTRACT DETERMINATION METHOD OF DOCUMENT CLUSTERING | 1 |
Tien-Shui Kao | TW | Taipei City | 2013-10-31 / 20130291010 - METHOD OF INSERTING VIDEO ADVERTISEMENT IN WEB PAGE | 1 |
Wei-Chun Kao | TW | Hsinchu | 2016-04-14 / 20160103781 - ENVELOPE DETECTION DEVICE AND RELATED COMMUNICATION DEVICE | 1 |
Tzu-Cheng Kao | TW | Hsinchu | 2016-03-17 / 20160079443 - JUNCTION BARRIER SCHOTTKY DIODE | 1 |
Chih-Chiang Kao | TW | Taoyuan County | 2016-04-14 / 20160103042 - METHOD AND APPARATUS FOR FILTERING VIBRATION SIGNAL OF MACHINE AND COMPUTER READABLE STORAGE MEDIUM FOR STORING THE METHOD | 5 |
Chien-Hsun Kao | TW | Taipei City | 2014-11-27 / 20140349544 - Illuminable Building block | 2 |
Wei-Tsung Kao | TW | Kaohsiung City | 2014-05-22 / 20140141968 - PHOTOCATALYST MATERIAL AND METHOD FOR FABRICATING THE SAME | 1 |
Meng-Chao Kao | TW | New Taipei City | 2016-05-19 / 20160140384 - GESTURE RECOGNITION METHOD AND GESTURE RECOGNITION APPARATUS USING THE SAME | 10 |
Te-Yu Kao | US | Gainesville | 2015-08-27 / 20150241555 - METHOD AND APPARATUS FOR DOPPLER RADAR SIGNAL RECOVERY OF TARGET DISPLACEMENT | 1 |
Kuo-Sheng Kao | TW | Kaohsiung | 2013-01-03 / 20130004370 - QUANTITATIVE SENSOR AND MANUFACTURING METHOD THEREOF | 1 |
Chih Jung Kao | TW | Hsinchu | 2014-05-22 / 20140138222 - BUTTON DEVICE AND ELECTRONIC EQUIPMENT USING THE SAME | 1 |
Sun-Chueh Kao | US | Pearland | 2016-04-28 / 20160115260 - Oxalic Acid Diamides As Modifiers For Polyolefin Catalysts | 8 |
Shao-Hsuan Kao | TW | Taoyuan City | 2011-05-19 / 20110119454 - DISPLAY SYSTEM FOR SIMULTANEOUS DISPLAYING OF WINDOWS GENERATED BY MULTIPLE WINDOW SYSTEMS BELONGING TO THE SAME COMPUTER PLATFORM | 1 |
Yu-Hua Kao | TW | Taichung Hsien | 2011-04-28 / 20110094045 - NOVEL ENVIRONMENTAL-PROTECTION SHOE TREE | 1 |
Weiyuan J. Kao | US | Middleton | 2012-09-06 / 20120225101 - MULTIFUNCTIONAL IN SITU POLYMERIZED NETWORK VIA THIOL-ENE AND THIOL-MALEIMIDE CHEMISTRY | 1 |
Hung-Jen Kao | TW | Hsinchu | 2011-12-29 / 20110316027 - CHIP-TYPE LIGHT EMITTING DEVICE HAVING PRECISELY COATED WAVELENGTH-CONVERTING LAYER AND PACKAGED STRUCTURE THEREOF | 2 |
Hsien-Ming Kao | TW | Taoyuan County | 2013-06-20 / 20130157122 - SOLID POLYMER ELECTROLYTE COMPOSITION AND METHOD OF SYNTHESIZING THE SAME | 1 |
Ming Kao | TW | Taipei | 2011-12-29 / 20110316471 - BATTERY CONTROL SYSTEM | 1 |
Tzu-Wei Kao | TW | Baoshan Township | 2014-09-18 / 20140273380 - FinFETs with Regrown Source/Drain and Methods for Forming the Same | 2 |
Semi Kao | TW | Huatan Township | 2013-01-17 / 20130014884 - METHOD FOR MAKING SQUARE RUGS FROM RECYCLED MATERIALSAANM KAO; SemiAACI Huatan TownshipAACO TWAAGP KAO; Semi Huatan Township TW | 5 |
Hui-Shan Kao | TW | Taipei City | 2012-11-29 / 20120300981 - METHOD FOR OBJECT DETECTION AND APPARATUS USING THE SAME | 1 |
Jerry Kao | TW | Taipei | 2013-11-14 / 20130304449 - SYSTEM AND METHOD OF ELECTROMIGRATION AVOIDANCE FOR AUTOMATIC PLACE-AND- ROUTE | 1 |
Wei-Che Kao | TW | Hukou Township | 2012-04-12 / 20120088372 - METHOD OF FORMING MICRO-PORE STRUCTURES OR TRENCH STRUCTURES ON SURFACE OF SILICON WAFER SUBSTRATE | 1 |
Chih-Chiang Kao | TW | Zhonghe City | 2011-07-21 / 20110175134 - PACKAGE STRUCTURE AND LED PACKAGE STRUCTURE | 1 |
Yung-Wei Kao | TW | Taipei City | 2014-06-19 / 20140171107 - SYSTEM AND METHOD FOR WIRELESS INDOOR LOCALIZATION BASED ON INERTIAL MEASUREMENT UNIT AND MAP INFORMATION | 1 |
Chien-Min Kao | TW | Longtan Township | 2014-06-19 / 20140169041 - DC TO DC CONVERTING CIRCUIT | 3 |
Yung-Wei Kao | TW | Taipei | 2015-08-20 / 20150237480 - INDOOR POSITIONING METHOD, INDOOR POSITIONING SYSTEM, AND COMPUTER-READABLE MEDIUM | 1 |
Semi Kao | TW | Chang-Hua Hsien | 2009-01-15 / 20090014903 - METHOD OF MAKING FOAMING MEMBER WITH HETEROGENEOUS COMPOSITE FOAMING LAYERS | 1 |
Hui-Lung Kao | TW | Taoyuan Hsien | 2010-04-08 / 20100084994 - Energy-saving drive device for controlling an led heat dissipation temperature | 1 |
Hui-Lung Kao | TW | Lu Chu Shiang | 2010-04-22 / 20100097806 - LED bulb arrangement | 1 |
Yung-Hsi Kao | TW | Jhongli City | 2015-02-12 / 20150045358 - PHARMACEUTICAL COMPOSITION FOR TREATING DISORDERS ASSOCIATED WITH INSULIN RESISTANCE | 2 |
Kuo-Hsing Kao | BE | Leuven | 2012-11-29 / 20120298959 - LINE-TUNNELING TUNNEL FIELD-EFFECT TRANSISTOR (TFET) AND MANUFACTURING METHOD | 1 |
Hung-Ta Kao | TW | Tao-Yuan | 2014-06-26 / 20140176170 - TEST TABLE WITH DRY ENVIRONMENT | 1 |
I-Feng Kao | US | Redwood Shores | 2013-04-18 / 20130094311 - DYNAMIC PHASE SHIFTER AND STATICIZER | 1 |
Han Yu Kao | TW | New Taipei City | 2013-01-03 / 20130007362 - METHOD AND SYSTEM OF DETECTING REDUNDANT ARRAY OF INDEPENDENT DISKS AND TRANSFERRING DATA | 3 |
Chin-Tzu Kao | TW | Changhua County | 2016-05-05 / 20160126358 - THIN-FILM TRANSISTOR | 8 |
Chi-Lun Kao | TW | Miao-Li County | 2015-07-23 / 20150207099 - ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE | 3 |
Hao-Wen Kao | TW | Taoyuan County | 2015-08-20 / 20150231285 - RADIOLABELED ACTIVE TARGETING PHARMACEUTICAL COMPOSITION AND THE USE THEREOF | 1 |
Ming Tsan Kao | TW | Hsin-Chu | 2016-05-05 / 20160124577 - EXPOSURE MECHANISM OF OPTICAL TOUCH SYSTEM AND OPTICAL TOUCH SYSTEM USING THE SAME | 55 |
Wei-Shuo Kao | TW | Taichung City | 2015-03-19 / 20150076575 - METHOD AND APPARATUS FOR FORMING AN INTEGRATED CIRCUIT WITH A METALIZED COUPLING CAPACITOR | 1 |
Wei-Lun Kao | US | Cupertino | 2016-03-17 / 20160077803 - SYSTEMS AND METHODS FOR COMPUTING MATHEMATICAL FUNCTIONS | 1 |
Tsung-Kai Kao | TW | Hsinchu County | 2015-05-14 / 20150131811 - SIGNAL OUTPUT DEVICE AND SIGNAL OUTPUT METHOD | 3 |
Yu-Hsien Kao | TW | Kaohsiung City | 2012-04-05 / 20120083882 - SPINAL IMPLANT STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Ming-Seng Kao | TW | Hsinchu City | 2013-05-02 / 20130107927 - METHOD AND DEVICE FOR ACQUIRING A CODE PHASE SHIFT BETWEEN AN INPUT SEQUENCE AND A REFERENCE SEQUENCE | 1 |
I-Jen Kao | TW | Hsinchu City | 2013-12-05 / 20130326436 - METHOD FOR CHECKING DIE SEAL RING ON LAYOUT AND COMPUTER SYSTEM | 1 |
Yu-Ling Kao | TW | Taoyuan County | 2013-11-28 / 20130312728 - Liquid Fuel Combustion System | 1 |
Lu-Yang Kao | TW | Hsinchu City | 2011-05-19 / 20110116030 - LCOS CELL STRUCUTRE | 1 |
Chia-Chen Kao | TW | Su-Lin | 2010-09-30 / 20100249415 - Process for preparation of temsirolimus | 1 |
Charlie Kao | TW | Taipei County | 2012-04-05 / 20120082971 - PLANTING TOY KIT | 1 |
Ching-Yi Kao | TW | Taichung | 2010-03-04 / 20100056242 - INTERACTIVE GAME MACHINE AND ITS METHOD | 1 |
Chih-Cheng Kao | TW | New Taipei City | 2013-06-20 / 20130152469 - HYDROPONIC SYSTEM | 1 |
Ching-Yi Kao | TW | Taichung County | 2010-03-04 / 20100056267 - GAME SYSTEM AND METHOD PROVIDING CARD SYMBOLS COLLECTION | 2 |
Yung-Shun Kao | TW | Hsin-Tien | 2011-02-17 / 20110039447 - CONNECTOR WITH ELECTROMAGNETIC CONDUCTION MECHANISM | 2 |
Yu-Hua Kao | US | 2012-09-13 / 20120231308 - MULTIFUNCTIONAL MIXED METAL OLIVINES FOR LITHIUM ION BATTERIES | 1 | |
Chia-Hung Kao | TW | Budai Town | 2008-10-09 / 20080248600 - METHOD AND DEVICE FOR WAFER BACKSIDE ALIGNMENT OVERLAY ACCURACY | 1 |
Chih-Chiang Kao | TW | New Taipei City | 2012-04-05 / 20120081005 - LUMINAIRE | 1 |
Cheng C. Kao | US | Los Altos | 2012-11-08 / 20120279440 - METHODS FOR PURIFYING METALLURGICAL SILICON | 7 |
Chung-Yi Kao | TW | Taipei City | 2013-11-21 / 20130307737 - ELECTRONIC DEVICE | 1 |
Shih-Ming Kao | TW | Taoyuan | 2013-10-24 / 20130276881 - PROCESS OF FORMING A GRID ELECTRODE ON THE FRONT-SIDE OF A SILICON WAFER | 1 |
Chia-Hung Kao | TW | Taoyuan Hsien | 2010-05-27 / 20100125971 - HINGE DEVICE FOR USE IN OFFICE MACHINE | 1 |
Yih-Feng Kao | TW | Taoyuan County | 2015-11-19 / 20150331863 - SELECTION METHOD, METHOD FOR MAINTAINING DATA LIST AND ELECTRONIC DEVICE | 23 |
Alison Kao | US | San Francisco | 2015-12-10 / 20150358328 - SECURE LOCAL SERVER FOR SYNCHRONIZED ONLINE CONTENT MANAGEMENT SYSTEM | 1 |
Chia Lung Kao | US | Dublin | 2014-02-27 / 20140059452 - CUMULATIVE ENCYCLOPEDIA METHOD,SYSTEM AND DEVICE | 1 |
Chang-Yi Kao | TW | Taipei | 2013-06-13 / 20130151341 - METHODS AND SYSTEMS FOR SENDING INTERACTIVE PROMOTION INFORMATION AND RECORDING MEDIUMS USING THE SAME | 1 |
Chih Chieh Kao | TW | Taoyuan Hsien | 2014-07-17 / 20140198263 - LIQUID CRYSTAL DISPLAY (LCD) TV BACKBOARD MODULE, LCD TV BACKLIGHT MODULE STRUCTURE AND LCD TV STRUCTURE | 1 |
Shih-Chin Kao | TW | Yunlin | 2013-06-13 / 20130147615 - HAZARD WARNING DEVICE AND METHOD FOR VEHICLES | 1 |
Yu-Ting Kao | TW | Sinshih Township | 2011-06-09 / 20110132556 - Curtain frame | 2 |
Yu-Ting Kao | TW | Ping-Tung Hsien | 2009-02-12 / 20090042667 - Golf club head | 2 |
Yu-Han Kao | TW | Taipei City | 2015-12-10 / 20150358264 - INFORMATION SUPPLY METHOD AND SYSTEM, AND WORD STRING SUPPLY SYSTEM | 1 |
Yu-Chang Kao | TW | New Taipei | 2014-05-29 / 20140148097 - SYSTEM FOR NEAR FIELD COMMUNICATION (NFC) AND FREQUENCY MODULATION (FM) COMMUNICATION AND PORTABLE ELECTRONIC DEVICE USING THE SAME | 1 |
Chien-Ping Kao | US | Hershey | 2015-01-29 / 20150031240 - ELECTRICAL CONNECTOR WITH TWO GROUND BARS CONNECTING EACH OTHER | 11 |
Junan Kao | US | Newtown | 2013-12-05 / 20130323607 - SECONDARY ELECTROCHEMICAL CELLS WITH SEPARATOR AND ELECTROLYTE COMBINATION | 1 |
Chih-Chiang Kao | TW | Guishan Township | 2014-05-15 / 20140136911 - REMOTE MONITORING SYSTEMS AND RELATED METHODS AND RECORDING MEDIUMS USING THE SAME | 2 |
Yu-Ting Kao | TW | Yong Kang City | 2009-10-15 / 20090255086 - Carrier Strip for Holding Drapery Carriers and Method of Use | 1 |
Shuo-Ting Kao | TW | Hsinchu County | 2015-07-30 / 20150214947 - INTEGRATED CIRCUIT CAPABLE OF PREVENTING CURRENT BACKFLOW TO POWER LINE | 1 |
Richard F.c. Kao | US | San Jose | 2012-09-20 / 20120235706 - High speed integrated circuit | 1 |
Yu-Shu Kao | TW | Panchiao City | 2008-12-18 / 20080310095 - Multi-stack storage module board assembly | 1 |
Wei-Hao Kao | TW | Hsinchu City | 2015-07-30 / 20150214288 - SEMICONDUCTOR ARRANGEMENT AND FORMATION THEREOF | 1 |
Sean Kao | US | Irvine | 2013-12-05 / 20130322417 - System and Method for Hardware Sharing | 1 |
Yi-Huei Kao | TW | Hsinchu City | 2015-04-02 / 20150091210 - METHOD FOR FABRICATING ANISOTROPIC POLYMER PARTICLES | 1 |
Yi-Tzu Kao | TW | Taipei City | 2013-12-05 / 20130322718 - METHOD AND APPARATUS FOR MEASUREMENTS OF THE BRAIN PERFUSION IN DYNAMIC CONTRAST-ENHANCED COMPUTED TOMOGRAPHY IMAGES | 1 |
Kung-Ming Kao | TW | Tu-Cheng | 2013-03-28 / 20130077213 - PERIPHERAL DEVICE FOR PORTABLE ELECTRONIC DEVICE | 2 |
Chih-Chung Kao | TW | Pingtung County | 2010-06-24 / 20100158378 - Method for image processing | 2 |
Ming Tsan Kao | TW | Hsin-Chu | 2016-05-05 / 20160124577 - EXPOSURE MECHANISM OF OPTICAL TOUCH SYSTEM AND OPTICAL TOUCH SYSTEM USING THE SAME | 55 |
Chao-Hung Kao | TW | Hsinchu | 2011-06-16 / 20110142283 - APPARATUS AND METHOD FOR MOVING OBJECT DETECTION | 1 |
Chin-Li Kao | TW | Magong City | 2015-07-30 / 20150211852 - MEASUREMENT EQUIPMENT | 1 |
Chin-Li Kao | TW | Kaohsiung | 2014-11-13 / 20140332957 - SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF | 1 |
Ta-Chun Kao | TW | Taipei City | 2015-04-02 / 20150093828 - CELL CULTURING ARTICLE AND METHOD FOR MANUFACTURING THEREOF | 1 |
Chi-Kuan Kao | US | Troy | 2014-10-09 / 20140299441 - DRY DUAL CLUTCH TRANSMISSION ACTUATION SYSTEM USING ELECTRICAL MOTOR WITH FORCE AIDED LEVER | 28 |
Chen-Kuan Kao | TW | Chu-Nan | 2016-03-24 / 20160085093 - DISPLAY PANEL | 1 |
Yachuan (cathy) Kao | US | Castro Valley | 2012-03-22 / 20120072817 - ENTERPRISE APPLICATION WORKCENTER | 1 |
Chien-Ping Kao | US | Hummels Town | 2014-11-13 / 20140335729 - DUAL ORIENTATION CONNECTOR AND ASSEMBLY OF THE SAME | 2 |
Chia-Chun Kao | TW | Hsin-Chu | 2012-11-15 / 20120286279 - THIN FILM TRANSISTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Chia-Jen Kao | TW | Hsin-Chu City | 2008-10-30 / 20080270056 - WAFER-LEVEL RELIABILITY YIELD ENHANCEMENT SYSTEM AND RELATED METHOD | 1 |
Chen-Chiao Kao | TW | New Taipei City | 2014-02-27 / 20140057052 - WAFER SUPPORT DEVICE | 1 |
Chuan-Yen Kao | TW | New Taipei City | 2016-04-07 / 20160100094 - VIDEO RECORDING DEVICE AND ASSOCIATED VIDEO RECORDING METHOD | 2 |
Yi-Sheng Kao | TW | New Taipei City | 2015-05-21 / 20150135410 - BELT STRUCTURE | 13 |
Chih-Hung Kao | TW | New Patpei City | 2012-11-15 / 20120287548 - SURGE PROTECTOR | 1 |
Chi Joe Kao | TW | New Taipei City | 2012-11-15 / 20120285553 - SELF-WEIGHTED WATER TANK DEVICE FOR SOLAR ENERGY SYSTEM | 1 |
Ghi-Hwei Kao | TW | New Taipei City | 2013-11-28 / 20130313881 - CHAIR WITH A HEAD AND NECK SUPPORT STRUCTURE | 1 |
Hsushen Kao | TW | New Taipei City | 2013-07-04 / 20130173925 - Systems and Methods for Fingerprint-Based Operations | 1 |
Lung-Ching Kao | TW | New Taipei City | 2015-11-12 / 20150325643 - METHOD OF MANUFACTURING SUPER JUNCTION FOR SEMICONDUCTOR DEVICE | 4 |
Meng-Chao Kao | TW | New Taipei City | 2016-05-19 / 20160140384 - GESTURE RECOGNITION METHOD AND GESTURE RECOGNITION APPARATUS USING THE SAME | 10 |
Shih-Wang Kao | TW | New Taipei City | 2013-09-19 / 20130241880 - ELECTRONIC DEVICE WITH INVISIBLE LIGHT TOUCH PANEL | 1 |
Alan Lin Kao | US | Tulsa | 2013-04-25 / 20130101446 - HIGH EFFICIENCY IMPELLER | 1 |
Chin-Hsiung Kao | TW | Taipei County | 2012-01-12 / 20120009535 - FLAME ADJUSTING STRUCTURE OF GAS BURNER | 1 |
Wei-Peng Kao | TW | Tu-Cheng | 2009-07-02 / 20090167251 - CHARGER PROTECTION DEVICE | 1 |
Jui-Chien Kao | TW | Taichung City | 2016-04-07 / 20160096264 - SLEEVE BRACKET ASSEMBLY | 13 |
Hsiang-Lung Kao | TW | Tu-Cheng | 2011-06-02 / 20110128678 - ELECTRONIC DEVICE | 3 |
Ming-Chou Kao | TW | Tainan Hsien | 2012-01-12 / 20120010363 - COMPATIBILIZER AND BLEND POLYMER COMPOSITION INCLUDING THE SAME | 1 |
Peter Kao | US | Stanford | 2012-01-12 / 20120010556 - Long-Term Implantable Arterio-Venous Shunt Device | 1 |
Chen I. Kao | TW | Dacun Township | 2012-09-27 / 20120240336 - EXERCISE MAT | 1 |
Chen-Li Kao | TW | Tu-Cheng | 2011-05-05 / 20110100597 - WATER-COOLED HEAT SINK | 3 |
Shu-Hua Kao | TW | Taipei City | 2015-04-09 / 20150097570 - TESTING DEVICE AND TESTING METHOD THEREOF | 1 |
Shun-Ling Kao | TW | Tu-Cheng | 2009-04-30 / 20090110389 - CAMERA INTEGRATED WITH DEFORMABLE SUPPORTING STRUCTURE | 3 |
Hung-Chun Kao | TW | Longtan Shiang | 2010-12-30 / 20100331577 - Method for radio-labeling serotonin transporter ligand, 123I-IADM | 1 |
Shi-Wei Kao | TW | Hsinchu | 2015-07-16 / 20150200776 - PORTABLE ELECTRONIC DEVICE AND SECURE PAIRING METHOD THEREFOR | 1 |
Yi-Ping Kao | TW | Taichung City | 2015-07-16 / 20150200565 - INTERGRATED CIRCUIT | 1 |
Hung-Chun Kao | TW | Shulin City | 2009-07-02 / 20090169439 - DEVICE FOR ¹23;I-ADAM AND AUTOMATIC MANUFACTURING DEVICE THEREOF | 1 |
Yu-Hsiang Kao | TW | Hsin-Chu | 2015-07-16 / 20150199469 - LAYOUT OPTIMIZATION FOR INTEGRATED CIRCUIT DESIGN | 1 |
Ming-Hung Kao | TW | Tainan | 2009-02-19 / 20090045198 - Waste Container with Electrostatically Controlled Cover | 1 |
Chen-Kuan Kao | TW | Miao-Li County | 2016-03-17 / 20160077393 - PIXEL STRUCTURE AND LIQUID-CRYSTAL DISPLAY | 4 |
Yu-Kai Kao | TW | Tu-Cheng | 2010-01-21 / 20100013978 - ELECTRONIC DEVICE WITH IMAGE CAPTURING FUNCTION | 1 |
Chien-Yi Kao | TW | Taoyuan | 2009-02-12 / 20090039922 - Multi-level comparator for fix power consumption | 1 |
Yung-Yu Kao | TW | Tu-Cheng | 2010-02-18 / 20100039549 - COMMUNICATION TERMINAL | 1 |
Wei-Chun Kao | TW | Hsinchu County | 2013-06-06 / 20130145217 - TESTING METHOD AND TESTING APPARATUS FOR TESTING FUNCTION OF ELECTRONIC APPARATUS | 1 |
Ya-Chen Kao | TW | Fuxing Township | 2016-05-19 / 20160141298 - STI RECESS METHOD TO EMBED NVM MEMORY IN HKMG REPLACEMENT GATE TECHNOLOGY | 13 |
Chen-Hao Kao | TW | Hsinchu County | 2012-11-08 / 20120281105 - AUTOMATIC PHOTOGRAPHING SYSTEM AND METHOD THEREOF | 1 |
Wei-Chen Kao | TW | Tu-Cheng | 2010-03-11 / 20100058556 - HINGE AND COLLAPSIBLE DEVICE UTILIZING THE SAME | 1 |
Chen-Yuan Kao | TW | Tainan County | 2008-11-27 / 20080290175 - Layer-built body having hidden barcode and figures thereof | 1 |
Chien-Teh Kao | US | Sunnyvale | 2015-12-03 / 20150345017 - IN-SITU CORROSION RESISTANT SUBSTRATE SUPPORT COATING | 30 |
Sung-Hsiung Kao | TW | Taoyuan City | 2012-11-08 / 20120282148 - REGENERATION AND RECYCLING EQUIPMENT FOR FERROUS METAL | 1 |
Ming-Wei Kao | TW | Tu-Cheng | 2012-02-02 / 20120026080 - ELECTRONIC DEVICE AND UNLOCKING METHOD THEREOF | 2 |
Hsu-Pin Kao | TW | Tu-Cheng | 2012-05-10 / 20120112993 - DISPLAY DEVICE AND BACKLIGHT BRIGHTNESS CONTROL METHOD THEREOF | 3 |
Ling-Chih Kao | TW | New Taipei | 2013-05-09 / 20130112983 - PIXEL STRUCTURE AND MANUFACTURING METHOD THEREOF | 1 |
Shu-Hua Kao | TW | New Taipei City | 2013-08-29 / 20130225219 - BASE STATION, CORE SERVER AND UPLINK TRANSMISSION METHOD FOR USING IN A WIRELESS NETWORK COMMUNICATION SYSTEM | 2 |
Chien-Chih Kao | TW | Tu-Cheng | 2011-01-27 / 20110019384 - PRINTED CIRCUIT BOARD ASSEMBLY | 1 |
Min-Feng Kao | TW | Chiayi City | 2016-05-19 / 20160141325 - Method and Apparatus for Low Resistance Image Sensor Contact | 35 |
Shou-Shu Kao | TW | Tu-Cheng | 2010-05-20 / 20100124419 - ELECTRICAL CONNECTOR DEVICE | 4 |
Chuan-Yen Kao | TW | Taipei Hsien | 2011-07-28 / 20110181395 - Audio Broadcasting Device and Portable Device Using the Same | 1 |
Chin Jun Kao | TW | New Taipei City | 2015-07-09 / 20150194028 - CIRCUITRY WITH WARNING FUNCTION | 1 |
Chia-Jen Kao | TW | Hsinchu City | 2013-05-09 / 20130113508 - ELECTRONIC TEST SYSTEM AND ASSOCIATED METHOD | 1 |
Wang-Shuo Kao | TW | Hsinchu City | 2016-05-12 / 20160133208 - TRANSPARENT STEREO DISPLAY AND OPERATION METHOD THEREOF | 1 |
I-Nan Kao | TW | Hsinchu County | 2013-05-09 / 20130115046 - HIGH SPEED SWIRLING TYPE CENTRIFUGAL REVOLVING PIPELINE DEVICE | 1 |
Yi-Hsiang Kao | TW | New Taipei | 2015-03-05 / 20150064969 - ELECTRICAL CONNECTOR ASSEMBLY | 2 |
Jerry Chang-Jui Kao | TW | Taipei | 2015-10-22 / 20150302128 - ELECTROMIGRATION-AWARE LAYOUT GENERATION | 10 |
Chia-Hung Kao | TW | Taitung County | 2016-04-07 / 20160098491 - SERVICE PROVIDER SYSTEM AND SERVICE PROVIDER METHOD | 2 |
Daniel Tsun Kao | US | San Jose | 2016-04-21 / 20160112536 - API AND BUSINESS LANGUAGE SCHEMA DESIGN FRAMEWORK FOR MESSAGE EXCHANGES | 4 |
Chi-Chen Kao | TW | Nantou County | 2015-07-09 / 20150190952 - FORMING METHOD OF A PATTERN OF A FOAM MAT | 1 |
Chia-Yun Kao | TW | Taoyuan | 2008-12-11 / 20080304125 - System and Method of the Optical Delay Line | 1 |
Ming Tsan Kao | TW | Hsinchu County | 2013-11-14 / 20130300713 - POWER-SAVING SENSING MODULE AND METHOD THEREOF | 5 |
Ming-Chuan Kao | TW | New Taipei | 2016-05-12 / 20160132125 - SYSTEM AND METHOD FOR GENERATING GESTURES | 8 |
Chih-Chen Kao | TW | Taoyuan City | 2015-07-30 / 20150209967 - FOLDING KNIFE WITH SAFE PUSHING MECHANISM | 11 |
Chih-Hung Kao | TW | Taipei | 2012-01-26 / 20120018661 - WATER-SAVING VALVE ASSEMBLY | 1 |
Chung-En Kao | TW | Toufen Township | 2015-10-29 / 20150307985 - ROTATION PLUS VIBRATION MAGNET FOR MAGNETRON SPUTTERING APPARATUS | 11 |
Chien-Chuan Kao | TW | Taichung | 2014-07-31 / 20140208897 - RATCHET WRENCH | 1 |
Yu-Shu Kao | TW | Taipei County | 2012-03-15 / 20120062997 - OPTICAL DEVICE HAVING EXTENTED DEPTH OF FIELD AND FABRICATION METHOD THEREOF | 1 |
Wei-Jen Kao | TW | Hsin-Chu | 2012-03-15 / 20120062534 - COMPENSATION CIRCUITRY OF GATE DRIVING PULSE SIGNAL AND DISPLAY DEVICE | 1 |
Jesse Kao | TW | Taipei | 2012-03-15 / 20120062145 - LED (LIGHT-EMITTING DIODE) OUTPUT POWER ADJUSTING DEVICE AND METHOD THEREOF | 1 |
Ya-Fen Kao | TW | New Taipei City | 2016-05-19 / 20160141804 - ELECTRICAL PLUG CONNECTOR | 20 |
Chung-Ting Kao | TW | Taipei City | 2016-03-24 / 20160087839 - NETWORK GROUPING SYSTEM AND THE NETWORK GROUPING METHOD THEREOF | 8 |
Jerry Chang-Jui Kao | TW | Taipei | 2015-10-22 / 20150302128 - ELECTROMIGRATION-AWARE LAYOUT GENERATION | 10 |
Jui-Chien Kao | TW | Taichung | 2015-11-26 / 20150336262 - SLEEVE BRACKET ASSEMBLY | 1 |
Changqing Chris Kao | US | Brentwood | 2011-07-28 / 20110184306 - DEVICE FOR TREATING PARKINSON'S DISEASE AND METHODS OF USE THEREOF | 1 |
Shiau-Chung Kao | TW | Zhonghe City | 2012-03-15 / 20120063114 - CONTROL DEVICE | 1 |
Shiau-Chung Kao | TW | New Taipei City | 2012-03-15 / 20120062458 - CONTROL DEVICE | 1 |
Jui-Yung Kao | TW | New Taipei City | 2014-05-15 / 20140133112 - TOUCH PAD AND PORTABLE ELECTRONIC DEVICE THEREOF | 2 |
Hui-Chien Kao | TW | Taoyuan County | 2012-10-04 / 20120247133 - AIR-CONDITIONING APPARATUS WITH MANUAL DEHUMIDIFYING FUNCTION AND METHOD FOR OPERATING THE SAME | 1 |
Chia-Hung Kao | TW | New Taipei City | 2014-05-22 / 20140139894 - SCAN MECHANISM AND SCAN MODULE | 1 |
Yuan-Kai Kao | TW | Tainan County | 2012-01-26 / 20120022807 - APPARATUS AND METHOD FOR MEASURING VISCOSITY | 2 |
Wang-Shuo Kao | TW | Hsin-Chu | 2015-09-24 / 20150268397 - METHOD FOR MANUFACTURING PHASE RETARDER FILM | 2 |
Ming-Chuan Kao | TW | New Taipei | 2016-05-12 / 20160132125 - SYSTEM AND METHOD FOR GENERATING GESTURES | 8 |
Chin-Hua Kao | TW | Hsinchu City | 2015-04-30 / 20150116257 - CAPACITIVE TOUCH DEVICE AND SENSING METHOD THEREOF | 1 |
Shih-Hsiung Kao | TW | Hsinchu | 2013-12-26 / 20130342818 - PROJECTION DEVICE AND LENS MODULE | 1 |
Chih-Hung Kao | TW | New Taipei City | 2014-08-07 / 20140217314 - SELF-CLOSING WATER-SAVING VALVE ASSEMBLY | 2 |
Ching-Chun Kao | TW | New Taipei City | 2014-06-05 / 20140156864 - MULTIMEDIA STREAM BUFFER AND OUTPUT METHOD AND MULTIMEDIA STREAM BUFFER MODULE | 1 |
Fu-Jen Kao | TW | Taipei | 2012-06-14 / 20120145904 - WIRELESS RADIATION SENSOR | 10 |
Jung-Yang Kao | TW | Pingtung County | 2015-07-02 / 20150189253 - DEPTH MAP ALIGNING METHOD AND SYSTEM | 8 |
Feng-Jung Kao | US | 2013-05-23 / 20130132063 - SYSTEMS AND METHODS FOR DEBUGGING JUST-IN-TIME STATIC TRANSLATION IN AN EMULATED SYSTEM | 2 | |
Li-Lung Kao | TW | New Taipei City | 2015-02-19 / 20150048885 - GAIN CONTROL CIRCUIT AND METHOD CAPABLE OF EASING LEAKAGE CURRENT INFLUENCE | 2 |
Ming-Tse Kao | TW | Taipei City | 2013-05-23 / 20130130732 - SIGNAL SOURCE DEPLOYMENT SYSTEM, METHOD, AND NON-TRANSITORY TANGIBLE MACHINE-READABLE MEDIUM THEREOF | 1 |
Wen-Yen Kao | TW | Taipei City | 2015-04-30 / 20150120671 - METHOD OF PROVIDING BACKUP SYSTEM AND RELATED BACKUP SYSTEM | 1 |
Ragis H.c. Kao | CN | Taipei | 2014-08-07 / 20140215708 - INFRARED HEATING PANELS WITH NON-LINEAR HEAT DISTRIBUTION | 1 |
Shao-Hsuan Kao | TW | Taipei | 2014-07-24 / 20140206131 - METHOD FOR FABRICATING AN INTERLAYER | 1 |
Chih-Ta Kao | TW | Ping Chen | 2009-11-12 / 20090280984 - Method of Inhibiting the Growth of Algae | 1 |
Tune-Hune Kao | TW | Hsinchu | 2015-03-05 / 20150060932 - LIQUID-FILLED PACKAGING STRUCTURE OF HEATING COMPONENT | 2 |
Yeh-Chun Kao | TW | Taipei | 2014-09-11 / 20140253398 - TUNABLE ANTENNA | 2 |
Chih-Cheng Kao | TW | Sindian City | 2012-02-02 / 20120023821 - HYDROPONIC SYSTEM | 1 |
Yih-Chyun Kao | TW | Changhua County | 2014-10-02 / 20140291742 - PIXEL STRUCTURE AND FABRICATING METHOD THEREOF | 6 |
Chih-Ta Kao | TW | Jhong Li City | 2009-05-14 / 20090124575 - Method of Inhibition the Growth of Algae | 1 |
Ying-Tasi Kao | TW | Kuanyin Hsiang | 2012-10-04 / 20120251732 - Process of Applying Water-based Rubber Copolyer to Textile | 1 |
Yun-Cheng Kao | TW | Taipei County | 2012-09-20 / 20120238065 - METHOD OF FABRICATING COMPLEMENTARY METAL-OXIDE-SEMICONDUCTOR (CMOS) DEVICE | 2 |
Yu-Lin Kao | TW | Kaohsiung | 2009-07-09 / 20090176227 - Method for Producing Polyploid Plants of Orchids | 1 |
Ming-Jer Kao | TW | Hsinchu | 2009-01-01 / 20090003043 - Method for switching magnetic moment in magnetoresistive random access memory with low current | 1 |
Ming- Chih Kao | TW | Hsinchu | 2012-05-10 / 20120117661 - SOFTWARE AUTHORIZATION SYSTEM AND METHOD | 2 |
Hung-Teh Kao | US | Providence | 2012-10-04 / 20120252698 - ISOLATED AUSTRALIAN CORAL REEF FLUORESCENT PROTEINS AND CELL-BASED KINASE OR PHOSPHATASE PLATFORMS FOR CANCER DRUG DEVELOPMENT | 1 |
Hung-Hsiang Kao | TW | Taoyuan Hsien | 2009-07-23 / 20090187367 - ELECTRONIC LOAD DEVICE FOR POWER SUPPLY PRODUCT TO BE TESTED AND METHOD FOR REGULATING BANDWIDTH THEREOF | 2 |
Ming Tsan Kao | TW | Hsin-Chu County | 2015-04-30 / 20150116480 - ADAPTED MOBILE CARRIER AND AUTO FOLLOWING SYSTEM | 12 |
Chih-Chuan Kao | TW | New Taipei City | 2014-01-30 / 20140029930 - DEVICE FOR EXTENDING AND CONTROLLING SIGNALS OF A CAMERA SHUTTER RELEASE CABLE THROUGH ISOLATED SWITCHES | 1 |
Hsu-Chia Kao | TW | Tu-Cheng | 2012-02-02 / 20120026412 - REMOTE CONTROLLABLE DEVICE WITH OFFSET REMOTE RECEIVER | 1 |
Cheng-Chieh Kao | TW | Guanyin Township | 2009-01-15 / 20090015132 - LEADING MEANS OF ELECTRODE LEADS OF FIELD EMISSION DISPLAY | 1 |
Yu-Te Kao | TW | Kaohsiung City | 2012-10-04 / 20120253719 - METHOD AND APPARATUS OF ELECTRICAL DEVICE CHARACTERIZATION | 1 |
Hsiao-Wen Kao | TW | Hsinchu | 2009-04-30 / 20090108944 - LOW-NOISE AMPLIFIER CIRCUIT INCLUDING BAND-STOP FILTER | 1 |
Cheng-Chieh Kao | TW | Jhongli City | 2010-11-04 / 20100279037 - MULTI-LAYERED GLASS STRUCTURE | 1 |
Chih-Hung Kao | TW | New Taipei | 2014-06-26 / 20140175097 - CAP CONTAINER | 1 |
Tzu-Cheng Kao | TW | Hukou Township | 2015-03-19 / 20150079755 - DOUBLE DIFFUSED METAL OXIDE SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Sun-Chueh Kao | US | Hillsboro | 2012-10-25 / 20120271015 - Bimetallic Catalyst, Method of Polymerization and Bimodal Polyolefins Therefrom | 1 |
Pei-Ling Kao | TW | Kaohsiung | 2015-09-24 / 20150268411 - FRAME STRUCTURE AND BACKLIGHT MODULE | 3 |
Min-Hsiang Kao | TW | New Taipei City | 2015-06-11 / 20150159413 - TRANSMISSION MECHANISM FOR DUAL-SHAFT HINGE | 1 |
Wei-Peng Kao | TW | New Taipei | 2016-04-14 / 20160101749 - METHOD AND SYSTEM OF AUTO-ADJUSTING VEHICLE SETTINGS | 1 |
Wei-Che Kao | TW | Hsinchu | 2009-09-17 / 20090231685 - OPTICAL AMPLIFIER FOR TUNING TRANSMISSION TIME OF OPTICAL SIGNAL | 1 |
Ching-Hung Kao | TW | Hsinchu County | 2015-09-24 / 20150270162 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE | 16 |
Chien-Kang Kao | TW | New Taipei City | 2014-06-26 / 20140179090 - STORAGE AND SUB-ATMOSPHERIC DELIVERY OF DOPANT COMPOSITIONS FOR CARBON ION IMPLANTATION | 1 |
Ming-Seng Kao | TW | Hsinchu | 2010-09-16 / 20100235708 - WIRELESS COMMUNICATION METHOD, INFORMATION ACCESS METHOD, AND VIRTUAL ANTENNA RADIATION PATTERN FORMING METHOD | 2 |
Chi Kao | US | Brooklyn | 2012-10-11 / 20120255110 - VENTILATION SYSTEM FOR TOILET BOWL | 1 |
Hong-Sing Kao | TW | Hsinchu County | 2015-01-22 / 20150022259 - CALIBRATION METHOD AND APPARATUS FOR CURRENT AND RESISTANCE | 6 |
Yung-Yuan Kao | TW | Hsinchu County | 2011-04-14 / 20110085094 - ZOOM LENS ARRAY AND SWITCHABLE TWO AND THREE DIMENSIONAL DISPLAY | 1 |
Huang-Chen Kao | TW | Taoyuan County | 2013-05-23 / 20130127741 - TOUCH PANEL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Cheng-Chien Kao | TW | Hsinchu County | 2009-08-27 / 20090213924 - Method and Related Device for Converting Transport Stream into File | 1 |
Yu-Jin Kao | TW | Taipei County | 2012-02-02 / 20120029292 - CURVED LARYNGOSCOPE AND OPERATION INSTRUMENT ASSEMBLY APPLYING THE SAME | 1 |
Min-Shan Kao | TW | Jhonghe | 2012-10-11 / 20120258631 - CABLE END CONNECTOR | 1 |
Yu-Mao Kao | TW | Hsinchu | 2010-09-16 / 20100232223 - Defective block handling method for a multiple data channel flash memory storege device | 4 |
Ming-Jer Kao | TW | Hsinchu County | 2009-02-05 / 20090034322 - MAGNETIC RANDOM ACCESS MEMORY AND OPERATION METHOD | 3 |
Hao-Wen Kao | TW | Hsinchu County | 2012-10-11 / 20120259102 - 18F-Labeled Monomeric Galactose Derivative Used as Tomography Probe | 1 |
Ming-Tsan Kao | TW | Hsinchu | 2015-10-29 / 20150310266 - DEVICE AND METHOD FOR DETERMINING GESTURE AND OPERATION METHOD OF GESTURE DETERMINING DEVICE | 5 |
Jui-Hung Kao | TW | Hsinchu | 2008-11-27 / 20080294893 - DEVICE AND METHOD FOR SECURITY RECONFIGURATION | 1 |
Chao-Ting Kao | TW | Hsinchu County | 2008-11-20 / 20080284914 - IMAGE ADJUSTMENT DEVICE AND METHOD THEREOF | 1 |
Yao-Hwan Kao | TW | Hsinchu County | 2008-10-02 / 20080241760 - PEB EMBEDDED EXPOSURE APPARATUS | 2 |
Chao-Hung Kao | TW | Taipei City | 2014-07-03 / 20140186894 - ISOLATED DNA POLYMERASES, KITS AND APPLICATIONS THEREOF | 4 |
Chih-Jung Kao | TW | Taipei City | 2012-10-25 / 20120268874 - ELECTRONIC DEVICE AND LOCKING MECHANISM | 1 |
Shiang-Lun Kao | TW | Tainan County | 2013-10-10 / 20130266091 - SYSTEM AND A METHOD OF REGULATING A SLICER FOR A COMMUNICATION RECEIVER | 3 |
Chia-Chi Kao | TW | Taoyuan County | 2014-01-30 / 20140027275 - ADAPTER OF SPUTTERING CHAMBER | 1 |
Eddie Kao | TW | Taichung | 2011-08-04 / 20110190057 - ELECTRONIC SPORT SENSING DEVICE | 2 |
Kuo-Chung Kao | TW | Taipei | 2008-10-16 / 20080256283 - Multimedia expansion module and computer device using the same | 1 |
Chen-Chien Kao | TW | Taoyuan Hsien | 2015-06-04 / 20150152876 - Anti-Air-Return System and Method of Fan | 1 |
Shao-Ku Kao | TW | Taipei | 2008-12-11 / 20080303566 - SPREAD SPECTRUM CLOCK GENERATOR WITH LOW JITTER | 1 |
I-Chern Kao | TW | Taipei City | 2009-03-05 / 20090057271 - MANUFACTURING METHOD OF METAL INTERCONNECTION | 1 |
Chien-Han Kao | TW | Taipei | 2009-07-30 / 20090190303 - HEAT DISSIPATION PLATE, GAP ADJUSTING JIG FOR HEAT DISSIPATION AND MOTHERBOARD | 1 |
Yu-Ting Kao | TW | Tainan City | 2015-06-11 / 20150159431 - SAFETY RETAINER FOR DOUBLE-TRACK CURTAIN CONTROL DEVICE | 10 |
Kung-Ming Kao | TW | Taipei | 2011-04-07 / 20110080694 - PORTABLE ELECTRONIC DEVICE CAPABLE OF CONTAINING ELECTRONIC PAPER | 1 |
Lung-Ching Kao | TW | Taipei | 2014-08-07 / 20140217561 - DOUBLE TRENCH RECTIFIER | 4 |
Chi Kao | TW | Taichung | 2015-12-10 / 20150354368 - MICRO JET GAS FILM GENERATION APPARATUS | 1 |
Suey-Sheng Kao | TW | Taipei | 2008-10-02 / 20080242734 - Use of Biocistronic DNA Constructs for Identifying Compounds that Inhibit IRES-Dependent Translation | 2 |
Chia-Hung Kao | TW | Taipei City | 2008-09-25 / 20080232045 - Multimedia module in switch case | 1 |
Shen-Mu Kao | TW | Taipei | 2008-10-23 / 20080257253 - Rotary Gauge Structure | 1 |
Ya-Tung Kao | TW | Taipei | 2008-12-11 / 20080303486 - Apparatuses and methods for detecting power source | 1 |
Chia-Jung Kao | TW | Taipei City | 2014-05-01 / 20140117005 - DIFFUSION FURNACE | 5 |
Fu-Shun Kao | TW | Hsinchu | 2009-01-01 / 20090002645 - LENS-SHIFTING DEVICE | 1 |
Tsongming Kao | US | Sunnyvale | 2015-12-03 / 20150346478 - Protection of Thin Film Transistors in a Display Element Array from Visible and Ultraviolet Light | 10 |
Chao-Hung Kao | TW | Taipei | 2009-01-29 / 20090028759 - MAGNETIC SEPARATION DEVICE | 1 |
Ming-Shing Kao | TW | Taipei | 2009-04-16 / 20090097978 - FAN BLADE ASSEMBLY | 1 |
Chuan-Kai Kao | TW | Taipei | 2009-05-21 / 20090132907 - Annotation Structure for Web Pages, System and Method for Annotating Web Pages | 1 |
Chen-Yi Kao | TW | Hsinchu | 2009-01-29 / 20090030839 - Method for Synchronizing Credit Point Data | 1 |
Ching-Hung Kao | TW | Hsinchu County | 2015-09-24 / 20150270162 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE | 16 |
De-Yu Kao | TW | Taipei City | 2012-01-19 / 20120013558 - TOUCH DEVICES AND DETECTION METHODS THEREOF | 4 |
Chi-Chun Kao | TW | Taipei City | 2013-10-03 / 20130262966 - DIGITAL CONTENT REORDERING METHOD AND DIGITAL CONTENT AGGREGATOR | 3 |
Chi-Jen Kao | TW | Taipei City | 2012-03-22 / 20120069579 - Direct-lit light guiding structure, direct-lit light guiding panel and lighting device | 6 |
Shiau-Wen Kao | TW | Hsinchu | 2009-04-30 / 20090108943 - LOW NOISE AMPLIFIER | 2 |
Shih-Chia Kao | TW | Taipei | 2014-03-20 / 20140082446 - Multiple-Capture DFT System for Detecting or Locating Crossing Clock-Domain Faults During Self-Test or Scan-Test | 7 |
Chin-Te Kao | TW | Taipei City | 2011-02-24 / 20110043445 - Handheld electronic device and method of controlling the handheld electronic device according to state thereof in a three-dimensional space | 1 |
Kuang-Shiung Kao | TW | Longjing Township | 2012-10-18 / 20120261222 - FLYWHEEL ASSEMBLY FOR EXERCISE DEVICES | 1 |
Yeh-Chun Kao | TW | New Taipei City | 2013-03-28 / 20130076587 - COMMUNICATION ELECTRONIC DEVICE AND ANTENNA STRUCTURE THEREOF | 2 |
Jiann-Shiun Kao | TW | Taipei City | 2011-07-14 / 20110168940 - COMPOSITION FOR ENHANCING EVAPORATION OF SOLUTION AND METHOD THEREOF | 3 |
Yu-Ting Kao | TW | Tainan City | 2015-06-11 / 20150159431 - SAFETY RETAINER FOR DOUBLE-TRACK CURTAIN CONTROL DEVICE | 10 |
San-Pao Kao | TW | New Taipei City | 2014-05-08 / 20140126134 - PORTABLE ELECTRONIC DEVICE WITH HINGE STRUCTURE | 19 |
Chen-Lung Kao | TW | Taoyuan | 2012-10-18 / 20120264922 - REACTIVE DYE | 1 |
Tze-Ming Kao | TW | Taipei City | 2010-12-30 / 20100331558 - METHOD FOR PRODUCING FATTY ACID METHYL ESTER | 1 |
Jui-Chien Kao | TW | Tall City | 2009-07-23 / 20090184070 - Hand Tool Rack | 1 |
Yu-Chi Kao | TW | Taipei City | 2010-12-30 / 20100332815 - PERIPHERAL CONTROL MODULE, COMPUTER SYSTEM, AND OPERATION METHOD THEREOF | 1 |
Jui-Chien Kao | TW | Tali | 2011-08-04 / 20110186700 - TOOL RACK HOLDER | 2 |
Tsung-Shuo Kao | TW | Kaohsiung City | 2015-05-28 / 20150145539 - READOUT DEVICE, DUAL-FUNCTION READOUT DEVICE, AND DETECTING CIRCUIT THEREOF | 1 |
Chin-Fa Kao | TW | Taipei City | 2010-09-09 / 20100225622 - DRIVING APPARATUS | 1 |
Kuo-Lun Kao | TW | Taipei City | 2010-08-26 / 20100214773 - Illumination Device and Operating Method Thereof and Electronic Apparatus Having the Same | 1 |
Jui-Chien Kao | TW | Taichung Hsien | 2012-02-23 / 20120043341 - STORAGE FRAME FOR TOOL RACK PACKAGES | 4 |
Ying-Feng Kao | TW | Taipei City | 2010-08-26 / 20100214779 - LED Fluorescent Tube | 1 |
Yih-Sien Kao | TW | Taipei City | 2010-02-25 / 20100050204 - USER GROUP ASSIGNMENT METHOD FOR KEY MANAGEMENT | 1 |
Min-Chih Kao | TW | Taipei City | 2010-02-04 / 20100031030 - METHOD AND SYSTEM FOR MANAGING NETWORK IDENTITY | 1 |
Chin-Cheng Kao | TW | Hsinchu City | 2012-10-18 / 20120266206 - DIGITAL BROADCASTING SIGNAL DISPLAYING SYSTEM AND SIGNAL PROCESSING METHOD THEREOF | 1 |
Kuo-Chung Kao | TW | Taipei City | 2009-12-03 / 20090300377 - Computer system for Managing Power consumption and Method Thereof | 1 |
Hsien-Kuo Kao | TW | Taipei City | 2009-11-19 / 20090284397 - Keypad structure with multi-mode display function | 3 |
Chei Kao | TW | Hsinchu | 2009-07-02 / 20090170971 - METHOD FOR MANUFACTURING STARCH FOAM | 1 |
Chien-Min Kao | US | Wilmette | 2015-05-28 / 20150146949 - IMAGE RECONSTRUCTION FROM LIMITED OR INCOMPLETE DATA | 8 |
Chin-Fu Kao | TW | Taipei City | 2014-05-15 / 20140131864 - Connector Design for Packaging Integrated Circuits | 11 |
Hung-Chao Kao | TW | Taipei City | 2014-11-20 / 20140339579 - LED Structure | 7 |
Sheng-Chiang Kao | TW | Hsinchu | 2009-12-03 / 20090297089 - Fiber grating sensor | 2 |
Chia-Hung Kao | TW | Taipei | 2015-05-21 / 20150142577 - PUBLIC MOBILE ADVERTISEMENT MOBILE DISTRIBUTE DEVICE | 1 |
Shiang-Lun Kao | TW | Taipei City | 2009-04-30 / 20090110044 - Method and Apparatus for Deciding a Channel Impulse Response | 1 |
Ken Kao | TW | Taipei City | 2009-02-26 / 20090055517 - Method for a plug-and-play network device to acquire dual internet protocol addresses | 2 |
Ming-Chien Kao | TW | Taipei City | 2009-01-15 / 20090018460 - A Method for Analyzing Irreversible Apneic Coma(IAC) | 1 |
Shun Hau Kao | TW | Hsinchu | 2010-02-04 / 20100026254 - LOW DROPOUT REGULATOR AND THE OVER CURRENT PROTECTION CIRCUIT THEREOF | 5 |
Yu-Yueh Kao | TW | Taipei | 2012-01-26 / 20120018661 - WATER-SAVING VALVE ASSEMBLY | 3 |
Cheng-Sen Kao | TW | Taipei | 2009-09-17 / 20090233537 - Air baffle and calculation method of deformational stress thereof | 1 |
Chao-Chun Kao | TW | Taipei City | 2011-04-14 / 20110087483 - EMOTION ANALYZING METHOD, EMOTION ANALYZING SYSTEM, COMPUTER READABLE AND WRITABLE RECORDING MEDIUM AND EMOTION ANALYZING DEVICE | 1 |
Peng-Feng Kao | TW | Taipei | 2009-11-12 / 20090278724 - ELECTRONIC APPARATUS WITH REMOTE CONTROL FUNCTIONS | 1 |
Meng-Chao Kao | TW | Taipei | 2010-01-07 / 20100002011 - IMAGE PROCESS METHOD AND CIRCUIT THEREOF | 2 |
Chang-Yi Kao | TW | Taipei City | 2015-01-22 / 20150023590 - METHOD AND SYSTEM FOR HUMAN ACTION RECOGNITION | 4 |
Yu-Chieh Kao | TW | Taipei | 2010-02-11 / 20100036267 - ANALYSIS DEVICE AND ANALYZING METHOD FOR AUTONOMIC NERVE STATE | 1 |
Chen-Ying Kao | TW | Hsinchu | 2010-02-18 / 20100038428 - DATA CONVERTER AND ITS CONVERTING METHOD | 1 |
Chen-Li Kao | TW | Taipei City | 2014-07-10 / 20140195583 - MULTIMEDIA PLAYBACK APPARATUS AND MULTIMEDIA FILE PREFETCHING METHOD | 2 |
Yu-Li Kao | TW | Taipei | 2015-12-17 / 20150365443 - METHOD, SERVER AND APPARATUS FOR ESTABLISHING POINT-TO-POINT CONNECTION | 5 |
Te-Lun Kao | TW | Taoyuan County | 2015-05-21 / 20150136629 - SMART TEMPERATURE CONSERVATION CONTAINER | 1 |
Yung-Ming Kao | TW | Hsinchu | 2012-05-17 / 20120117787 - MANUFACTURING METHOD FOR MACHINE TOOL | 3 |
Wei-Chieh Kao | TW | Hsinchu | 2010-09-23 / 20100237223 - REPLACEABLE FOOTPAD STRUCTURE FOR ELECTRONIC DEVICE | 1 |
Chih-Chung Kao | TW | Hsinchu | 2011-12-15 / 20110307144 - METHOD AND SYSTEM FOR TRANSMITTING AND RECEIVING VEHICLE INFORMATION | 3 |
Te-Lun Kao | TW | Taipei City | 2011-02-24 / 20110043975 - PORTABLE ELECTRONIC DEVICE STRUCTURE | 2 |
Ya-Chen Kao | TW | Hsinchu | 2011-01-06 / 20110001201 - SACRIFICE LAYER STRUCTURE AND METHOD FOR MAGNETIC TUNNEL JUNCTION (MTJ) ETCHING PROCESS | 1 |
Shi-Shiuan Kao | TW | Taipei City | 2015-05-07 / 20150127796 - METHOD OF MANAGING RESOURCE TRANSMISSION BETWEEN TWO SERVICE PROVIDERS AND RELATED COMPUTER SYSTEM | 3 |
Chia-Ling Kao | US | San Jose | 2015-07-30 / 20150214101 - METHODS FOR ETCHING A DIELECTRIC BARRIER LAYER IN A DUAL DAMASCENE STRUCTURE | 7 |
Sueng-Nien Kao | TW | Taipei City | 2010-02-25 / 20100047680 - Portable water-activated power supply | 1 |
Ming-Lin Kao | TW | Taipei | 2012-02-16 / 20120036745 - ELECTRONIC GREETING CARD INTEGRATED WITH PHYSICAL CARRIER AND GREETING CARD BROWSER | 1 |
Sui-Chan Kao | TW | Taipei City | 2010-01-14 / 20100007997 - ELECTRONIC ARC EXTINGUISHING DEVICE | 1 |
Tsung-Kai Kao | TW | Taipei City | 2009-12-31 / 20090322938 - METHOD AND RELATED IMAGE PROCESSING APPARATUS UTILIZED FOR COMBINING COLOR LOOK-UP TABLE AND VIDEO DAC CALIBRATION MAPPING TABLE | 1 |
Hsiao-Wei Kao | TW | Taipei City | 2009-09-24 / 20090237580 - SYSTEM FOR DISPLAY IMAGES AND FABRICATION METHOD THEREOF | 1 |
Chan-Hsuan Kao | TW | Taipei | 2010-02-18 / 20100039275 - COMPUTER SYSTEM, METHOD AND SYSTEM FOR CONTROLLING LIGHT SIGNAL | 1 |
Kuo-Jang Kao | US | Gainesville | 2015-05-21 / 20150140021 - Therapeutic Biologic for Treatment of Hepatocellular Carcinoma | 8 |
Yu-Lung Larry Kao | TW | Taipei | 2010-02-25 / 20100049604 - ADVERTISING IN AN ONLINE COMMUNITY | 1 |
Yu-Chuan Kao | TW | Taipei City | 2015-05-14 / 20150134843 - METHOD OF ESTABLISHING NETWORK CONNECTION AND SYSTEM THEREOF | 1 |
Kevin Kao | TW | Taipei | 2010-11-11 / 20100283270 - Magnetic Latch and Method for Manufacture Thereof | 3 |
Yi-Min Kao | TW | Taipei | 2010-07-22 / 20100184483 - HANDHELD ELECTRONIC DEVICE | 1 |
Yih-Sien Kao | TW | Taipei | 2010-03-04 / 20100054479 - DRM KEY MANAGEMENT SYSTEM USING MULTI-DIMENSIONAL GROUPING TECHNIQUES | 1 |
Tsung-Fu Kao | TW | Taipei | 2010-06-24 / 20100158595 - METHOD FOR SENSING PAPER SKEW AND METHOD FOR CORRECTING PAPER SKEW | 3 |
Min Che Kao | TW | Taipei | 2012-08-02 / 20120194979 - PORTABLE ELECTRONIC DEVICE | 4 |
Hsien-Chun Kao | TW | Taipei | 2009-01-08 / 20090009584 - WIRELESS IMAGE SIGNAL TRANSMISSION DEVICE | 1 |
Wang-Shou Kao | TW | Hsinchu City | 2012-02-16 / 20120038862 - LIQUID CRYSTAL DISPLAY PANEL AND COLOR FILTER SUBSTRATE | 1 |
Philip Ming-Chuan Kao | TW | Taipei | 2011-05-12 / 20110109580 - Wear-Resistant Touchpads | 1 |
Wei-Ru Kao | TW | Miao-Li County | 2015-08-20 / 20150234511 - TOUCH DISPLAY DEVICE | 1 |
Han-Jung Kao | TW | Taipei | 2012-11-08 / 20120280572 - BATTERY SYSTEMS AND CONTROLLERS | 7 |
Chien-Chih Kao | TW | Taipei | 2011-04-07 / 20110080136 - Power adaptor with backup battery charging function for portable computer | 1 |
Te-Yin Kao | TW | Taipei | 2011-03-24 / 20110070746 - METHOD OF INCREASING OPERATION SPEED AND SATURATED CURRENT OF SEMICONDUCTOR DEVICE AND METHOD OF REDUCING SITE FLATNESS AND ROUGHNESS OF SURFACE OF SEMICONDUCTOR WAFER | 1 |
Yi-Fan Kao | TW | Taipei | 2011-03-17 / 20110061234 - Method For Fabricating Carrier Board Having No Conduction Line | 1 |
Chih-Chiang Kao | TW | Taipei | 2013-05-23 / 20130126928 - LIGHT EMITTING DIODE CHIP, AND METHODS FOR MANUFACTURING AND PACKAGING THE SAME | 3 |
Tze-Ming Kao | TW | Taipei | 2011-03-10 / 20110056835 - Electric separating apparatus | 1 |
Kun-Yi Kao | TW | Tainan City | 2015-05-14 / 20150130959 - IMAGE PROCESSING DEVICE AND EXPOSURE CONTROL METHOD | 1 |
Sueng-Nien Kao | TW | Taipei | 2013-12-19 / 20130335949 - LIGHTING DEVICE HAVING AT LEAST ONE WATER-ACTIVATED BATTERY MOUNTED BETWEEN A BOTTLE CAP AND A BOTTLE | 6 |
Kao Corporation | JP | Chuo-Ku | 2013-06-20 / 20130157192 - TONER FOR ELECTROSTATIC IMAGE DEVELOPMENT | 6 |
Kao Corporation | JP | Tokyo | 2013-07-25 / 20130189394 - OIL OR FAT COMPOSITION | 3 |
Andy Kaoh | TW | Taipei City | 2009-09-03 / 20090218956 - ILLUMINATION CONTROL ASSEMBLY FOR IMPROVING DECAYING OF WHITE LIGHT EMITTING DIODE | 4 |
Kaohsiung Medical University | TW | Kaohsiung | 2013-07-11 / 20130177917 - NUCLEOTIDE SEQUENCE FOR COLUMBIDAE GENDER AND NUCLEOTIDE PRIMER PAIR FOR COLUMBIDAE GENDER | 2 |
Kaohsiung Medical University | US | 2013-08-15 / 20130210134 - Hybrid Superparamagnetic Iron Oxide Nanoparticles and Polyethylenimine as a Magnetocomplex for Gene Transfection | 1 | |
Kaohsiung Medical University | TW | Kaohsiung City | 2013-07-11 / 20130177976 - COMBINATION OF CATIONIC POLYMERS AND POLYSACCHARIDES NANOPARTICLES AS A GENE CARRIER | 3 |
Yoshida Kaoru | JP | Kanagawa | 2011-11-24 / 20110289109 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 1 |
Hattori Kaoru | JP | Saitama | 2010-12-23 / 20100321145 - COIL AND METHOD OF FORMING THE COIL | 1 |
Miyamoto Kaoru | JP | Kawasaki | 2009-11-12 / 20090282284 - RECOVERY SERVER FOR RECOVERING MANAGED SERVER | 1 |
Abdelmajid Kaouas | NL | Utrecht | 2014-11-27 / 20140349001 - Flavour Compositions | 6 |
Jihad Kaouk | US | Cleveland | 2015-04-02 / 20150093734 - SURGICAL ASSISTANCE USING PHYSICAL MODEL OF PATIENT TISSUE | 1 |
Alexandre Kaoukhov | FR | Juan Les Pins | 2014-09-11 / 20140256662 - ADMINISTRATION OF AVERMECTIN/MILBEMYCIN COMPOUNDS FOR THE TREATMENT OF OPHTHALMIC PATHOLOGIES | 23 |
Yun-Tai Kao Yang | TW | Nantou County | 2011-12-29 / 20110320910 - STORAGE MANAGEMENT METHOD AND STORAGE SYSTEM | 1 |
Prasad Koteswara Kapa | US | Parsippany | 2016-02-11 / 20160039832 - SALT(S) OF 7-CYCLOPENTYL-2-(5-PIPERAZIN-1-YL-PYRIDIN-2-YLAMINO)-7H-PYRROLO- [2,3-D]PYRIMIDINE-6-CARBOXYLIC ACID DIMETHYLAMIDE AND PROCESSES OF MAKING THEREOF | 13 |
Hendrikus Jan Kapaan | NL | Nieuwegein | 2012-03-08 / 20120055587 - METHOD OF MANUFACTURING A BEARING RING | 3 |
Hendrikus Jan Kapaan | NL | Utrecht | 2011-09-29 / 20110235957 - Bearing Unit | 1 |
Jan Hendrikus Kapaan | NL | Nieuwegein | 2011-08-04 / 20110187179 - Wheel Bearing Unit | 1 |
Yair Kapach | IL | Modiin | 2016-02-25 / 20160055385 - SYSTEMS AND METHODS FOR DETECTING TRAFFIC SIGNS | 2 |
Vivek Kapadekar | US | Rancho Bernardo | 2011-08-25 / 20110208869 - HTTP PUSH TO SIMULATE SERVER-INITIATED SESSIONS | 2 |
Vivek Kapadekar | US | San Diego | 2012-08-16 / 20120210315 - DEVICE MANAGEMENT IN A NETWORK | 1 |
Hetal Kapadia | US | Marlboro | 2014-06-26 / 20140181438 - FILTERED REFERENCE COPY OF SECONDARY STORAGE DATA IN A DATA STORAGE SYSTEM | 2 |
Vishal Kapadia | US | Suwanee | 2014-03-06 / 20140067803 - Data Enrichment Using Business Compendium | 2 |
Samir R. Kapadia | US | Chagrin Falls | / - | 1 |
Arshish Kapadia | US | Issaquah | 2015-12-24 / 20150370825 - SCALABLE EVENTUAL CONSISTENCY SYSTEM USING LOGICAL DOCUMENT JOURNALING | 9 |
Vimal M. Kapadia | US | New York City | 2009-09-24 / 20090240919 - PROCESSOR AND METHOD FOR SYNCHRONOUS LOAD MULTIPLE FETCHING SEQUENCE AND PIPELINE STAGE RESULT TRACKING TO FACILITATE EARLY ADDRESS GENERATION INTERLOCK BYPASS | 1 |
Vimal M. Kapadia | US | New York | 2009-09-24 / 20090240918 - METHOD, COMPUTER PROGRAM PRODUCT, AND HARDWARE PRODUCT FOR ELIMINATING OR REDUCING OPERAND LINE CROSSING PENALTY | 5 |
Jaegarkumar Kapadia | AU | Sydney | 2014-06-12 / 20140158131 - BLOWER | 1 |
Amar Kapadia | US | Costa Mesa | 2010-03-11 / 20100061383 - Combined FCOE Network Device | 1 |
Jay R. Kapadia | US | Hackensack | 2011-12-01 / 20110289734 - Fastener apparatus | 2 |
Shyam Kapadia | US | Santa Clara | 2016-05-19 / 20160142313 - Intelligent Handling of Virtual Machine Mobility in Large Data Center Environments | 32 |
Sharookh Kapadia | US | San Jose | 2012-02-02 / 20120027722 - HEPATITIS C VIRUS COMBINATION THERAPY | 1 |
Roxna Kapadia | GB | Leicester | 2012-11-01 / 20120273424 - METHODS OF PURIFYING VIRUSES USING GEL PERMEATION CHROMATOGRAPHY | 1 |
Dipali Kapadia | CA | Markham | 2012-08-02 / 20120197935 - Consolidating Related Task Data in Process Management Solutions | 2 |
Shamit Kapadia | US | San Jose | 2013-05-09 / 20130117837 - FAST UPDATE FILTER | 1 |
Nikhil Kapadia | IN | Mumbai | 2012-02-09 / 20120035987 - PERFORMANCE MANAGEMENT SYSTEM | 1 |
Salman Kapadia | IN | Madhya Pradesh | 2015-11-19 / 20150327855 - SURGICAL FASTENER APPLYING APPARATUS | 3 |
Prateek Kapadia | IN | Kandivali | 2012-08-30 / 20120222097 - SYSTEM AND METHOD FOR USER CLASSIFICATION AND STATISTICS IN TELECOMMUNICATION NETWORK | 1 |
Stacey Kapadia | US | Mountain View | 2008-11-13 / 20080281674 - DETERMINING METRICS ASSOCIATED WITH ADVERTISING SPECIALIST | 2 |
Shamit Dipak Kapadia | US | San Jose | 2013-06-06 / 20130142036 - Fast Repair of a Bundled Link Interface Using Packet Replication | 1 |
Samir Kapadia | US | Chagrin Falls | 2016-03-03 / 20160058978 - METHOD AND APPARATUS FOR GUIDING A CATHETER | 16 |
Kalpesh Gopaldas Kapadia | US | Palo Alto | 2014-09-11 / 20140258305 - SYSTEMS AND METHODS FOR PROVIDING CONTEXTUAL TRUST SCORES | 1 |
Ameil A. Kapadia | US | Shelby | 2009-01-01 / 20090001744 - FRONT END MODULE LOWER BEAM BODY ON FRAME JOINT | 3 |
Neville D. Kapadia | US | Chesterfield | 2015-03-19 / 20150075369 - OIL-FREE AIR COMPRESSOR FOR RAIL VEHICLES WITH AIR VENTILATION | 2 |
Salman Kapadia | IN | Seoni | 2013-02-14 / 20130037597 - SURGICAL FASTENER APPLYING APPARATUS | 1 |
Kaushal Kiran Kapadia | IN | Ashok Nagar | 2013-09-05 / 20130232541 - Policy-driven approach to managing privileged/shared identity in an enterprise | 1 |
Arshish Kapadia | US | Issaquah | 2015-12-24 / 20150370825 - SCALABLE EVENTUAL CONSISTENCY SYSTEM USING LOGICAL DOCUMENT JOURNALING | 9 |
Kaushal K. Kapadia | IN | Pune | 2015-06-25 / 20150178876 - RESOLVING ORPHAN OR INACTIVE ACCOUNTS | 3 |
Nilesh Kapadia | US | Shelton | 2013-11-28 / 20130312635 - Adhesion Promoting Composition for Metal Leadframes | 4 |
Arshish Kapadia | US | Issaquad | 2012-04-26 / 20120101998 - UPGRADE OF HIGHLY AVAILABLE FARM SERVER GROUPS | 1 |
Ritika Kapadia | US | Newcastle | 2014-12-18 / 20140372511 - Content Pre-Render and Pre-Fetch Techniques | 1 |
Suresh R. Kapadia | US | Danbury | 2015-04-30 / 20150119589 - METHODS FOR MAKING OXETAN-3-YLMETHANAMINES | 5 |
Arshish C. Kapadia | US | Issaquah | 2015-12-31 / 20150378972 - INTELLIGENT CONFLICT DETECTION AND SEMANTIC EXPRESSION OF DOCUMENT EDITS | 3 |
Dipti Kapadia | NL | Eindhoven | 2012-01-05 / 20120002107 - METHOD AND APPARATUS FOR MODIFYING AN IMAGE BY USING A SALIENCY MAP BASED ON COLOR FREQUENCY | 1 |
Ami Nicole Kapadia | US | Canton | 2011-01-27 / 20110018247 - AUTOMOTIVE KNEE BOLSTER SYSTEM | 1 |
Amar Kapadia | US | Pittsburgh | 2010-03-04 / 20100052871 - SPEECH-DRIVEN PATIENT CARE SYSTEM WITH WEARABLE DEVICES | 1 |
Pranay Kapadia | US | Santa Clara | 2014-05-22 / 20140143143 - USING CARD IMAGE TO EXTRACT BANK ACCOUNT INFORMATION | 2 |
Sona Kapadia | US | San Diego | 2008-09-11 / 20080219198 - Method for scheduling packet data transmission | 1 |
Shyam Kapadia | US | Santa Clara | 2016-05-19 / 20160142313 - Intelligent Handling of Virtual Machine Mobility in Large Data Center Environments | 32 |
Merzin Kapadia | US | Newcastle | 2016-04-21 / 20160112203 - Trust Service for a Client Device | 1 |
Samir Kapadia | US | Chagrin Falls | 2016-03-03 / 20160058978 - METHOD AND APPARATUS FOR GUIDING A CATHETER | 16 |
Jimmy Kapadia | US | Ottawa Hills | 2015-09-10 / 20150251558 - PLUG-IN VEHICLE ECO CHARGING MODE | 1 |
Apu Chandrasen Kapadia | US | Bloomington | 2015-12-31 / 20150381624 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR COMBATING DEVICE THEFT WITH USER NOTARIZATION | 1 |
Arshish Cyrus Kapadia | US | Issaquah | 2016-02-04 / 20160034337 - Failure Mode Identification and Reporting | 6 |
Yogen Sudhakar Kapadia | US | Sunnyvale | 2012-11-15 / 20120290926 - EFFICIENT DOCUMENT MANAGEMENT AND SEARCH | 1 |
Mihir Kapadia | CA | Markham | 2015-10-29 / 20150312249 - PASSWORD RETRIEVAL SYSTEM AND METHOD INVOLVING TOKEN USAGE WITHOUT PRIOR KNOWLEDGE OF THE PASSWORD | 1 |
Shyam Kapadia | US | San Jose | 2016-05-12 / 20160134520 - OPTIMIZED INTER-VRF (VIRTUAL ROUTING AND FORWARDING) ROUTE LEAKING IN NETWORK OVERLAY BASED ENVIRONMENTS | 3 |
Jimmy H. Kapadia | US | Ottawa Hills | 2011-07-21 / 20110178670 - System And Method For Indicating An Efficiency Level of Energy Usage By An Automotive Vehicle | 3 |
Prateek Kapadia | IN | Mumbai | 2014-12-18 / 20140372175 - Method and system for detection, classification and prediction of user behavior trends | 3 |
Rehan Kapadia | US | Berkeley | 2014-10-02 / 20140290737 - THIN FILM VLS SEMICONDUCTOR GROWTH PROCESS | 3 |
Kaushal Kiran Kapadia | IN | Pune | 2014-03-13 / 20140075492 - Identity context-based access control | 1 |
Lakshmi Prasanna Kapadia | US | Wesley Chapel | 2009-12-31 / 20090327901 - BROADCAST DESIGN FOR PROVISIONING RECONFIGURABLE OPTICAL ADD/DROP MULTIPLEXER CIRCUITS | 1 |
Apu Kapadia | US | Bloomington | 2015-02-19 / 20150049170 - METHOD AND APPARATUS FOR VIRTUAL 3D MODEL GENERATION AND NAVIGATION USING OPPORTUNISTICALLY CAPTURED IMAGES | 1 |
Satyamoorthy Kapaettu | IN | Udupi | 2013-04-25 / 20130102483 - METHODS FOR THE ANALYSIS OF BREAST CANCER DISORDERS | 1 |
Yoav Kapah | IL | Nahalal | 2009-03-05 / 20090061186 - Shell for ballistic helmet | 1 |
Yoav Kapah | US | Granville | 2016-05-12 / 20160128413 - PROTECTIVE HEADGEAR | 2 |
Puneet Kapahi | IN | New Delhi | 2014-12-25 / 20140380245 - SUPPORTING NAVIGATION ON TOUCH SCREENS DISPLAYING ELEMENTS ORGANIZED IN A FIXED NUMBER OF DIMENSIONS | 5 |
Pankaj Kapahi | US | Pasadena | 2009-02-26 / 20090054524 - Methods for identifying and using IKK inhibitors | 1 |
Bal Krishan Kapahi | IN | Jammu Tawi | 2009-12-03 / 20090298938 - USE OF SEMI SYNTHETIC ANALOGUES OF BOSWELLIC ACIDS FOR ANTICANCER ACTIVITY | 1 |
Mohan Kapahi | US | Mansfield | 2010-06-24 / 20100159155 - Mining Component Coating Process | 1 |
Milton Kapa, Jr. | US | Tucker | 2015-06-25 / 20150179222 - RECORDED CONTENT REPAIR | 2 |
Stella Kapakos | CA | Toronto | 2016-03-17 / 20160078095 - LOCATION-BASED UPDATING OF PROFILE DATA | 1 |
David T. Kapala | US | Shelby Township | 2011-04-21 / 20110088673 - MIXER FOR USE IN AN EXHAUST GAS RECIRCULATION SYSTEM AND METHOD FOR ASSEMBLY OF THE SAME | 1 |
Raymond T. Kapala, Jr. | US | Lowell | 2010-11-11 / 20100281664 - TOOL FOR INSTALLATION OF TUBING IN FLOORING | 1 |
Viswanathan Kapaleeswaran | IN | Bangalore | 2015-03-19 / 20150082388 - SERVICE ACCESS CONTROL | 2 |
Giridhar Kapalli | IN | Hyderabad | 2015-05-14 / 20150134846 - METHOD AND APPARATUS FOR MEDIA SEGMENT REQUEST RETRY CONTROL | 1 |
Giridhar Kapalli | US | San Diego | 2013-04-18 / 20130097287 - CONTROLLING STREAMING DELAY IN NETWORKS | 2 |
Giri Kapallil | US | San Diego | 2013-06-06 / 20130142053 - METHOD AND AN APPARATUS TO OPTIMIZE MONITORING FOR A NEW EMBMS SESSION IN LTE NETWORKS | 1 |
Petteri Kapanen | FI | Tampere | 2012-02-16 / 20120037549 - COMBINATION OF A FILTER ELEMENT AND A CORE ELEMENT, AND A FILTER ELEMENT | 1 |
Jouko Kapanen | FI | Helsinki | 2008-10-02 / 20080239943 - Traffic protection in a communication network | 1 |
Tomi Kapanen | FI | Hameenlinna | 2016-03-24 / 20160084628 - METHOD IN THE INSTALLATION OF AN ELEVATOR AND TOOL FOR USE IN THE METHOD | 1 |
Mayur Kapani | US | Marietta | 2013-01-24 / 20130024353 - INTERVAL PRICE LIMIT | 2 |
Martin Christopher Kapanowski | US | Dexter | 2011-07-07 / 20110162919 - Disk Brake Pad | 1 |
Arshan Kapanpour | US | Huntington Beach | 2014-09-18 / 20140274324 - Online Fantasy Sports System | 1 |
Prashanth Kaparthi | US | Canton | 2014-02-13 / 20140041470 - Vehicle Braking Assembly | 2 |
Nimrod Kapas | US | Canton | 2015-09-24 / 20150268109 - Sensor Packaging at Output Side of Front Wheel Drive (FWD) Transmissions | 14 |
Istvan Kapas | HU | Budapest | 2012-05-17 / 20120119645 - COMPACT HIGH INTENSITY DISCHARGE LAMP WITH TEXTURED OUTER ENVELOPE | 1 |
Levente Kapas | US | Spokane Valley | 2010-08-05 / 20100196396 - COMPOSITIONS AND METHODS FOR MODULATING GHRELIN-MEDIATED CONDITIONS | 1 |
Nimrod Kapas | US | Dearborn | 2014-03-13 / 20140069759 - Transmission and Method of Controlling Clutch During Ratio Change | 6 |
Nimrod Kapas | US | Canton | 2015-09-24 / 20150268109 - Sensor Packaging at Output Side of Front Wheel Drive (FWD) Transmissions | 14 |
Ravish Kapasi | US | Fremont | 2012-12-06 / 20120311277 - MEMORY CONTROLLERS WITH DYNAMIC PORT PRIORITY ASSIGNMENT CAPABILITIES | 1 |
Ujval J. Kapasi | US | San Jose | 2015-01-29 / 20150030076 - HIERARCHICAL PACKING OF SYNTAX ELEMENTS | 7 |
Purvi Kapasi | US | Aurora | 2015-04-30 / 20150119602 - HIGH PURITY DIBASIC ACID COMPOSITIONS AND METHODS OF MAKING THE SAME | 1 |
Jayanta Kapat | US | Oviedo | 2010-01-14 / 20100006273 - THERMALLY CONDUCTIVE POROUS ELEMENT-BASED RECUPERATORS | 1 |
Kausik Kapat | IN | West Bengal | 2016-05-19 / 20160136728 - Process of Dough Forming of Polymer-Metal Blend Suitable for Shape Forming | 1 |
Jayanta Sankar Kapat | US | Oviedo | 2010-11-25 / 20100293993 - Method and Apparatus for Highly Efficient Compact Vapor Compression Cooling | 4 |
Jayanta S. Kapat | US | Oviedo | 2012-01-26 / 20120017605 - HEAT TRANSFER AUGMENTED FLUID FLOW SURFACES | 1 |
Jeffrey Michael Kapatoes | US | Viera | 2013-02-28 / 20130048883 - SYSTEMS AND METHODS FOR PREVENTING UNSAFE MEDICAL TREATMENT | 1 |
Steve Kapaun | US | Ames | 2014-12-04 / 20140352916 - GEOTHERMAL HEATING AND COOLING SYSTEM | 1 |
Sebastian Kapaun | DE | Oberndorf | 2012-08-30 / 20120219778 - COMPOSITE MATERIAL CONTAINING SOFT CARBON FIBER FELT AND HARD CARBON FIBER FELT | 1 |
Matthias Kapaun | DE | Gaukoenigshofen | 2015-10-22 / 20150298541 - FUEL FILLER DEVICE | 3 |
Oliver Kapaun | DE | Bad Soden | 2014-03-20 / 20140081712 - SUPPORTABILITY PERFORMANCE INDEX | 2 |
Matthias Kapaun | DE | Gaukonigshofen | 2015-07-09 / 20150191080 - FILLER NECK FOR FILLING FUEL INTO A VEHICLE TANK OF AN AUTOMOBILE | 2 |
Guido Kapaun | DE | Zwingenberg | 2013-01-31 / 20130030943 - MANAGING CONSISTENT INTERFACES FOR A CUSTOMER REQUIREMENT BUSINESS OBJECT ACROSS HETEROGENEOUS SYSTEMS | 1 |
Christopher A. Kapcar | US | Hinsdale | 2015-09-24 / 20150269660 - Article Vending Machine And Method For Exchanging An Inoperable Article For An Operable Article | 4 |
Tadeusz Kapcia | PL | Lubaczow | 2011-01-27 / 20110017854 - GRINDER WITH DOUBLE FORCED MOVEMENT OF THE GRINDING MEDIUM | 1 |
Mark Joseph Kapczynski | US | Santa Monica | 2009-08-27 / 20090216639 - ADVERTISING SELECTION AND DISPLAY BASED ON ELECTRONIC PROFILE INFORMATION | 1 |
Darrell R. Kapczynski | US | Bishop | 2009-09-17 / 20090232845 - Newcastle disease virus monoclonal antibodies | 1 |
Jeffrey Kapec | US | Irvington | 2015-04-16 / 20150105785 - Applicator with Conformable Tip | 1 |
Jeffrey S. Kapec | US | Westport | 2012-05-24 / 20120126503 - MEDICAL CART | 6 |
Jeffrey Kapec | US | Westport | 2016-03-24 / 20160088164 - PUBLIC PAY SMART PHONE KIOSK | 7 |
Christian Mollin Outzen Kapel | DK | Rungsted Kyst | 2012-04-12 / 20120085286 - Composition Comprising Parasite Eggs and Methods for Isolation and Storage of Parasite Eggs | 4 |
Christian Moliin Outzen Kapel | DK | Rungstedt Kyst | 2011-01-13 / 20110008390 - Production of a Viable, Storable Worm Egg Suspension | 1 |
Christian Moliin Outzen Kapel | DK | Rungsted Kyst | 2013-10-31 / 20130287252 - Computer Vision Based Method for Extracting Features Relating to the Developmental Stages of Trichuris Spp. Eggs | 1 |
Zoran Kapelan | GB | Devon | 2011-08-04 / 20110191267 - Water Distribution Systems | 1 |
Matthew M. Kapelanczyk | US | Baltimore | 2015-11-12 / 20150321147 - STACKED TWO-DIMENSIONAL MATERIALS AND METHODS FOR PRODUCING STRUCTURES INCORPORATING SAME | 4 |
John A. Kapeles | US | Casper | 2015-02-19 / 20150047525 - Fuze for Stun Grenade | 7 |
John Kapeles | US | Casper | 2009-01-29 / 20090025597 - VARIABLE RANGE AMMUNITION CARTRIDGE FOR ELECTRICAL DISCHARGE WEAPON | 1 |
Vitaly Kapelevich | NZ | Meadowbank | 2015-01-29 / 20150031235 - SHORE POWER CORD SET | 3 |
Valeri Kapeliouchko | IT | Alessandria | 2012-11-08 / 20120283383 - Method for Manufacturing Fluoropolymers | 7 |
Rosana Kapellar-Libermann | US | Chestnut Hill | 2014-01-09 / 20140010799 - HUMAN DIACYLGLYCEROL ACYLTRANSFERASE 2 (DGAT2) FAMILY MEMBERS AND USES THEREFOR | 1 |
Kyle Thomas Kapeller | US | Mckenzie | 2012-11-08 / 20120283864 - AUTOMATED CAST COIL EVALUATION SYSTEM | 1 |
Rosana Kapeller-Libermann | US | Chestnut Hill | 2016-04-21 / 20160108089 - BIOLOGICALLY ACTIVE PEPTIDOMIMETIC MACROCYCLES | 30 |
Rosana Kapeller-Libermann | US | Chesnut Hill | 2012-05-10 / 20120115793 - PEPTIDOMIMETIC MACROCYCLES | 2 |
Rosanna Kapeller-Libermann | US | Chestnut Hill | 2014-10-30 / 20140323701 - BIOLOGICALLY ACTIVE PEPTIDOMIMETIC MACROCYCLES | 4 |
Rosana Kapeller-Llbermann | US | Chestnut Hill | 2010-08-26 / 20100216688 - PEPTIDOMIMETIC MACROCYCLES | 1 |
Jordan S. Kapelner | US | Long Beach | 2010-08-05 / 20100199007 - Direct Slave-to-Slave Data Transfer on a Master-Slave Bus | 1 |
Holger Kapels | DE | Holzkirchen | 2014-08-21 / 20140231969 - SEMICONDUCTOR DEVICE WITH A CHARGE CARRIER COMPENSATION STRUCTURE AND METHOD FOR THE PRODUCTION OF A SEMICONDUCTOR DEVICE | 10 |
Holger Kapels | DE | Hamburg-Bergedorf | 2011-05-12 / 20110109283 - SYSTEM AND METHOD FOR CONTROLLING A CONVERTER | 1 |
Holger Kapels | DE | Holzkirchen | 2014-08-21 / 20140231969 - SEMICONDUCTOR DEVICE WITH A CHARGE CARRIER COMPENSATION STRUCTURE AND METHOD FOR THE PRODUCTION OF A SEMICONDUCTOR DEVICE | 10 |
Georges Kapelski | FR | Vaulnaveys Le Haut | 2014-06-19 / 20140166228 - METHOD FOR REMOVING A PART MADE OF A MATERIAL HAVING A GLASS-TRANSITION TEMPERATURE FROM A MOLD, AND MOLDING MACHINE | 1 |
Rainer Kapelski | DE | Böel | 2009-08-13 / 20090199517 - DEVICE FOR INSERTING TWIST LOCK CONTAINER SAFETY FITTINGS | 1 |
Rainer Kapelski | DE | Böel | 2013-03-07 / 20130056093 - Fully Autonomous Lashing Platform | 4 |
Stephanie Kapelski | DE | Aachen | 2015-07-16 / 20150197562 - NOVEL ANTI-PLASMODIUM PARASITE ANTIBODIES | 1 |
Rainer Michael Jörg Kapelski | DE | Boel | 2012-07-05 / 20120167382 - Lashing Platform Having a Magazine for Twistlocks | 1 |
Aaron Kapelus | CA | Montreal | 2016-05-12 / 20160132116 - HAPTIC CONTROLLER | 7 |
Aaron Kapelus | US | Jamaica Plain | 2016-01-28 / 20160027263 - METHOD AND APPARATUS FOR ENABLING HEAVY FLOATING TOUCH SCREEN HAPTICS ASSEMBLIES | 1 |
Aaron Jonah Kapelus | US | Jamaica Plain | 2016-01-21 / 20160015925 - FACIAL INTERFACE AND HEADGEAR SYSTEM FOR USE WITH VENTILATION AND POSITIVE AIR PRESSURE SYSTEMS | 3 |
Joseph Kapelushnik | IL | Neve Ilan | 2014-06-19 / 20140166884 - DIAGNOSIS OF CANCER | 2 |
Gilad Kapelushnik | IL | Natania | 2015-11-05 / 20150319418 - DEVICES AND METHODS FOR GENERATING A 3D IMAGING DATASET OF AN OBJECT | 1 |
Nir Kapelushnik | IL | Raanana | 2010-08-12 / 20100203863 - METHOD OF ENABLING OPERATORS TO ALLOW THEIR CUSTOMERS TO CHOOSE BETWEEN CALLING-PARTY-PAYS AND RECEIVING-PARTY-PAYS ON INCOMING CALLS | 1 |
Joseph Kapelushnik | IL | Moshav Neve Ilan | 2013-06-06 / 20130143258 - DIAGNOSIS OF CANCER | 1 |
Valeriy Kapelyushko | IT | Alessandria | 2015-12-10 / 20150353654 - PROCESS FOR PRODUCING A THERMOPLASTIC POLYMER POWDER | 7 |
Simon S. Kapenda | US | Westerville | 2008-11-06 / 20080275716 - SYSTEMS AND METHODS FOR PROVIDING AN ONLINE RENTAL HISTORY SYSTEM | 1 |
Fiona Kaper | US | Solano Beach | 2014-09-18 / 20140272952 - MULTI-PRIMER AMPLIFICATION METHOD FOR BARCODING OF TARGET NUCLEIC ACIDS | 3 |
Thjs Kaper | US | Half Moon Bay | 2011-12-22 / 20110312064 - METHODS OF REMOVING OILY STAINS FROM FABRICS | 1 |
Joseph P. Kaper | US | Bermuda Run | 2009-10-15 / 20090255075 - GRILL TOOL, ASSOCIATED PAD, AND ASSOCIATED METHODS | 1 |
Thijs Kaper | US | Palo Alto | 2011-09-15 / 20110223657 - TRP/HIS EXCHANGE AND KYNURENIN INDUCED TRP TRANSPORT | 4 |
Fiona Kaper | US | San Mateo | 2010-10-28 / 20100273219 - MULTI-PRIMER AMPLIFICATION METHOD FOR BARCODING OF TARGET NUCLEIC ACIDS | 1 |
Valery S. Kaper | US | Winchester | 2016-05-05 / 20160126920 - OUTPUT MATCHING NETWORK HAVING A SINGLE COMBINED SERIES AND SHUNT CAPACITOR COMPONENT | 6 |
Paul Kaper | US | Bermuda Run | 2011-02-10 / 20110031267 - SYSTEM AND METHOD FOR FRAUD DETECTION AND SHUT-OFF AT A FUEL DISPENSER | 1 |
Frederik S. Kaper | US | Pennington | 2016-03-10 / 20160066607 - VEGETABLE BASED PRODUCTS AND USES THEREOF | 1 |
Thijs Kaper | US | Half Moon Bay | 2016-05-05 / 20160122735 - VARIANTS OF CELLOBIOHYDROLASES | 11 |
Terry J. Kaper | US | Delta | 2015-10-22 / 20150297965 - Pitching Screen Assembly | 1 |
Fiona Kaper | US | Encinitas | 2016-04-07 / 20160098518 - HLA TYPING USING SELECTIVE AMPLIFICATION AND SEQUENCING | 2 |
Thijs Kaper | US | Half Moon Bay | 2016-05-05 / 20160122735 - VARIANTS OF CELLOBIOHYDROLASES | 11 |
William Kaper | US | Slippery Rock | 2011-06-30 / 20110161108 - SYSTEMS AND METHODS FOR DETECTING DIVERSION IN DRUG DISPENSING | 1 |
Fiona Kaper | US | San Diego | 2015-12-31 / 20150376608 - LIBRARY PREPARATION OF TAGGED NUCLEIC ACID USING SINGLE TUBE ADD-ON PROTOCOL | 3 |
Thijs Kaper | US | Washington | 2012-02-02 / 20120028265 - METHODS OF USING RET NANOSENSORS | 1 |
Paal Kaperdal | US | Raleigh | 2016-05-05 / 20160125531 - System and Method for An Electronic Lending System | 5 |
Paal Kaperdal | CA | Mississauga | 2013-04-11 / 20130091052 - SYSTEMS AND METHODS FOR GENERATING NEW ACCOUNTS WITH A FINANCIAL INSTITUTION | 1 |
Michael Kaperst | US | Washington | 2013-12-19 / 20130334306 - UNITARY MEMBERSHIP CARD | 8 |
Kenneth Michael Kapes | US | Allentown | 2012-10-18 / 20120266170 - Virtual Machine Image Management System and Methods | 1 |
Peter M. Kapetanic | US | Morgan Hill | 2011-04-28 / 20110098014 - DYNAMIC SPUR AVOIDANCE FOR HIGH SPEED RECEIVERS | 2 |
Adnan Kapetanovic | US | Tukwila | 2014-07-10 / 20140194379 - METHODS FOR THE PRODUCTION OF CHITIN NANOFIBERS AND USES THEREOF | 2 |
Ernest Kapetanovic | DE | Dusseldorf | 2014-06-26 / 20140178910 - METHOD FOR ASCERTAINING THE ISCHEMIC LEVEL OF A PATIENT WITH SUSPECTED STROKE | 1 |
Amel Kapetanovic | CH | La Chaux-De-Fonds | 2013-05-16 / 20130121120 - TIMEPIECE COMPRISING A STRIKING MECHANISM | 1 |
Boris Kapfelsperger | DE | Igling | 2012-08-02 / 20120195239 - Signal Generator for a Transmitter or a Receiver, a Transmitter and a Receiver | 1 |
Thomas Kapfelsperger | DE | Muhldorf | 2014-04-17 / 20140103623 - INFLATOR FASTENING | 2 |
Thomas Kapfelsperger | DE | Muehldorf | 2010-10-28 / 20100269726 - GAS GENERATOR | 1 |
Marina Kapfer | FR | Castelnau-D'Etretefonds | 2013-04-18 / 20130095557 - IMMORTALIZED AVIAN CELL LINES | 1 |
Johannes Kapfer | DE | Marktoberdorf | 2008-10-30 / 20080264656 - Hydraulic Upper Link With Float Position | 1 |
Benoit Kapfer | FR | Brumath | 2008-12-04 / 20080300077 - Deflection Pulley for a Traction Means | 1 |
Marina Kapfer | DE | Schiltigheim | 2010-07-08 / 20100173378 - AVIAN TELOMERASE REVERSE TRANSCIPTASE | 1 |
Marina Kapfer | FR | Castelnau D'Estretefonds | 2011-01-13 / 20110008872 - Immortalized Avian Cell Lines | 1 |
Marina Kapfer | FR | Schiltigheim | 2013-09-19 / 20130244246 - IMMORTALIZED AVIAN CELL LINES COMPRISING E1A NUCLEIC ACID SEQUENCES | 5 |
Craig A. Kapfer | US | Maplewood | 2014-07-31 / 20140213694 - Flexible Pavement Markings | 2 |
Marina Kapfer | FR | Castelnau D' Estretefonds | 2012-09-20 / 20120238000 - IMMORTALIZED AVIAN CELL LINES | 1 |
Klaus Kapfer | DE | Leonberg | 2013-10-31 / 20130285276 - METHOD FOR STARTING UP A PROCESSING PLANT FOR PRODUCING PLASTICS MATERIAL GRANULATE AND PROCESSING PLANT FOR PRODUCING PLASTICS MATERIAL GRANULATE | 1 |
Tobias Kapferer | DE | Dusseldorf | 2015-04-09 / 20150099766 - Novel halogen-substituted compounds | 5 |
Tobias Kapferer | DE | Dusseldoft | 2011-07-28 / 20110184188 - Insecticidal Benzenedicarboxamide Derivative | 1 |
Tobias Kapferer | DE | Koeln | 2015-01-22 / 20150025253 - PESTICIDAL ARYLPYRROLIDINES | 1 |
Anna Kapferer | AT | Obsteig Tirol | 2016-03-17 / 20160078276 - GRAPHICAL USER INTERFACE FOR ANALYSIS OF RED BLOOD CELLS | 1 |
Peter Kapferer | CH | Visp | 2010-04-29 / 20100105929 - PROCESS FOR THE PREPARATION OF y-BUTYROLACTONES | 1 |
Tobias Kapferer | DE | Duesseldorf | 2014-02-13 / 20140046069 - PESTICIDAL ARYLPYRROLIDINES | 1 |
Tobias Kapferer | CH | Basel | 2014-12-18 / 20140371240 - NOVEL INSECTICIDAL AROMATIC AMIDES | 3 |
Anat Kaphan | IL | Halonim | 2008-09-25 / 20080234787 - Laser treatment procedure atlas | 1 |
Robert T. Kapheim | US | Elmhurst | 2014-07-24 / 20140206482 - RACQUET CONFIGURED WITH FEWER CROSS STRINGS THAN MAIN STRINGS | 10 |
Robert T. Kapheim | US | Elmhurst | 2014-07-24 / 20140206482 - RACQUET CONFIGURED WITH FEWER CROSS STRINGS THAN MAIN STRINGS | 10 |
Wieland Kaphingst | US | Lewiston | 2013-11-07 / 20130296757 - ORTHOSIS FOR MOVEMENT DAMPING | 1 |
Wieland Kaphingst | US | Edina | 2014-04-17 / 20140103687 - Apparatus and method for automatic adjustment of a support surface with interwoven support elements | 1 |
Wieland Kaphingst | US | Lewistown | 2012-03-15 / 20120065562 - ORTHOTIC WITH LIMITED MIGRATION | 1 |
Tomi Kapiainen | FI | Helsinki | 2011-06-23 / 20110151935 - APPARATUS COMPRISING A SLIDING DISPLAY PART | 2 |
Mbiya Kapiamba | US | Cromwell | 2015-06-11 / 20150157769 - MEDICAL DEVICES HAVING ACTIVATED SURFACES | 10 |
Celal Kapian | US | La Jolla | 2010-06-17 / 20100153161 - SYSTEM AND METHOD FOR WORKFORCE REQUIREMENTS MANAGEMENT | 1 |
Edis Kapic | US | Sterling Heights | 2013-10-10 / 20130266819 - METAL PRETREATMENT COMPOSITION CONTAINING ZIRCONIUM, COPPER, AND METAL CHELATING AGENTS AND RELATED COATINGS ON METAL SUBSTRATES | 6 |
Samra Kapic | HR | Zagreb | 2009-07-02 / 20090170791 - MACROLONES | 2 |
Lou Kapicak | US | South Charleston | 2014-10-02 / 20140295508 - METHODS FOR PREPARING 2,5-FURANDICARBOXYLIC ACID | 1 |
Louis A. Kapicak | US | Cross Lanes | 2014-10-23 / 20140316161 - PROCESS TO PREPARE LEVULINIC ACID | 7 |
Louis Anthony Kapicak | US | Cross Lanes | 2014-09-18 / 20140275613 - CONVERSION OF FREE FATTY ACIDS TO ETHYL ESTERS | 1 |
Melvin D. Kapicki | CA | Leduc | 2009-04-23 / 20090101571 - Drilling fluids settling tank | 1 |
Sanjiv Kapil | US | Cupertino | 2015-11-26 / 20150339233 - FACILITATING EFFICIENT PREFETCHING FOR SCATTER/GATHER OPERATIONS | 11 |
Manoj Kapil | US | St. Augustine | 2012-04-19 / 20120095913 - Overdraft Payment Balance Exception Processing | 2 |
Sanjiv Kapil | US | Cupertino | 2015-11-26 / 20150339233 - FACILITATING EFFICIENT PREFETCHING FOR SCATTER/GATHER OPERATIONS | 11 |
Anubhav Kapil | US | Schaumburg | 2014-09-18 / 20140271395 - PROCESS AND APPARATUS FOR RECOVERING HYDROPROCESSED HYDROCARBONS WITH SINGLE PRODUCT FRACTIONATION COLUMN | 2 |
Sanjay Kapil | US | Stillwater | 2016-05-05 / 20160120628 - FLUTTERING EAR TAG FOR BOVINE VACCINATION | 7 |
Deepika Kapil | US | Cupertino | 2012-06-21 / 20120159056 - POWER FILTER IN DATA TRANSLATION LOOK-ASIDE BUFFER BASED ON AN INPUT LINEAR ADDRESS | 1 |
Sanjay Kapil | US | Manhattan | 2009-04-16 / 20090098152 - Vaccines Containing Canine Parvovirus Genetic Variants | 2 |
Shubhender Kapila | US | Rolla | 2013-10-03 / 20130256113 - MODULAR PLANT FOR PERFORMING CONVERSION PROCESSES OF CARBONACEOUS MATRICES | 4 |
Ranjit Kapila | US | Austin | 2011-03-24 / 20110072520 - System And Method For Protecting Files Stored On An Electronic Device | 6 |
Mukesh Kapila | US | The Woodlands | 2015-07-16 / 20150197998 - PROCESS FOR RECOVERY OF OLEAGINOUS FLUIDS FROM WELLBORE FLUIDS | 14 |
Gaurav Kapila | US | Redmond | 2016-03-10 / 20160070908 - NEXT GENERATION OF SECURITY OPERATIONS SERVICE | 8 |
Vikram Kapila | US | West Orange | 2016-03-10 / 20160067136 - GAME-BASED SENSORIMOTOR REHABILITATOR | 2 |
Gaurav Kapila | US | Redmond | 2016-03-10 / 20160070908 - NEXT GENERATION OF SECURITY OPERATIONS SERVICE | 8 |
Dhanvi Harsha Kapila | US | Redmond | 2014-10-02 / 20140297868 - BURST CAPACITY FOR USER-DEFINED POOLS | 3 |
Dhanvi H. Kapila | US | Seattle | 2015-09-03 / 20150248723 - CROSS-DOMAIN COMMUNICATIONS BETWEEN BROWSER WINDOWS | 1 |
Pamela Kapila | GB | Reading | 2008-11-27 / 20080292943 - Polymer Dispersion and Electrocatalyst Ink | 1 |
Mukesh Kapila | US | The Woodlands | 2015-07-16 / 20150197998 - PROCESS FOR RECOVERY OF OLEAGINOUS FLUIDS FROM WELLBORE FLUIDS | 14 |
Saiprasad Kapila | US | Redmond | 2016-03-31 / 20160092951 - DETERMINING AUTHENTICITY OF INFORMATION EMBEDDED IN A RESOURCE IDENTIFIER | 6 |
Vagish Kapila | US | San Jose | 2009-09-17 / 20090234744 - SOFTWARE AND METHOD FOR PRODUCING ART AND ART OBJECTS, INCLUDING MULTIMEDIA OVER A CENTRALLY MANAGED COMMUNICATIONS NETWORK CONNECTING ART IDEA CREATORS AND ART IDEA IMPLEMENTERS/PRODUCERS IN A FORUM | 2 |
Boris Kapilevich | IL | Rishon Lezion | 2009-08-06 / 20090195435 - HAND-HELD DEVICE AND METHOD FOR DETECTING CONCEALED WEAPONS AND HIDDEN OBJECTS | 1 |
Matvey Kapilevich | US | New York | 2014-06-26 / 20140180804 - Tunable Algorithmic Segments | 3 |
Boris Kapilevich | IL | Rishon Le Zion | 2016-05-12 / 20160131756 - PASSIVE MILLIMETER-WAVE DETECTOR | 2 |
David A. Kapilow | US | Berkeley Heights | 2014-03-27 / 20140088957 - METHOD AND APPARATUS FOR PERFORMING PACKET LOSS OR FRAME ERASURE CONCEALMENT | 8 |
Robert James Kapinos | US | Durham | 2015-11-19 / 20150331534 - DETECTING INADVERTENT GESTURE CONTROLS | 10 |
Martin Kapinos | SK | Kosice | 2009-01-22 / 20090024518 - Automated system of supply and demand pairing | 1 |
Matthew Kapinos | US | Richmond | 2015-10-29 / 20150305572 - PROTECTIVE DEVICE FOR BATHTUB AND SHOWER PROTRUSIONS | 1 |
Robert James Kapinos | US | Durham | 2015-11-19 / 20150331534 - DETECTING INADVERTENT GESTURE CONTROLS | 10 |
John Kapitan | US | Rock Hill | 2010-01-21 / 20100016970 - SPINAL INTERBODY SPACERS | 1 |
Peter Kapitan | AT | Linz | 2015-12-24 / 20150368178 - PROCESS FOR THE MANUFACTURE OF ALKYLFLUOROACRYLATE | 1 |
Loginn Kapitan | US | Vienna | 2015-10-15 / 20150291269 - CARGO AIRSHIP | 1 |
John Kapitan | US | Waxhaw | 2015-04-30 / 20150119941 - SURGICAL CONNECTORS AND INSTRUMENTATION | 2 |
John M. Kapitan | US | Rock Hill | 2015-12-10 / 20150351817 - TETHER CLAMP AND IMPLANTATION SYSTEM | 3 |
Jordan Kapitanoff | US | Aurora | 2015-12-03 / 20150345595 - REVERSIBLE ROTATION GEARBOX AND APPLICATIONS THEREOF | 2 |
Olesya Kapitanova | KR | Seoul | 2012-08-16 / 20120205606 - Nonvolatile Memory Device Using The Resistive Switching of Graphene Oxide And The Fabrication Method Thereof | 1 |
Gábor Kapitany | HU | Budapest | 2011-12-01 / 20110292833 - PORT TABLE FLUSHING IN ETHERNET NETWORKS | 1 |
Gábor Kapitany | HU | Budapest | 2011-12-01 / 20110292833 - PORT TABLE FLUSHING IN ETHERNET NETWORKS | 1 |
Andras Kapitany | HU | Budapest | 2015-02-26 / 20150058200 - ARCHITECTURE OF SIMPLIFIED HARDWARE REQUIREMENTS FOR BANK CARD PAYMENT TRANSACTIONS IN A LARGE GROUP OF CLIENTS, TRANSACTION TERMINAL UNIT, EXTENDED FUNCTION SIM CARD, AND METHODS FOR INDIVIDUALISATION AND PERFORMING TRANSACTION | 1 |
Antonius Simons Johannes Kapiteijn | NL | Anna Palowna | 2015-01-22 / 20150026857 - Zantedeschia 'Captain Lovely' | 1 |
Antonius Simon Johannes Kapiteijn | NL | Anna Palowna | 2015-11-19 / 20150334909 - ZANTEDESCHIA PLANT NAMED 'CAPTAIN SOLO' | 2 |
Anthonius Simon Johannes Kapiteijn | NL | Anna Paulowna | 2010-09-02 / 20100223704 - ZANTEDESCHIA PLANT NAMED 'CAPTAIN AGUILA' | 2 |
Kosta Kapitoures | AU | Queensland | 2008-11-27 / 20080289501 - Emission Control Systems | 2 |
Marianna Z. Kapitskaya | US | North Wales | 2012-06-28 / 20120167239 - Novel ecdysone receptor/invertebrate retinoid X receptor-based inducible gene expression system | 2 |
Marianna Zinovievna Kapitskaya | US | North Wales | 2015-05-28 / 20150150152 - Chimeric Retinoid X Receptors and Their Use in a Novel Ecdysone Receptor-Based Inducible Gene Expression System | 3 |
Marianna Zinovjevna Kapitskaya | US | North Wales | 2012-12-20 / 20120322148 - Novel Ecdysone Receptor-Based Inducible Gene Expression System | 3 |
Arnold M. Kapitz | US | Manitowoc | 2011-03-10 / 20110056100 - BADGE FOR DISPLAYING MULTIPLE AND INTERCHANGEABLE PIECES OF INFORMATION | 3 |
Heinrich Kapitza | DE | Furth | 2010-09-30 / 20100249306 - HYDROPHOBIC SURFACE COATING FOR ELECTRONIC AND ELECTRO-TECHNICAL COMPONENTS AND USES THEREOF | 3 |
Heinrich Kapitza | DE | Hemhofen | 2011-12-08 / 20110299994 - Turbine Blade, Especially Rotor Blade for a Steam Engine, and Corresponding Method of Manufacture | 1 |
Harald Kapitza | DE | Ludwigsburg | 2014-12-18 / 20140368072 - WINDSCREEN WIPER MOTOR | 1 |
Jan Kapitza | DE | Grossefehn | 2016-03-10 / 20160069099 - METHOD AND DEVICE FOR ERECTING A TOWER FOR A WIND ENERGY PLANT | 3 |
Kevin R. Kapka | US | Whitehouse | 2013-11-14 / 20130300570 - Method and System of Gamma Pulse Telemetry | 1 |
Deirdre Kapka-Kitzman | US | Ankeny | 2014-08-07 / 20140223609 - PROTEIN MIXTURES FOR MAIZE INSECT CONTROL | 1 |
Gobind S. Kapkoti | IN | Bangalore | 2010-06-10 / 20100144772 - 2,2,2-TRI-SUBSTITUTED ACETAMIDE DERIVATIVES AS GLUCOKINASE ACTIVATORS, THEIR PROCESS AND PHARMACEUTICAL APPLICATION | 1 |
S. Gobind Kapkoti | IN | Maharashtra | 2010-11-18 / 20100292143 - PYRROLE-2-CARBOXAMIDE DERIVATIVES AS GLUCOKINASE ACTIVATORS, THEIR PROCESS AND PHARMACEUTICAL APPLICATION | 1 |
Gobind Singh Kapkoti | IN | Navi Mumbai | 2009-07-02 / 20090170790 - KETOLIDE DERIVATIVES AS ANTIBACTERIAL AGENTS | 4 |
Gobind Singh Kapkoti | IN | Uttaranchal | 2009-12-17 / 20090312344 - ARYLPIPERAZINE DERIVATIVES AS ADRENERGIC RECEPTOR ANTAGONISTS | 1 |
Gobind Sing Kapkoti | IN | Maharashtra | 2010-12-09 / 20100310493 - ACETAMIDE DERIVATIVES AS GLUCOKINASE ACTIVATORS, THEIR PROCESS AND MEDICINAL APPLICATION | 1 |
Grzegorz Kapkowski | PL | Grodzisk Mazowiecki | 2014-01-09 / 20140012852 - DATA PROCESSING | 3 |
Grzegorz Kapkowski | PL | Grodzisk Mazowieck | 2014-01-09 / 20140012818 - DATA PROCESSING | 1 |
John Paul Kapla | US | Marshfield | 2015-03-19 / 20150076028 - BAKERY TRAY | 2 |
Phillippe Kaplan | FR | Le Rouret | 2014-09-11 / 20140258900 - INTERACTOR FOR A GRAPHICAL OBJECT | 1 |
Aaron Kaplan | US | Norwich | 2015-04-30 / 20150119972 - SUPPORT FOR TREATING VASCULAR BIFURCATIONS | 7 |
Allen Kaplan | US | Miami Beach | 2016-02-18 / 20160045841 - NEW AND IMPROVED SYSTEM FOR PROCESSING VARIOUS CHEMICALS AND MATERIALS | 3 |
Christopher W. Kaplan | US | Los Angeles | 2016-02-04 / 20160031941 - TARGETING PEPTIDES THAT BIND S. MUTANS, CONSTRUCTS COMPRISING SUCH PEPTIDES AND USES THEREOF | 1 |
Vedat Kaplan | US | Fredrick | 2015-07-02 / 20150186832 - METHOD AND SYSTEM FOR FACILITATING LOCATION INTELLIGENCE IN ELECTRONIC TRANSACTIONS | 1 |
Amir Kaplan | IL | Hod-Hasharon | 2016-02-11 / 20160040974 - APPARATUS FOR OBJECT INFORMATION DETECTION AND METHODS OF USING SAME | 20 |
Desmond Allen Kaplan | US | Billerica | 2015-03-12 / 20150069228 - SELECTIVE ION MOBILITY SPECTROMETER FORMED FROM TWO CONSECUTIVE MASS SELECTIVE FILTERS | 8 |
Hadriel Kaplan | US | Amherst | 2012-08-30 / 20120219127 - Method and system for implementing aggregate endpoints on IMS networks | 1 |
Joel Kaplan | US | Philadelphia | 2012-09-13 / 20120232801 - METHOD AND SYSTEM FOR EVALUATING FEEDING PERFORMANCE OF INDIVIDUAL NEONATES | 3 |
Mark H. Kaplan | US | Ann Arbor | 2015-09-03 / 20150246067 - ANTIVIRAL TREATMENT OF LYMPHOMA AND CANCER | 4 |
Jason David Kaplan | US | Clarksville | 2014-05-15 / 20140136324 - INTERACTIVE COMMUNICATION RELATED TO USAGE DATA PROGRESSION DATA | 1 |
Jerry Kaplan | US | Salt Lake City | 2010-11-18 / 20100292147 - IDENTIFICATION OF THE HEPCIDIN BINDING SITE ON FERROPORTIN | 1 |
Diego Andres Kaplan | US | San Diego | 2015-07-02 / 20150185038 - Natural Navigational Guidance | 3 |
Eric J. Kaplan | US | Smyrna | 2011-07-21 / 20110174594 - Checkstand | 1 |
Valery Kaplan | IL | Rishon Lezion | 2015-03-12 / 20150068888 - METHODS AND APPARATUS OF ELECTROCHEMICAL PRODUCTION OF CARBON MONOXIDE, AND USES THEREOF | 1 |
Justin Kaplan | US | Philadelphia | 2010-10-21 / 20100267697 - ION CHANNEL MODULATORS | 1 |
Halit Kaplan | TR | Ankara | 2012-03-15 / 20120060621 - WIRELESS ENABLED FATIGUE SENSOR FOR STRUCTURAL HEALTH MONITORING | 1 |
Len Kaplan | KR | Hwaseong-Si | 2015-09-03 / 20150248181 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Edward J. Kaplan | US | Boca Raton | 2013-10-24 / 20130280168 - Flexible and/or Elastic Brachytherapy Seed or Sirand | 5 |
Valery Kaplan | US | 2011-05-05 / 20110100832 - METHODS AND APPARATUS OF ELECTROCHEMICAL PRODUCTION OF CARBON MONOXIDE, AND USES THEREOF | 1 | |
Zachary Kaplan | US | Bronx | 2015-11-05 / 20150313254 - BAKED GOODS-LIKE TEXTURE WITHOUT BAKING | 2 |
Jessica A. Kaplan | US | New York | 2012-10-25 / 20120271689 - SYSTEM AND METHOD FOR DETERMINING AND AFFECTING A CHANGE IN CONSUMER BEHAVIOR | 12 |
Fulya Kaplan | US | Boston | 2016-03-17 / 20160077871 - PREDICTIVE MANAGEMENT OF HETEROGENEOUS PROCESSING SYSTEMS | 1 |
Edward J. Kaplan | US | Boca Ratan | 2015-08-27 / 20150238661 - FLEXIBLE AND/OR ELASTIC BRACHYTHERAPY SEED OR STRAND | 1 |
Stacey Kaplan | US | New Brunswick | 2014-07-17 / 20140199354 - Visually Patterned and Oriented Compositions | 2 |
Gilla Kaplan | US | New York | 2016-04-28 / 20160113916 - PHARMACEUTICAL INTERVENTION AND METHOD FOR TREATING AN APRAXIA OF SPEECH IN CHILDREN | 9 |
Matthew Kaplan | US | Greenacres | 2012-11-29 / 20120302361 - Racking frame kit | 1 |
Julia Kaplan | FR | Pau | 2014-08-07 / 20140216823 - NON-CYLINDRICAL NOZZLE SOCKET FOR DRILL BITS | 1 |
Aaron V. Kaplan | US | Los Altos | 2015-06-11 / 20150157330 - METHODS AND APPARATUS FOR TRANSPERICARDIAL LEFT ATRIAL APPENDAGE CLOSURE | 3 |
Joel Kaplan | US | Katonah | 2012-08-30 / 20120216349 - SWADDLE BLANKET WITH MATTRESS ATTACHMENT DEVICE | 1 |
Seth Kaplan | US | Los Angeles | 2015-08-13 / 20150227622 - SYSTEMS AND METHODS TO FACILITATE MEDIA SEARCH | 3 |
Jonah A. Kaplan | US | Newton | 2014-06-05 / 20140155577 - SELF-ASSEMBLY OF THERAPEUTIC AGENT-PEPTIDE NANOSTRUCTURES | 1 |
Gary S. Kaplan | US | San Francisco | 2013-01-24 / 20130023873 - CONTROL METHODS AND DEVICES FOR ENERGY DELIVERY | 3 |
Wayne D. Kaplan | IL | Kiryat Tivon | 2011-03-03 / 20110053760 - WATER-BASED METHODS FOR PRODUCING HIGH GREEN DENSITY AND TRANSPARENT ALUMINUM OXYNITRIDE (ALON) | 2 |
Jeffrey Kaplan | US | Chicago | 2009-07-30 / 20090193039 - DATA DRIVEN SYSTEM FOR DATA ANALYSIS AND DATA MINING | 1 |
Richard F. Kaplan | US | Euclid | 2011-07-21 / 20110175722 - WIRELESS DEVICE INVENTORY SCANNER | 1 |
Gregg Kaplan | US | Wilmette | 2014-03-20 / 20140081450 - SYSTEM AND METHOD FOR COMMUNICATING VENDING INFORMATION | 4 |
Dominique Kaplan | FR | Maisons Lafitte | 2008-12-11 / 20080302450 - Object Comprising a Steel Part of Metal Construction Consisting of an Area Welded by a High Power Density Beam and Exhibiting an Excellent Toughness in a Molten Area, Method for Producing Said Object | 1 |
Hadriel Kaplan | US | Nashua | 2016-03-31 / 20160094444 - Network Packet Flow Controller | 2 |
Daniel Kaplan | FR | Paris | 2015-01-29 / 20150028214 - RAPID OPTICAL DELAY SCANNING METHOD AND APPARATUS USING TIME DEPENDENCE OF ACOUSTO-OPTIC DIFFRACTION | 4 |
David B. Kaplan | US | Vinton | 2014-09-18 / 20140267389 - Night Vision Display Overlaid with Sensor Data | 3 |
David Kaplan | US | Media | 2014-10-30 / 20140322216 - GLYPICAN-3-SPECIFIC ANTIBODY AND USES THEREOF | 2 |
Levi Kaplan | US | Marietta | 2014-06-19 / 20140168946 - Portable Apparatus And Method For Producing A Simulated Flame Effect | 1 |
Michael Kaplan | CA | Bowen Island | 2014-06-26 / 20140181207 - POPULATING ONLINE FORUMS | 1 |
Leonid Kaplan | US | Farmington | 2010-09-23 / 20100241059 - METHOD AND PORTABLE DEVICE FOR TREATING SKIN DISORDERS | 2 |
Aaron V. Kaplan | US | Norwich | 2015-10-29 / 20150305944 - MECHANICALLY REGISTERED VIDEOSCOPIC MYRINGOTOMY/TYMPANOSTOMY TUBE PLACEMENT SYSTEM | 5 |
Joshua Kaplan | US | Seattle | 2014-12-04 / 20140359488 - Centralized Management of Link Data for Multiple Applications, Computers and Resources, through Operating Systems and Networked Storage Services | 2 |
Menahem Kaplan | IL | Tel Aviv-Yafo | 2014-08-07 / 20140218549 - REMOTELY CONTROLLED CROWD-SOURCED MEDIA CAPTURE | 1 |
Jack Kaplan | US | Princeton | 2014-06-26 / 20140178844 - AUTOMATED SYSTEMS, METHODS, AND APPARATUS FOR BREATH TRAINING | 1 |
Mervyn Kaplan | IL | Givatayim | 2015-03-19 / 20150081425 - MULTIPLE-ENTITY TEMPORAL BUDGET OPTIMIZATION IN ONLINE ADVERTISING | 2 |
Menahem Kaplan | IL | Tel-Aviv | 2015-12-10 / 20150355024 - SPECTROMETRY SYSTEM WITH DECREASED LIGHT PATH | 4 |
Aaron N. Kaplan | FR | Grenoble | 2009-11-26 / 20090292700 - SYSTEM AND METHOD FOR SEMI-AUTOMATIC CREATION AND MAINTENANCE OF QUERY EXPANSION RULES | 3 |
Frederic Kaplan | FR | Paris | 2010-02-18 / 20100042371 - EVENT-DETECTION IN MULTI-CHANNEL SENSOR-SIGNAL STREAMS | 3 |
Howard Kaplan | US | Atlanta | 2015-09-24 / 20150267349 - Method of Increasing Enzyme Stability and Activity for Pulp and Paper Production | 2 |
Kenneth B. Kaplan | US | West Des Moines | / - | 1 |
Marshall Kaplan | US | West Hartford | 2014-09-25 / 20140288978 - COMPUTER SYSTEM AND METHOD FOR PROCESSING ACCOUNT DATA | 3 |
Zeev Kaplan | IL | Karmiel | 2015-08-06 / 20150222457 - SYSTEM AND METHOD FOR TREE-SEARCH ENHANCEMENT BY METRIC PREDICTION BASED ON INCOMPLETE PATHS IN SOFT OUTPUT MIMO DECODER | 3 |
Keith Kaplan | US | Bothell | 2016-05-12 / 20160134685 - CONTENT DELIVERY METHODS AND SYSTEMS | 4 |
Dmitry Kaplan | US | Bellevue | 2014-02-13 / 20140045530 - Inferring Relationships Based On Geo-Temporal Data Other Than Telecommunications | 3 |
Yariv Kaplan | US | Austin | 2009-08-13 / 20090204980 - Method for implementing ejection-safe API interception | 1 |
Gary S. Kaplan | US | Mountain View | 2016-04-28 / 20160113703 - METHODS FOR TREATING AIRWAYS | 12 |
Gregory A. Kaplan | US | Seattle | 2013-05-23 / 20130127872 - Dynamic Augmentation of Extensible Font Subsets | 3 |
Eric Kaplan | US | Lilburn | 2008-12-11 / 20080302607 - CHECK STAND WITH A TWO BELTED INPUT AND A SLIDABLE SCANNER | 1 |
Laurence S. Kaplan | US | Shoreline | 2015-06-25 / 20150180780 - CONGESTION ABATEMENT IN A NETWORK INTERCONNECT | 6 |
Chris Kaplan | US | Los Angeles | 2014-11-27 / 20140349917 - TARGETED ANTIMICROBIAL MOIETIES | 11 |
Jennifer Kaplan | US | Northbrook | 2015-12-24 / 20150367385 - Mechanical Cleaner | 1 |
Lee M. Kaplan | US | Wellesley | 2016-04-28 / 20160113971 - Compositions of Microbiota and Methods Related Thereto | 10 |
Joshua Kaplan | US | San Francisco | 2016-04-28 / 20160119431 - COMMUNICATION PROTOCOLS FOR AN ONLINE CONTENT MANAGEMENT SYSTEM | 2 |
Steven H. Kaplan | US | Seattle | 2012-06-28 / 20120166244 - NOT-STARTED CUSTOMER RELATIONSHIP MANAGEMENT (CRM) DIALOGS | 1 |
Arkady Kaplan | US | Rockville | 2010-11-18 / 20100290734 - OPTICAL SENSOR FOR DETECTING AND LOCALIZING EVENTS | 7 |
Jeremy Kaplan | US | Woodstock | 2013-07-25 / 20130191355 - System, Method and Apparatus for Enterprise Policy Management | 2 |
George Kaplan | US | Colora | 2009-07-09 / 20090177398 - Angles only navigation system | 1 |
Shay Kaplan | IL | Givat Elah | 2015-08-13 / 20150230034 - HEARING AID DEVICE | 1 |
Shai Kaplan | IL | Rehovot | 2015-09-10 / 20150252362 - PROGRAMMABLE ITERATED ELONGATION: A METHOD FOR MANUFACTURING SYNTHETIC GENES AND COMBINATORIAL DNA AND PROTEIN LIBRARIES | 3 |
Noam Kaplan | IL | Jerusalem | 2009-12-10 / 20090305970 - Toxin-Like Polypeptides, Polynucleotides Encoding Same and Uses Thereof | 1 |
David S. Kaplan | IL | Ra'Anana | 2009-09-24 / 20090237214 - PROPINQUITY DETECTION BY PORTABLE DEVICES | 1 |
Ori Kaplan | IL | Haifa | 2015-04-02 / 20150094042 - AUTOMATED CALLBACK REMINDER | 2 |
Elon Kaplan | IL | Ramat Hasharon | 2014-12-04 / 20140359780 - ANTI-CYBER ATTACKS CONTROL VECTORS | 3 |
Fred Kaplan | US | Bannockburn | 2016-04-28 / 20160113721 - METHOD AND APPARATUS FOR IDENTIFYING AND TRACKING BIOLOGICAL FLUIDS USING RFID | 1 |
Elan Kaplan | US | San Diego | 2015-03-19 / 20150076228 - SYSTEMS AND METHODS FOR REMAKING BALLOTS | 5 |
Jennifer Kaplan | US | Brooklyn | 2011-11-03 / 20110265243 - Tethering an Unused Glove or Mitten to a Wearer Method and Device | 1 |
Frederick David Kaplan | US | Dix Hills | 2011-11-03 / 20110265353 - Plow shovel | 1 |
Jirka Kaplan | CA | Calgary | 2010-05-13 / 20100116254 - Roots type gear compressor with helical lobes having communication with discharge port | 2 |
Roland Kaplan | DE | Heidelberg | 2011-11-10 / 20110273688 - METHOD AND DEVICE FOR IMAGING A RADIATION-SENSITIVE SUBSTRATE | 3 |
Charlotte Kaplan | US | Wilmette | 2011-05-19 / 20110115221 - DECORATIVE KNOT SEQUENCE AND METHOD FOR ITS FORMATION | 1 |
Gregory Alan Kaplan | US | Seattle | 2015-12-24 / 20150370832 - SYSTEM AND METHOD FOR CREATING CUSTOM COMPOSITE IMAGES FROM LAYERED IMAGES IN A CLIENT-SERVER ENVIRONMENT | 2 |
Michael A. Kaplan | US | Lafayette | 2011-09-29 / 20110234407 - HAND HYGIENE COMPLIANCE DEVICE | 1 |
Judah Kaplan | US | New York | 2013-10-10 / 20130268329 - Electronic Trading System and Method for Marketing Products and Services | 3 |
Glen Kaplan | US | Daytona Beach | 2009-08-20 / 20090208898 - Fluid jet bristle aggitation toothbrush fixture | 1 |
Eliahu Kaplan | IL | Ramat-Hasharon | 2010-03-18 / 20100069454 - Novel compounds for use in the treatment of autoimmune diseases, immuno-allergical diseases and organ or tissue transplantation rejection | 2 |
Horst Kaplan | DE | Oerlingen | 2010-12-02 / 20100300924 - BLISTER BELT FOR RECEIVING MEDICAL AND/OR PHARMACEUTICAL AND/OR FOOD SUPPLEMENT PRODUCTS | 1 |
Tomas Kaplan | US | Broomfield | 2011-03-03 / 20110053931 - QUINOLINE COMPOUNDS AND METHODS OF USE | 2 |
Uri Kaplan | IL | Moshav Galia | 2010-03-25 / 20100071368 - Multi-level organic rankine cycle power system | 1 |
Andreas Kaplan | CH | Chur | 2015-05-07 / 20150126701 - METHOD FOR THE PRODUCTION OF POLYSULFONES, AND POLYSULFONES | 7 |
Andrey Kaplan | GB | Birmingham | 2015-10-22 / 20150301361 - Optical Absorber | 1 |
Aaron Kaplan | US | Evanston | 2013-01-24 / 20130020137 - Hall Cell Load Measuring Device for Lift Trucks | 1 |
Boris Kaplan | DE | Munich | 2016-03-17 / 20160078366 - Computer system of an artificial intelligence of a cyborg or an android, wherein a received signal-reaction of the computer system of the artificial intelligence of the cyborg or the android, a corresponding association of the computer system of the artificial intelligence of the cyborg or the android, a corresponding thought of the computer system of the artificial intelligence of the cyborg or the android are physically built, and a working method of the computer system of the artificial intelligence of the artificial intelligence of the cyborg or the android | 4 |
David R. Kaplan | CA | Toronto | 2010-04-29 / 20100105574 - CANCER STEM CELLS AND USES THEREOF | 2 |
David Kaplan | US | Concord | 2015-10-29 / 20150307728 - BIOPOLYMER-BASED INKS AND USE THEREOF | 15 |
David A. Kaplan | US | Austin | 2016-03-24 / 20160085976 - METHOD FOR PRIVILEGED MODE BASED SECURE INPUT MECHANISM | 27 |
Anett Kaplan | DE | Duesseldorf | 2013-03-28 / 20130074863 - BLEACHING FOILS | 1 |
Frederic Kaplan | CH | Geneve | 2009-08-20 / 20090208052 - INTERACTIVE DEVICE AND METHOD FOR TRANSMITTING COMMANDS FROM A USER | 1 |
Cem Kaplan | TR | Istanbul | 2013-09-19 / 20130240638 - ENHANCED MICRO PARTICLES DRUG AND ODOR DIFFUSION APPARATUS | 1 |
Martin Kaplan | US | Lansdale | 2014-04-24 / 20140111535 - LIGHT BOX EFFECT FOR VIEWING DIGITAL RADIOGRAPHIC IMAGES | 1 |
Matthew Kaplan | US | Seattle | 2016-02-18 / 20160048204 - GAZE SWIPE SELECTION | 4 |
Howard Jay Kaplan | US | Armonk | 2015-12-03 / 20150348300 - SYSTEM AND METHODS FOR IMPROVING THE READABILITY OF CONTENT | 1 |
Leonard L. Kaplan | US | East Brunswick | 2015-02-26 / 20150057362 - Effective Sensitizing Dose of a Gelled Immunomodulating Topical Composition | 2 |
Marc A. Kaplan | US | Bethel | 2015-12-31 / 20150379032 - AUGMENTED DIRECTORY HASH FOR EFFICIENT FILE SYSTEM OPERATIONS AND DATA MANAGEMENT | 3 |
David E. Kaplan | US | Media | 2013-08-22 / 20130217122 - Expansion of Interferon-Gamma-Producing T-Cells Using Glypican-3 Peptide Library | 1 |
Anders Kaplan | SE | Uppsala | 2015-05-14 / 20150130740 - SYSTEM FOR GAZE INTERACTION | 3 |
Aleksandr Yakovlevich Kaplan | RU | Moscow | 2009-07-23 / 20090187235 - Device for physiotherapeutic treatment of diseases of various etiology | 1 |
David Michael Kaplan | US | Cromwell | 2013-01-03 / 20130004930 - Learner Interaction Monitoring System | 1 |
Uria Kaplan | IL | Ein Hahoresh | 2014-10-16 / 20140304883 - Multispectral Camouflage Material | 1 |
Scott D. Kaplan | US | Bridgewater | 2014-11-06 / 20140330590 - Asset-Based Adjustment of Premium Amounts for the Generation of an Annuity Based on a Pension Plan | 1 |
Sidney J. Kaplan | US | 2013-01-03 / 20130006570 - UNBOUND OSCILLOSCOPE PROBE SYSTEMS-USING RF AND OR OPTICAL TEST POINT LINKS - PROVIDES OPERATIONAL ACCESS AND MOBILITY | 1 | |
Feige Kaplan | CA | Hampstead | 2009-04-23 / 20090104606 - LATE GESTATION LUNG GENES, FRAGMENTS AND USES THEREOF | 1 |
Anett (nee Salzer) Kaplan | DE | Dusseldorf | 2008-11-06 / 20080274071 - POWDERY STYLING AGENTS AND THE DISPENSER SYSTEMS THEREOF | 1 |
Yossi Kaplan | IL | Rishon Lezion | 2009-07-23 / 20090186610 - METHOD FOR DETECTING EVENTS ON CELLULAR COMM. NETWORK | 1 |
Howard Kaplan | US | Armonk | 2012-01-05 / 20120001932 - SYSTEMS AND METHODS FOR ASSISTING VISUALLY-IMPAIRED USERS TO VIEW VISUAL CONTENT | 1 |
Josh Kaplan | US | San Francisco | 2016-04-21 / 20160112426 - PRE-AUTHORIZING A CLIENT APPLICATION TO ACCESS A USER ACCOUNT ON A CONTENT MANAGEMENT SYSTEM | 3 |
Jonathan Kaplan | US | San Antonio | 2013-11-21 / 20130309019 - Method and apparatus for a modular underwater weighting system | 1 |
Robert E. Kaplan | US | Buffalo | 2011-07-21 / 20110178572 - PROGRAMMABLE ELECTRICAL STIMULATION OF THE FOOT MUSCLES | 1 |
Benjamin I. Kaplan | FR | Sandaucourt | 2011-10-20 / 20110253283 - SIDEWALL SHEAR DECOUPLING LAYER | 1 |
Allen P. Kaplan | US | Charleston | 2015-12-17 / 20150362492 - EVALUATION, ASSAYS AND TREATMENT OF PKAL-MEDIATED DISORDERS | 1 |
Benjamin I. Kaplan | US | Greer | 2011-10-20 / 20110253282 - SIDEWALL SHEAR DECOUPLING LAYER | 1 |
Jonah Kaplan | US | Newton | 2015-10-22 / 20150297316 - TISSUE MARKERS AND USES THEREOF | 1 |
Yevgeny Kaplan | IL | Beer Sheva | 2015-09-10 / 20150254384 - Virtual Critical Path (VCP) System and Associated Methods | 1 |
Eric Kaplan | US | Smyrba | 2011-10-20 / 20110253778 - Self Checkout Stands | 1 |
Brian Kaplan | US | Owings Mills | 2015-08-06 / 20150220887 - MOBILE SCHEDULING APPLICATION AND SYSTEM | 1 |
Harvey Kaplan | CA | Thornhill | 2012-03-29 / 20120077965 - NON-AQUEOUS SYNTHESIS OF POLYSACCHARIDE-PROTEIN CONJUGATES FOR VACCINES | 1 |
Allan S. Kaplan | US | Boca Raton | 2012-08-09 / 20120201883 - ANTIVIRAL COMPOSITONS | 13 |
Alan Edward Kaplan | US | Morris Township | 2008-10-02 / 20080239069 - Encapsulated self-balancing remote video camera system | 3 |
Mark Kaplan | US | Fair Lawn | 2009-04-23 / 20090100869 - Jewelry with Interchangeable Settings and Attachable Charms and Methods for Their Use | 1 |
Gideon Kaplan | IL | Kiryat Ono | 2015-06-11 / 20150161423 - WIDE-AREA DYNAMIC RFID SYSTEM USING UWB | 9 |
Alan Stuart Kaplan | US | Short Hills | 2014-09-11 / 20140257953 - METHOD AND APPARATUS TO ANONYMOUSLY COMMUNICATE ENCRYPTED CONTENT BETWEEN MOBILE DEVICES IN PROXIMITY AND IN EXPANDED USER COMMUNITIES IN A CONTAGIOUS, VIRAL MANNER | 3 |
Haim Kaplan | IL | Hod Hasharon | 2014-08-21 / 20140236966 - METHODS AND SYSTEMS TO ESTIMATE QUERY RESPONSES BASED ON DATA SET SKETCHES | 7 |
Ross B. Kaplan | US | Sharon | 2014-01-02 / 20140003463 - NON-CONTACT THERMOMETER | 1 |
Gerardo Kaplan | US | Bethesda | 2010-03-04 / 20100055670 - GROWTH OF WILD-TYPE HEPATITIS A VIRUS IN CELL CULTURE | 1 |
Andrew Kaplan | US | River Edge | 2010-02-25 / 20100050182 - PARALLEL PROCESSING SYSTEM | 1 |
Ilan Kaplan | US | North Bethesda | 2015-10-29 / 20150311587 - Antenna System | 5 |
Peter Daniel Kaplan | US | Montclair | 2010-03-18 / 20100069277 - Shaped toilet bars | 1 |
David L. Kaplan | US | Seattle | 2015-07-23 / 20150208331 - CONNECTION LOCATOR IN A POWER AGGREGATION SYSTEM FOR DISTRIBUTED ELECTRIC RESOURCES | 12 |
Mark Robert Kaplan | US | Mount Hood Parkdale | 2011-02-24 / 20110046761 - Recorded Media Enhancement Method | 1 |
Jack M. Kaplan | US | Princeton | 2011-10-27 / 20110262250 - AUTOMATED ROBOTIC SYSTEM FOR HANDLING SURGICAL INSTRUMENTS | 2 |
Jeffrey Kaplan | US | Monsey | 2011-04-14 / 20110086101 - Dispersinb, 5-Fluorouracil, Deoxyribonuclease I and Proteinase K-Based Antibiofilm Compositions and Uses Thereof | 1 |
Ilan Kaplan | US | Rockville | 2010-08-26 / 20100218224 - System and Method for Low Cost Mobile TV | 1 |
A. Morris Kaplan | US | Rockville | 2011-04-14 / 20110084493 - Device and method for harvesting energy from flow-induced oscillations | 1 |
Shai Kaplan | IL | Rechovot | 2009-11-26 / 20090292482 - Methods and Systems for Generating Cell Lineage Tree of Multiple Cell Samples | 1 |
David A. Kaplan | US | Austin | 2016-03-24 / 20160085976 - METHOD FOR PRIVILEGED MODE BASED SECURE INPUT MECHANISM | 27 |
Ergin Kaplan | TR | Istanbul | 2012-05-03 / 20120104909 - Household Appliance | 2 |
David I. Kaplan | AU | North Caulfield | 2014-12-04 / 20140358513 - AUTOMATED CONSTRUCTION OF ION-CHANNEL MODELS IN A MULTI-COMPARMENT MODELS | 2 |
Eric J. Kaplan | US | Morristown | 2009-12-03 / 20090300032 - System, Method, and Computer Program Product for Modeling Changes to Large Scale Datasets | 1 |
Jeffrey B. Kaplan | US | Monsey | 2014-06-19 / 20140170131 - Compositions and Methods for the Treatment and Prevention of Infections Caused by Staphylococcus aureus Bacteria | 7 |
Jay Kaplan | US | Redwood City | 2015-12-31 / 20150381650 - COMPUTER SYSTEM FOR DISTRIBUTED DISCOVERY OF VULNERABILITIES IN APPLICATIONS | 1 |
Keith Stuart Kaplan | US | Bothell | 2015-12-31 / 20150381818 - CATCHING UP WITH AN ONGOING CONFERENCE CALL | 3 |
Eric J. Kaplan | US | Allendale | 2013-12-19 / 20130339394 - System, Method, and Computer Program Product for Modeling Changes to Large Scale Datasets | 5 |
Gary S. Kaplan | US | Mountain View | 2016-04-28 / 20160113703 - METHODS FOR TREATING AIRWAYS | 12 |
Richard Kaplan | US | Pacoima | 2014-01-23 / 20140025179 - BRITTLE BIOCOMPATIBLE COMPOSITES AND METHODS | 1 |
Joshua Aaron Kaplan | US | Seattle | 2013-01-17 / 20130018050 - BI-FUNCTIONAL PYRAZOLOPYRIDINE COMPOUNDS | 5 |
Fatma Kaplan | US | Gainesville | 2014-12-11 / 20140364386 - Small Molecule Compounds That Control Plant- and Insect-Pathogenic Nematodes | 1 |
Stacey Kaplan | US | East Brunswick | 2010-05-13 / 20100119562 - Structured Personal Care Compositions Comprising A Clay | 1 |
Alan Kaplan | US | San Diego | 2016-03-17 / 20160074377 - SUBSTITUTED NAPHTHYRIDINE AND QUINOLINE COMPOUNDS AS MAO INHIBITORS | 7 |
Valery Kaplan | IL | Rehovot | 2014-06-05 / 20140154158 - APPARATUS AND METHOD FOR REMOVING SULFER DIOXIDE FROM FLUE GASES | 1 |
Bryan D. Kaplan | US | Mountain View | 2014-09-18 / 20140279838 - Scalable Analysis Platform For Semi-Structured Data | 2 |
Eliahu Kaplan | IL | Petah Tiqwa | 2015-03-19 / 20150080473 - N-Substituted Benzenepropanamide or Benzenepropenamide Derivatives for use in the Treatment of Pain and Inflammation | 7 |
Len Kaplan | KR | Yongin-Si | 2013-03-07 / 20130057814 - Alignment Layer for Display Device, Liquid Crystal Display Device Including the Same and Method and Apparatus for Treating the Same | 1 |
Julia Kaplan | US | Redwood City | 2014-11-27 / 20140351078 - Systems and Methods for Recommending Products | 2 |
Eliahu Kaplan | IL | Petach Tiqwa | 2014-09-18 / 20140275270 - Isolated Stereoisomeric Forms Of (S)2-N(3-O-(Propan 2-Ol)-1-Propyl-4-Hydroxybenzene)-3-Phenylpropylamide | 1 |
Uri Kaplan | IL | Haifa | 2014-06-05 / 20140154658 - EDUCATIONAL APPLICATION GENERATOR AND EDUCATIONAL READER | 1 |
Yuval Kaplan | IL | Tel Aviv | 2009-12-10 / 20090307344 - WEB PAGE RANKING METHOD AND SYSTEM BASED ON USER REFERRALS | 1 |
Mark Mitchell Kaplan | US | New York | 2013-08-15 / 20130211894 - System and Method for Managing Promotional Savings Using a Communications Platform | 5 |
Giora Kaplan | IL | Tel Aviv | 2014-08-21 / 20140237429 - SYSTEM FOR SUPPORTING FLEXIBLE COLOR ASSIGNMENT IN COMPLEX DOCUMENTS | 2 |
Philippe Kaplan | FR | Le Rouret | 2016-04-28 / 20160117494 - KEYBOARD NEUTRAL AUTHENTICATION | 5 |
Gregory Kaplan | US | Richboro | 2015-10-22 / 20150299100 - SULFIDE SCAVENGERS, METHODS FOR MAKING AND METHODS FOR USING | 5 |
Mark Kaplan | IL | Modiin | 2011-12-15 / 20110307956 - SYSTEM AND METHOD FOR ANALYZING MALICIOUS CODE USING A STATIC ANALYZER | 4 |
Edward Kaplan | US | Boca Raton | 2011-04-21 / 20110093412 - SYSTEM AND METHOD FOR PROMOTING CHARITABLE CONTRIBUTIONS | 1 |
Ari David Kaplan | US | Chicago | 2009-06-11 / 20090150410 - Method and System for Wireless Database Management | 1 |
George Kaplan | US | Inverness | 2009-03-19 / 20090073385 - ANOMALOSCOPE | 1 |
Arthur Lewis Kaplan | US | Beachwood | 2012-05-24 / 20120126988 - Ionizing radiation detector | 1 |
Jeremy M. Kaplan | US | Mclean | 2014-12-18 / 20140372354 - NEURITE SYSTEMS | 1 |
Len Kaplan | KR | Yongin-City | 2015-09-17 / 20150263080 - ORGANIC LIGHT-EMITTING DISPLAY DEVICE | 6 |
Avi Kaplan | IL | Kiryat-Ata | 2011-10-13 / 20110252201 - SMART FLUSHING OF DATA TO BACKUP STORAGE | 1 |
Wayne David Kaplan | IL | Kiryat Tivon | 2014-11-27 / 20140349832 - CERAMIC NANOCOMPOSITE MATERIAL AND METHOD OF MANUFACTURING THEREOF | 2 |
Jonathan Kaplan | US | San Francisco | 2016-02-04 / 20160029850 - CATERING BOX WITH ACTIVE CLIMATE CONTROL FOR TRANSPORTING DELICATE FOOD ITEMS | 32 |
Menachem Kaplan | IL | Tel Aviv | 2015-05-07 / 20150124615 - CONGESTION AVOIDANCE AND FAIRNESS IN DATA NETWORKS WITH MULTIPLE TRAFFIC SOURCES | 2 |
Evan Kaplan | US | Redwood City | 2014-07-03 / 20140188676 - AUTOMATED CONFIGURATION FOR NETWORK APPLIANCES | 1 |
Sharon Kaplan | US | Voorhees | 2015-04-02 / 20150090289 - HAIR ORNAMENTATION SYSTEM AND METHODS OF USE | 1 |
Lori E. Kaplan | US | Menlo Park | 2014-07-24 / 20140207584 - METHOD, APPARATUS, AND COMPUTER READABLE MEDIUM FOR PROVIDING A SELF-SERVICE INTERFACE | 1 |
Matthew G. Kaplan | US | Seattle | 2015-04-30 / 20150116354 - MIXED REALITY SPOTLIGHT | 2 |
Alan Edward Kaplan | US | Morristown | 2012-02-09 / 20120033033 - Vehicle Video Control System | 1 |
Lawrence M. Kaplan | US | Northbrook | 2012-05-31 / 20120135745 - METHOD AND SYSTEM FOR REPORTING ERRORS IN A GEOGRAPHIC DATABASE | 6 |
Joshua Kaplan | US | Foster City | 2016-02-11 / 20160039793 - PHOSPHATIDYLINOSITOL 3-KINASE INHIBITORS | 6 |
Alan P. Kaplan | US | San Diego | 2015-03-26 / 20150087645 - THERAPEUTIC PIPERAZINES | 14 |
Gil Kaplan | IL | Rishon-Lezion | 2015-11-05 / 20150317756 - SYSTEMS AND METHODS FOR ANALYSIS OF BEVERAGE DISPENSING DATA | 1 |
Paula M. Kaplan | US | St. Paul | 2011-08-18 / 20110202106 - OBSTRUCTIVE SLEEP APNEA TREATMENT DEVICES, SYSTEMS AND METHODS | 4 |
Mark Kaplan | US | Huntington Valley | 2009-04-16 / 20090099519 - ADVANCED ABDOMINAL DRESSING FOR THE TREATMENT OF THE POSTOPERATIVE HYPOTHERMIC PATIENTS WITH AN OPEN ABDOMEN | 1 |
Michael Kaplan | US | Santa Cruz | 2013-08-15 / 20130212174 - POPULATING ONLINE FORUMS | 1 |
Warren A. Kaplan | US | Libertyville | 2016-01-07 / 20160002386 - POLYESTER POLYOLS IMPARTING IMPROVED FLAMMABILITY PROPERTIES | 4 |
Gideon Kaplan | IL | Tel Aviv | 2010-07-01 / 20100164690 - ARRAY OF VERY LIGHT READERS FOR ACTIVE RFID AND LOCATION APPLICATIONS | 1 |
Ronald J. Kaplan | US | San Diego | 2012-06-21 / 20120154851 - Control Panel System | 1 |
Hilton Kaplan | US | Los Angeles | 2014-04-03 / 20140094662 - METHOD OF ASSESSING CAPSULAR FORMATION AND/OR CONTRACTURE | 1 |
Amber Kaplan | US | Los Angeles | 2013-05-23 / 20130129679 - INTERFERON BETA AS ANTIBACTERIAL AGENTS | 1 |
Elan Kaplan | US | Carlsbad | 2012-12-27 / 20120330732 - MOBILIZED POLLING STATION | 1 |
David Kaplan | US | Seattle | 2011-04-21 / 20110093127 - DISTRIBUTED ENERGY RESOURCES MANAGER | 1 |
Gerardo Kaplan | US | Rockville | 2015-12-03 / 20150346215 - FILOVIRUS FUSION PROTEINS AND THEIR USES | 2 |
Mitchell Kaplan | US | Lake Forest Park | 2014-04-17 / 20140107486 - ULTRASOUND IMAGING SYSTEM APPARATUS AND METHOD WITH ADC SATURATION MONITOR | 3 |
Laurence S. Kaplan | US | Seattle | 2010-05-06 / 20100115228 - Unified address space architecture | 1 |
Jesse D. Kaplan | US | Sammarmish | 2011-02-24 / 20110047536 - RUNTIME ACTIVATION AND VERSION SELECTION | 1 |
Robert E. Kaplan | US | Mercer Island | 2009-11-05 / 20090276817 - MANAGEMENT AND NON-LINEAR PRESENTATION OF MUSIC-RELATED BROADCASTED OR STREAMED MULTIMEDIA CONTENT | 2 |
Michael S. Kaplan | US | Redmond | 2013-11-07 / 20130297289 - MULTIPLE INPUT LANGUAGE SELECTION | 3 |
Jesse D. Kaplan | US | Redmond | 2009-01-01 / 20090006629 - Instantiating a communication pipeline between software | 3 |
Lee M. Kaplan | US | Boston | 2015-09-17 / 20150258326 - Methods and Devices for Activating Brown Adipose Tissue Using Electrical Energy | 1 |
Yeela Kaplan | IL | Tel Aviv | 2015-08-27 / 20150242232 - RESUMING A PAUSED VIRTUAL MACHINE | 5 |
Brian Kaplan | US | Palm Beach Gardens | 2012-09-27 / 20120245231 - Weight based dosing of an oral solution having diphenhydramine, or pharmaceutically acceptable salts thereof, as an active ingredient | 3 |
Tal Kaplan | IL | Gan-Yavne | 2010-12-16 / 20100317002 - METHODS AND KITS FOR DIAGNOSING LUNG CANCER | 1 |
David L. Kaplan | US | Concord | 2016-04-07 / 20160095599 - BIORESORBABLE BIOPOLYMER ANASTOMOSIS DEVICES | 113 |
Marc Adam Kaplan | US | Katonah | 2008-09-11 / 20080221858 - Dynamic Online Multi-Parameter Optimization System and Method for Autonomic Computing Systems | 2 |
Joshua R. Kaplan | US | San Francisco | 2016-02-18 / 20160048214 - USING DISTANCE BETWEEN OBJECTS IN TOUCHLESS GESTURAL INTERFACES | 1 |
Leonid Kaplan | KR | Yongin-City | 2015-03-19 / 20150079711 - METHOD FOR FORMING THIN FILM AND METHOD FOR FABRICATING ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY USING THE SAME | 7 |
Jed Kaplan | US | Lowell | 2015-11-26 / 20150341309 - PORT CHUNK ALLOCATION IN NETWORK ADDRESS TRANSLATION | 2 |
Joel Kaplan | US | Newton | 2013-09-26 / 20130252733 - IN-THEATRE INTERACTIVE ENTERTAINMENT SYSTEM | 2 |
Richard Frederic Kaplan | US | Lakewood | 2010-04-15 / 20100094103 - AUTOMATED TREATMENT SYSTEM FOR SLEEP | 1 |
Lee M. Kaplan | US | Wellesley | 2016-04-28 / 20160113971 - Compositions of Microbiota and Methods Related Thereto | 10 |
Desmond Allen Kaplan | US | Billerica | 2015-03-12 / 20150069228 - SELECTIVE ION MOBILITY SPECTROMETER FORMED FROM TWO CONSECUTIVE MASS SELECTIVE FILTERS | 8 |
Yelena N. Kaplan | US | Columbus | 2010-05-06 / 20100110684 - LIGHT EMITTING DIODE LUMINAIRES AND APPLICATIONS THEREOF | 4 |
Richard Kaplan | US | Richmond Heights | 2009-02-12 / 20090043221 - APPARATUS AND METHOD FOR HIGH-SPEED DETERMINATION OF BIOELECTRIC ELECTRODE IMPEDANCES | 1 |
Shay Kaplan | IL | Givat Ela | 2015-12-03 / 20150350800 - SYSTEM AND METHOD FOR TESTING | 17 |
Joshua Kaplan | US | Nyack | 2008-09-25 / 20080234262 - PYRAZOLOPYRIMIDINE ANALOGS AND THEIR USE AS MTOR KINASE AND PI3 KINASE INHIBITORS | 3 |
Ellen Tara Kaplan | US | New York | 2014-06-12 / 20140160296 - DISTANCE MIRROR TELEVISION (DMTV) APPARATUS AND METHOD THEREOF | 1 |
Alexander Kaplan | US | Providence | 2015-09-10 / 20150255784 - MIXED CATHODE MATERIAL WITH HIGH ENERGY DENSITY | 13 |
Richard D. Kaplan | US | Wappingers Falls | 2008-10-16 / 20080254622 - CMOS SILICIDE METAL GATE INTEGRATION | 1 |
Urijah A. Kaplan | US | New York | 2009-06-11 / 20090150190 - PRIVATE SUPPLEMENTAL UNEMPLOYMENT/LAYOFF INSURANCE METHOD AND SYSTEM | 1 |
Paula Kaplan | US | St. Paul | 2010-01-21 / 20100012347 - BLENDED COILED CABLE | 1 |
Andrew Kaplan | US | Hillsborough | 2013-09-19 / 20130245684 - SUTURE METHODS AND DEVICES | 13 |
Stephen L. Kaplan | US | San Carols | 2012-06-28 / 20120164901 - NONWOVEN WEBS HAVING IMPROVED BARRIER PROPERTIES | 1 |
Steven E. Kaplan | US | Elyria | 2015-11-19 / 20150331217 - PRE-LOADED FIBER RACEWAY SYSTEM | 4 |
Uri Kaplan | IL | Doar Na Emek Soreq | 2012-10-18 / 20120260655 - GEOTHERMAL BINARY CYCLE POWER PLANT WITH GEOTHERMAL STEAM CONDENSATE RECOVERY SYSTEM | 2 |
Joshua A. Kaplan | US | Foster City | 2016-04-07 / 20160096827 - TANK-BINDING KINASE INHIBITOR COMPOUNDS | 1 |
Peter S. Kaplan | US | Boxford | 2010-09-16 / 20100234235 - SYSTEM, METHOD, AND PRODUCT FOR GENERATING PATTERNED ILLUMINATION | 1 |
Gary Kaplan | US | Mountain View | 2014-11-20 / 20140341801 - INACTIVATION OF SMOOTH MUSCLE TISSUE | 9 |
Robert E. Kaplan | US | Rockville | 2011-05-26 / 20110125522 - PHYSICIAN ACCREDITATION SYSTEM WITH MECHANISM FOR AUTOMATED RECORDS EXTRACTION | 1 |
Jay Kaplan | US | Palo Alto | 2016-03-17 / 20160078221 - AUTOMATED VULNERABILITY AND ERROR SCANNER FOR MOBILE APPLICATIONS | 1 |
Peter Kaplan | US | Apex | 2010-05-27 / 20100128287 - LOWERING THE CONSUMPTION OF INK IN COMPUTER PRINTING | 1 |
Warren A. Kaplan | US | Graylake | 2008-10-30 / 20080269367 - Prepolymer containing a Liquid Hardness Agent For Open Cell Foams | 1 |
Jesse D. Kaplan | US | Sammamish | 2015-10-15 / 20150290540 - POSITIONING A CAMERA VIDEO OVERLAY ON GAMEPLAY VIDEO | 4 |
Richard D. Kaplan | US | New York | 2014-07-31 / 20140214546 - FOUR DIMENSIONAL (4D) INFORMATION DISTRIBUTION SYSTEM AND METHOD | 2 |
Jessica Kaplan | US | New York | 2014-10-16 / 20140310168 - SYSTEM AND METHOD FOR FLEXIBLE PAYMENT TERMS | 5 |
David Elliott Kaplan | US | Carlsbad | 2009-12-10 / 20090305315 - HUBBED DUAL CANNULA DEVICE FOR CLOSED CONTAINER SAMPLING SYSTEMS | 1 |
Stephen L. Kaplan | US | San Carlos | 2011-04-21 / 20110093056 - Use of Plasma in Formation of Biodegradable Stent Coating | 3 |
Scott Aaron Kaplan | US | Half Moon Bay | 2011-03-17 / 20110066703 - Methods and systems for delivering media to client device | 1 |
Oren Kaplan | IL | Ramat Efal | 2009-12-10 / 20090307344 - WEB PAGE RANKING METHOD AND SYSTEM BASED ON USER REFERRALS | 1 |
Joshua Aaron Kaplan | US | Nyack | 2014-09-04 / 20140248239 - TRIAZINE COMPOUNDS AS PI3 KINASE AND MTOR INHIBITORS | 9 |
Jonathan I. Kaplan | US | Palo Alto | 2014-08-21 / 20140236084 - Automatic Injection Device With Delay Mechanism Including Dual Functioning Biasing Member | 5 |
Craig A. Kaplan | US | Aptos | 2011-02-24 / 20110047119 - COMPUTER REPUTATION-BASED MESSAGE BOARDS AND FORUMS | 2 |
Chris Kaplan | US | Los Angeles | 2014-11-27 / 20140349917 - TARGETED ANTIMICROBIAL MOIETIES | 11 |
Michael R. Kaplan | US | Santa Cruz | 2011-02-03 / 20110025682 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR CONSISTENT IMAGE SYNTHESIS | 1 |
Samuel Jerrold Kaplan | US | Hillsborough | 2014-04-03 / 20140095622 - METHOD AND SYSTEM FOR PROVIDING STATUS INFORMATION OF A DATA ITEM | 4 |
Audrey Kaplan | US | Redwood City | 2010-06-24 / 20100162150 - Embedded Navigation Interface | 1 |
Alan P. Kaplan | US | Kings Park | 2014-02-06 / 20140038945 - PIPERAZINE PDE4 INHIBITORS AND USES THEREOF | 4 |
Hilton M. Kaplan | US | Los Angeles | 2010-11-04 / 20100278762 - LONG-ACTING, WATERPROOF OR WATER-RESISTANT, TOPICAL SUN PROTECTION AGENT WITH ACTIVITY UP TO WEEKS | 1 |
Diego Kaplan | US | San Diego | 2014-08-21 / 20140235278 - SYSTEMS AND METHODS FOR POSITION BASED SERVICES IN A MOBILE DEVICE | 5 |
Craig Andrew Kaplan | US | Aptos | 2012-07-26 / 20120188250 - GRAPHICAL FORECASTING INTERFACE | 2 |
Leo Kaplan | US | Laguna Hills | 2010-02-04 / 20100027545 - DATA PATH ACCELERATION OF A NETWORK STACK | 3 |
Jonathan H. Kaplan | US | San Francisco | 2010-01-28 / 20100023548 - Method and System for Defining a Pseudo-World | 1 |
Gary Kaplan | US | San Francisco | 2012-08-16 / 20120209259 - METHODS FOR TREATING AIRWAYS | 3 |
Daniel J. Kaplan | US | Hawthorne | 2009-12-03 / 20090294675 - SECURE ENCLOSURE | 2 |
Gilla Kaplan | US | New York | 2016-04-28 / 20160113916 - PHARMACEUTICAL INTERVENTION AND METHOD FOR TREATING AN APRAXIA OF SPEECH IN CHILDREN | 9 |
Morris Kaplan | US | Rockville | 2011-04-14 / 20110084489 - APPARATUS FOR HARVESTING ENERGY FROM FLOW-UNDUCED OSCILLATIONS AND METHOD FOR THE SAME | 1 |
Jessica A. Kaplan | US | New Yrok | 2010-04-29 / 20100106580 - SYSTEM AND METHOD FOR DETERMINING POSITIVE BEHAVIOR AND/OR MAKING AWARDS BASED UPON GEOGRAPHIC LOCATION | 1 |
David L. Kaplan | US | Utica | 2012-06-28 / 20120159857 - REMOVABLE TAILGATE GAP COVER | 1 |
Rosandra N. Kaplan | US | New York | 2010-06-17 / 20100150932 - USE OF VASCULAR ENDOTHELIAL GROWTH FACTOR RECEPTOR 1+ CELLS IN TREATING AND MONITORING CANCER AND IN SCREENING FOR CHEMOTHERAPEUTICS | 1 |
Joshua Kaplan | US | Berkeley | 2009-09-03 / 20090222117 - SYSTEM, APPARATUS, AND METHOD FOR MANAGING PRELOADED CONTENT FOR REVIEW ON A HANDHELD DIGITAL MEDIA APPARATUS | 1 |
David R. Kaplan | US | Shaker Heights | 2014-01-02 / 20140005062 - Method to Assess Patterns of Molecular Expression | 3 |
Johanne M. Kaplan | US | Sherborn | 2012-03-22 / 20120070408 - METHODS AND COMPOSITIONS FOR TREATING LUPUS | 3 |
Ivan Kaplan | US | Mount Sinai | 2010-06-24 / 20100155350 - WALL-HANGING SNAP-IN ORGANIZER DEVICE | 1 |
Jared Kaplan | US | Redwood City | 2014-07-10 / 20140195343 - METHOD AND SYSTEM OF DISPLAYING INFORMATION RELATED TO ITEMS OFFERED FOR SALE IN A NETWORK-BASED MARKETPLACE | 3 |
Ronald M. Kaplan | US | Palo Alto | 2009-07-02 / 20090171653 - SYSTEM AND METHOD FOR DISTRIBUTING MULTILINGUAL DOCUMENTS | 1 |
Marc Kaplan | US | San Jose | 2013-01-10 / 20130013643 - SYSTEM AND METHOD OF HANDLING FILE METADATA | 2 |
Gary Kaplan | US | Mountain View | 2014-11-20 / 20140341801 - INACTIVATION OF SMOOTH MUSCLE TISSUE | 9 |
Alvin Kaplan | US | Armonk | 2012-08-30 / 20120216349 - SWADDLE BLANKET WITH MATTRESS ATTACHMENT DEVICE | 2 |
Amir Kaplan | IL | Hod-Hasharon | 2016-02-11 / 20160040974 - APPARATUS FOR OBJECT INFORMATION DETECTION AND METHODS OF USING SAME | 20 |
Nachum Kaplan | CA | Toronto | 2012-01-12 / 20120010127 - Compositions Comprising Multiple Bioactive Agents, and Methods of Using the Same | 1 |
David Todd Kaplan | US | Hawthorne | 2014-09-11 / 20140257953 - METHOD AND APPARATUS TO ANONYMOUSLY COMMUNICATE ENCRYPTED CONTENT BETWEEN MOBILE DEVICES IN PROXIMITY AND IN EXPANDED USER COMMUNITIES IN A CONTAGIOUS, VIRAL MANNER | 1 |
Joshua Aaron Kaplan | US | Nyack | 2014-09-04 / 20140248239 - TRIAZINE COMPOUNDS AS PI3 KINASE AND MTOR INHIBITORS | 9 |
Ira H. Kaplan | US | Buffalo Grove | 2013-07-04 / 20130168957 - ADJUSTABLE SWIVEL FLUID CONDUIT PATHWAY | 1 |
Eli Kaplan | IL | Ramat Hasharon | 2010-02-11 / 20100036273 - Breath Test Device and Method | 1 |
Or Kaplan | IL | Tel Aviv | 2014-01-23 / 20140024354 - PREDICTION FOR POWER CONSERVATION IN A MOBILE DEVICE | 1 |
Steven Kaplan | IN | Hyderabad | 2012-11-01 / 20120278786 - WORKFLOWS OR PROCESSES WITH DYNAMIC REFERENCE ACTIVITY | 1 |
David Kaplan | IL | Modi'In | 2016-03-24 / 20160087976 - TECHNOLOGIES FOR SENSOR ACTION VERIFICATION | 3 |
Henry J. Kaplan | US | Louisville | 2013-07-11 / 20130177552 - METHODS AND COMPOSITIONS FOR MODULATING OCULAR DAMAGE | 7 |
Joshua Kaplan | US | 2014-04-24 / 20140113468 - Electrical Cover Receptacle With Integrated Safety Device | 1 | |
Andrew S. Kaplan | US | Burlington | 2015-07-23 / 20150202045 - Bone Implant Apparatus and Method | 1 |
Frederick S. Kaplan | US | Philidelphia | 2015-07-23 / 20150203918 - MUTATED ACVR1 FOR DIAGNOSIS AND TREATMENT OF FIBRODYPLASIA OSSIFICANS PROGRESSIVA (FOP) | 1 |
Heidi B. Kaplan | US | Houston | 2015-11-05 / 20150315627 - METHODS AND COMPOSITIONS FOR THE DETECTION OF FUNCTIONAL CLOSTRIDIUM DIFFICILE TOXINS | 1 |
Joel Kaplan | US | New York | 2010-11-04 / 20100275373 - SWADDLE BLANKET | 1 |
Ira Harold Kaplan | US | Buffalo Grove | 2011-05-26 / 20110121564 - ADJUSTABLE SWIVEL FLUID CONDUIT PATHWAY | 1 |
Shay Kaplan | IL | Givat Ela | 2015-12-03 / 20150350800 - SYSTEM AND METHOD FOR TESTING | 17 |
Shannon K. Kaplan | US | San Diego | 2016-03-03 / 20160060685 - COMPOSITIONS AND METHODS FOR DETECTING NUCLEIC ACID FROM MOLLICUTES | 15 |
Benjamin Kaplan | FR | Paris | 2014-05-22 / 20140137771 - BONDING COMPOSITION FOR MINERAL WOOL BASED ON REDUCING SACCHARIDE AND HYDROGENATED SACCHARIDE, AND INSULATING PRODUCTS OBTAINED | 3 |
Richard A. Kaplan | US | Rochester | 2016-03-10 / 20160071393 - SYSTEMS, METHODS, AND APPARATUS FOR MONITORING ALERTNESS OF AN INDIVIDUAL UTILIZING A WEARABLE DEVICE AND PROVIDING NOTIFICATION | 1 |
Ben Kaplan | US | Huntington Station | 2016-03-17 / 20160075573 - METHODS FOR TREATING A FLUID CONTAMINATED WITH BACTERIA | 1 |
Lee D. Kaplan | US | Coconut Grove | 2015-12-17 / 20150359533 - SUTURE FOR SOFT TISSUE REPAIR | 3 |
Matthew N. Kaplan | US | New York | 2014-01-09 / 20140012893 - SYSTEM AND METHOD OF TRANSMITTING DATA OVER A COMPUTER NETWORK INCLUDING FOR PRESENTATIONS OVER MULTIPLE CHANNELS IN PARALLEL | 3 |
Eliahu Kaplan | IL | Ashkelon | 2010-12-30 / 20100331383 - COMPOUNDS FOR THE TREATMENT OF AIDS AND OTHER VIRAL DISEASES | 1 |
Michael Gregor Kaplan | US | New York | 2014-12-25 / 20140380059 - AUTHENTICATION OF EMAIL SERVERS | 3 |
Gerald Lewis Kaplan | US | New York | 2012-11-15 / 20120289554 - 4-DIMETHYLAMINOBUTYRIC ACID DERIVATIVES | 2 |
David Kaplan | US | Concord | 2015-10-29 / 20150307728 - BIOPOLYMER-BASED INKS AND USE THEREOF | 15 |
Gary S. Kaplan | US | Palo Alto | 2013-02-07 / 20130035747 - METHODS FOR TREATING AIRWAYS | 1 |
Joshua Aaron Kaplan | US | Foster City | 2015-12-03 / 20150344473 - TANK-BINDING KINASE INHIBITOR COMPOUNDS | 1 |
Joshua Kaplan | US | Somerville | 2010-03-18 / 20100064547 - Shoe having a form fitting closure structure | 1 |
Allen D. Kaplan | US | Miami Beach | 2015-09-10 / 20150256444 - MULTI-PROTOCOL TELECOMMUNICATIONS ROUTING OPTIMIZATION | 3 |
Matthew Lamport Kaplan | US | Brooklyn | 2010-03-04 / 20100056013 - Magnetic Toy Construction Piece and Set | 1 |
Leslie Kaplan | US | Miami Beach | 2012-08-16 / 20120207886 - System and method of preparing frozen baking items | 1 |
Jonathan H. Kaplan | US | Newton | 2009-04-02 / 20090089685 - System and Method of Communicating Between A Virtual World and Real World | 1 |
Joseph Kaplan | US | Chicago | 2015-03-05 / 20150067843 - Method and System for Scanning a Computer System for Sensitive Content | 2 |
Samuel Kaplan | US | Walworth | 2010-09-02 / 20100221444 - PROCESS FOR COATING FLUOROELASTOMER FUSER MEMBER USING FLUORINATED SURFACTANT | 4 |
David Kaplan | US | Chicago | 2015-11-05 / 20150316241 - ADJUSTABLE LIGHT FIXTURE | 1 |
Stanley Kaplan | US | Deer Park | 2010-10-28 / 20100272536 - DOUBLE COLLAR WEDGE ANCHOR | 1 |
Gideon Kaplan | IL | Kiryat Ono | 2015-06-11 / 20150161423 - WIDE-AREA DYNAMIC RFID SYSTEM USING UWB | 9 |
Marc A. Kaplan | US | Hawthorne | 2013-04-04 / 20130086135 - SCALABLE FILE MANAGEMENT FOR A SHARED FILE SYSTEM | 2 |
Thomas Proger Kaplan | US | New York | 2010-06-03 / 20100137457 - METHOD FOR CONVERSION OF ATMOSPHERIC CARBON DIOXIDE INTO USEFUL MATERIALS | 1 |
Edward H. Kaplan | US | Skokie | 2013-04-11 / 20130090312 - METHODS OF USE RELATED TO XEROSTOMIA | 2 |
David L. Kaplan | US | Leawood | 2013-05-23 / 20130131163 - Vitamin C Composition for Use in the Prevention and Treatment of Stretch Marks, Radiation Dermatitis, and Other Skin Conditions and Methods of Using the Same | 4 |
Frederick S. Kaplan | US | Philadelphia | 2013-02-14 / 20130041017 - SIRNA-BASED THERAPY OF FIBRODYPLASIA OSSIFICANS PROGRESSIVA (FOP) | 2 |
Andrea J. Kaplan | US | North Miami Beach | 2011-12-08 / 20110297106 - LEASH, COLLAR, AND HARNESS WITH INTERCHANGEABLE ACCESSORIES | 1 |
Todd Stuart Kaplan | US | Grass Valley | 2014-08-28 / 20140241400 - ROTATING 3-WIRE RESISTANCE TEMPERATURE DETECTION EXCITATION CURRENT SOURCES AND METHOD | 3 |
Jonah Andrew Kaplan | US | Newton | 2015-02-05 / 20150037375 - DOPING AGENTS AND POLYMERIC COMPOSITIONS THEREOF FOR CONTROLLED DRUG DELIVERY | 1 |
Austin Lee Bobbie Kaplan | US | Apopka | 2015-09-24 / 20150265010 - JEWELRY RING DISPLAY APPARATUS AND ASSOCIATED METHODS | 1 |
Eran Kaplan | IL | Rehovot | 2011-12-08 / 20110297735 - METHOD AND APPARATUS FOR STENT MANUFACTURING ASSEMBLY | 1 |
Josseline Kaplan | FR | Paris Cedex | 2016-02-04 / 20160032382 - Method for Diagnosing or Predicting a Non Syndromic Autosomal Recessive Optic Atrophy, or a Risk of a Non Syndromic Autosomal Recessive Optic Atrophy | 2 |
Johanne Kaplan | US | Sherborn | 2013-12-26 / 20130344092 - Methods of Using Anti-Thymocyte Globulin and Related Agents | 3 |
Carolyn R. Kaplan | US | Fairfax Station | 2011-07-07 / 20110166836 - FAST TRACKING METHODS AND SYSTEMS FOR AIR TRAFFRIC MODELING USING A MONOTONIC LAGRANGIAN GRID | 1 |
Pierre Kaplan | US | Beaumont | / - | 1 |
Joel Kaplan | US | White Plains | 2014-07-17 / 20140196211 - CRIB LINER SYSTEM | 3 |
Asaf Kaplan | IL | Moshav Ein-Sarid | 2011-02-10 / 20110032319 - DIGITAL PRINTING DEVICE WITH IMPROVED PRE-PRINTING TEXTILE SURFACE TREATMENT | 2 |
George Kaplan | US | Saratoga Springs | 2014-03-20 / 20140076523 - DEVICES, SYSTEMS, AND METHODS FOR COOLING ELECTRONIC DEVICE HEAT SPREADERS | 1 |
Todd R. Kaplan | IL | Pardes Hanna | 2013-09-05 / 20130232031 - Bargaining System to Induce Truthful Revelation of Reservation Prices | 1 |
Daniel Kaplan | US | 2009-07-02 / 20090171866 - System and method for learning associations between logical objects and determining relevance based upon user activity | 1 | |
Joshua I. Kaplan | US | Seattle | 2013-05-09 / 20130113294 - MODULAR ENERGY STORAGE SYSTEM | 1 |
Jonathan Kaplan | US | Saratoga | 2013-02-21 / 20130046660 - Taxable Fringe Benefit Accounting for Electric Vehicle Charging Service | 1 |
Daniel David Kaplan | US | San Mateo | 2014-06-05 / 20140154271 - Methods of Treating Glucose Metabolism Disorders | 7 |
Faran Harold Kaplan | US | Seattle | 2015-12-31 / 20150378408 - REDUNDANT SECONDARY POWER SUPPORT SYSTEM | 2 |
Keith S. Kaplan | US | Bothell | 2016-03-24 / 20160085607 - COMPUTE RESOURCE MONITORING SYSTEM AND METHOD | 6 |
Daniel Kaplan | US | Portland | 2011-03-10 / 20110056264 - ADDITIONAL CALIBRATION FOR ANALYTE MONITOR | 1 |
Mark H. Kaplan | US | Fishers | 2011-08-18 / 20110200600 - DIAGNOSIS AND PROGNOSIS OF IMMUNE DISORDERS USING STAT4 EXPRESSION | 1 |
David Kaplan | CA | Toronto | 2014-08-21 / 20140234271 - COMPOSITIONS FOR PROLIFERATION OF CELLS AND RELATED METHODS | 2 |
Edward Kaplan | US | Las Vegas | 2015-02-12 / 20150041612 - ToweLock | 1 |
Seth I. Kaplan | US | Chicago | 2015-02-12 / 20150044136 - Ophthalmological treatment for michobial infections | 1 |
Amir Kaplan | IL | Emek-Hefer | 2011-10-06 / 20110246172 - Method and System for Adding Translation in a Videoconference | 1 |
Gregory Kaplan | US | Trevose | 2013-11-14 / 20130302210 - CORROSION INHIBITOR COMPOSITIONS, METHODS FOR MAKING AND METHODS FOR USING | 2 |
Matt Kaplan | US | Sharon | 2009-07-30 / 20090193475 - Systems and Methods For Enabling Configurable Context-Specific Actions in Streaming Video | 1 |
Gregory Kaplan | US | The Woodlands | 2012-10-11 / 20120255914 - METHODS FOR TREATING WASTEWATER | 2 |
Wlodek Kaplan | SE | Sollentuna | 2014-04-03 / 20140091413 - DETECTOR DIODE | 1 |
Johanne Kaplan | US | Framingham | 2015-02-12 / 20150044164 - Methods of Treating Autoimmune Diseases | 1 |
David Kaplan | US | Austin | 2014-03-06 / 20140068175 - OLDEST OPERATION TRANSLATION LOOK-ASIDE BUFFER | 5 |
Randy Kaplan | US | Huntington | 2014-12-11 / 20140360532 - COMPOSITION AND SYSTEM FOR TREATING A DRAIN AND METHODS THEREOF | 2 |
Joshua Robin Kaplan | US | San Francisco | 2016-04-28 / 20160116297 - Intuitive Preview of Upcoming Navigational Instructions | 6 |
David Kaplan | IL | Jerusalem | 2014-07-03 / 20140187148 - NEAR FIELD COMMUNICATION METHOD AND APPARATUS USING SENSOR CONTEXT | 1 |
Matthew L. Kaplan | US | Brooklyn | 2015-02-12 / 20150041273 - SYSTEMS AND METHODS FOR PROVIDING IN-ROAD ELECTRIC CONDUCTIVITY BOXES AND ON-VEHICLE DESCENT AND PIVOT CONTACTS FOR VEHICLES | 1 |
Josseline Kaplan | FR | Paris | 2015-04-23 / 20150111951 - METHODS FOR THE TREATMENT OF LEBER CONGENITAL AMAUROSIS | 3 |
Sam T. Kaplan | US | Oakland | 2015-05-07 / 20150124560 - COMPRESSIVE SENSING | 1 |
Daniel I. Kaplan | US | Aiken | 2015-05-14 / 20150129504 - ORGANO-MODIFIED CLAYS FOR REMOVAL OF AQUEOUS RADIOACTIVE ANIONS | 1 |
Daniel S. Kaplan | US | Chicago | 2014-08-21 / 20140236687 - CONTRIBUTION SYSTEM, METHOD AND DEVICE FOR INCENTIVIZING CONTRIBUTION OF INFORMATION | 1 |
Lee D. Kaplan | US | Madison | 2012-09-27 / 20120245634 - SURGICAL INSTRUMENTS | 5 |
Daniel M. Kaplan | US | Portland | 2008-11-20 / 20080287755 - METHOD AND APPARATUS FOR TREND ALERT CALCULATION AND DISPLAY | 2 |
Firas Kaplani | US | Wheeling | 2014-03-27 / 20140083441 - WATERLESS ELECTRONIC HOOKAH MACHINE | 1 |
Paula Kaplan-Lefko | US | Simi Valley | 2014-08-28 / 20140243339 - COMBINATIONS VEGF(R) INHIBITORS AND HEPATOCYTE GROWTH FACTOR (C-MET) INHIBITORS FOR THE TREATMENT OF CANCER | 2 |
Liya Kaplan-Shalev | IL | Kfar Saba | 2009-03-05 / 20090055997 - Apparel Having a Replaceable Outer Layer | 1 |
Keren Kaplan-Sirota | IL | Habika | 2009-03-05 / 20090055997 - Apparel Having a Replaceable Outer Layer | 1 |
Milos Kaplarevic | US | Chicago | 2014-07-03 / 20140183024 - NON-PERPENDICULAR CONNECTIONS BETWEEN COKE OVEN UPTAKES AND A HOT COMMON TUNNEL, AND ASSOCIATED SYSTEMS AND METHODS | 1 |
Daniel Stephen Kaple | US | Mccomb | 2009-11-05 / 20090272552 - SURFACE CRUST CUTTER | 1 |
Christian Kapler | DE | Ravensburg | 2013-06-13 / 20130151002 - METHOD OF OPERATING A PRESS WITH A BOTTOM DRIVE AND PRESS OPERATED ACCORDING TO THIS METHOD | 1 |
Tom Kapler | CA | Toronto | 2010-01-14 / 20100007669 - SYSTEM AND METHOD FOR PROCESSING MAP DATA | 1 |
Thomas Kapler | CA | Toronto | 2014-04-17 / 20140104315 - SYSTEM AND METHOD FOR CREATING AND DISPLAYING MAP PROJECTIONS RELATED TO REAL-TIME IMAGES | 5 |
Jerrod Aaron Kapler | US | Louisville | 2014-12-25 / 20140373284 - WASHING MACHINE APPLIANCE DISPENSING CUP WITH PUMP | 1 |
Jerrod Kapler | US | Louisville | 2009-06-25 / 20090158766 - METHOD AND SYSTEM FOR LOCKING CONTROL SYSTEMS OF AN APPLIANCE AND AN APPLIANCE INCORPORATING THE SYSTEM | 1 |
Adam Kaplin | US | Baltimore | 2016-04-14 / 20160101155 - METHODS OF TREATING NEUROLOGICAL AUTOIMMUNE DISORDERS WITH CYCLOPHOSPHAMIDE | 5 |
David B. Kaplin | US | Fairmont | 2016-01-07 / 20160006124 - METHODS OF ENCAPSULATING AN ANTENNA | 2 |
David Kaplin | US | Fairmont | 2015-01-08 / 20150012683 - Devices, Systems, and Methods for Distributed Monitoring and Control of Networked Server Computers | 2 |
David Aaron Kaplin | US | Mayfield Heights | 2010-03-18 / 20100068619 - Electrochemical Cell With Improved Internal Contact | 2 |
David A. Kaplin | US | Mayfield Heights | 2013-02-07 / 20130034761 - Electrochemical Cell with Improved Internal Contact | 3 |
Vladimir Alexandrovich Kaplin | RU | Moscow | 2013-09-05 / 20130228889 - SILICON PHOTOELECTRIC MULTIPLIER WITH MULTIPLE READ-OUT | 1 |
Adam Ian Kaplin | US | Baltimore | 2012-09-20 / 20120237472 - METHODS AND COMPOSITIONS FOR TREATING OR PREVENTING AUTOIMMUNE DISEASES USING IMMUNOMODULATORY AGENTS | 3 |
Todd E. Kaplinger | US | Research Triangle Park | 2014-02-13 / 20140047442 - HYPERVISOR SELECTION FOR HOSTING A VIRTUAL MACHINE IMAGE | 2 |
Todd Eric Kaplinger | US | Raleigh | 2013-11-21 / 20130311985 - Updating Web Resources | 16 |
Todd E. Kaplinger | US | Durham | 2012-06-21 / 20120159466 - DYNAMIC DETERMINATION OF APPLICATION SERVER RUNTIME CLASSLOADING | 5 |
Todd Kaplinger | US | Raleigh | 2011-10-20 / 20110258679 - Method and System for Accessing Network Feed Entries | 1 |
Todd Eric Kaplinger | US | Durham | 2014-07-17 / 20140201702 - Automatic Identification of Affected Product Assets with Work Items | 1 |
Todd Eric Kaplinger | US | Raleigh | 2013-11-21 / 20130311985 - Updating Web Resources | 16 |
Todd E. Kaplinger | US | Raleigh | 2016-05-05 / 20160127453 - WORKLOAD DEPLOYMENT DENSITY MANAGEMENT FOR A MULTI-STAGE COMPUTING ARCHITECTURE IMPLEMENTED WITHIN A MULTI-TENANT COMPUTING ENVIRONMENT | 107 |
Todd Erick Kaplinger | US | Raleigh | 2009-12-31 / 20090328205 - USER ESTABLISHED GROUP-BASED SECURITY FOR USER CREATED RESTFUL RESOURCES | 1 |
Todd E. Kaplinger | NC | Raleigh | 2012-11-22 / 20120297007 - MULTI-DOMAIN CO-BROWSING UTILIZING LOCALIZED STATE MANAGEMENT | 1 |
Michael Kaplinsky | US | South Pasadena | 2008-09-11 / 20080218621 - High intrascene dynamic range NTSC and PAL imager | 1 |
Mordechai E. Kaplinsky | US | Brooklyn | 2013-01-03 / 20130007849 - SECURE CONSUMER AUTHORIZATION AND AUTOMATED CONSUMER SERVICES USING AN INTERMEDIARY SERVICE | 6 |
Joseph John Kaplinsky | GB | London | 2013-08-29 / 20130219999 - MINIATURE HPLC DEVICE | 2 |
Michael Kaplinsky | US | Sierra Madre | 2008-10-09 / 20080247672 - SYSTEM AND METHOD FOR IMAGE PROCESSING OF MULTI-SENSOR NETWORK CAMERAS | 1 |
Anurag Kaplish | US | Sunnyvale | 2009-09-03 / 20090218996 - Switching Systems and Methods with Current Sensing | 2 |
Michael G. Kaplitt | US | New York | 2012-05-10 / 20120117674 - METHOD FOR GENERATING REPLICATION DEFECTIVE VIRAL VECTORS THAT ARE HELPER FREE | 2 |
Vladislav Kaploun | IL | Rehovot | 2015-03-05 / 20150064424 - ELECTROSTATIC INKS AND PRINTING | 2 |
Ze'Ev Kaplow | US | Boston | 2016-03-17 / 20160078455 - METHOD OF ENHANCING CUSTOMER RELATIONSHIP MANAGEMENT CONTENT AND WORKFLOW | 1 |
Robert Kaplow | US | New York | 2015-07-02 / 20150186800 - Predictive Model Evaluation and Training Based on Utility | 9 |
June Kaplow | US | Doylestown | 2011-10-27 / 20110263831 - NUCLEAR FACTOR kappaB INDUCING FACTOR | 2 |
Aaron Grant Kaplowitz | US | Philadelphia | 2016-01-07 / 20160002579 - SYSTEMS AND METHODS FOR CREATING A MIXED COCKTAIL DRINK | 1 |
Daniel Kaplowitz | US | Harrington Park | 2013-05-23 / 20130129563 - AEOSOL SYNTHESIS OF FACETED ALUMINUM NANOCRYSTALS | 1 |
Jon Kaplowitz | US | Los Angeles | 2009-08-27 / 20090215527 - TOURNAMENT-STYLE PARIMUTUEL WAGERING SYSTEM | 1 |
Brian W. Kaplun | US | Endicott | 2015-10-22 / 20150303554 - DIMENSIONALLY TOLERANT MULTIBAND CONFORMAL ANTENNA ARRAYS | 2 |
Vlad Kaplun | US | Berkeley | 2009-01-22 / 20090023429 - Asynchronous search platform for mobile device users | 1 |
Tanya Kaplun | US | Wayne | 2012-06-14 / 20120150797 - METHOD AND SYSTEM FOR SAFELY TRANSPORTING LEGACY DATA TO AN OBJECT SEMANTIC FORM DATA GRID | 1 |
Felix Kaplun | US | Richboro | 2015-10-08 / 20150285106 - CAMSHAFT PHASER | 1 |
George Kaplun | IL | Kfar Yona | 2015-07-23 / 20150205734 - ADAPTER FOR PERSONAL ELECTRONIC DEVICES AND METHODS OF USE THEREOF | 1 |
Yevgeny Kaplun | US | Mountain View | 2012-08-30 / 20120217388 - Bladed Ion Slicer | 2 |
Aleksandr Kaplun | US | Whitsett | 2009-01-29 / 20090027081 - Eight Transistor Tri-State Driver Implementing Cascade Structures To Reduce Peak Current Consumption, Layout Area and Slew Rate | 2 |
Brian Kaplun | US | Endicott | 2015-02-12 / 20150040349 - Composite Live Hinge | 3 |
Aleksandr Kaplunovsky | US | Budd Lake | 2016-04-21 / 20160106784 - ANGIOGENESIS USING PLACENTAL STEM CELLS | 7 |
Tamara Kaplunsky | IL | Kiryat Shemona | 2009-01-01 / 20090005631 - Photo-magnetic radiation device | 1 |
Lauren Kaplus | US | Orlando | / - | 1 |
Michael Aaron Kaply | US | Leander | 2009-02-12 / 20090040696 - HARDWARE CASING MEDIA TO STORE DATA | 2 |
Vibhav Kapnadak | US | Milpitas | 2016-01-07 / 20160007217 - CELL AND EVOLVED NODE B STATION OUTAGE RESTORATION TOOL | 4 |
Vibhav Kapnadak | US | San Ramon | 2014-06-12 / 20140162682 - OVERSHOOTING CELL DETECTION FOR SELF OPTIMIZING NETWORK APPLICATIONS | 2 |
Vibhav Arun Kapnadak | US | Milpitas | 2015-05-14 / 20150133142 - CLUSTER-BASED DERIVATION OF ANTENNA TILTS IN A WIRELESS NETWORK | 1 |
Daniel John Kapner | US | Seattle | 2012-06-28 / 20120162663 - OPTICAL ENCODER READHEAD CONFIGURATION | 2 |
Daniel J. Kapner | US | Seattle | 2010-12-30 / 20100328681 - DISPLACEMENT ENCODER INCLUDING PHOSPHOR ILLUMINATION SOURCE | 1 |
Aaron Kapner | US | Yaphank | 2014-08-21 / 20140234473 - SOFTGEL ENCAPSULATED BALL INCORPORATING CHUM AS A FISH ATTRACTANT | 2 |
Debra Kapnick | US | Randolph | 2008-08-28 / 20080208158 - Cord blood and placenta collection kit | 1 |
Babis(charalampos) Kapnistis | GR | Pefki | 2009-10-08 / 20090253390 - WCDMA TRANSMIT ARCHITECTURE | 1 |
Charalampos Kapnistis | GR | Pefki | 2010-02-25 / 20100048196 - METHOD AND SYSTEM FOR A VARIABLE SYSTEM ON DEMAND | 1 |
Babis (charalampos) Kapnistis | GR | Pefki | 2012-08-30 / 20120220244 - WCDMA transmit architecture | 3 |
Charalampos P. Kapnistis | GR | Pefki | 2010-07-01 / 20100167673 - METHOD AND SYSTEM FOR RF FRONT-END CALIBRATION SCHEME USING FRACTIONAL-N FREQUENCY SYNTHESIZED SIGNALS AND RSSI | 1 |
Zsolt Kapocs | HU | Budapest | 2011-04-14 / 20110084589 - VIBRATION RESISTANT ELECTRIC INCANDESCENT LAMP AND METHOD FOR REDUCING VIBRATION | 1 |
Christos T. Kapogiannis | US | Pleasant Valley | 2014-03-13 / 20140070032 - CARTRIDGE BLOCK FOR MULTILAYER CERAMIC SCREENING | 3 |
Bill Kapolas | US | Des Plaines | 2008-12-11 / 20080302799 - Metal container with screw-top closure and method of making the same | 1 |
Scott G. Kapolnek | US | Genoa City | 2014-02-27 / 20140053448 - Fish-Ball Fish Attractor | 1 |
Ruti Kapon | IL | Rehovot | 2013-12-19 / 20130338528 - METHOD AND DEVICE FOR MONITORING BREASTFEEDING | 2 |
Elyahou Kapon | CH | Lausanne | 2013-01-31 / 20130028279 - VERTICAL CAVITY SURFACE EMITTING LASER CAVITY WITH LOW THERMAL IMPEDANCE | 1 |
Shlomi Kapon | IL | Holon | 2011-05-12 / 20110112442 - Monitoring, Predicting and Treating Clinical Episodes | 1 |
Ruti Kapon | IL | Givataim | 2010-11-18 / 20100292604 - METHOD AND DEVICE FOR MONITORING BREASTFEEDING | 2 |
Komal Kapoor | US | Minneapolis | 2015-12-31 / 20150379411 - CONTENT RECOMMENDATION SELECTION AND DELIVERY WITHIN A COMPUTER NETWORK BASED ON MODELED PSYCHOLOGICAL PREFERENCE STATES | 1 |
Shalini Kapoor | IN | Hyderabad | 2012-11-08 / 20120284512 - RURAL SERVICES PLATFORM | 1 |
Ankit Kapoor | IN | Bangalore | 2015-02-19 / 20150051434 - METHOD FOR REGULARIZING APERTURE SHAPE FOR MILLING | 1 |
Shubir Kapoor | US | Shrub Oak | 2014-01-23 / 20140025422 - BUSINESS PARTNER COLLABORATION AND BUY ANALYSIS | 10 |
Shubir Kapoor | US | Shrub Oak | 2014-01-23 / 20140025422 - BUSINESS PARTNER COLLABORATION AND BUY ANALYSIS | 10 |
Amit Kapoor | US | New York | 2015-04-02 / 20150093403 - CANINE CIRCOVIRUS SEQUENCES AND USES THEREOF | 5 |
Rakesh Kapoor | CA | Saskatoon | 2010-11-25 / 20100298274 - FAT CONTAINING COMPOSITION | 1 |
Ajay Kapoor | NL | Wijchen | 2013-05-09 / 20130115904 - Synchronizing and Detecting Interference in Wireless Receiver | 5 |
Ashish Kapoor | US | Seattle | 2009-05-28 / 20090137924 - METHOD AND SYSTEM FOR MESHING HUMAN AND COMPUTER COMPETENCIES FOR OBJECT CATEGORIZATION | 2 |
Dipsy Kapoor | US | Redondo Beach | 2011-11-17 / 20110280453 - System and Method for Fusing Geospatial Data | 5 |
Rohit Kapoor | CA | Richmond Hill | 2009-11-26 / 20090292800 - METHOD AND APPARATUS FOR ENABLING ASSOCIATED PORTLETS OF A WEB PORTLET TO COLLABORATE FOR SYNCHRONIZED CONTENT DISPLAY | 2 |
Ashish Kapoor | US | Highland Heights | 2013-04-25 / 20130097859 - MANUAL WELDING ELECTRODE | 3 |
Madhu Sudan Kapoor | IN | New Delhi | 2013-03-07 / 20130059613 - SYSTEM AND METHOD FOR PROVIDING END TO END INTERACTIVE MOBILE APPLICATIONS USING SMS | 1 |
Aditya Kapoor | US | Beaverton | 2014-04-24 / 20140115652 - Real-Time Module Protection | 6 |
Gaurav Kapoor | IN | Bangalore | 2012-12-27 / 20120329016 - METHOD FOR DELIVERING ONLINE CONTENT TO A TARGET GROUP | 2 |
Rupesh Kapoor | US | Palo Alto | 2015-12-31 / 20150379014 - BATCH-OPTIMIZED RENDER AND FETCH ARCHITECTURE | 3 |
Gaurav Kapoor | US | Sunnyvale | 2016-03-03 / 20160066266 - COLD TEMPERATURE POWER THROTTLING AT A MOBILE COMPUTING DEVICE | 4 |
Anand Kapoor | US | Los Angeles | 2010-10-14 / 20100260271 - SYSYTEM AND METHOD FOR ENCODING VIDEO | 2 |
Amit Kapoor | US | Falls Church | 2012-06-21 / 20120153657 - BUS STRETCHER CONVERSION KIT | 3 |
Shishir Kapoor | NL | Amsterdam | 2014-05-29 / 20140149294 - METHOD AND SYSTEM FOR PROVIDING SECURE END-TO-END AUTHENTICATION AND AUTHORIZATION OF ELECTRONIC TRANSACTIONS | 1 |
Chandan Kapoor | US | Redmond | 2016-03-24 / 20160087862 - IDENTIFYING AND TRANSMITTING PERFORMANCE INFORMATION | 3 |
Divya Kapoor | IN | Jolandhae City - I | 2008-12-04 / 20080300843 - RECOMBINANT MESO-ACTIVE THERMOSTABLE PROTEINS AND PROCESSES OF DESIGN AND BIOSYNTHESIS THEREOF | 1 |
Deepak Kapoor | US | Cranston | 2008-11-27 / 20080291161 - FORCE REFLECTING HAPTIC INTERFACE | 1 |
Neeraj Kapoor | US | Anaheim | 2014-10-02 / 20140294265 - COLOR-BASED REACTION TESTING OF BIOLOGICAL MATERIALS | 4 |
Bir Kapoor | IN | Mumbai | 2014-01-16 / 20140014557 - PROCESS FOR DESULPHURIZATION OF PETROLEUM OIL | 8 |
Samir Kapoor | US | South Bend | 2014-04-24 / 20140112402 - APPARATUS AND METHOD FOR SYMBOL ALIGNMENT IN A MULTI-POINT OFDM/DMT DIGITAL COMMUNICATIONS SYSTEM | 4 |
Shubir Kapoor | US | Yorktown Heights | 2016-05-19 / 20160140362 - HEALTHCARE AS A SERVICE - DOWNLOADABLE ENTERPRISE APPLICATION | 4 |
Ajay Kapoor | NL | Ma Wijchen | 2013-04-04 / 20130084821 - Detecting Interference in Wireless Receiver | 1 |
Ajay Kapoor | NL | Eindhoven | 2016-04-07 / 20160098062 - TIMING CONTROL WITH BODY-BIAS | 2 |
Amit Kapoor | US | Pacifica | 2012-06-07 / 20120141518 - Human Parvovirus: Bocavirus | 3 |
Vishal Kapoor | US | Iowa City | 2016-03-31 / 20160094476 - RESOURCE ALLOCATION IN DISTRIBUTED PROCESSING SYSTEMS | 6 |
Sumit Kapoor | IN | Pune | 2015-09-17 / 20150261449 - Maximize IO Throughput In Hybrid Storage Solution | 2 |
Harish K. Kapoor | US | Parkland | 2009-09-24 / 20090240306 - Pancreatic stimulator for type II diabetes | 1 |
Mahak Kapoor | US | Chesterfield | 2015-08-27 / 20150240258 - Compositions and Methods for Controlling Leptinotarsa | 4 |
Rakesh Kapoor | US | Shrewsbury | 2010-07-15 / 20100178589 - Thermal Shock-Tolerant Solid Oxide Fuel Cell Stack | 1 |
Akash Kapoor | US | Champaign | 2015-08-13 / 20150227987 - METHOD AND SYSTEM FOR DETERMINING LEVEL OF INFLUENCE IN A SOCIAL E-COMMERCE ENVIRONMENT | 1 |
Rajiv Kapoor | US | Tumwater | 2011-08-04 / 20110191570 - Method And Apparatus For Performing Logical Compare Operations | 1 |
Rohit Kapoor | IN | Uttar Pradesh | 2013-12-26 / 20130346533 - NEAR-REAL TIME DISTRIBUTED USAGE AGGREGATION SYSTEM | 1 |
Ajay Kapoor | NL | Veldhoven | 2015-12-03 / 20150346742 - ENERGY RECYCLING FOR A COST EFFECTIVE PLATFORM TO OPTIMIZE ENERGY EFFICIENCY FOR LOW POWERED SYSTEM | 7 |
Sunil Kapoor | US | Vancouver | 2015-12-03 / 20150348854 - MULTI-STATION PLASMA REACTOR WITH RF BALANCING | 2 |
Samir Kapoor | US | Palo Alto | 2015-04-16 / 20150103818 - TRANSPORT AND ERROR COMPENSATION OF A GLOBALLY SYNCHRONIZED TIME-BASE | 3 |
Jivan Kapoor | DE | Wiesbaden | 2015-03-12 / 20150070124 - SOFT MAGNETIC CORE WITH POSITION-DEPENDENT PERMEABILITY | 1 |
Anil Kapoor | IN | New Delhi | 2010-05-13 / 20100116696 - Elevated storage for transportation and storage of food items | 1 |
Amit Kapoor | US | Palo Alto | 2012-12-27 / 20120331288 - CUSTOMIZABLE PUBLIC KEY INFRASTRUCTURE AND DEVELOPMENT TOOL FOR SAME | 3 |
Sandhya Kapoor | US | Austin | 2012-04-26 / 20120102469 - DETERMINISTIC APPLICATION BREAKPOINT HALTING BY LOGICALLY RELATING BREAKPOINTS IN A GRAPH | 3 |
Alok Kapoor | US | Weston | 2015-03-12 / 20150073253 - CONTACT LENS FOR INTRAOCULAR PRESSURE MEASUREMENT | 1 |
Sugandha Sudeshkumar Kapoor | US | Sammamish | 2013-03-28 / 20130080603 - Fault Tolerant External Application Server | 4 |
Sakshi Kapoor | IN | Indore | 2013-05-09 / 20130111804 - SYSTEM FOR DESALTING FUEL OIL USING A STEAM JET PUMP | 1 |
Gaurav Kapoor | IN | Sunnyvale | 2015-12-03 / 20150348228 - CLOSED LOOP CPU PERFORMANCE CONTROL | 1 |
Manik Kapoor | US | Houston | 2015-08-27 / 20150240996 - Modular Compressed Natural Gas System for Use at a Wellsite | 1 |
Chetan Kapoor | US | Austin | 2016-02-04 / 20160031078 - ROBOT AND DEVICE HAVING MULTI-AXIS MOTION SENSOR, AND METHOD OF USE THEREOF | 6 |
Shweta Kapoor | IN | Bangalore | 2015-10-22 / 20150302167 - SYSTEM AND METHOD FOR DEVELOPMENT OF THERAPEUTIC SOLUTIONS | 1 |
Harsh Kapoor | US | Boxborough | 2012-09-20 / 20120240185 - SYSTEMS AND METHODS FOR PROCESSING DATA FLOWS | 5 |
Rahul Kapoor | US | Pittsburgh | 2011-04-21 / 20110092337 - WEARABLE SYSTEM FOR MONITORING STRENGTH TRAINING | 1 |
Ruchi Kapoor | US | Santa Clara | 2011-04-21 / 20110093591 - Detecting Unavailable Network Connections | 1 |
Salil Kapoor | US | Rockaway | 2010-06-17 / 20100149984 - Self Dimensioning and optimization of telecom Network - SDAOTN | 1 |
Shobana Kapoor | US | Woodinville | 2015-05-21 / 20150139074 - Adaptive Generation of Network Scores From Crowdsourced Data | 2 |
Ashish Kapoor | US | Kirkland | 2016-03-17 / 20160080069 - Providing Network Connectivity and Access to Content and Communications via Moving Objects | 21 |
Rajeev Kapoor | IN | Greater Noida | 2010-06-24 / 20100162018 - SYSTEM AND METHOD FOR OPTIMIZING ELECTRICAL POWER CONSUMPTION | 1 |
Deepak K. Kapoor | US | Hartsdale | 2012-10-25 / 20120271689 - SYSTEM AND METHOD FOR DETERMINING AND AFFECTING A CHANGE IN CONSUMER BEHAVIOR | 9 |
Arjun Kapoor | US | San Francisco | 2014-03-06 / 20140068296 - METHODS AND SYSTEMS FOR DYNAMICALLY CONTROLLING OPERATIONS IN A NON-VOLATILE MEMORY TO LIMIT POWER CONSUMPTION | 12 |
Ajai Kapoor | US | San Jose | 2011-05-05 / 20110107334 - POST FACTO IDENTIFICATION AND PRIORITIZATION OF CAUSES OF BUFFER CONSUMPTION | 4 |
Vikram Kapoor | US | Cupertino | 2015-05-28 / 20150149419 - Techniques for Automatic Data Placement with Compression and Columnar Storage | 18 |
Abhinav Kapoor | US | Fremont | 2014-01-30 / 20140032735 - ADAPTIVE RATE OF SCREEN CAPTURE IN SCREEN SHARING | 3 |
Rahul J. Kapoor | US | Bellevue | 2014-11-06 / 20140330916 - TECHNIQUES TO MANAGE EVENT NOTIFICATIONS | 1 |
Amrish Sushil Kapoor | US | Salt Lake City | 2014-09-18 / 20140282062 - TECHNIQUES FOR EFFICIENT AND GRANULAR COMPOSITION OF A USER PROFILE | 1 |
Bir Kapoor | IN | Mumbai | 2014-01-16 / 20140014557 - PROCESS FOR DESULPHURIZATION OF PETROLEUM OIL | 8 |
Samir Kapoor | US | Bridgewater | 2010-02-04 / 20100027476 - METHODS AND APPARATUS FOR DOWNLINK MACRO-DIVERSITY IN CELLULAR NETWORKS | 1 |
Trishul Kapoor | US | San Jose | 2014-02-20 / 20140052017 - TOUCH SCREEN MEDICAL DIAGNOSTIC DEVICE AND METHODS | 1 |
Sunil K. Kapoor | US | Pasadena | 2014-07-31 / 20140214131 - APPARATUS AND METHODS FOR DETECTING MIGRATION OF NEUROSTIMULATION LEADS | 3 |
Amit Kapoor | IN | Punjabi Bagh | 2010-08-19 / 20100211610 - METHOD AND SYSTEM FOR MANAGING FINANCIAL TRANSACTION DATA | 1 |
Rajan Kapoor | IN | Faridabad | 2015-12-03 / 20150350927 - TIMING EVENT GENERATION CIRCUIT FOR WIRELESS COMMUNICATION APPARATUS | 4 |
Arjun Kapoor | US | Old Westbury | 2016-03-10 / 20160070668 - QUASI-OPTIMIZED INTERCONNECTION NETWORK FOR, AND METHOD OF, INTERCONNECTING NODES IN LARGE-SCALE, PARALLEL SYSTEMS | 1 |
Vivek Kapoor | US | Fremont | 2011-03-10 / 20110060719 - Method for Transforming Setup Data in Business Applications | 1 |
Shweta Kapoor | IN | Bangalore, Karnataka | 2016-03-03 / 20160058751 - COMPOSITION AND METHOD FOR TREATING CANCER | 1 |
Shiv G. Kapoor | US | Champaign | 2014-12-18 / 20140366663 - AEROSTATIC AIR BEARING, ASSEMBLING METHOD THEREOF, AND AEROSTATIC LEAD SCREW ACTUATOR USING THE SAME | 4 |
Rahul Kapoor | US | Bellevue | 2013-10-31 / 20130290334 - MANAGING STORAGE OF DATA ACROSS DISPARATE REPOSITORIES | 5 |
Sanjiv Kapoor | US | Naperville | 2016-04-14 / 20160103848 - COLLABORATIVE AND PERSONALIZED STORAGE AND SEARCH IN HIERARCHICAL ABSTRACT DATA ORGANIZATION SYSTEMS | 6 |
Rohit Kapoor | US | San Diego | 2016-05-19 / 20160142934 - BUFFER STATUS REPORT FOR eDCS | 98 |
Sanjiv Kapoor | US | Sunnyvale | 2016-03-17 / 20160077033 - CRACK DETECTION IN CERAMICS USING ELECTRICAL CONDUCTORS | 2 |
Mark V. Kapoor | US | Durham | 2009-03-05 / 20090063662 - Arrangements for Auto-Merging Processing Components | 1 |
Vikram Kapoor | US | Cupertino | 2015-05-28 / 20150149419 - Techniques for Automatic Data Placement with Compression and Columnar Storage | 18 |
Vikram Kapoor | US | Redmond | 2015-02-19 / 20150050916 - ABOVE-LOCK CAMERA ACCESS | 3 |
Divya Kapoor | IN | Jolandhae City - L | 2015-11-12 / 20150322418 - RECOMBINANT MESO-ACTIVE THERMO-STABLE PROTEIN AND PROCESSES OF DESIGN AND BIOSYNTHESIS THEREOF | 1 |
Ankur Kapoor | US | Plainsboro | 2015-12-24 / 20150366546 - SYSTEM AND METHOD FOR REAL-TIME ULTRASOUND GUIDED PROSTATE NEEDLE BIOPSIES USING A COMPLIANT ROBOTIC ARM | 5 |
Rajesh Kapoor | US | El Dorado Hills | 2010-12-30 / 20100332902 - Power efficient watchdog service | 1 |
Ritesh Kapoor | IN | Mandi | 2014-07-03 / 20140187644 - Pharmaceutical compositions of entacapone, levodopa and carbidopa with improved bioavailability | 3 |
Shiv Kapoor | US | San Francisco | 2016-03-03 / 20160062839 - UNDO CHANGES ON A CLIENT DEVICE | 1 |
Madhur Kapoor | IN | Pune | 2012-08-23 / 20120216108 - CONTENT AUTHORING AND DEPLOYMENT TECHNOLOGY | 1 |
Deepak K. Kapoor | US | Hartsdale | 2012-10-25 / 20120271689 - SYSTEM AND METHOD FOR DETERMINING AND AFFECTING A CHANGE IN CONSUMER BEHAVIOR | 9 |
Mamta Kapoor | US | Cambridge | 2013-12-19 / 20130338210 - COMPOSITIONS FOR NUCLEIC ACID DELIVERY | 1 |
Samridh Kapoor | IN | Mumbai | 2015-01-08 / 20150012454 - LEARNING FACILITY MANAGEMENT IN A MODULAR LEARNING SYSTEM | 28 |
Aditya Kapoor | US | Portland | 2016-03-31 / 20160092700 - DATA VERIFICATION USING ENCLAVE ATTESTATION | 1 |
Hitesh Kapoor | US | Fremont | 2009-12-31 / 20090323520 - Bidirectional Forwarding Detection on Multilink Bundled Interfaces | 1 |
Deepak K. Kapoor | US | Harsdale | 2010-04-29 / 20100106580 - SYSTEM AND METHOD FOR DETERMINING POSITIVE BEHAVIOR AND/OR MAKING AWARDS BASED UPON GEOGRAPHIC LOCATION | 1 |
Deepak Kapoor | US | Cupertino | 2010-09-09 / 20100225209 - ERGONOMIC SURGEON CONTROL CONSOLE IN ROBOTIC SURGICAL SYSTEMS | 1 |
Anuj Kapoor | IN | Chennai | 2011-09-01 / 20110212142 - CURCUMINOIDS AND ITS METABOLITES FOR THE APPLICATION IN OCULAR DISEASES | 2 |
Ritesh Kapoor | IN | Himachal Pradesh | 2011-09-22 / 20110229561 - Extended Release Pharmaceutical Composition Of Entacapone Or Salts Thereof | 3 |
Samir Kapoor | US | Basking Ridge | 2009-02-26 / 20090052430 - METHOD AND APPARATUS FOR MITIGATING TEMPORARY LOSS OF SYNCHRONIZATION IN A WIRELESS COMMUNICATION SYSTEM | 1 |
Deepak K. Kapoor | US | Hartdale | 2010-04-29 / 20100106583 - SYSTEM AND METHOD FOR REWARDING POSITIVE CONSUMER BEHAVIOR USING LOYALTY POINT ADVANCES | 1 |
Priyanka Kapoor | IN | Paschim Vihar | 2015-01-22 / 20150025942 - FRAMEWORK FOR INTERNAL QUALITY ANALYSIS | 1 |
Ankur Kapoor | US | Cranbury | 2015-06-04 / 20150150466 - IMAGING THERMOMETRY | 1 |
Rinky Kapoor | IN | Mumbai | 2015-06-04 / 20150150771 - COMPOSITION AND METHOD FOR AN INTRADERMAL HAIR GROWTH SOLUTION | 1 |
Priyanka Kapoor | IN | New Delhi | 2015-01-22 / 20150025944 - DETERMINING A QUALITY SCORE FOR INTERNAL QUALITY ANALYSIS | 1 |
Rajat Kapoor | US | Naperville | 2014-09-18 / 20140262139 - METHODS AND SYSTEMS FOR IMPROVED QUENCH TOWER DESIGN | 4 |
Neha Kapoor | US | Santa Clara | 2015-12-10 / 20150355985 - RECOVERY CONSUMER FRAMEWORK | 1 |
Vikas Kapoor | DE | Berlin | 2012-08-02 / 20120198362 - Method and Device for Automatically Determining Control Elements in Computer Applications | 1 |
Ajesh Kapoor | US | Marietta | 2013-05-30 / 20130138330 - SYSTEM AND METHOD TO OPTIMIZE MASS TRANSPORT VEHICLE ROUTING BASED ON TON-MILE COST INFORMATION | 1 |
Neeraj Kapoor | IN | Delhi | 2012-03-15 / 20120062268 - METHOD AND DEVICE FOR MEASURING THE RELIABILITY OF AN INTEGRATED CIRCUIT | 1 |
Rajeshwar Kapoor | US | Pittsburgh | 2015-03-05 / 20150065814 - Mobile front-end system for comprehensive cardiac diagnosis | 4 |
Mudra J. Kapoor | US | Highland Park | 2013-07-04 / 20130172240 - METHODS FOR TREATING HCV | 1 |
Mili Kapoor | US | San Diego | 2011-04-14 / 20110086806 - Polypeptides that Bind IL-23R | 1 |
Natasha Kapoor | IN | Mumbai | 2013-12-05 / 20130323341 - ANTIVIRAL COMPOSITIION | 1 |
Dharmender Kapoor | IN | Faridabad | 2012-04-26 / 20120102193 - System and Method for Transitioning to cloud Computing Environment | 1 |
Shubir Kapoor | US | Mohegan Lake | 2008-09-04 / 20080215397 - SYSTEM AND MECHANISM TO CREATE AUTONOMIC BUSINESS SOLUTIONS | 1 |
Ashish Kapoor | US | West Linn | 2014-09-25 / 20140289686 - Single Event Upset Mitigation for Electronic Design Synthesis | 2 |
Shalini Kapoor | IN | Bangalore | 2016-04-14 / 20160105441 - Providing Restricted Access to Given Devices by Constructing Abstract Devices | 8 |
Chet Kapoor | US | San Jose | 2011-04-28 / 20110099558 - SECURE SERVICE ORIENTED ARCHITECTURE | 1 |
Nitin Kapoor | US | Somerville | 2008-11-27 / 20080290162 - INVENTORY MANAGEMENT SYSTEM AND METHOD | 1 |
Rohit Kapoor | US | Seattle | 2009-12-10 / 20090306999 - CONVERSATIONAL PARALLELISM FOR MESSAGE PROCESSING | 1 |
Apoorvi Kapoor | IN | Hyderabad | 2012-09-06 / 20120226525 - METRIC DRIVEN BEHAVIOR AND PRESENTATION OF GOALS | 1 |
Tarun M. Kapoor | US | Cambridge | 2008-12-18 / 20080311589 - METHOD OF HIGH-THROUGHPUT SCREENING OF MOLECULES AND COMPOUNDS FOR THEIR EFFECTS ON BIOLOGICAL AND CHEMICAL PROCESSES | 1 |
Kanika Kapoor | IN | Bangalore | 2016-04-28 / 20160117924 - SECURITY DASHBOARD | 1 |
Munish Kapoor | IN | Amritsar | 2015-11-26 / 20150336913 - PROCESS FOR THE PREPARATION OF DASATINIB AND ITS INTERMEDIATES | 2 |
Deepark K. Kapoor | US | Hartsdale | 2010-04-29 / 20100106586 - SYSTEM AND METHOD FOR DETERMINING POSITIVE CONSUMER BEHAVIOR BASED UPON STRUCTURAL RISK | 2 |
Rajiv Kapoor | US | University Place | 2015-07-30 / 20150212816 - METHOD AND APPARATUS FOR PERFORMING LOGICAL COMPARE OPERATIONS | 6 |
Rohit Kapoor | IN | Bangalore | 2016-05-19 / 20160142951 - DATA COMPRESSION TECHNIQUES FOR HANDOVER AND RADIO LINK FAILURE RECOVERY | 4 |
Kamal Kishore Kapoor | IN | Jammu | 2016-02-18 / 20160046596 - INHIBITORS OF THE KYNURENINE PATHWAY | 3 |
Trishul Kapoor | US | 2012-07-19 / 20120184833 - Touch screen medical diagnostic device and methods | 1 | |
Sugandha S. Kapoor | US | Sammamish | 2015-10-08 / 20150286624 - Collaborative Communication in a Web Application | 3 |
Ashwini Kumar Kapoor | IN | Aurangabad | 2012-07-19 / 20120184751 - POLYMORPHIC FORM OF OLMESARTAN MEDOXOMIL | 2 |
Vishal Kapoor | US | Seattle | 2015-04-02 / 20150096029 - Dynamic Selection and Loading of Anti-Malware Signatures | 9 |
Vishal Kapoor | US | Seattle | 2015-04-02 / 20150096029 - Dynamic Selection and Loading of Anti-Malware Signatures | 9 |
Samridh Kapoor | IN | Ashfield | 2014-11-13 / 20140337223 - MODULARITY IN A LEARNING SYSTEM | 1 |
Ashok K. Kapoor | US | Palo Alto | 2015-08-20 / 20150236117 - Reduced Variation MOSFET Using a Drain-Extension-Last Process | 22 |
Dharmesh Kapoor | GB | Plymouth | 2011-09-29 / 20110238076 - APPARATUS FOR CONDUCTING AN EPISIOTOMY AND METHOD OF USING THE SAME | 1 |
Yash Kapoor | US | Duluth | 2013-12-19 / 20130337160 - AZETIDINIUM-CONTAINING COPOLYMERS AND USES THEREOF | 1 |
Mahak Kapoor | US | 2013-09-26 / 20130254941 - METHODS AND COMPOSITIONS FOR WEED CONTROL | 1 | |
Munish Kapoor | IN | Punjab | 2012-07-19 / 20120184746 - PROCESS FOR THE PREPARATION OF LENALIDOMIDE | 1 |
Vijay K. Kapoor | US | Phoenix | 2015-12-31 / 20150378051 - MONITORING SEED CONDITION USING WIRELESS TECHNOLOGY | 2 |
Ritu Kapoor | IN | Chharera | 2014-01-16 / 20140018526 - DNA sequence in plant caragana jubata with freeze tolerance | 1 |
Gaurav Kapoor | US | Santa Clara | 2016-03-03 / 20160058331 - PACING ACTIVITY DATA OF A USER | 21 |
Neeraj Kapoor | US | New York | 2011-09-15 / 20110224143 - NUCLEOBINDIN I VARIANT PROTEIN COMPOSITIONS AND METHODS OF USE | 1 |
Arjun Kapoor | US | San Francisco | 2014-03-06 / 20140068296 - METHODS AND SYSTEMS FOR DYNAMICALLY CONTROLLING OPERATIONS IN A NON-VOLATILE MEMORY TO LIMIT POWER CONSUMPTION | 12 |
Sanat Kapoor | US | San Diego | / - | 1 |
Divesh Kapoor | US | Salt Lake City | 2012-12-06 / 20120309142 - TRANSISTORS FOR REPLACING METAL-OXIDE-SEMICONDUCTOR FIELD-EFFECT TRANSISTORS IN NANOELECTRONICS | 3 |
Samir Kapoor | US | San Jose | 2011-09-22 / 20110228690 - Methods and Apparatus For Uplink Macro-Diversity in Packet-Switched Cellular Networks | 1 |
Rohit Kapoor | US | Del Mar | 2013-05-16 / 20130124773 - METHOD AND APPARATUS FOR PCI SIGNALING DESIGN | 1 |
Samir Kapoor | US | San Diego | 2013-10-31 / 20130286889 - USING A MOBILE DEVICE TO ENABLE ANOTHER DEVICE TO CONNECT TO A WIRELESS NETWORK | 1 |
Vishal R. Kapoor | US | San Francisco | 2014-01-02 / 20140004960 - DYNAMIC PLAYER MATCH-MAKING FOR SOCIAL GAMES | 1 |
Deepak Ramesh Kapoor | US | Cranston | 2012-07-05 / 20120173064 - Modular Robot | 3 |
Dharmesh S. Kapoor | GB | Plymouth | 2014-12-25 / 20140378990 - Apparatus for Conducting an Episiotomy and Method of Using the Same | 1 |
Ajesh Kapoor | US | Atlanta | 2008-12-18 / 20080312820 - Method of driver assignment and scheduling segmented long-haul routes | 1 |
Sunil Kapoor | US | Goleta | 2008-11-13 / 20080279120 - Systems and Methods for Receiver Upgrade | 1 |
Ajesh Kapoor | US | 2012-10-04 / 20120253995 - SYSTEM AND METHOD FOR MERCHANDISE INVENTORY MANAGEMENT TO MAXIMIZE GROSS MARGIN | 1 | |
Shakti Kapoor | US | Austin | 2014-08-21 / 20140237194 - EFFICIENT VALIDATION OF COHERENCY BETWEEN PROCESSOR CORES AND ACCELERATORS IN COMPUTER SYSTEMS | 26 |
Deepak Ramesh Kapoor | US | Cupertino | 2014-12-04 / 20140352103 - MODULAR ROBOT | 7 |
Prateek Kapoor | IN | Bangalore | 2016-05-05 / 20160127475 - Leak-Proof Classification for an Application Session | 3 |
Anand Kapoor | US | Paramount | 2010-07-08 / 20100172405 - System and method for time optimized encoding | 3 |
Roy Kapoor | CA | Toronto | 2016-01-07 / 20160005121 - SYSTEMS AND METHODS FOR PROVIDING EXCHANGE TRADED FUNDS AS AN INVESTMENT OPTION FOR INDIVIDUAL ACCOUNT RETIREMENT PLANS | 1 |
Neha Kapoor | IN | New Delhi | 2012-01-19 / 20120016014 - BENZOTHIOPHENE CARBOXAMIDE COMPOUNDS, COMPOSITION AND APPLICATIONS THEREOF | 1 |
Rahul Kapoor | US | Charlottesville | 2014-10-23 / 20140313275 - CONTROLLED ENVIRONMENT FACILITY VIDEO VISITATION SYSTEMS AND METHODS | 2 |
Anshu Kapoor | IN | Agra | 2010-11-04 / 20100281072 - AUTOMATED MIGRATION OF TRANSLATION MAPS FOR USE IN EXCHANGING DOCUMENTS BETWEEN ENTITIES | 1 |
Rachyata Kapoor | US | Wesley Chapel | 2015-08-06 / 20150220873 - EXPEDITED SERVICE PROVISIONING ARCHITECTURE | 1 |
Krishan Kapoor | GB | Epsom Downs | 2014-10-30 / 20140322287 - BIOACTIVE COATINGS | 1 |
Ashish Kapoor | US | Kirkland | 2016-03-17 / 20160080069 - Providing Network Connectivity and Access to Content and Communications via Moving Objects | 21 |
Ajai Kapoor | US | Cupertino | 2013-04-18 / 20130096971 - Facilitation of Multi-Project Management Using Critical Chain Methodology | 1 |
Raju Kapoor | GB | London | 2013-01-03 / 20130005786 - THERAPEUTIC INVENTION | 1 |
Ritesh Kapoor | IN | Ahmedabad | 2016-01-28 / 20160022604 - DIRECTLY COMPRESSED OSPEMIFENE COMPOSITIONS | 3 |
Tarun M. Kapoor | US | New York | 2014-02-06 / 20140039803 - Method for Rapid Identification of Drug Targets and Drug Mechanisms of Action in Human Cells | 2 |
Mahak Kapoor | US | Creve Coeur | 2014-07-31 / 20140215656 - Methods and Compositions for Plant Pest Control | 2 |
Shalini Kapoor | IN | Karnataka | 2015-06-11 / 20150163247 - POLICY-BASED RUNTIME CONTROL OF A SOFTWARE APPLICATION | 2 |
Ashish Kapoor | US | Cambridge | 2009-01-01 / 20090006085 - AUTOMATED CALL CLASSIFICATION AND PRIORITIZATION | 1 |
Ashok Kumar Kapoor | US | Palo Alto | 2014-09-18 / 20140270629 - OPTICAL WAVEGUIDE NETWORK OF AN INTERCONNECTING IC MODULE | 14 |
Neeraj Kapoor | US | Fremont | 2011-12-29 / 20110320416 - Eliminating Redundant Processing of Data in Plural Node Systems | 1 |
Samridh Kapoor | IN | Mumbai | 2015-01-08 / 20150012454 - LEARNING FACILITY MANAGEMENT IN A MODULAR LEARNING SYSTEM | 28 |
Anuj Kapoor | US | Palatine | 2016-03-03 / 20160066177 - METHODS AND SYSTEMS FOR TALKGROUP-STATE-DEPENDENT ROUTING OF GROUP DATA | 2 |
Revant Kapoor | US | San Francisco | 2014-11-13 / 20140335953 - SYSTEM AND METHOD FOR PROVIDING BRANDED VIRTUAL OBJECTS IN A VIRTUAL ENVIRONMENT | 2 |
Deepak R. Kapoor | US | Cupertino | 2010-10-07 / 20100251514 - CASTER | 1 |
Aditya Kapoor | US | Hillsboro | 2011-11-03 / 20110271346 - SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR IDENTIFYING FUNCTIONS IN COMPUTER CODE THAT CONTROL A BEHAVIOR THEREOF WHEN EXECUTED | 1 |
Mohit Kapoor | IN | Chandha Nagar | 2016-05-12 / 20160132813 - SYSTEMS FOR MANAGING QUALITY ASSURANCE OF WORK ASSIGNMENTS | 2 |
Ashok Kumar Kapoor | US | Los Gatos | 2009-11-12 / 20090278570 - Circuit Configurations Having Four Terminal JFET Devices | 1 |
Ankit Kapoor | IN | Ghaziabad | 2013-06-13 / 20130150072 - SELECTIVE LOCATION-AWARE PAGING | 1 |
Samir Kapoor | US | Voorhees | 2012-05-31 / 20120134435 - Method And Apparatus For Interference Suppression In Orthogonal Frequency Division Multiplexed (OFDM) Wireless Communication Systems | 1 |
Shalini Kapoor | IN | Bangalore | 2016-04-14 / 20160105441 - Providing Restricted Access to Given Devices by Constructing Abstract Devices | 8 |
Gaurav Kapoor | US | Santa Clara | 2016-03-03 / 20160058331 - PACING ACTIVITY DATA OF A USER | 21 |
Rahul Kapoor | US | Mountain View | 2012-02-16 / 20120041929 - STORING ELECTRONIC CONTENT WITH TIME-VARYING PROPERTIES | 1 |
Revant Kapoor | US | 2012-10-18 / 20120264511 - SELECTING AND DISPLAYING BRANDED VIRTUAL OBJECTS IN A VIRTUAL ENVIRONMENT | 1 | |
Sascha Kaposi | US | Tacoma | 2015-08-06 / 20150217460 - ONION CHOPPER | 15 |
Sascha Kaposi | US | Tacoma | 2015-08-06 / 20150217460 - ONION CHOPPER | 15 |
Spyros Kapotas | GR | Patras | 2009-01-15 / 20090019151 - METHOD FOR MEDIA DISCOVERY | 1 |
Zoi Kapoula | FR | Paris | 2012-12-20 / 20120320336 - DEVICE FOR CAUSING AND/OR REHABILITATING THE BINOCULAR MOTIVITY OF A PATIENT | 1 |
Spyridon Kapoulas | US | Atlanta | 2011-05-12 / 20110110520 - VIRTUAL NEIGHBOR OBJECTS FOR MANAGING IDLE MODE MOBILITY IN A WIRELESS NETWORK | 2 |
Spyridon Kapoulas | US | New York | 2015-09-03 / 20150249939 - VIRTUAL NEIGHBOR OBJECTS FOR MANAGING IDLE MODE MOBILITY IN A WIRELESS NETWORK | 4 |
Ann M. Kapoun | US | Mountain View | 2016-02-04 / 20160030561 - Methods of Treating Pancreatic Cancer | 3 |
Karel Martin Kapoun | NL | Amsterdam | 2015-08-20 / 20150233290 - PROCESS FOR PRODUCING HYDROGEN AND GENERATING POWER | 1 |
Karel Martin Kapoun | US | Sugar Land | 2012-02-02 / 20120029256 - PROCESS FOR THE CONVERSION OF LOWER ALKANES TO AROMATIC HYDROCARBONS | 3 |
Grigori Kapoustin | US | Millbrae | 2015-02-05 / 20150039652 - SYSTEMS AND METHODS FOR AUTOMATED ANALYSIS, SCREENING AND REPORTING OF GROUP PERFORMANCE | 1 |
John Kapp | US | Jeffersonville | 2012-04-26 / 20120100243 - Hot-Runner System having Carbon Nanotubes | 1 |
David C. Kapp | US | Gibsonia | 2015-09-24 / 20150267057 - INORGANIC OXIDE PARTICLES HAVING ORGANIC COATING | 4 |
Stefan Kapp | DE | Ludwigsburg | 2014-06-12 / 20140161610 - METHOD AND DEVICE FOR REDUCING A PITCHING MOMENT WHICH LOADS A ROTOR OF A WIND POWER PLANT | 1 |
Steven Casey Kapp | US | Fairport | 2014-06-05 / 20140153919 - OPTICAL FIBER-BASED DISTRIBUTED ANTENNA SYSTEMS, COMPONENTS, AND RELATED METHODS FOR MONITORING AND CONFIGURING THEREOF | 1 |
Stefan Kapp | DE | Walheim | 2014-05-22 / 20140138206 - GEAR SHIFT ARRANGEMENT WITH PARKING BLOCK AND METHOD FOR ITS ACTIVATION | 4 |
Bryan Kapp | US | Avery Island | 2013-10-24 / 20130279286 - Mixing System | 3 |
Dan Kapp | US | Pleasanton | 2015-12-31 / 20150377797 - Determining a Configuration for an Optical Element Positioned in a Collection Aperture During Wafer Inspection | 1 |
Kerstin Kapp | DE | Berlin | 2016-04-28 / 20160115479 - Predictive Biomarker for Cancer Therapy | 3 |
Andreas Kapp | DE | Bensheim | 2010-10-28 / 20100270279 - HEATING ELEMENT AND ITS APPLICATION | 1 |
Dieter Kapp | DE | Wilnsdorf | 2011-03-17 / 20110061437 - DEVICE FOR THE PRODUCTION OF MOLDED PARTS | 1 |
Joseph A. Kapp | US | Albany | 2012-06-07 / 20120137966 - High Pressure Apparatus with Stackable Rings | 1 |
Matthias Kapp | US | Oak Park | 2015-02-26 / 20150053157 - METHOD AND APPARATUS FOR WINDING A RETURN SPRING WITH A TWO PIECE ROTOR FOR A CAM PHASER | 5 |
Harald Kapp | DE | Berlin | 2013-04-25 / 20130100805 - PRIORITIZED TRANSFER OF DATA TELEGRAMS | 1 |
Tatjana Kapp | DE | Ohringen | 2013-11-07 / 20130292150 - PRESSURE-RESISTANT HOUSING | 1 |
Jeffrey L. Kapp | US | Vail | 2015-11-12 / 20150324113 - UNLOCKING ELECTRONIC DEVICES USING TOUCHSCREEN INPUT GESTURES | 1 |
Steven C. Kapp | US | Fairport | 2012-05-31 / 20120134666 - OPTICAL FIBER-BASED DISTRIBUTED ANTENNA SYSTEMS, COMPONENTS, AND RELATED METHODS FOR MONITORING AND CONFIGURING THEREOF | 2 |
Douglas Kapp | US | Rowayton | 2012-01-19 / 20120013112 - Traction Device To Walk On Ice While Wearing Ice Skate Scabbard | 1 |
Kevin L. Kapp | US | Melbourne | 2016-03-31 / 20160091320 - SYSTEM AND METHOD FOR DISPLAYING PLANNED SPEED VALUES | 2 |
Diedrick Kapp | ZA | Johannesburg | 2015-07-09 / 20150192015 - TUBULAR ROCK ANCHOR | 2 |
Mattheu Jeremy Kapp | AU | New South Wales | 2012-04-26 / 20120102050 - Systems And Methods For Determining Information And Knowledge Relevancy, Relevent Knowledge Discovery And Interactions, And Knowledge Creation | 1 |
Josh D. Kapp | US | Lehi | 2013-09-12 / 20130233156 - METHODS AND SYSTEMS FOR DOWNLOADING EFFECTS TO AN EFFECTS UNIT | 2 |
Peter Kapp | US | Antioch | 2015-03-12 / 20150067959 - BATHING SYSTEM | 1 |
Kevin Kapp | US | Melbourne | 2015-09-10 / 20150251676 - Systems and Methods for Vehicle Control | 7 |
Uwe Kapp | DE | Trossingen | 2009-09-24 / 20090236414 - METHOD AND APPARATUS FOR PERSONALIZING PORTABLE DATA STORAGE MEDIA | 1 |
Annette Kapp | US | Antioch | 2015-03-12 / 20150067959 - BATHING SYSTEM | 1 |
Karthik Kappaganthu | US | Bloomington | 2015-06-25 / 20150176513 - CONTROL OF INTERNAL COMBUSTION ENGINES IN RESPONSE TO EXHAUST GAS RECIRCULATION SYSTEM CONDITIONS | 3 |
Ramana Kappagantu | US | Canton | 2014-04-17 / 20140102835 - FLANGED SHIM FOR BRAKE SQUEAL ATTENUATION | 4 |
Chulani Tissa Kappagoda | US | Sacramento | 2015-09-17 / 20150258160 - Method of Treating Metabolic Syndrome with Grape Seed Extract | 2 |
Chulani Tissa Kappagoda | US | Sacarmento | 2014-01-23 / 20140023737 - Modulation of Oxidative Stress, Inflammation, and Impaired Insulin Sensitivity with Grape seed Extract | 1 |
Chulani T. Kappagoda | US | Sacramento | 2015-11-19 / 20150328274 - MODULATION OF OXIDATIVE STRESS, INFLAMMATION, AND IMPAIRED INSULIN SENSITIVITY WITH GRAPE SEED EXTRACT | 1 |
Ramesh Kappala | IN | Nalgonda | 2009-05-14 / 20090124657 - PHARMACEUTICAL COMPOSITIONS COMPRISING MONTELUKAST | 1 |
David J. Kapparos | US | Chillicothe | 2010-05-13 / 20100115920 - Exhaust component having permanently associated life indicator | 2 |
Dave Kapparos | US | Janesville | 2011-02-24 / 20110041483 - METHOD OF CONTROLLING FUEL IN AN EXHAUST TREATMENT SYSTEM IMPLEMENTING TEMPORARY ENGINE CONTROL | 1 |
David Kapparos | US | Chillicothe | 2010-09-16 / 20100229538 - Diesel particulate filter regeneration control and method | 1 |
David Joseph Kapparos | US | Chillicothe | 2010-02-11 / 20100031643 - AIR SYSTEM INCLUDING A VARIABLE GEOMETRY TURBOCHARGER FOR SUPPLYING AIR TO A REGENERATION SYSTEM | 2 |
William F. Kappauf | US | Bend | 2011-01-27 / 20110022872 - Apparatus for and method of generating a time reference | 2 |
Stefan Kappaun | AT | Gross Sankt Florian | 2013-02-28 / 20130053469 - INK-JET PRINTER INK | 2 |
Roland Kappaun | DE | Uhldingen-Muehlhofen | 2011-05-12 / 20110111534 - METHOD FOR PRODUCING A CONTACT FOR SOLAR CELLS | 1 |
Roland Kappaun | DE | Uhldingen-Muhlhofen | 2011-06-02 / 20110126681 - METHOD FOR SEVERING A PROTRUDING PORTION OF A LAYER OF A LAMINATE | 3 |
Yaara Kapp-Barnea | IL | Nirit | 2011-05-12 / 20110111433 - PIEZOELECTRICAL CHARACTERIZATION OF MATERIALS | 1 |
Stefan H. I. Kappe | US | Seattle | 2010-08-19 / 20100210004 - PLASMODIUM AXENIC LIVER STAGES AS A NONINFECTIOUS WHOLE ORGANISM MALARIA VACCINE | 2 |
Stefan H.i. Kappe | US | Seattle | 2011-02-10 / 20110033502 - LIVE GENETICALLY ATTENUATED MALARIA VACCINE | 2 |
Eva Kappe | DE | Poing | 2013-09-26 / 20130251719 - BONE MARROW APLASIA WITH HAEMORRHAGIC DISEASE IN CALVES CAUSED BY NOVEL PATHOGEN | 1 |
David Kappel | US | Plymouth | 2013-05-16 / 20130124313 - METHODS AND SYSTEMS FOR MONETIZING WEB PAGE TRAFFIC | 1 |
Gary W. Kappel | US | Peli | 2012-01-19 / 20120012229 - INDEXABLE STUMP CUTTER TOOTH | 1 |
Jochen Kappel | DE | Gross-Gerau | 2015-08-20 / 20150234725 - TESTING A VIRTUALIZED NETWORK FUNCTION IN A NETWORK | 1 |
Lars Vinther Kappel | DK | Arhus C | 2011-06-09 / 20110135478 - NACELLE TRAVELLING CRANE | 2 |
Andreas Kappel | US | 2015-03-12 / 20150072824 - DECELERATION DEVICE FOR A DIRECTLY ELECTROMECHANICALLY ACTUATED PLANETARY GEAR ASSEMBLY IN A SEAT ADJUSTMENT MECHANISM AND METHOD FOR OPERATING A DECELERATION DEVICE | 2 | |
Jochen Kappel | GB | Winchester | 2012-12-06 / 20120311029 - COMMUNICATION SYSTEM | 1 |
Gary S. Kappel | US | Acton | 2016-05-19 / 20160135665 - METHODS AND SYSTEMS FOR ATTACHING MEDICAL DEVICE SECTIONS | 17 |
Gary S. Kappel | US | Acton | 2016-05-19 / 20160135665 - METHODS AND SYSTEMS FOR ATTACHING MEDICAL DEVICE SECTIONS | 17 |
Andreas Kappel | DE | Koenigstein | 2013-12-05 / 20130323765 - Method For Determining The Activity Of A Proteolytic Coagulation Factor In A Sample | 7 |
Andreas Kappel | DE | Konigstein | 2010-09-30 / 20100247438 - IN VITRO METHOD FOR DIAGNOSING TUMOR DISEASES | 3 |
Andreas Kappel | DE | Brunnthal | 2015-04-23 / 20150111680 - Method and Device for Controlling and/or Regulating the Electromechanical Actuator of a Planetary Gear Assembly | 14 |
Sebastian Kappel | DE | Horb | 2010-11-11 / 20100283595 - WEAR INDICATOR HAVING A TEMPERATURE SENSOR | 1 |
Gary W. Kappel | US | Pella | 2014-11-27 / 20140346263 - Cutting Tooth for a Rotary Cutter | 3 |
Gary Kappel | US | Action | 2013-01-17 / 20130018384 - Medical Retrieval and Capture Device and Related Methods of Use | 1 |
Christoph Kappel | DE | Aschheim | 2013-06-20 / 20130157391 - METHODS AND SYSTEMS FOR INSPECTING BONDED WAFERS | 2 |
Franz Kappel | AT | Graz | 2014-07-17 / 20140200181 - SYSTEM AND METHOD OF MODELING ERYTHROPOIESIS AND ITS MANAGEMENT | 2 |
James E. Kappel | US | Marshfield | 2012-10-04 / 20120247078 - TINE DRIVE CAM FOR WINDROW MERGER | 1 |
David Kappel | US | Brooklyn Park | 2016-03-17 / 20160073677 - ELECTRONIC CIGARETTE LIQUID FLAVORING AND NICOTINE ADDITIVES | 1 |
Steffen Kappel | DE | Bad Kreuznach | 2012-02-16 / 20120037190 - METHOD AND DEVICE FOR INTERNALLY CLEANING CANS HAVING CORRESPONDING OPENINGS | 2 |
Gary Kappel | US | Acton | 2015-07-09 / 20150190160 - MULTI-FUNCTIONAL MEDICAL DEVICE AND RELATED METHODS OF USE | 29 |
Marc Kappel | DE | Hameln | 2015-06-04 / 20150152607 - ROAD PAVER | 1 |
Gary Wayne Kappel | US | Pella | 2010-12-16 / 20100314001 - STUMP CUTTER | 1 |
Mark A. Kappel | US | Brookfield | 2012-08-16 / 20120204753 - RAIL PLATE INSERTER | 2 |
Robert Kappel | AT | Graz | 2016-05-19 / 20160135684 - Functional Skin Patch | 2 |
James E. Kappel | US | Junction City | 2015-11-19 / 20150327428 - WINDROW MERGER | 1 |
Gary Kappel | US | Acton | 2015-07-09 / 20150190160 - MULTI-FUNCTIONAL MEDICAL DEVICE AND RELATED METHODS OF USE | 29 |
Simon Lind Kappel | DK | Aarhus N | 2014-11-13 / 20140336538 - STRETCH SENSOR DEVICE | 1 |
Nitzan Kappel | IL | Zoran | 2009-07-09 / 20090175278 - EFFICIENT TRANSPORT OF TDM SERVICES OVER PACKET NETWORKS | 1 |
Markus Kappel | DE | Roxheim | 2015-02-19 / 20150049994 - SHEATHED OPTICAL WAVEGUIDE AND METHOD FOR PRODUCING IT | 2 |
Andreas Kappel | DE | Glasshutten | 2015-04-23 / 20150111772 - DIAGNOSTIC MIRNA PROFILES IN MULTIPLE SCLEROSIS | 2 |
Andreas Kappel | DE | Glashütten | 2015-10-15 / 20150292013 - NOVEL MIRNAS AS DIAGNOSTIC MARKERS | 2 |
Steffen Kappel | DE | Winzenheim | 2010-03-25 / 20100071724 - METHOD OF CLEANING BEVERAGE BOTTLES IN A BEVERAGE BOTTLING PLANT, A METHOD OF CLEANING CONTAINERS IN A CONTAINER FILLING PLANT, AND AN APPARATUS THEREFOR | 2 |
Natascha Kappeler | GB | London | 2016-01-07 / 20160003858 - ANALYTE EXTRACTION APPARATUS AND METHOD | 1 |
Armin Kappeler | US | Evanston | 2015-07-30 / 20150213616 - VISUAL INSPECTION SYSTEM FOR AUTOMATED DETECTION OF PARTICULATE MATTER IN FLEXIBLE MEDICAL CONTAINERS | 1 |
Stefan Kappeler | CH | Baden | 2014-03-13 / 20140072670 - METHOD FOR PRODUCING NON-BOVINE CHYMOSIN AND USE HEREOF | 3 |
Roman Kappeler | CH | Muri | 2014-11-13 / 20140332569 - ELECTRIC APPLICATION HEAD FOR DISPENSING A FREE-FLOWING MEDIUM, AND DEVICE COMPRISING SUCH AN ELECTRIC APPLICATION HEAD | 4 |
Johannes Kappeler | DE | Oberstdorf | 2009-12-10 / 20090301450 - IGNITION COIL, IN PARTICULAR, FOR AN INTERNAL COMBUSTION ENGINE OF A MOTOR VEHICLE | 1 |
Armin Kappeler | US | Santa Clara | 2015-07-02 / 20150189193 - METHOD AND APPARATUS FOR VIDEO SEQUENTIAL ALIGNMENT | 1 |
Ronald P. Kappeler | US | Batesville | 2012-01-19 / 20120012408 - MOTORIZED TRACTION DEVICE FOR A PATIENT SUPPORT | 3 |
Franz Kappeler | DE | Puchheim | 2013-08-15 / 20130207603 - WIRELESS ENERGY TRANSMISSION | 1 |
Markus Kappeler | CH | Winterthur | 2016-02-18 / 20160049029 - DISTRIBUTION OF PREMISES ACCESS INFORMATION | 4 |
Myrta Kappeler | CH | Muri | 2015-03-19 / 20150074942 - ADJUSTABLE MOUNTING DEVICE FOR A SLIDING ELEMENT AND SLIDING DEVICE | 3 |
Stefan Kappeler | CH | Uzwil | 2016-02-18 / 20160044940 - METHOD OF TREATING GRAINS AND TREATED GRAINS | 1 |
Ralph L. Kappelhoff | US | Scottsdale | 2008-10-16 / 20080255680 - MATERIAL RESERVATION DISTRIBUTION SYSTEM AND METHOD | 1 |
Rosana Kappeller-Libermann | US | Chestnut Hill | 2010-06-03 / 20100136010 - Novel 27875, 22025, 27420, 17906, 16319, 55092 and 10218 molecules and uses therefor | 1 |
Jacob D. Kappelman | US | Bloomfield | 2016-02-11 / 20160037726 - BRAKING AND INDEXING ASSEMBLY FOR ROTATING MEMBERS | 3 |
Joshua J. Kappelman | US | Waterloo | 2014-05-01 / 20140116658 - VEHICLE COOLING SYSTEM | 2 |
Joshua J. Kappelman | US | Cedar Falls | 2015-02-19 / 20150047811 - MULTI-UNIT COOLING SYSTEM WITH DYNAMIC BAFFLE | 1 |
Jacob D. Kappelman | US | Shawano | 2015-11-19 / 20150327428 - WINDROW MERGER | 1 |
Jacob D. Kappelman | US | Marshfield | 2012-10-04 / 20120247078 - TINE DRIVE CAM FOR WINDROW MERGER | 1 |
Charles E. Kappelt | US | Linesville | 2010-01-21 / 20100015392 - SYSTEM AND METHOD FOR FORMING PLASTIC PALLETS | 2 |
Gerhard Kappelt | DE | Uttenreuth | 2008-10-02 / 20080243242 - METHOD FOR PRODUCING A CORROSION-INHIBITING COATING ON AN IMPLANT MADE OF A BIO-CORRODIBLE MAGNESIUM ALLOY AND IMPLANT PRODUCED ACCORDING TO THE METHOD | 1 |
Rainer Kappelt | DE | Bremen | 2012-11-15 / 20120286585 - HIGH-FREQUENCY (HF) VOLTAGE SUPPLY SYSTEM AND METHOD FOR SUPPLYING A MULTIPOLE MASS SPECTROMETER WITH THE HF AC VOLTAGE USED TO GENERATE A MULTIPOLE FIELD | 1 |
Gerhard Kappelt | DE | Erlangen | 2010-09-30 / 20100249900 - Drug eluting medical implant with porous surface | 2 |
Jenna Kappelt | US | San Francisco | 2013-03-07 / 20130061198 - TECHNIQUES FOR FACILITATING ELECTRICAL COMPONENT DESIGN FOR A SOLAR ENERGY SYSTEM | 3 |
Theodorus Gerardus Marinus Maria Kappen | NL | Eindhoven | 2011-10-27 / 20110260610 - METAL HALIDE LAMP | 4 |
Theodorus G. M. M. Kappen | NL | Rosmalen | 2012-03-22 / 20120069547 - ILLUMINATION DEVICE WITH AN ENVELOPE ENCLOSING A LIGHT SOURCE | 1 |
Theodorus Gerardus Marinus Maria Kappen | GB | Windsor | 2015-02-19 / 20150051386 - METHOD FOR THE MODIFICATION OF WOOD | 1 |
Sascha Kappen | DE | Hamburg | 2013-08-01 / 20130195755 - MICELLULAR COMBINATION COMPRISING A NANOPARTICLE AND A PLURALITY OF SURFMER LIGANDS | 1 |
Guenther Kappen | DE | Troisdorf | 2015-03-05 / 20150064302 - NOZZLE FOR EXTRUDING A PREFORM TUBE | 1 |
Theodorus Gerardus Marinus Maria Kappen | NL | Rosmalen | 2014-02-13 / 20140043788 - Illumination device with an envelope enclosing a light source | 1 |
Lukas J. Kappenberger | CH | Lausanne | 2011-12-29 / 20110319952 - TERMINATING TACHYARRHYTHMIAS | 2 |
Daniel W. Kappers | US | Reno | 2009-10-01 / 20090246115 - Process for reaction of limestone with sulfate solution | 1 |
Menno Kappers | GB | Cambridge | 2015-10-01 / 20150280058 - SEMICONDUCTOR MATERIAL | 2 |
Fred Kappertz | CH | Hochwald | 2010-02-04 / 20100024567 - Method and apparatus for ascertaining volume- or mass-flow | 2 |
Oliver Kappertz | DE | Paderborn | 2012-03-22 / 20120067618 - METHOD FOR PRODUCING A COATED ITEM BY MEANS OF TEXTURE ETCHING | 1 |
Oliver Kappertz | DE | Goettingen | 2016-05-05 / 20160122238 - SOLAR CONTROL GLAZING | 1 |
Lenci Robert Kappes | US | Kalispell | 2009-06-18 / 20090154951 - Developer Roll Lip Seal | 2 |
Daniel J. Kappes | US | Redmond | 2009-07-30 / 20090193409 - Per User Updates | 1 |
John C. Kappes | US | Birmingham | 2011-09-22 / 20110229964 - Fusion Protein Delivery System and Uses Thereof | 3 |
Michael S. Kappes | US | San Diego | 2008-10-02 / 20080240210 - GAIN INSENSITIVE HIGH-PASS VGA | 1 |
Daniel W. Kappes | US | Reno | 2014-09-18 / 20140263159 - MILLING JAR WITH INTEGRATED LIFTERS | 4 |
Daniel Kappes | US | Redmond | 2015-11-12 / 20150326667 - PEER-TO-PEER SHARING OF CLOUD-BASED CONTENT | 2 |
Diana Kappes | DE | Eppstein | 2014-11-13 / 20140331501 - Electrically Operated Shaver With Replaceable Cutting Device | 4 |
Michael Steven Kappes | US | San Diego | 2009-05-28 / 20090135536 - OVER-CURRENT PROTECTION IN LINEAR REGULATORS | 1 |
Matthew J. Kappes | US | Greenwood | 2016-03-10 / 20160069214 - FAN CASE ASSEMBLIES | 2 |
John Kappes | US | Homewood | 2009-08-20 / 20090210952 - Compositions and Methods Related to Controlled Gene Expression Using Viral Vectors | 1 |
Stacey M. Kappes | US | Champaign | 2010-08-12 / 20100203197 - Sports Drink Acid Blend To Reduce Or Eliminate Aftertaste | 1 |
Martin Kappes | US | Bridgewater | 2009-01-29 / 20090031399 - Method and Apparatus for Content Based Authentication for Network Access | 1 |
Jon E. Kappes | US | Phoenix | 2015-02-12 / 20150045075 - ACKNOWLEDGMENT OF MESSAGES TO CELLULAR PHONES THROUGH THE INTERNET | 3 |
Steven Ross Kappes | US | Verona | 2012-03-15 / 20120066197 - Hybrid Query System for Electronic Medical Records | 1 |
Horst Kappes | DE | Trier | 2013-08-15 / 20130206875 - DRY GRANULATION OF METALLURGICAL SLAG | 2 |
Kristi Ann Kappes | US | Kalispell | 2011-09-01 / 20110210499 - Method for Aligning a Media Sheet in an Image Forming Apparatus | 2 |
Diana Kappes | DE | Hofheim | 2014-07-03 / 20140182135 - Dry Shaver with Pivotal Shaving Head | 1 |
Matthew Joseph Kappes | US | Indianapolis | 2014-09-11 / 20140255152 - FAN TRACK LINER DESIGNED TO YIELD NEXT TO FAN CASE HOOK | 1 |
Stefan Kappes | DE | Pulheim | 2014-09-11 / 20140257660 - METHOD FOR CONTROLLING OPERATION OF A BRAKING SYSTEM INDEPENDENT OF THE STATE OF AN ELECTRONIC STABILITY CONTROL SYSTEM | 3 |
Ronel Du Plessis Kappes | US | Castle Rock | 2016-03-17 / 20160074872 - METHOD FOR PROCESSING MINERAL MATERIAL CONTAINING ACID-CONSUMING CARBONATE AND PRECIOUS METAL IN SULFIDE MINERALS | 1 |
Matthew J. Kappes | US | Indianapolis | 2015-01-15 / 20150016945 - LINER FOR GAS TURBINE ENGINE | 1 |
Manfred Kappes | DE | Ettlingen | 2011-05-12 / 20110112287 - CARBON NANOPARTICLES, WHICH ARE COVALENTLY BOUND VIA A BRIDGE MOLECULE TO A TARGET MOLECULE, AND A METHOD FOR THE PRODUCTION THEREOF | 2 |
Werner Kappes | DE | Neckargerach | 2013-04-11 / 20130087553 - Method for Heating a Cooking Vessel with an Induction Heating Device and Induction Heating Device | 4 |
Michael Kappes | US | San Diego | 2015-07-02 / 20150188558 - Customized Data Converters | 6 |
Nicolas Kappes | BE | Bruxelles | 2009-06-18 / 20090156764 - Ethylene-Based Polymers and Articles Made Therefrom | 2 |
Randall A. Kappesser | US | Cincinnati | 2015-10-15 / 20150292677 - METHOD OF MANUFACTURING A COMPRESSED GAS CYLINDER | 3 |
Jani Mikael Kappi | FI | Ylojarvi | 2015-12-17 / 20150362597 - Ephemeris Extension | 3 |
Wolfgang Kappis | CH | Fislisbach | 2013-10-24 / 20130280053 - AXIAL COMPRESSOR | 12 |
Cornelia Kappler | DE | Berlin | 2013-06-13 / 20130148664 - METHOD FOR GENERATING AN ADDRESS FIELD, METHOD AND DEVICE FOR TRANSMITTING ELECTRONIC MESSAGE AND DATA PACKET | 5 |
Bernhard Kappler | DE | Boeblingen | 2015-07-23 / 20150205674 - CATALOGING BACKUP DATA | 3 |
Juergen Kappler | DE | Frankfurt Main | 2011-10-13 / 20110248178 - OXYGEN CONCENTRATION MEASURING DEVICE | 1 |
John Kappler | US | Denver | 2014-02-06 / 20140037645 - DIAGONSIS AND TREATMENT OF AUTOIMMUNE DISEASES BY TARGETING AUTOIMMUNE-RELATED B CELLS ("ABCS") | 2 |
Jerrod Aaron Kappler | US | Louisville | 2015-06-25 / 20150176186 - BULK DISPENSER FLUID LEVEL SENSING AND OUT OF BALANCE DETECTION FOR A WASHING MACHINE APPLIANCE | 28 |
Francis Kappler | US | Phila | 2011-02-10 / 20110034522 - METHODS FOR ALLEVIATING DELETERIOUS EFFECTS OF 3-DEOXYGLUCOSONE | 1 |
Francis Kappler | US | Philadelphia | 2011-05-12 / 20110112188 - Treatment of Inflammatory Conditions | 4 |
Oliver Kappler | DE | Weilheim | 2016-01-07 / 20160000524 - PROCESS FOR PRODUCING DENTAL RESTORATION | 9 |
Jerrod Aaron Kappler | US | Louisville | 2015-06-25 / 20150176186 - BULK DISPENSER FLUID LEVEL SENSING AND OUT OF BALANCE DETECTION FOR A WASHING MACHINE APPLIANCE | 28 |
Oliver Kappler | DE | Weilheim | 2016-01-07 / 20160000524 - PROCESS FOR PRODUCING DENTAL RESTORATION | 9 |
Steffen Kappler | DE | Effeltrich | 2016-05-12 / 20160131771 - OPTIMIZED SIGNAL DETECTION BY QUANTUM-COUNTING DETECTORS | 21 |
Heinz Kappler | DE | Dornstetten-Aach | 2012-04-26 / 20120097188 - Method and Apparatus for Treating Substrates | 5 |
John W. Kappler | US | Denver | 2013-10-03 / 20130259898 - Vaccine Composition | 2 |
Bernhard Kappler | DE | Herrenberg | 2014-02-06 / 20140040573 - DETERMINING A NUMBER OF STORAGE DEVICES TO BACKUP OBJECTS IN VIEW OF QUALITY OF SERVICE CONSIDERATIONS | 5 |
Gerhard Kappler | DE | Bad Liebenzell | 2010-09-30 / 20100243878 - METHOD AND DEVICE FOR MONITORING AN AUTOMATIC DRIFT COMPENSATION | 1 |
Steffen Matthias Kappler | DE | Neidlingen | 2014-04-17 / 20140102393 - VALVE DRIVE ARRANGEMENT FOR ACTUATING GAS EXCHANGE VALVES OF AN INTERNAL COMBUSTION ENGINE | 2 |
Christopher J. Kappler | US | Waltham | 2009-08-20 / 20090207846 - PROPAGATION OF MINIMUM GUARANTEED SCHEDULING RATES AMONG SCHEDULING LAYERS IN A HIERARCHICAL SCHEDULE | 1 |
Elizabeth M. Kappler | US | Hillsboro | 2015-03-26 / 20150088586 - LIVE MIGRATION OF VIRTUALIZED SYSTEMS | 4 |
Steffen Kappler | DE | Effeltrich | 2016-05-12 / 20160131771 - OPTIMIZED SIGNAL DETECTION BY QUANTUM-COUNTING DETECTORS | 21 |
Lawrence Joseph Kapples | US | Pittsburgh | 2015-10-08 / 20150287554 - ELECTRICAL SWITCHING APPARATUS AND DAMPENING ASSEMBLY THEREFOR | 3 |
Paul S. Kappock | US | East Hampton | 2013-07-18 / 20130183362 - SYNTHESIS OF ZINC ACRYLATE COPOLYMER FROM ACID FUNCTIONAL COPOLYMER, ZINC PYRITHIONE, AND COPPER COMPOUND | 2 |
Ludwig Kappos | CH | Basel | 2013-05-02 / 20130108617 - PLASMA-DERIVED IMMUNOGLOBULIN FOR USE IN THE TREATMENT AND PREVENTION OF IMMUNE RECONSTITUTION INFLAMMATORY SYNDROME (IRIS) | 1 |
Paul Kappos | US | Centerville | 2015-12-31 / 20150374192 - AIR INDUCTION HARD SURFACE CLEANING TOOLS WITH AN INTERNAL BAFFLE | 7 |
Mark D. Kappos | US | Ogden | 2010-09-09 / 20100223750 - VACUUM NOZZLE TOOL INCLUDING FLUSH CLEAN COMPONENT | 1 |
Paul D. Kappos | US | North Salt Lake City | 2012-06-21 / 20120151708 - AIR DRIVEN HARD SURFACE CLEANING TOOL | 1 |
Brian Alan Kappus | US | San Diego | 2016-05-05 / 20160126916 - ADAPTIVE EQUALIZATION FOR AN ULTRASONIC AUDIO SYSTEM | 9 |
Brian Alan Kappus | US | San Diego | 2016-05-05 / 20160126916 - ADAPTIVE EQUALIZATION FOR AN ULTRASONIC AUDIO SYSTEM | 9 |
John J. Kappus | US | Denver | 2016-03-17 / 20160074099 - Methods of Forming Jaw Members of End Effectors | 30 |
Vince Kapral | US | Redwood City | 2010-06-24 / 20100160745 - Detection of Food or Drink Consumption In Order to Control Therapy or Provide Diagnostics | 1 |
Daniel F. Kapral | US | Vancouver | 2015-05-21 / 20150139889 - Pyrolysis Process and Products | 2 |
Valery Kapralov | RU | Ivanovo | 2010-03-04 / 20100050698 - Hook Needle with Canted Elliptical Cross-Section of the Hook | 1 |
Alexei Vladimirovich Kapranov | RU | Bryansk | 2013-09-26 / 20130254311 - METHOD AND SYSTEM FOR CONTROL OF THE COLLECTION OF EMAIL MESSAGES | 1 |
Yulia Kapranova | DE | Starnberg | 2014-07-24 / 20140204513 - CASING ATTACHMENT FOR A MOBILE ELECTRONIC DEVICE WITH LIQUID CONTAINER AND ATOMIZING MEANS | 1 |
Vojtech Kapras | CZ | Praha | 2013-12-19 / 20130338383 - PREGNANOLONE DERIVATIVES SUBSTITUTED IN 3ALPHA-POSITION WITH THE CATIONIC GROUP, METHOD OF THEIR PRODUCTION, USAGE AND PHARMACEUTICAL PREPARATION INVOLVING THEM | 2 |
Andy Kapravy | US | Stoughton | 2009-06-11 / 20090149777 - High performance coil wire | 1 |
Ravindra M. Kapre | US | San Jose | 2014-07-31 / 20140211547 - MEMORY CELL ARRAY LATCHUP PREVENTION | 3 |
Ravindra Kapre | US | San Jose | 2014-04-10 / 20140098598 - MEMORY CELL ARRAY LATCHUP PREVENTION | 3 |
Subhash Vinayak Kapre | IN | Maharashtra | 2013-08-15 / 20130209503 - METHOD FOR STABILIZATION OF BIOLOGICAL MOLECULES | 1 |
Subhash Kapre | IN | Pune | / - | 1 |
Subhash V. Kapre | US | Bellevue | 2015-03-05 / 20150064768 - Systems and Methods for Virus Propagation in Cell Cultures for Vaccine Manufacture | 2 |
Subhash Kapre | US | 2015-02-26 / 20150056250 - Adjuvant Formulations and Methods | 1 | |
Subhash V. Kapre | US | Redmond | 2016-01-21 / 20160015806 - Genetically Detoxified Pertussis that Maintains Intrinsic Adjuvant Activity | 2 |
Subhash V. Kapre | IN | Pune | 2010-05-27 / 20100129881 - Antigenic Polysaccharides and Process For Their Preparation | 3 |
Subhash Vinayak Kapre | IN | Pune | 2014-12-25 / 20140377302 - IMMUNOGENIC COMPOSITION | 1 |
Anil Kapri | IN | Pantnagar | 2012-08-02 / 20120196351 - Process for the Preparation of Talc Based Formulation for LDPE-Degrading Bacterial Consortia | 1 |
Craig L. Kaprielian | US | Reedley | 2010-11-11 / 20100286833 - Computer Controlled Fertigation System And Method | 2 |
Ksenia Kaprielova | RU | Novosibirsk | 2015-10-01 / 20150275607 - METHOD FOR TREATING SUBTERRANEAN FORMATION | 1 |
Nikolas Kaprinidis | US | New York | 2014-05-08 / 20140128523 - SCRATCH RESISTANT POLYPROPYLENE | 5 |
Edward S. Kaprocki | US | Debary | 2012-04-19 / 20120094256 - SYSTEM AND METHOD TO DETECT TARGET HITS | 4 |
Ryan Kaps | US | Fountain Hills | 2014-12-25 / 20140378194 - BROADCASTING METHOD FOR BROADCASTING IMAGES WITH AUGMENTED MOTION DATA | 6 |
Werner Kaps | DE | Weiler | 2012-11-29 / 20120302092 - MULTIFUNCTIONAL ELECTRICITY OUTLET | 3 |
Timo Kaps | DE | Werther | 2013-04-25 / 20130101240 - PULL-OUT GUIDE | 3 |
Günter Kaps | DE | Altenmunster | 2012-01-12 / 20120006769 - CROCKERY BASKET AND CROCKERY BASKET SYSTEM | 1 |
Christian Kaps | DE | Berlin | 2009-10-08 / 20090252798 - MATRIX-GEL GRAFT WITHOUT CELLS | 2 |
Ryan Kaps | US | San Diego | 2015-10-29 / 20150310280 - MOTION EVENT RECOGNITION AND VIDEO SYNCHRONIZATION SYSTEM AND METHOD | 4 |
Harald Kaps | DE | Zusmarshausen | 2015-01-08 / 20150009702 - SEMICONDUCTOR LIGHT-EMITTING MODULE AND VEHICLE LUMINAIRE | 3 |
Andrew Kaps | US | San Francisco | 2012-09-27 / 20120241440 - Electromagnetic Wave Reducing Heater | 1 |
Robert Kaps | DE | Braunschweig | 2009-01-08 / 20090008836 - METHOD FOR PRODUCING FIBER-REINFORCED PLASTIC COMPONENTS | 2 |
Thomas Kaps | US | Jackson | 2013-03-07 / 20130058000 - System and method for deterring birds and small animals | 2 |
Ryan Kaps | US | Mesa | 2015-12-03 / 20150348591 - SENSOR AND MEDIA EVENT DETECTION SYSTEM | 4 |
Manfred Kaps | DE | Wetzlar | 2010-07-15 / 20100179152 - Novel use for PDE5 inhibitors | 1 |
Werner Kaps | DE | Weiler-Simmerberg | 2012-01-26 / 20120019066 - ACTUATOR AND ENERGY MANAGEMENT SYSTEM COMPRISING SUCH ACTUATORS | 6 |
Gareth Kaps | US | 2011-06-09 / 20110132242 - FURNACE CHUTE | 1 | |
Stephan Kaps | DE | Bad Frankenhausen | 2014-11-27 / 20140346260 - Press-granulating process of non-ductile salts | 1 |
Andy Kaps | US | San Francisco | 2015-03-12 / 20150067958 - Low EMI Far Infrared Sauna Room | 1 |
Veronika Kapsali | GB | London | 2015-05-21 / 20150140886 - ACTIVE FIBRE | 2 |
Alexandros Kapsalis | GR | Athenes | 2014-12-25 / 20140376854 - DEVICE FOR NON-LINEAR SIGNAL CONVERSION BY FOUR-WAVE MIXING | 1 |
Konrad Kapser | DE | Graefelfing | 2011-05-19 / 20110115476 - SENSOR SYSTEM INCLUDING MULTIPLE COMPARATORS | 1 |
Shivakumar G. Kapsi | US | Collegeville | 2014-05-22 / 20140142155 - METHOD OF TREATING THROMBOCYTOPENIA | 1 |
Shivakumar G. Kapsi | US | King Of Prussia | 2012-06-14 / 20120149749 - METHOD OF TREATING THROMBOCYTOPENIA | 8 |
Roger Kapsi | US | Brooklyn | 2014-06-26 / 20140181881 - SYSTEM AND METHOD FOR INTERNET AUDIO/VIDEO DELIVERY | 1 |
Shivakumar Kapsi | SG | Jurong Island | 2016-02-04 / 20160031822 - 3'-[(2Z)-[1-(3,4-DIMETHYLPHENYL)-1,5-DIHYDRO-3-METHYL-5-OXO-4H-PYRAZOL-4-Y- LIDENE]HYDRAZINO]-2'-HYDROXY-[1,1'-BIPHENYL]-3-CARBOXYLIC ACID BIS-(MONOETHANOLAMINE) | 2 |
Juraj Kapsiar | SK | Liptovsky Mikulas | 2014-07-17 / 20140201146 - COMMAND-BASED DATA MIGRATION | 1 |
Timothy Roland Kapsner | US | Minneapolis | 2014-06-19 / 20140170103 - Conditioning Agents for Personal Care Compositions | 2 |
Timothy Roland Kapsner | US | Minnepolis | 2013-04-25 / 20130102803 - Mild Anionic Surfactants Suitable For Personal Care Compositions | 1 |
John Gregory Kapsner | US | Mankato | 2013-11-21 / 20130306114 - IN-LINE PRETREATMENT SYSTEM FOR MACHINE PARTS | 1 |
Kenneth P. Kapsner | US | Minneapolis | 2010-09-23 / 20100240070 - Nonseparation Assay Methods Using Peroxide Generating Enzymes | 1 |
Thomas Kapsner | DE | Gröbenzell | 2016-05-12 / 20160130288 - OXAZOLIDINONE-QUINOLONE HYBRID ANTIBACTERIALS FOR THE PARENTERAL TREATMENT OR PROPHYLAXIS OF BACTERIAL DISEASES | 2 |
Igal Kapstan | US | Sudbury | 2013-12-05 / 20130325413 - RESULTS-BASED TOOL SELECTION, DIAGNOSIS, AND HELP SYSTEM FOR A FEATURE-BASED MODELING ENVIRONMENT | 1 |
Daniel R. Kapszukiewicz | US | Plainfield | 2014-12-04 / 20140356099 - THREAD LOAD DISTRIBUTION | 6 |
Engin Kaptan | US | Baltimore | 2012-10-04 / 20120251580 - METHODS OF USE FOR A NATURAL THOMSEN-FRIEDENREICH DISACCHARIDE COMPOUND | 1 |
Sinan Kaptanoglu | US | San Carlos | 2010-09-30 / 20100244894 - ENHANCED FIELD PROGRAMMABLE GATE ARRAY | 1 |
Sinan Kaptanoglu | US | Belmont | 2015-01-22 / 20150022236 - Apparatus and Methods for Time-Multiplex Field-Programmable Gate Arrays | 5 |
György Kaptay | HU | Miskolc | 2011-08-04 / 20110185855 - METHOD TO PRODUCE MONOTECTIC DISPERSED METALLIC ALLOYS | 1 |
György Kaptay | HU | Miskolc | 2011-08-04 / 20110185855 - METHOD TO PRODUCE MONOTECTIC DISPERSED METALLIC ALLOYS | 1 |
György Kaptay | HU | Miskolc | 2016-03-03 / 20160060743 - Method and apparatus for the production of carbon fibre reinforced aluminium matrix composite wires | 1 |
Ted J. Kaptchuk | US | Cambridge | 2015-11-05 / 20150315651 - METHODS AND KITS FOR DETERMINING A PLACEBO PROFILE IN SUBJECTS FOR CLINICAL TRIALS AND FOR TREATMENT OF PATIENTS | 2 |
Pieter Karel Anton Kapteijn | DK | Hellerup | 2013-10-17 / 20130269589 - REDUCING DRAG OF A HULL OF A SHIP | 2 |
Frederik Kapteijn | NL | Purmerend | 2011-12-01 / 20110290729 - PROCESS FOR THE PRODUCTION OF ULTRA PURE WATER USING A MEMBRANE | 1 |
Pieter Karel Anton Kapteijn | NL | Rijswijk | 2009-07-02 / 20090166033 - Closed loop control system for controlling production of hydrocarbon fluid from an underground formation | 1 |
Freek Kapteijn | NL | Delft | 2009-04-30 / 20090108239 - COMPOSITE MEMBRANE | 1 |
Wieger Kaptein | NL | Bunschoten-Spakenburg | 2015-12-24 / 20150367123 - EXTRACTOR FOR REMOVING A LEAD FROM A PATIENT | 2 |
Herman G. Kaptein | US | Duvall | 2012-03-15 / 20120060705 - SELF-SEALING AUGER COMPACTOR BIN SYSTEM | 1 |
Suzanne Kaptein | NL | Maastricht | 2012-08-16 / 20120208778 - AVERMECTINS AND MILBEMYCINS FOR THE TREATMENT, PREVENTION OR AMELIORATION OF FLAVIVIRUS INFECTIONS | 1 |
Maurits Clemens Kaptein | NL | Nijmegen | 2014-05-01 / 20140122104 - COACHING SYSTEM THAT BUILDS COACHING MESSAGES FOR PHYSICAL ACTIVITY PROMOTION | 1 |
Allard Kaptein | NL | Zaltbommel | 2014-09-25 / 20140288109 - MK2 INHIBITORS | 3 |
Bernardus Kaptein | NL | Sittard | 2012-08-02 / 20120197035 - Process for the Preparation of cis-1-ammonium-4-alkoxycyclohexanecarbonitrile Salts | 3 |
Suzanne Kaptein | BE | Leuven | 2014-07-31 / 20140213586 - VIRAL REPLICATION INHIBITORS | 1 |
Allard Kaptein | GB | Stevenage | 2015-07-16 / 20150197565 - NOVEL LIGAND | 2 |
Allard Kaptein | NL | Bh Oss | 2009-04-16 / 20090098129 - Member of the TNF Ligand Family | 1 |
Viktor Kaptelinin | SE | Hornefors | 2016-02-04 / 20160034126 - VISUAL CUES FOR SCROLLING | 3 |
Henry C. Kapteyn | US | Boulder | 2015-03-05 / 20150063385 - Generation of VUV, EUV, and X-ray Light Using VUV-UV-VIS Lasers | 3 |
Johan Christiaan Kapteyn | NL | Wageningen | 2010-02-18 / 20100041022 - Novel assay for the separation and quantification of hemagglutinin antigens | 1 |
Sinan Kaptonoglu | US | San Carlos | 2011-09-29 / 20110234258 - ENHANCED FILED PROGRAMMABLE GATE ARRAY | 2 |
Joseph John Kaptur | US | New York | 2015-07-23 / 20150205633 - TASK MANAGEMENT IN SINGLE-THREADED ENVIRONMENTS | 1 |
Agata Kapturowska | PL | Plock | 2013-04-04 / 20130084623 - STRAIN OF LACTOBACILLUS PLANTARUM S, THE USE OF THE STRAIN OF LACTOBACILLUS PLANTARUM S AND THE PREPARATION FOR ROUGHAGES ENSILING | 1 |
Paul M. Kapu | US | Nolensville | 2011-03-31 / 20110077967 - Systems For Procuring Regulatory Data From A Patient Via A Medical Measurement Device | 3 |
Tomislav Kapucija | US | Moorpark | 2014-09-25 / 20140286649 - SIGNAL LEVEL DETECT CIRCUIT WITH REDUCED LOSS-OF-SIGNAL ASSERTION DELAY | 1 |
Zoltán Kapui | HU | Budapest | 2011-05-19 / 20110118305 - COMPOUNDS | 2 |
Imre Kapui | HU | Érd | 2013-08-29 / 20130225695 - METHOD FOR PREPARATION OF AN EXCIPIENT SUITABLE FOR PHARMACEUTICAL USE | 1 |
Zoltan Kapui | HU | Budapest | 2011-06-16 / 20110144104 - PYRROLIDINYL-ALKYL-AMIDE DERIVATIVES, THEIR PREPARATION, AND THEIR THERAPEUTIC APPLICATION AS CCR3 RECEPTOR LIGANDS | 13 |
Imre Kapui | HU | Erd | 2013-08-29 / 20130225695 - METHOD FOR PREPARATION OF AN EXCIPIENT SUITABLE FOR PHARMACEUTICAL USE | 2 |
Zoltán Kapui | HU | Budapest | 2011-05-19 / 20110118305 - COMPOUNDS | 2 |
Ephraim Kapuliansky | IL | Nazerath Illit | 2010-01-21 / 20100013732 - COMPACT MULTIBAND ANTENNA | 1 |
Kenneth Michael Kapulka | US | St. Petersburg | 2009-05-14 / 20090125689 - SYSTEM AND ARTICLE OF MANUFACTURE FOR PROVIDING AN ADDRESS FORMAT COMPATIBLE WITH DIFFERENT ADDRESSING FORMATS USED FOR ADDRESSING DIFFERENT SIZED ADDRESS SPACES | 1 |
Yoram Kapulnik | IL | Carmey Yossef | 2011-10-20 / 20110258732 - PEST RESISTANT PLANT | 1 |
Yoram Kapulnik | IL | Karmei Yosef | 2014-10-30 / 20140323563 - USE OF STRIGOLACTONES AND STRIGOLACTONE ANALOGS FOR TREATING PROLIFERATIVE CONDITIONS | 1 |
Ravi Kapur | US | Sharon | 2016-05-05 / 20160123858 - CONCENTRATING PARTICLES IN A MICROFLUIDIC DEVICE | 23 |
Sukhdev S. Kapur | US | Saratoga | 2012-09-20 / 20120239792 - PLACEMENT OF A CLOUD SERVICE USING NETWORK TOPOLOGY AND INFRASTRUCTURE PERFORMANCE | 6 |
Pawan Kapur | US | Burlingame | 2016-03-31 / 20160093763 - DAMAGE FREE LASER PATTERNING OF TRANSPARENT LAYERS FOR FORMING DOPED REGIONS ON A SOLAR CELL SUBSTRATE | 32 |
Shitij Kapur | CA | Toronto | 2009-06-11 / 20090149442 - Atypical antipsychotic agents having low affinity for the D2 receptor | 1 |
Ashwani Kapur | CA | Waterloo | 2010-09-16 / 20100230313 - PORTABLE TOOL CASE AND WORKSTATION | 1 |
Ashwin Kapur | IN | New Dehli | 2010-06-03 / 20100133868 - ROTATING VEHICLE CONSOLE | 1 |
Terri Kapur | US | Sharon | 2013-12-05 / 20130325003 - ELECTRO-MECHANICAL INTRAVASCULAR DEVICE | 5 |
Vivek Kapur | US | Kenneth Square | 2014-04-03 / 20140093719 - CLOSED-CELL TANNIN-BASED FOAMS WITHOUT FORMALDEHYDE | 1 |
Ajay Kapur | US | Valencia | 2015-12-31 / 20150379253 - User Identity Authentication Techniques for On-Line Content or Access | 5 |
Nikil Kapur | GB | Ilkley | 2015-05-14 / 20150129225 - METHOD OF INHIBITING SCALE IN A GEOLOGICAL FORMATION | 1 |
Rahul Kapur | US | Albany | 2015-10-01 / 20150273339 - SYSTEMS AND METHODS FOR PROVIDING EFFICIENT GAME ACCESS | 2 |
Gurpreet Singh Kapur | IN | Faridabad | 2015-10-01 / 20150274857 - DISTANCE MEASURING METHOD AND DISTANCE MEASURING ELEMENT | 4 |
Reuben Kapur | US | Zionsville | 2013-02-21 / 20130046009 - RHO KINASE INHIBITORS FOR TREATMENT OF MASTOCYTOSIS AND ACUTE MYELOID LEUKEMIA | 1 |
Vivek Kapur | US | Kennett Square | 2016-03-24 / 20160083547 - MIXED TANNIN-PHENOLIC FOAMS | 11 |
Shruti Kapur | GB | London | 2014-03-20 / 20140082094 - PROVIDING NOTIFICATIONS OF MESSAGES FOR CONSUMPTION | 1 |
Mohit Kapur | US | Sleepy Hollow | 2016-03-03 / 20160063155 - GENERATING CLOCK SIGNALS FOR A CYCLE ACCURATE, CYCLE REPRODUCIBLE FPGA BASED HARDWARE ACCELERATOR | 8 |
Ravi Kapur | US | Sharon | 2016-05-05 / 20160123858 - CONCENTRATING PARTICLES IN A MICROFLUIDIC DEVICE | 23 |
Sachin Kapur | US | Union City | 2014-03-20 / 20140078988 - METHOD AND SYSTEM FOR ELASTIC AND RESILIENT 3G/4G MOBILE PACKET NETWORKING FOR SUBSCRIBER DATA FLOW USING VIRTUALIZED SWITCHING AND FORWARDING | 1 |
Ravi Kapur | US | Stoughton | 2012-08-16 / 20120208186 - Methods For The Diagnosis Of Fetal Abnormalities | 13 |
Jayne Kapur | US | Houston | 2014-07-24 / 20140205564 - Hepatitis C Virus Inhibitors | 3 |
Navneet Kapur | US | Sunnyvale | 2016-03-24 / 20160086289 - DETERMINE A SCHOOL RANK UTILIZING ON-LINE SOCIAL NETWORK DATA | 8 |
Mridula (babli) Kapur | US | Lake Jackson | 2010-03-04 / 20100056727 - POLYOLEFIN COMPOSITIONS, ARTICLES MADE THEREFROM AND METHODS FOR PREPARING THE SAME | 1 |
Mridula Kapur | US | Lake Jackson | 2016-03-10 / 20160068623 - A Polyethylene Composition and Articles Made Therefrom | 30 |
Ashwani Kumar Kapur | CA | Kitchener | 2013-07-18 / 20130180878 - PLASTIC PACKAGING, AND METHOD AND APPARATUS FOR PRODUCING SAME | 1 |
Mohit Kapur | US | Sleepy Hollow | 2016-03-03 / 20160063155 - GENERATING CLOCK SIGNALS FOR A CYCLE ACCURATE, CYCLE REPRODUCIBLE FPGA BASED HARDWARE ACCELERATOR | 8 |
Pawan Kapur | CA | Palo Alto | 2014-02-06 / 20140033971 - HIGH PRODUCTIVITY SPRAY PROCESSING FOR SEMICONDUCTOR METALLIZATION AND INTERCONNECTS | 1 |
Vincent Kapur | US | Tarzana | 2014-01-09 / 20140011342 - METHODS AND APPARATUSES FOR FORMING SEMICONDUCTOR FILMS | 1 |
Jay Kapur | US | Redmond | 2016-03-31 / 20160092088 - COMPUTING SYSTEM FACILITATING INTER-USER COMMUNICATION | 12 |
Maya Kapur | US | Cupertino | 2011-02-17 / 20110040528 - Systemic Diagnostics For Increasing Wafer Yield | 1 |
Mallika Kapur | US | Cupertino | 2011-02-17 / 20110040528 - Systemic Diagnostics For Increasing Wafer Yield | 1 |
Pawan Kapur | US | Milpitas | 2015-03-12 / 20150068592 - SOLAR CELL METALLIZATION | 2 |
Jane Kapur | US | Kenneth Square | 2012-04-26 / 20120097219 - LIGHT WEIGHT SOLAR CELL MODULES | 1 |
Vijay K. Kapur | US | Tarzana | 2014-01-09 / 20140011342 - METHODS AND APPARATUSES FOR FORMING SEMICONDUCTOR FILMS | 3 |
Pawan Kapur | US | Palo Alto | 2015-08-27 / 20150243814 - HIGH-EFFICIENCY PHOTOVOLTAIC BACK-CONTACT SOLAR CELL STRUCTURES AND MANUFACTURING METHODS | 12 |
Ashima Kapur | US | San Jose | 2015-12-03 / 20150347328 - Methods for Mitigating System Interrupts for an Electronic Device | 1 |
Raman Kapur | US | Shrewsbury | 2016-02-18 / 20160048656 - SYSTEM FOR USING MEDICATION SAMPLES TO MEASURE MEDICATION ACQUISITION AND IMPROVE PATIENT OUTCOMES | 1 |
Pawan Kapur | IN | Burlingane | 2013-05-23 / 20130130430 - SPATIALLY SELECTIVE LASER ANNEALING APPLICATIONS IN HIGH-EFFICIENCY SOLAR CELLS | 1 |
Amit R. Kapur | US | Venice | 2016-02-18 / 20160048773 - ENTITY ANALYSIS SYSTEM | 2 |
Mohit Kapur | US | Mount Kisco | 2008-11-06 / 20080276139 - Self-Synchronizing Pseudorandom Bit Sequence Checker | 1 |
Rajan N. Kapur | US | Boulder | 2013-01-17 / 20130015710 - DISTRIBUTED INVERTER AND INTELLIGENT GATEWAY | 4 |
Ajay Kapur | US | San Francisco | 2013-09-05 / 20130232234 - DYNAMICALLY REHOSTING WEB CONTENT | 3 |
Raman Kapur | US | Princeton | 2013-08-29 / 20130226608 - SYSTEM FOR IDENTIFYING, MONITORING, INFLUENCING AND REWARDING HEALTHCARE BEHAVIOR | 1 |
Preeya Kapur | US | Frederick | 2009-03-12 / 20090068248 - POLYDIAZENIUMDIOLATED CYCLIC POLYAMINES WITH POLYPHASIC NITRIC OXIDE RELEASE AND RELATED COMPOUNDS, COMPOSITIONS COMPRISING SAME AND METHODS OF USING SAME | 1 |
Vijyeta Kapur | US | Monroe | 2009-06-11 / 20090148938 - Method for identifying ester coolers | 1 |
Ash Kapur | US | Frederick | 2014-03-27 / 20140087655 - SYSTEMS AND METHODS FOR DETERMINING WHETHER A COMPANION COMMUNICATION DEVICE IS BEYOND A PROXIMITY OF A PRIMARY COMMUNICATION DEVICE | 8 |
Ishita Kapur | US | Seattle | 2014-10-02 / 20140298219 - Visual Selection and Grouping | 1 |
Navin Kumar Kapur | US | Baltimore | 2009-07-23 / 20090186016 - AUGMENTATION OF ENDOTHELIAL THROMBORESISTANCE | 1 |
Suvansh Krishen Kapur | US | Portland | 2009-10-08 / 20090254714 - Method and Apparatus for Exploiting Parallelism Across Multiple Traffic Streams Through a Single Channel | 1 |
Terri A. Kapur | US | Sharon | 2013-02-07 / 20130035564 - REAL TIME INTRAVASCULAR MONITORING DEVICE | 2 |
Shashi Kapur | US | Fort Lauderdale | 2015-11-12 / 20150324800 - System and Method of Processing PIN-Based Payment Transactions via Mobile Devices | 11 |
Shyam Kapur | US | 2012-12-27 / 20120330949 - Search System Using Search Subdomain and Hints to Subdomains in Search Query Statements and Sponsored Results on a Subdomain-By-Subdomain Basis | 3 | |
Vivek Kapur | US | Kennett Square | 2016-03-24 / 20160083547 - MIXED TANNIN-PHENOLIC FOAMS | 11 |
Jane Kapur | US | Kennett Square | 2015-11-12 / 20150325729 - ENCAPSULANT COMPOSITION COMPRISING A COPOLYMER OF ETHYLENE, VINYL ACETATE AND A THIRD COMONOMER | 8 |
Navin K. Kapur | US | Hanover | 2014-08-21 / 20140234319 - TREATMENT OF HEART FAILURE AND RELATED CONDITIONS | 4 |
Shashi Kapur | US | Fort Lauderdale | 2015-11-12 / 20150324800 - System and Method of Processing PIN-Based Payment Transactions via Mobile Devices | 11 |
Ash Kapur | US | Frederick | 2014-03-27 / 20140087655 - SYSTEMS AND METHODS FOR DETERMINING WHETHER A COMPANION COMMUNICATION DEVICE IS BEYOND A PROXIMITY OF A PRIMARY COMMUNICATION DEVICE | 8 |
Atul K. Kapur | US | Naperville | 2011-02-10 / 20110035323 - Electronic Process-Enabled Collaboration System | 1 |
Vivek Kapur | US | Port Matilda | 2013-04-04 / 20130084586 - RAPID, SPECIFIC AND SENSITIVE IMMUNOASSAYS FOR THE DETECTION OF HIGHLY VARIABLE GRAM NEGATIVE BACTERIAL ANTIGENS | 1 |
Jay P. Kapur | US | Redmond | 2014-01-02 / 20140005886 - CONTROLLING AUTOMOTIVE FUNCTIONALITY USING INTERNAL- AND EXTERNAL-FACING SENSORS | 1 |
Amit Kapur | AU | East Corrimal | 2015-11-12 / 20150320809 - COMPOSITIONS AND METHODS FOR IMPROVING HUMAN HEALTH AND NUTRITION | 1 |
Akshay Kapur | US | Brooklyn | 2013-12-05 / 20130325493 - SYSTEM AND METHOD FOR MANAGING PAST, PRESENT, AND FUTURE STATES OF HEALTH USING PERSONALIZED 3-D ANATOMICAL MODELS | 1 |
Pawan Kapur | US | Burlingame | 2016-03-31 / 20160093763 - DAMAGE FREE LASER PATTERNING OF TRANSPARENT LAYERS FOR FORMING DOPED REGIONS ON A SOLAR CELL SUBSTRATE | 32 |
Jane Kapur | US | Kennett Square | 2015-11-12 / 20150325729 - ENCAPSULANT COMPOSITION COMPRISING A COPOLYMER OF ETHYLENE, VINYL ACETATE AND A THIRD COMONOMER | 8 |
Kunal Kapur | US | San Carlos | 2016-01-28 / 20160026950 - MONITORING TRANSACTIONS FROM DISTRIBUTED APPLICATIONS AND USING SELECTIVE METRICS | 3 |
Mridula Kapur | US | Lake Jackson | 2016-03-10 / 20160068623 - A Polyethylene Composition and Articles Made Therefrom | 30 |
Deepam Kapur | IN | Ghaziabad | 2015-03-12 / 20150074150 - DATA MANAGEMENT VIA ACTIVE AND INACTIVE TABLE SPACE CONTAINERS | 1 |
Ashok Kapur | US | Frederick | 2012-06-07 / 20120142271 - Windows Portable Devices Interface for Bluetooth Low Energy Devices | 1 |
Jay Kapur | US | Redmond | 2016-03-31 / 20160092088 - COMPUTING SYSTEM FACILITATING INTER-USER COMMUNICATION | 12 |
Akshay Kapur | US | Chicago | 2014-09-18 / 20140278501 - SYSTEM AND METHOD OF FACILITATING THE COORDINATION OF BENEFITS FOR A PLURALITY OF HEALTH PLANS | 1 |
Shyam Kapur | US | Sunnyvale | 2015-04-23 / 20150112959 - Search System Using Search Subdomain And Hints To Subdomains In Search Query Statements And Sponsored Results On A Subdomain-By-Subdomain Basis | 16 |
Pawan Kapur | US | Palo Alto | 2015-08-27 / 20150243814 - HIGH-EFFICIENCY PHOTOVOLTAIC BACK-CONTACT SOLAR CELL STRUCTURES AND MANUFACTURING METHODS | 12 |
Tina Kapur | US | 2015-11-26 / 20150338477 - AN ACTIVE TRACKING SYSTEM AND METHOD FOR MRI | 1 | |
Ashish Kapur | US | San Jose | 2016-04-28 / 20160119299 - END-TO-END ENCRYPTION IN A SOFTWARE DEFINED NETWORK | 1 |
Tina Kapur | US | Cambridge | 2015-11-26 / 20150338477 - AN ACTIVE TRACKING SYSTEM AND METHOD FOR MRI | 1 |
Rahul Kapur | US | San Francisco | 2012-11-15 / 20120290857 - ADAPTIVE NETWORK AND METHOD | 2 |
Ashish Kapur | US | Santa Clara | 2016-03-24 / 20160087845 - SUPPORT FOR CONVERGED FIBER CHANNEL OVER ETHERNET (FCOE) TRAFFIC ON SOFTWARE DEFINED NETWORKS (SDNS) | 6 |
Ravl Kapur | US | Stoughton | 2012-07-05 / 20120171666 - Rare Cell Analysis Using Sample Splitting And DNA Tags | 1 |
Mridula Kapur | US | Freeport | 2015-07-23 / 20150203672 - ETHYLENE-BASED POLYMER COMPOSITIONS, AND ARTICLES PREPARED FROM THE SAME | 1 |
Sukhdev Kapur | US | Saratoga | 2013-01-17 / 20130018999 - PLACEMENT OF SERVICE DELIVERY LOCATIONS OF A DISTRIBUTED COMPUTING SERVICE BASED ON LOGICAL TOPOLOGYAANM MERRILL; JoshuaAACI ParkerAAST COAACO USAAGP MERRILL; Joshua Parker CO USAANM DAI; HuidaAACI CupertinoAAST CAAACO USAAGP DAI; Huida Cupertino CA USAANM ZHU; JiangAACI SunnyvaleAAST CAAACO USAAGP ZHU; Jiang Sunnyvale CA USAANM KAPUR; SukhdevAACI SaratogaAAST CAAACO USAAGP KAPUR; Sukhdev Saratoga CA USAANM BANERJEE; SubrataAACI Los AltosAAST CAAACO USAAGP BANERJEE; Subrata Los Altos CA USAANM ELDER; DannyAACI San DiegoAAST CAAACO USAAGP ELDER; Danny San Diego CA USAANM GANESAN; AshokAACI San JoseAAST CAAACO USAAGP GANESAN; Ashok San Jose CA USAANM ZHANG; ShujinAACI Palo AltoAAST CAAACO USAAGP ZHANG; Shujin Palo Alto CA USAANM MEDVED; JanAACI PleasantonAAST CAAACO USAAGP MEDVED; Jan Pleasanton CA US | 2 |
Navneet Kapur | US | Sunnyvale | 2016-03-24 / 20160086289 - DETERMINE A SCHOOL RANK UTILIZING ON-LINE SOCIAL NETWORK DATA | 8 |
Ashwani Kapur | CA | Kitchener | 2012-11-15 / 20120286530 - MANUAL LIFTING DEVICE | 1 |
Rohit Kapur | US | Cupertino | 2015-12-17 / 20150363295 - COMMAND COVERAGE ANALYZER | 22 |
Ravi Kapur | US | 2012-01-12 / 20120006760 - MICROFLUIDIC DEVICE FOR CELL SEPARATION AND USES THEREOF | 1 | |
Nikil Kapur | GB | Leeds | 2016-02-04 / 20160032909 - LIQUID DISPENSING DEVICES AND METHODS OF CONTROLLING THE SAME | 1 |
Vaibhav Kapuria | US | Houston | 2010-11-18 / 20100292229 - TRYPHOSTIN-ANALOGS FOR THE TREATMENT OF CELL PROLIFERATIVE DISEASES | 1 |
Anuj Kapuria | IN | Gurgaon | 2011-02-17 / 20110037595 - DRIVER STATE MONITORING SYSTEM | 1 |
Anuj Kapuria | IN | Haryana | 2013-11-07 / 20130293711 - REMOTE SURVEILLANCE SYSTEM | 1 |
Paul Kapus | AT | Judendorf | 2013-02-14 / 20130037005 - INTERNAL COMBUSTION ENGINE HAIVNG CYLINDER DEACTIVATION | 7 |
Paul Kapus | AT | Judenburg | 2014-05-15 / 20140130769 - EXHAUST GAS LINE OF AN INTERNAL COMBUSTION ENGINE | 2 |
Gabor Kapus | HU | Pecel | 2010-07-29 / 20100190778 - 3,4-DIHYDROBENZO[1,2,3]THIADIAZINE-1,1-DIOXIDE DERIVATIVES, PROCESS FOR PREPARATION THEREOF, MEDICAMENTS CONTAINING SAID DERIVATIVES AND THEIR USE | 3 |
Martina Kapuschinski | DE | Wurzburg | 2012-02-16 / 20120040099 - SOL AND METHOD FOR PRODUCING A DELAFOSSITE MIXED OXIDE LAYER STRUCTURE ON A SUBSTRATE AND ALSO A SUBSTRATE COATED WITH A MIXED OXIDE | 1 |
Misha Kapushesky | GB | Cambridge | 2015-09-17 / 20150261914 - APPARATUS AND METHODS FOR ANALYSING BIOCHEMICAL DATA | 1 |
Joseph L. Kapushion | US | Erie | 2009-12-10 / 20090301472 - AEROSOL DELIVERY SYSTEMS AND METHODS | 1 |
Michael J. Kapust | US | Tewksbury | 2015-03-19 / 20150081089 - AUTOMATED STORAGE AND RETRIEVAL SYSTEM | 1 |
Gregory J. Kapust | US | San Ramon | 2015-09-10 / 20150250973 - NASAL INTERFACE APPARATUS AND SYSTEMS FOR USE WITH A RESPIRATORY ASSIST DEVICE | 1 |
Michael E. Kapust | US | Tewksbury | 2015-10-29 / 20150306805 - METHODS OF MANUFACTURING A MULTI-LUMEN DEVICE | 2 |
Gregory Kapust | US | San Ramon | 2016-04-07 / 20160095997 - Methods, Systems and Devices for Non-Invasive Open Ventilation for Treating Airway Obstructions | 18 |
Ronald A. Kapusta | US | Bedford | 2015-04-02 / 20150091744 - ACCURACY ENHANCEMENT TECHNIQUES FOR ADCs | 7 |
Maciej P. Kapusta | US | Knoxville | 2015-10-08 / 20150285922 - Silicon Photomultiplier Based TOF-PET Detector | 1 |
Josef Kapusta | PL | Poznan | 2010-12-09 / 20100313298 - EXPRESSION CASSETTE, T-DNA MOLECULE, PLANT EXPRESSION VECTOR, TRANSGENIC PLANT CELL AS WELL AS THEIR USE IN THE MANUFACTURING OF A VACCINE | 1 |
Ronald A. Kapusta | US | Waltham | 2010-12-30 / 20100327934 - DIGITAL DELAY LINES | 8 |
Ronald Alan Kapusta | US | Bedford | 2015-12-24 / 20150373277 - ADAPTIVE GAIN ADJUSTMENT IN AN IMAGING SYSTEM | 1 |
Christopher Kapusta | US | Delanson | 2016-05-05 / 20160126195 - NON-MAGNETIC PACKAGE AND METHOD OF MANUFACTURE | 2 |
Christopher James Kapusta | US | Schenectady | 2015-11-26 / 20150340744 - BATTERY CELL HEALTH MONITORING USING EDDY CURRENT SENSING | 1 |
Evelyn W. Kapusta | US | Cambridge | 2009-06-04 / 20090142073 - METHOD AND APPARATUS FOR MAINTAINING A COHERENT COMBINED BEAM DURING ARBITRARY STEERING | 1 |
Chirstopher James Kapusta | US | Delanson | 2009-04-16 / 20090096088 - SEALED WAFER PACKAGING OF MICROELECTROMECHANICAL SYSTEMS | 1 |
Arkady K. Kapusta | IL | Beer-Sheva | 2009-01-22 / 20090021336 - INDUCTOR FOR THE EXCITATION OF POLYHARMONIC ROTATING MAGNETIC FIELDS | 1 |
Ronald Kapusta | US | Bedford | 2013-10-03 / 20130257488 - BALANCED IMPEDANCE METHOD FOR DIFFERENTIAL SIGNALING | 6 |
Arkady Kapusta | IL | Beer-Sheva | 2008-12-25 / 20080316782 - SYSTEM AND METHOD FOR PRODUCTING ANHARMONIC MULTI-PHASE CURRENTS | 1 |
Christopher James Kapusta | US | Delanson | 2015-05-14 / 20150133753 - ARRAYS OF EMITTERS FOR PULSE OXIMETRY DEVICES AND METHODS OF MAKING THE SAME | 21 |
John Kapusta | US | Novi | 2011-03-17 / 20110061281 - FIREARM HAND GUARD RAIL SYSTEM | 1 |
Ronald A. Kapusta | US | Waltham | 2010-12-30 / 20100327934 - DIGITAL DELAY LINES | 8 |
Ronald A. Kapusta, Jr. | US | Waltham | 2011-12-08 / 20110298643 - ADAPTIVE BIAS CURRENT GENERATOR METHODS AND APPARATUS | 4 |
Howard S. Kapustein | US | Issaquah | 2015-06-25 / 20150178495 - Pervasive Package Identifiers | 2 |
Alexander N. Kapustin | GB | London | 2013-01-17 / 20130017562 - Assay for Vascular CalcificationAANM Shanahan; Catherine M.AACI LondonAACO GBAAGP Shanahan; Catherine M. London GBAANM Kapustin; Alexander N.AACI LondonAACO GBAAGP Kapustin; Alexander N. London GB | 1 |
Volodymyr Kapustin | UA | Kiev | 2010-11-04 / 20100280506 - METHOD AND APPARATUS FOR MEDICAL TREATMENT UTILIZING LASER IRRADIATION | 1 |
Dmitry V. Kapustin | RU | Moscow | 2012-05-10 / 20120112058 - SURFACE COATING FOR LASER DESORPTION IONIZATION MASS SPECTROMETRY OF MOLECULES | 1 |
Theodore W. Kapustka | US | Glastonbury | 2015-07-23 / 20150204212 - HIGH DURABILITY TURBINE EXHAUST CASE | 6 |
Avraham Kapuya | US | Sunnyvale | 2012-01-05 / 20120005419 - System Architecture For Integrated Hierarchical Query Processing For Key/Value Stores | 1 |
Nicolas P. Kapuza | US | Chicago | 2016-03-10 / 20160070553 - METHODS AND APPARATUS FOR UNINSTALLING A SOFTWARE APPLICATION | 1 |
Jarmo Kapyla | FI | Jyvaskyla | 2008-10-16 / 20080255169 - Sulphonamide Derivatives | 1 |
Jarmo Kapyla | FI | Lieto | 2009-11-19 / 20090286713 - Collagen Receptor I-Domain Binding Modulators | 4 |
Mahaprasad Kar | US | Bartlesville | 2014-04-24 / 20140110271 - ELECTROCHEMICAL REFORMING OF OXYGENATE MIXTURES | 3 |
Dipak Kar | IN | Kolkata | 2012-08-09 / 20120202868 - METHOD FOR TREATMENT OF BRONCHIAL ASTHMA | 1 |
Archana Kar | US | Reno | 2009-03-26 / 20090082865 - BIOCERAMIC COATED APPARATUS AND METHOD OF FORMING THE SAME | 1 |
Satyanarayan Kar | IN | Bangalore | 2016-01-07 / 20160004501 - AUDIO COMMAND INTENT DETERMINATION SYSTEM AND METHOD | 8 |
Swarnendu Kar | US | Hillsboro | 2015-12-31 / 20150381609 - WEARABLE ELECTRONIC DEVICES | 7 |
Koushik Kar | US | Hawthorne | 2009-10-22 / 20090262646 - Transport protocol for efficient aggregation of heterogeneous losssy paths | 1 |
Kamal Krishna Kar | IN | Kanpur | 2012-05-31 / 20120132864 - CARBON NANOFIBER/CARBON NANOCOIL - COATED SUBSTRATE AND NANOCOMPOSITES | 3 |
Anindeep Kar | US | St. Charles | 2015-01-29 / 20150032621 - METHOD AND SYSTEM FOR PROXIMITY FRAUD CONTROL | 1 |
Gouri Sankar Kar | BE | Heverlee | 2014-03-06 / 20140065794 - Method for Forming a Buried Dielectric Layer Underneath a Semiconductor Fin | 3 |
Kevin B. Kar | US | Grand Haven | 2015-05-07 / 20150124308 - THIN-FILM COATINGS, ELECTRO-OPTIC ELEMENTS AND ASSEMBLIES INCORPORATING THESE ELEMENTS | 1 |
Saibal Kar | US | Los Angeles | 2011-06-30 / 20110160765 - METHODS AND DEVICES FOR TREATMENT OF FISTULAS | 1 |
Swayambhuba Kar | US | Issaquah | 2015-06-25 / 20150178120 - Method And System For Estimating Power Consumption For Aggregate System Workload | 1 |
Satarupa Kar | IN | Kharagpur | 2011-06-16 / 20110145951 - TRANSGENIC SWEET SORGHUM WITH ALTERED LIGNIN COMPOSITION AND PROCESS OF PREPARATION THEREOF | 2 |
Joseph M. Kar | US | Sherman Oaks | 2014-09-18 / 20140279140 - METHODS FOR FACILITATING CONSIGNMENT AND SALES OF INVENTORY OR SERVICES, AND FOR TRIGGERING CONTENT | 1 |
Gouri Sankar Kar | DE | Dresden | 2010-04-15 / 20100090348 - Single-Sided Trench Contact Window | 2 |
Narayan Chandra Kar | CA | Windsor | 2013-10-31 / 20130285453 - METHOD AND APPARATUS FOR SEAMLESS POWER TRANSFER | 1 |
Satyanarayan Kar | IN | Bangalore | 2016-01-07 / 20160004501 - AUDIO COMMAND INTENT DETERMINATION SYSTEM AND METHOD | 8 |
Malathi Kar | IN | Delhi | 2014-01-02 / 20140003135 - SRAM BITCELL IMPLEMENTED IN DOUBLE GATE TECHNOLOGY | 1 |
Ajoy Kumar Kar | GB | Edinburgh | 2013-08-15 / 20130208358 - Method Of Forming An Optical Device By Laser Scanning | 2 |
Aravinda Kar | US | Orlando | 2015-11-26 / 20150338718 - ACOUSTO-OPTIC DEFLECTOR WITH MULTIPLE TRANSDUCERS FOR OPTICAL BEAM STEERING | 1 |
Sudip Kumar Kar | IN | Kolkata | 2011-02-03 / 20110028440 - Simultaneous Method for the Preparation of a Mixture of 3-acetoxy-17-acetamido-16-formyl-androst-5,17-diene and 3-acetoxy-2'-chloro-5-androsteno[17,16-b]pyridine | 1 |
Anil Krishna Kar | IN | Kolkata | 2012-12-27 / 20120328896 - REINFORCING BAR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Jyoti Prakash Kar | KR | Seoul | 2010-05-13 / 20100116780 - METHOD FOR PATTERNING NANOWIRES ON SUBSTRATE USING NOVEL SACRIFICIAL LAYER MATERIAL | 1 |
Siddharth Kar | US | Palo Alto | 2015-04-09 / 20150100591 - Determining a Community Page for a Concept in a Social Networking System | 10 |
Santosh Kumar Kar | IN | New Delhi | 2011-08-04 / 20110190399 - CURCUMIN NANOPARTICLES AND METHODS OF PRODUCING THE SAME | 1 |
Aravinda Kar | US | Oviedo | 2015-04-09 / 20150098481 - OPTICAL SIGNAL AMPLIFICATION | 14 |
Nam Fung Kar | US | Brooklyn | 2014-02-27 / 20140057893 - SUBSTITUTED CYCLOPROPLY COMPOUNDS, COMPOSITIONS CONTAINING SUCH COMPOUNDS AND METHODS OF TREATMENT | 7 |
Upendra K. Kar | IN | Dhenkanal | 2015-06-04 / 20150150944 - Vault Complexes for Cytokine Delivery | 2 |
Simkie Kar | US | East Hanover | 2014-08-21 / 20140234478 - SYSTEM AND METHOD FOR PRINTING ON CHEWING GUM | 1 |
Pritish Kar | US | Cary | 2013-11-14 / 20130299858 - LIGHT EMITTING DIODE (LED) CONTACT STRUCTURES AND PROCESS FOR FABRICATING THE SAME | 1 |
Kishore K. Kar | US | Midland | 2014-03-06 / 20140060329 - MECHANICAL GAS POCKET PREVENTER/BREAKER | 7 |
Ibrahim Kar | DE | Koln | 2015-10-08 / 20150284648 - TEMPERATURE MONITORING IN A GASIFICATION REACTOR | 5 |
Kohitij Kar | US | New Brunswick | 2015-11-19 / 20150328447 - Enhancement of Sensory Sensitivity by Transcranial Alternating Current Stimulation | 1 |
Krishnendu Kar | US | South Lyon | 2015-02-19 / 20150051808 - ENGINE CONTROL SYSTEMS AND METHODS FOR ACCELERATOR PEDAL TIP-OUT | 17 |
Biswajit Kar | US | Houston | 2011-02-24 / 20110046726 - Apparatus for Implanting an Aortic Valve Prosthesis | 1 |
Simkie Kar | US | Hackensack | 2013-08-22 / 20130216648 - CHEWING GUM COMPOSITIONS PROVIDING FLAVOR RELEASE PROFILES | 2 |
Siddharth Kar | US | Menlo Park | 2014-02-06 / 20140040729 - PERSONALIZING A WEB PAGE OUTSIDE OF A SOCIAL NETWORKING SYSTEM WITH CONTENT FROM THE SOCIAL NETWORKING SYSTEM DETERMINED BASED ON A UNIVERSAL SOCIAL CONTEXT PLUG-IN | 1 |
Upendra K. Kar | US | Oakland | 2015-06-04 / 20150150821 - Vault Immunotherapy | 1 |
Jayashree Kar | US | Saratoga | 2009-10-15 / 20090259787 - NOISE REDUCTION METHOD BY IMPLEMENTING CERTAIN PORT-TO-PORT DELAY | 1 |
Soham Kar | IN | Bangalore | 2009-10-22 / 20090265724 - SYSTEM ELIMINATING HARDWARE DUPLICATION DURING APPLICATION TESTING OF AN INTERNAL STORAGE ARRAY ACROSS DIFFERENT OPERATING SYSTEMS | 1 |
Siddharth Kar | US | San Francisco | 2016-05-12 / 20160132570 - SEARCHING TOPICS BY HIGHEST RANKED PAGE IN A SOCIAL NETWORKING SYSTEM | 2 |
Mukta L. Kar | US | Louisville | 2014-07-10 / 20140192982 - TRANSPORT OF PARTIALLY ENCRYPTED MEDIA | 1 |
Nilanjana Kar | DE | Dossenheim Heidelberg | 2012-08-02 / 20120197011 - ESTERS OF CELLULOSIC MATERIALS AND DIACIDS AND METHOD OF MAKING THEREOF | 1 |
Swastik Kar | US | Boston | 2015-08-27 / 20150243826 - TUNABLE HETEROJUNCTION FOR MULTIFUNCTIONAL ELECTRONICS AND PHOTOVOLTAICS | 1 |
Ari Kar | US | Midland | 2014-07-24 / 20140202696 - INTERPENETRATING POLYMER NETWORK DEFORMABLE PROPPANT | 1 |
Soummya Kar | US | Pittsburgh | 2015-01-22 / 20150025696 - Distributed Methods and Software For Balancing Supply and Demand In An Electric Power Network | 1 |
Gautam Kar | US | Yorktown Heights | 2010-01-21 / 20100017350 - Method and Apparatus for Automatically Structuring Free Form Heterogeneous Data | 7 |
Chinmaya Kar | IN | Bangalore | 2015-09-17 / 20150260397 - INTEGRATED SMOKE MONITORING AND CONTROL SYSTEM FOR FLARING OPERATIONS | 10 |
Moumita Kar | IN | Secunderabad | 2013-05-16 / 20130123323 - FUNGICIDAL PYRAZOLES | 1 |
Siddharth Kar | US | Palo Alto | 2015-04-09 / 20150100591 - Determining a Community Page for a Concept in a Social Networking System | 10 |
Gouri Sankar Kar | BE | Leuven | 2015-06-25 / 20150179705 - THREE-DIMENSIONAL RESISTIVE MEMORY ARRAY | 2 |
Chinmaya Kar | IN | Bangalore | 2015-09-17 / 20150260397 - INTEGRATED SMOKE MONITORING AND CONTROL SYSTEM FOR FLARING OPERATIONS | 10 |
Swastik Kar | US | Belmont | 2015-10-01 / 20150276677 - Ultrasensitive Ion Detector Using Carbon Nanotubes or Graphene | 2 |
Moumita Kar | IN | Hyderabad, Andhra Pradesh | 2016-03-24 / 20160081337 - FUNGICIDAL AMIDES | 1 |
Pallavi Kar | IN | New Delhi | 2016-03-10 / 20160068121 - INTELLIGENT DETERMINATION AND USAGE OF ENERGY IN ENERGY SYSTEMS | 1 |
Swastik Kar | US | Troy | 2012-05-24 / 20120128880 - CARBON NANOTUBE GROWTH ON METALLIC SUBSTRATE USING VAPOR PHASE CATALYST DELIVERY | 1 |
Aravinda Kar | US | Oviedo | 2015-04-09 / 20150098481 - OPTICAL SIGNAL AMPLIFICATION | 14 |
Mahaprasad Kar | US | Ponca City | 2016-03-24 / 20160083857 - VOLTAGE EFFICIENCY OF ALKALINE WATER ELECTROLYSIS BY USING A MIXED METAL OXIDE CATHODE CATALYST | 1 |
Krishnendu Kar | US | South Lyon | 2015-02-19 / 20150051808 - ENGINE CONTROL SYSTEMS AND METHODS FOR ACCELERATOR PEDAL TIP-OUT | 17 |
Kenneth Kar | US | Belmont | 2012-04-12 / 20120089343 - DETECTION OF ETHANOL EMISSION FROM A SPARK IGNITION ENGINE OPERATING ON GASOHOLS | 1 |
Moumita Kar | IN | Hyderabad | 2014-10-23 / 20140315857 - N- (4 -QUINOLINYLMETHYL) SULFONAMIDE DERIVATIVES AND THEIR USE AS ANTHELMINTICS | 6 |
Gautam Kar | IN | Chennai | 2015-10-15 / 20150294263 - SHIP PERFORMANCE ANALYSIS AND LOG MANAGEMENT | 1 |
Simkie Kar | US | Whippany | 2014-10-02 / 20140295024 - FLAVOR PRE-BLENDS FOR CHEWING GUM, METHODS OF MAKING FLAVOR PRE-BLENDS AND CHEWING GUM COMPOSITIONS THEREOF | 1 |
Palash Kar | IN | Hyderabad | 2013-07-18 / 20130185719 - THROTTLING GUEST WRITE IOS BASED ON DESTINATION THROUGHPUT | 2 |
Mukta Kar | US | Louisville | 2013-12-26 / 20130342690 - Technique for Enhancing the Quality of Compressed Video Images | 2 |
Debnarayan Kar | IN | Kolkata | 2014-12-04 / 20140359552 - Computer Platform for Development and Deployment of Sensor Data Based Applications and Services | 1 |
Deepanjan Kar | IN | Bangalore | 2013-12-05 / 20130326275 - HARDWARE PLATFORM VALIDATION | 1 |
Suryamani Kar | US | Santa Clara | 2014-06-12 / 20140160919 - METHOD AND NETWORK ELEMENT TO LIMIT SERVICE DISRUPTION DUE TO A FAILURE ON A LAYER 2 INTERFACE | 1 |
Palash Kar | US | Redmond | 2014-07-24 / 20140208012 - VIRTUAL DISK REPLICATION USING LOG FILES | 1 |
Sule Kara | AU | Coogee | 2011-03-24 / 20110071596 - ELECTRODE CONTACTS FOR A MEDICAL IMPLANT | 2 |
Meryem Oznur Pehlivaner Kara | US | Ithaca | 2014-07-10 / 20140190730 - CONDUCTING POLYMER NANOFIBERS, METHODS OF MAKING AND USING SAME, AND USES THEREOF | 1 |
Kubi Kara | US | Columbus | 2015-10-29 / 20150309508 - Gyroscope Based Radio Transmitter for Model Vehicles | 1 |
Zeynep Kara | DE | Stuttgart | 2014-09-18 / 20140272188 - ANTI-FRICTION COATING TO PISTON ASSEMBLY | 1 |
Levent Burak Kara | US | Pittsburgh | 2015-03-19 / 20150077417 - Methods and Software for Volume-Centric Shape Abstraction and Simplification of a 3D Digital Model | 2 |
Seyfettin Kara | DE | Spenge | 2016-05-12 / 20160128532 - FLOOR CARE DEVICE FOR APPLYING A FLOOR CARE FLUID | 14 |
Hasan Kubilay Kara | US | Columbus | 2015-10-29 / 20150309508 - Gyroscope Based Radio Transmitter for Model Vehicles | 1 |
Seyfettin Kara | DE | Spenge | 2016-05-12 / 20160128532 - FLOOR CARE DEVICE FOR APPLYING A FLOOR CARE FLUID | 14 |
Karim Kara | FR | Chabeuil | 2010-09-16 / 20100231626 - Cancellation Apparatus | 1 |
Jordin T. Kara | US | Seattle | 2014-02-06 / 20140039357 - SYSTEM, DEVICES, AND METHODS INCLUDING STERILIZING EXCITATION DELIVERY IMPLANTS WITH GENERAL CONTROLLERS AND ONBOARD POWER | 1 |
Mikko Kara | FI | Veikkola | 2011-06-23 / 20110146156 - METHOD FOR PRODUCING A FUEL BY GASIFICATION IN A HIGH-TEMPERATURE GASIFIER | 1 |
Maximilian Kara | DE | Erlenbach | 2010-12-16 / 20100316796 - METHOD FOR PRODUCING RAISED MARKING ON A GLASS OBJECT | 2 |
Bhupendra Vallabh Kara | GB | Billingham | 2016-03-17 / 20160076051 - Expression Process | 5 |
Ali Kara | DE | Prisdorf | 2013-01-03 / 20130001372 - LINE BRACKET FOR MOUNTING ON A SUPPORT STRUCTURE OF AN AIRPLANE | 1 |
Salim G. Kara | CA | Markham | 2011-03-31 / 20110078091 - SYSTEM AND METHOD FOR REMOTE POSTAGE METERING | 1 |
Bhupendra Vallabh Kara | GB | Cleveland | 2012-08-16 / 20120207705 - Stem Cell Conditioned Medium Compositions | 6 |
Arda Kara | US | Brentwood | 2014-11-27 / 20140348723 - METHOD AND APPARATUS FOR STERILIZING INFECTIOUS MATERIAL | 2 |
Feridun Arda Kara | US | New York | 2016-04-21 / 20160110369 - SCHEMATIC AND DATABASE LINKING SYSTEM | 1 |
Salim G. Kara | CA | Ontario | 2011-03-17 / 20110066574 - Postage Server System and Method | 2 |
Yacine Kara | FR | Paris | 2012-05-03 / 20120102796 - DISPLAY MODULE FOR VECHILES | 1 |
Elodie Kara | FR | Tours | 2015-10-08 / 20150285825 - COMPOSITION OF CONJUGATES AND USES | 2 |
Nihat Kara | DE | Walddorfhaeslach | 2014-08-21 / 20140235101 - SHIELDED PLUG CONNECTOR AND METHOD FOR PRODUCING A SHIELDED PLUG CONNECTOR | 1 |
Yuecel Kara | DE | Augsburg | 2015-07-09 / 20150191315 - FEED DEVICE AND METHOD | 1 |
Feridun Arda Kara | US | Palo Alto | 2014-12-11 / 20140365884 - VOICE COMMAND RECORDING AND PLAYBACK | 1 |
Ali Kara | TR | Bursa | 2013-07-18 / 20130183408 - POLYETHYLENE GLYCOL LACTID COATING ON FRESH EGG | 1 |
Sule Kara | AU | New South Wales | 2011-10-20 / 20110257702 - SELF-ASSEMBLED MONOLAYER COATING ON ELECTRICALLY CONDUCTIVE REGIONS OF A MEDICAL IMPLANT | 1 |
Arman Kara | DE | Eching | 2009-11-19 / 20090287385 - Method For Controlling A Shift Point In An Automatic Transmission | 1 |
Dillip Kumar Kara | US | Monmouth Junction | 2013-08-29 / 20130226689 - DATA CAPTURE FOR USER INTERACTION WITH PROMOTIONAL MATERIALS | 1 |
Salim G. Kara | CA | Thornhill | 2012-09-13 / 20120232970 - Intelligent postage stamp printer | 1 |
Tomas Kara | US | Rochester | 2008-09-04 / 20080214943 - Detection of Blood Flow Using Emitted Light Absorption | 1 |
Hassen Karaa | US | San Francisco | 2016-03-17 / 20160080360 - Detection And Repair Of Broken Single Sign-On Integration | 2 |
Hassen Karaa | US | Bellevue | 2014-09-11 / 20140259178 - LIMITING ENTERPRISE APPLICATIONS AND SETTINGS ON DEVICES | 2 |
Mehmet Karaaslan | TR | Sanliurfa | 2012-07-26 / 20120192311 - RIPENING PROMOTER | 1 |
Rezzan Karaaslan | TR | Istanbul | 2010-02-11 / 20100031452 - AZO DYES | 1 |
Ibrahim Karaaslan | TR | Ankara | 2015-05-07 / 20150127850 - COMMUNICATION LAYER STRUCTURE FOR COMPUTING DEVICE COMMUNICATION | 1 |
Atanas Karaatanasov | BG | Plovdiv | 2013-01-31 / 20130029822 - APPARATUS AND METHOD FOR INSERTION OF CAPSULES INTO FILTER TOWS | 2 |
Kiril Karaatanassov | BG | Sofia | 2015-03-12 / 20150074743 - EXTENSIBLE MULTI-TENANT CLOUD-MANAGEMENT SYSTEM AND METHODS FOR EXTENDING FUNCTIONALITIES AND SERVICES PROVIDED BY A MULTI-TENANT CLOUD-MANAGMENT SYSTEM | 1 |
Matthew M. Karaba | US | Oxford | 2015-07-23 / 20150203151 - VEHICLE LANE CONTROL USING DIFFERENTIAL TORQUE | 5 |
Enver Karabacak | TR | Izmir | 2010-11-25 / 20100297506 - TETRABASIC LEAD OXIDE AND LEAD MONOXIDE COMPOSITION TO BE USED IN LEAD-ACID BATTERIES AND IT'S METHOD OF PRODUCTION | 1 |
Rassul Karabalin | US | Los Angeles | 2014-10-30 / 20140320219 - PASSIVE PHASE NOISE CANCELLATION ELEMENT | 2 |
Rassul Bulatovich Karabalin | US | North Hills | 2011-01-06 / 20110001392 - HIGHLY EFFICIENT, CHARGE DEPLETION-MEDIATED, VOLTAGE-TUNABLE ACTUATION EFFICIENCY AND RESONANCE FREQUENCY OF PIEZOELECTRIC SEMICONDUCTOR NANOELECTROMECHANICAL SYSTEMS RESONATORS | 2 |
Rassul Karabalin | US | Pomona | 2011-10-27 / 20110260802 - PARAMETRIC FEEDBACK OSCILLATORS | 1 |
Stefanos Karabas | CA | Etobicoke | 2012-01-19 / 20120012228 - LEAF FUNNEL | 1 |
Chris Karabatsos | US | Kingtston | 2008-09-18 / 20080225476 - TAB WRAP FOLDABLE ELECTRONIC ASSEMBLY MODULE AND METHOD OF MANUFACTURE | 1 |
Chris Karabatsos | US | Kingston | 2013-06-13 / 20130146019 - Engine Crankshaft and Method of Use | 8 |
Chris Karabatsos | US | Kingston | 2013-06-13 / 20130146019 - Engine Crankshaft and Method of Use | 8 |
Razmik Karabed | US | San Jose | 2015-12-31 / 20150380050 - Multi-Level Enumerative Encoder And Decoder | 19 |
Sanjin Karabegovic | US | New York | 2014-07-24 / 20140207500 - SYSTEM AND METHOD FOR EVENT HOSPITALITY PROGRAM MANAGEMENT | 2 |
George Karabelas | GB | Tyne & Wear | 2014-05-01 / 20140116665 - METHOD AND APPARATUS FOR MAINTAINING A MINIMUM TEMPERATURE IN A FLUID | 5 |
Anastasios J. Karabelas | GR | Kalamaria | 2011-11-24 / 20110284480 - Integrated System for Monitoring Permeate Quality in Water Treatment Facilities | 1 |
Argeris Karabelas | US | Portsmouth | 2014-07-24 / 20140206722 - METHODS OF USE OF PHENOXYPROPYLAMINE COMPOUNDS TO TREAT DEPRESSION | 1 |
Argeris Karabelas | GB | London | 2013-01-17 / 20130018091 - TREATMENT OF ALLODYNIA AND HYPERALGESIA | 1 |
George Karabelas | GB | Newcastle-Upon-Tyne | 2014-12-25 / 20140373964 - FLEXIBLE PIPE BODY AND METHOD | 3 |
Argeris Jerry Karabelas | US | Princeton | 2011-09-29 / 20110238003 - METHOD FOR SYSTEMIC DRUG DELIVERY THROUGH THE NAIL | 1 |
Anastasios J. Karabelas | GR | Kalamarie | 2012-04-05 / 20120080058 - Application of Rejection Enhancing Agents (REAs) that do not have Cloud Point Limitations on Desalination Membranes | 1 |
George Karabelas | GB | Tyne And Wear | 2014-02-20 / 20140049039 - FLUID SEALING | 1 |
Anastasios J. Karabelas | GR | Thermi-Thessaloniki | 2014-10-30 / 20140319029 - Integrated System for Monitoring Permeate Quality in Water Treatment Facilities | 1 |
George Karabelas | GB | Houghton Le Spring | 2015-03-19 / 20150076813 - RADIUS CONTROL | 4 |
Argeris N. Karabelas | US | Portsmouth | 2013-10-17 / 20130274290 - METHODS OF USE OF CYCLIC AMIDE DERIVATIVES TO TREAT SIGMA RECEPTOR MEDIATED DISORDERS | 2 |
Halil I. Karabey | US | San Jose | 2012-11-15 / 20120289990 - STRUCTURES FOR PERMANENT OCCLUSION OF A HOLLOW ANATOMICAL STRUCTURE | 6 |
Bugra Karabey | TR | Ankara | 2010-12-30 / 20100333002 - METHOD AND TOOL FOR INFORMATION SECURITY ASSESSMENT THAT INTEGRATES ENTERPRISE OBJECTIVES WITH VULNERABILITIES | 1 |
Onur Hamza Karabey | DE | Neu-Isenburg | 2015-12-31 / 20150380789 - PHASE SHIFT DEVICE | 2 |
Halil Ibrahim Karabey | US | San Jose | 2014-06-05 / 20140155879 - METHODS AND APPARATUS FOR INTRODUCING TUMESCENT FLUID TO BODY TISSUE | 1 |
Halil I. Karabey | US | 2011-10-27 / 20110259343 - METHOD FOR PERMANENT OCCLUSION OF FALLOPIAN TUBE | 1 | |
Halil Karabey | US | San Jose | 2015-12-03 / 20150342674 - METHODS AND APPARATUS FOR INTRODUCING TUMESCENT FLUID TO BODY TISSUE | 2 |
Arif Karabeyoglu | US | Portola Valley | 2009-02-05 / 20090031700 - Mixtures of oxides of nitrogen and oxygen as oxidizers for propulsion, gas generation and power generation applications | 1 |
Christopher R. Karabin | US | White Plains | 2010-01-28 / 20100023267 - Method and system for determining cloud-to-ground lightning information | 1 |
Lynette M. Karabin | US | Ruffs Dale | 2016-05-19 / 20160138400 - ALUMINUM ALLOYS HAVING IRON, SILICON, VANADIUM AND COPPER | 3 |
Richard F. Karabin | US | Ruffs Dale | 2015-10-29 / 20150307722 - METHODS FOR PRODUCING 1,5,7-TRIAZABICYCLO[4.4.0]DEC-5-ENE BY REACTION OF A DISUBSTITUTED CARBODIIMIDE AND DIPROPYLENE TRIAMINE | 19 |
Tadeusz Karabin | US | Bellingham | 2015-05-07 / 20150122668 - Apparatuses and Methods for Conditioning Water, and Systems and Processes Incorporating Same | 4 |
Richard F. Karabin | US | Ruffs Dale | 2015-10-29 / 20150307722 - METHODS FOR PRODUCING 1,5,7-TRIAZABICYCLO[4.4.0]DEC-5-ENE BY REACTION OF A DISUBSTITUTED CARBODIIMIDE AND DIPROPYLENE TRIAMINE | 19 |
Walter Karabin | CA | King City | 2015-12-24 / 20150371168 - COLLABORATIVE PROJECT MANAGEMENT PLATFORM USING A PLACE-CENTRIC METHOD AND SYSTEM FOR CAPTURING AND ORGANIZING PROJECT INFORMATION | 3 |
Richard F. Karabin | US | Ruffs Dales | 2010-09-30 / 20100243108 - METHOD FOR TREATING AND/OR COATING A SUBSTRATE WITH NON-CHROME MATERIALS | 1 |
Josh Karabin | US | Pittsburgh | 2014-09-18 / 20140270196 - METHOD AND SYSTEM FOR MITIGATING DELAY IN RECEIVING AUDIO STREAM DURING PRODUCTION OF SOUND FROM AUDIO STREAM | 1 |
Peter D. Karabinis | US | Cary | 2016-02-04 / 20160036469 - SYSTEMS/METHODS OF CARRIER AGGREGATION | 74 |
Dimitrios P. Karabinis | US | Cary | 2013-06-13 / 20130146485 - SYSTEMS/METHODS OF MIXING FIRST AND SECOND SUBSTANCES | 1 |
Apostolos Karabinis | GR | Peania | 2014-12-25 / 20140376596 - DIGITAL THERMOMETER OF ANTIMICROBIAL COPPER | 1 |
Peter D. Karabinis | US | Reston | 2012-11-15 / 20120288023 - INCREASED CAPACITY COMMUNICATIONS FOR OFDM-BASED WIRELESS COMMUNICATIONS SYSTEMS/METHODS/DEVICES | 11 |
Dimitrios P. Karabinis | US | Raleigh | 2010-04-08 / 20100084021 - MIXING FIRST AND SECOND SUBSTANCES RESPONSIVE TO OPENING OF A CONTAINER | 1 |
Sami Karaborni | US | Cupertino | 2016-04-28 / 20160113879 - ORAL DOSAGE FORMS OF METHYL HYDROGEN FUMARATE AND PRODRUGS THEREOF | 18 |
Sami Karaborni | US | Cupertino | 2016-04-28 / 20160113879 - ORAL DOSAGE FORMS OF METHYL HYDROGEN FUMARATE AND PRODRUGS THEREOF | 18 |
Gunes Karabulut | CA | Ottawa | 2009-01-01 / 20090003468 - SYSTEM AND METHOD TO OPTIMIZE MULTICARRIER COMMUNICATION | 1 |
Ahmet C. Karabulut | US | Seattle | 2015-05-07 / 20150126519 - ANTIBIOTIC COMPOUNDS AND COMPOSITIONS, AND METHODS FOR IDENTIFICATION THEREOF | 1 |
Yuecel Karabulut | US | Mountain View | 2011-06-23 / 20110154433 - SYSTEM AND METHOD OF ACCESSING DATA OBJECTS IN A DYNAMIC LANGUAGE ENVIRONMENT | 1 |
Yuecel Karabulut | US | Milpitas | 2010-04-08 / 20100088236 - SECURE SOFTWARE SERVICE SYSTEMS AND METHODS | 4 |
Hikmet Karabulut | DE | Duesseldorf | 2011-06-02 / 20110128676 - PROCESS FOR PRODUCING ELECTROLYTIC CAPACITORS HAVING A LOW LEAKAGE CURRENT | 1 |
Celal Karabulut | FR | Fameck | 2012-10-25 / 20120267823 - SYSTEM AND METHOD FOR A PNEUMATIC TIRE MOLD | 1 |
Alexander A. Karabutov | RU | Moscow | 2011-08-04 / 20110187233 - Nondestructive Testing Apparatus and Method | 1 |
Erdal Karaca | DE | Oldenburg | 2011-08-25 / 20110208340 - METHOD FOR OPTIMISING THE LIFE CYCLE OF MEASUREMENT DATA BASED ON THE RETROACTION DURING ASSEMBLING PROCESSES WHILST BEING PRODUCED | 1 |
Erhan Karaca | US | Schenectady | 2013-02-28 / 20130054038 - Systems and Methods for Performing Islanding Operations | 1 |
Esra Karaca | TR | Bursa | 2016-03-24 / 20160083869 - FUNCTIONAL POLYESTER THREAD COMPRISING PEARLITE AND THE PRODUCTION METHOD THEREOF | 1 |
Hueseyin Karaca | DE | Herne | 2012-06-07 / 20120137620 - TOWER BASE SECTION OF A WIND TURBINE, A WIND TURBINE AND A SYSTEM FOR MOUNTING A TOWER | 5 |
Kemal Karaca | US | Athens | 2008-10-02 / 20080241184 - CANINE INFLUENZA VACCINES | 1 |
Erhan Karaca | US | Ballston Lake | 2014-02-20 / 20140047815 - LOAD REJECTION FOR GAS TURBINE | 1 |
Mehmet Karaca | TR | Istanbul | 2015-12-24 / 20150373636 - SYSTEMS AND METHODS FOR SELECTING AN OPTIMUM COMMUNICATION ROUTE IN A WIRELESS NETWORK | 1 |
Erhan Karaca | US | Clifton Park | 2013-03-28 / 20130074945 - FUEL SYSTEM | 7 |
Ozhan Karacal | HK | Hong Kong | 2012-11-29 / 20120302348 - GUN HANDLE ATTACHMENT FOR GAME CONTROLLER | 1 |
Ozgur Karacali | QA | Doha | 2011-06-16 / 20110139442 - METHOD OF DETERMINING END MEMBER CONCENTRATIONS | 1 |
Bengi Karacali-Akyamac | US | Bridgewater | 2014-06-12 / 20140165085 - SYSTEM AND METHOD TO ESTIMATE END-TO-END VIDEO FRAME DELAYS | 11 |
Ömer Karacan | AT | Wien | 2016-02-25 / 20160055745 - Method and Device for Communication Between Road Users and/or Pedestrians and a Traffic Infrastructure on the Basis of an Ad-Hoc Wireless Motor Vehicle Communications System for Traffic Control | 2 |
Ulun Karacaoglu | US | San Diego | 2016-05-12 / 20160134149 - WIRELESS CHARGING UNIT AND COUPLER BASED DOCKING COMBO FOR A WIRELESS DEVICE | 55 |
Turgut Karacay | DE | Weil Der Stadt | 2015-11-26 / 20150336460 - METHOD AND SYSTEM FOR STARTING A MOTOR VEHICLE | 2 |
Slavisha Karach | US | Atlanta | 2014-08-21 / 20140237505 - NETWORK-BASED DIGITAL VIDEO RECORDER PLAYBACK ADAPTER | 1 |
Slavisha Karach | US | Alpharetta | 2016-03-03 / 20160066009 - CLOUD-ENABLED NETWORK-BASED DIGITAL VIDEO RECORDER | 7 |
Jan H. Karachale | US | Sammamish | 2012-08-16 / 20120210266 - Task Switching on Mobile Devices | 1 |
Antonis Karachalios | US | North Wales | 2016-03-17 / 20160076057 - INHIBITION OF METHANE AND HYDROGEN SULFIDE PRODUCTION IN ANAEROBIC DIGESTER ANIMAL FARMS, LANDFILLS, SEDIMENTS AND SEWER SYSTEMS | 7 |
Sandhya Karachiwala | US | Richardson | 2009-08-27 / 20090215020 - INVITATION FOR TRANSFERRING OBJECTS IN A COMMUNICATIONS NETWORK | 1 |
Nihad Karacic | DE | Mainz | 2015-04-16 / 20150101581 - METHOD OF CONTROLLING THE PRESSURE OF A TURBOCHARGER | 1 |
Gabor Karacs | DE | Chemnitz | 2010-11-11 / 20100281687 - METHOD AND SYSTEM FOR ELECTRICALLY COUPLIING AN INFORMATION CARRIER TO A CONTACT ELEMENT | 1 |
Arif Karadag | DE | Leichlingen | 2013-12-12 / 20130328363 - Adjustment mechanism for the longitudinal adjustment of a seat in a motor vehicle | 1 |
Fatih Karadeniz | KR | Busan | 2014-04-17 / 20140107015 - PHARAMACEUTICAL COMPOSITION FOR PREVENTING OR TREATING HUMAN IMMUNODEFICIENCY VIRUS | 1 |
Margaret Karadimas | US | Lisle | 2014-08-28 / 20140242824 - TERMINAL AND ELECTRICAL CONNECTOR WITH SAME | 1 |
Kosmas Karadimitriou | US | Shrewsbury | 2013-06-20 / 20130157242 - GENERATING AND EVALUATING LEARNING ACTIVITIES FOR AN EDUCATIONAL ENVIRONMENT | 2 |
Anastasios Karadimitris | GB | London | 2011-06-09 / 20110136868 - AGENTS FOR INHIBITING OSTEOCLASTOGENESIS AND/OR OSTEOCLAST ACTIVATION | 1 |
Gregory K. Karadjian | US | Salt Lake City | 2015-08-06 / 20150221230 - Simulation Training System | 1 |
Ersin Karaduman | TR | Istanbul | 2012-10-04 / 20120247443 - METHOD AND SYSTEM FOR INCREASING THE SAFETY OF GAS-OPERATED COOKING APPLIANCES | 1 |
John Michael Karaffa | US | Roanoke | 2012-12-06 / 20120311071 - SYSTEMS AND METHODS OF EXTRACTING, STORING, AND SERVING DEVICE DEFINITION FILE INFORMATION | 13 |
John Michael Karaffa | US | Roanoke | 2012-12-06 / 20120311071 - SYSTEMS AND METHODS OF EXTRACTING, STORING, AND SERVING DEVICE DEFINITION FILE INFORMATION | 13 |
Laszlo Karafiat | HU | Viszak | 2015-07-30 / 20150212731 - METHOD FOR INPUTTING TEXT | 2 |
Christos Karafilidis | DE | Dusseldorf | 2008-12-25 / 20080318060 - POLYISOCYANATE MIXTURES, PROCESSES FOR PREPARING THE SAME AND USES THEREFOR | 1 |
Christos Karafilidis | DE | Duesseldorf | 2009-10-01 / 20090247721 - PREPOLYMERS | 1 |
Christos Karafilidis | DE | Leverkusen | 2013-03-07 / 20130059973 - POLYISOCYANATE PREPOLYMERS AND THEIR USE | 7 |
Apostolos Pavlos Karafillis | US | Winchester | 2012-06-21 / 20120156029 - LOW-DUCTILITY TURBINE SHROUD FLOWPATH AND MOUNTING ARRANGEMENT THEREFOR | 3 |
Apostolos Pavlos Karafillis | US | Lynn | 2012-10-04 / 20120247250 - GEARBOX AND OIL SPREADER THEREOF | 1 |
Apostolos Pavlos Karafillis | US | Arlington | 2009-12-03 / 20090297335 - ASYMMETRIC FLOW EXTRACTION SYSTEM | 1 |
Margaret R. Karagas | US | Cambridge | 2009-06-18 / 20090155786 - COMPOSITIONS AND METHODS FOR DETECTING MARKERS OF CANCER | 1 |
George N. Karageorge | US | Portland | 2013-03-28 / 20130079338 - Selective NR2B Antagonists | 1 |
Markos Karageorgis | US | Mountain View | 2009-11-12 / 20090278046 - SENSOR SYSTEMS AND METHODS USING ENTANGLED QUANTUM PARTICLES | 2 |
Markos Karageorgis | US | Palmdale | 2013-02-07 / 20130032700 - LASER DRAWN ELECTRONICS | 1 |
Evangelos Karageorgos | GR | Xanthi | 2010-07-15 / 20100180213 - DOCUMENT CREATION SYSTEM AND METHODS | 1 |
Vicken H. Karageozian | US | Laguna Beach | 2012-08-02 / 20120196937 - TREATMENT OF OPHTHALMIC DISORDERS USING UREA | 1 |
Vicken H. Karageozian | US | San Juan Capistrano | 2013-05-23 / 20130129621 - Integrin Receptor Antagonists and Their Methods of Use | 1 |
Hampar L. Karageozian | US | San Juan Capistrano | 2016-03-10 / 20160067084 - Optic Nerve Implants | 4 |
Hampar Karageozian | US | San Juan Capistrano | 2015-02-26 / 20150056272 - Synergistic Antimicrobial Preparations Containing Chlorite and Hydrogen Peroxide | 4 |
Karine Karagianni | FR | Paris | 2009-11-12 / 20090281001 - Drilling Fluids Comprising Hydroxylated Polymers | 1 |
Katerina Karagianni | FR | Paris | 2015-09-24 / 20150267150 - LIQUID DETERGENT COMPOSITION | 12 |
Katerina Karagianni | FR | Paris | 2015-09-24 / 20150267150 - LIQUID DETERGENT COMPOSITION | 12 |
Aristotelis Karagiannis | US | Amherst | 2016-04-07 / 20160096347 - HIGH IMPACT POLYMER INTERLAYERS | 6 |
Dimitris Karagiannis | US | Alamo | 2010-03-18 / 20100067676 - System and Method of Providing Number Identification in an Intelligent Telephone Network | 1 |
Sotirios Karagiannis | GR | Thessaloniki | 2016-04-07 / 20160099984 - METHOD AND APPARATUS FOR REMOTE, MULTI-MEDIA COLLABORATION, INCLUDING ARCHIVE AND SEARCH CAPABILITY | 1 |
Emmanouil D. Karagiannis | US | Baltimore | 2010-06-10 / 20100144641 - Compositions Having Antiangiogenic Activity and Uses Thereof | 1 |
Emmanouil D. Karagiannis | US | Cambridge | 2014-04-10 / 20140100164 - PEPTIDE MODULATORS OF ANGIOGENESIS AND USE THEREOF | 4 |
Krisanthe Karagiannis | US | Sunset Hills | 2008-09-25 / 20080232720 - Flexible organizer with detachable pocket members | 1 |
Thomas Karagiannis | GB | Cambridge | 2016-03-10 / 20160072704 - RESOURCE CONTROL FOR VIRTUAL DATACENTERS | 15 |
Elia Karagiannis | US | Seattle | 2015-07-09 / 20150193542 - RESULT PROMINENCE IN SEARCH | 4 |
Hariklia Karagiannis | US | Baltimore | 2013-01-10 / 20130009822 - METHOD AND APPARATUS FOR IMPLEMENTING HIGH FIDELITY DOPPLER PHASE AND TIME EFFECTS IN ADVANCED EW STIMULUS SYSTEMS | 1 |
Athanasios Karagiannis | GR | Peristeri | 2012-05-24 / 20120124846 - Molded Product Incorporating a Label, and Razor Handle Comprising Such a Molded Product | 1 |
Sophia Karagiannis | GB | London | 2014-10-30 / 20140322246 - IGE ANTI-HMW-MAA Antibody | 1 |
Antonios L. Karagiannis | US | Raleigh | 2008-10-23 / 20080260418 - System and Method For Remanufacturing Imaging Components | 1 |
Theodore Karagias | US | Seattle | 2016-01-28 / 20160025434 - BOLT MECHANISMS AND FIREARMS CONTAINING THE SAME | 5 |
Jasna Karagic | US | Chicago | 2015-12-17 / 20150361352 - APPARATUSES AND METHODS FOR TREATING MERCAPTANS | 6 |
William Karagitz | US | Royal Oak | 2009-01-01 / 20090001746 - LID FOR VEHICLE CARGO BIN | 1 |
Craig Karagitz | US | Whitmore Lake | 2011-04-28 / 20110094024 - SEALING MEMBER FOR A FLUSH TOILET | 2 |
William A. Karagitz | US | Royal Oak | 2009-02-12 / 20090039679 - ADJUSTABLE VEHICLE CARGO LOAD FLOOR | 1 |
Varvara Karagkiozaki | GR | Thessaloniki | 2014-03-13 / 20140072608 - METHOD FOR PRODUCTION OF NANOPOROUS MULTI-LAYER BIODEGRADABLE POLYMERIC COATINGS AND PRODUCTS THEREOF | 1 |
Aristotelis Karaglannis | US | Amherst | 2012-05-31 / 20120135191 - Systems, Methods and Apparatuses for Direct Embossment of a Polymer Melt Sheet | 1 |
Michael J. Karagoulis | US | Okemos | 2015-08-20 / 20150231729 - ELECTRODE FOR RESISTANCE SPOT WELDING OF DISSIMILAR METALS | 16 |
Vasilios Karagounis | US | Sammamish | 2015-08-20 / 20150234666 - FAST COMPUTER STARTUP | 6 |
Leonidas Karagounis | CH | Prilly | 2016-01-07 / 20160000128 - FOOD COMPOSITION AND ITS USE | 2 |
Selcuk Karagoz | TR | Istanbul | 2014-10-09 / 20140298678 - Heat Pump Laundry Dryer | 1 |
Sami Karagülmez | DE | Ludenscheid | 2010-07-01 / 20100166342 - BAG FOR BULK MATERIAL | 1 |
Sami Karagülmez | DE | Ludenscheid | 2010-07-01 / 20100166342 - BAG FOR BULK MATERIAL | 1 |
Kyratso (karrie) George Karahalios | US | Urbana | 2011-07-07 / 20110167115 - TIE STRENGTH PREDICTION AND SOCIAL MEDIA FILTRATION | 1 |
Anastasios G. Karahalios | US | Skokie | 2013-03-14 / 20130067416 - MEDICAL FLUID INJECTION SYSTEM | 4 |
Dean G. Karahalios | US | Indianapolis | 2008-09-25 / 20080234821 - UNIVERSAL INTERFERENCE CLEAT | 1 |
Anastasios G. Karahalios | US | Cleveland | 2015-05-21 / 20150140520 - COLOR DISPLAY SYSTEM | 1 |
Dean G. Karahalios | US | Lake Forest | 2014-05-29 / 20140148902 - EXPANDABLE IMPLANT, INSTRUMENT, AND METHOD | 4 |
Dean Karahalios | US | Lake Forest | 2015-09-24 / 20150265413 - TELESCOPING INTERSPINOUS FIXATION DEVICE AND METHOD OF USE | 5 |
Sinan Karahan | US | Menlo Park | 2016-03-17 / 20160077166 - SYSTEMS AND METHODS FOR ORIENTATION PREDICTION | 5 |
Taiki Karahashi | JP | Sendai-Shi | 2011-05-19 / 20110117440 - SOLID ELECTROLYTE, METHOD FOR PRODUCING THE SAME, AND SECONDARY BATTERY COMPRISING SOLID ELECTROLYTE | 1 |
Yasuhiro Karahashi | JP | Nagano | 2015-01-29 / 20150029679 - CIRCUIT BOARD, PRODUCTION METHOD OF CIRCUIT BOARD, AND ELECTRONIC EQUIPMENT | 1 |
Yasuhiro Karahashi | JP | Kawasaki | 2012-05-31 / 20120132464 - METHOD FOR MANUFACTURING PRINTED WIRING BOARD, PRINTED WIRING BOARD, AND ELECTRONIC DEVICE | 1 |
Masayuki Karahashi | US | San Mateo | 2012-02-09 / 20120036166 - EFFECTIVE DATING FOR TABLE OR RELATIONSHIP MODIFICATIONS | 1 |
Motonobu Karahashi | JP | Konan-Shi | 2011-04-21 / 20110090615 - CAPACITOR TO BE INCORPORATED IN WIRING SUBSTRATE, METHOD FOR MANUFACTURING THE CAPACITOR, AND WIRING SUBSTRATE | 1 |
Dean G. Karaholios | US | Lake Forest | 2011-07-14 / 20110172779 - Expandable Implant, Instrument, and Method | 1 |
Laszlo Karai | US | Miami Beach | 2015-02-19 / 20150051271 - SELECTIVE ABLATION OF PAIN-SENSING NEURONS BY ADMINISTRATION OF A VANILLOID RECEPTOR AGONIST | 1 |
Laszlo Karai | US | Kensington | 2010-09-02 / 20100222385 - SELECTIVE ABLATION OF PAIN-SENSING NEURONS BY ADMINISTRATION OF A VANILLOID RECEPTOR AGONIST | 1 |
Csaba Karai | HU | Zsambek | 2016-03-17 / 20160076583 - HEAD ASSEMBLY FOR SUPPORTING AND ADJUSTING THE POSITION OF AN OPTICAL OR ELECTRONIC DEVICE | 1 |
Laszlo Karai | US | Bethesda | 2013-08-15 / 20130210905 - Selective Ablation of Pain-Sensing Neurons by Administration of a Vanilloid Receptor Agonist | 1 |
Ville Karaila | US | San Jose | 2014-05-01 / 20140122462 - METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT FOR DISPLAYING ITEMS ON MULTIPLE FLOORS IN MULTI-LEVEL MAPS | 2 |
Peter E. Karaiskos | US | Northridge | 2010-02-04 / 20100024665 - Liquid food fountain | 1 |
Suzanne Karajaberlian | CA | Toronto | 2009-09-03 / 20090217708 - METHODS AND APPARATUS FOR REDUCING PLATINUM-GROUP DEFECTS IN SHEET GLASS | 1 |
Sachin Karajagi | US | Torrance | 2010-06-24 / 20100161562 - Method and apparatus for preventing duplicate or redundant data from storing in media player | 1 |
Sandeep S. Karajanagi | US | Melrose | 2012-11-29 / 20120301870 - Enhanced Stability of Proteins Immobilized on Nanoparticles | 1 |
Sandeep Sidram Karajanagi | US | Malden | 2013-02-14 / 20130041467 - Methods and Systems of Matching Voice Deficits with a Tunable Mucosal Implant to Restore and Enhance Individualized Human Sound and Voice Production | 2 |
Sandeep S. Karajanagi | US | Troy | 2009-06-04 / 20090143487 - Enhanced stability of proteins immobilized on nanoparticles | 1 |
Sachin S. Karajekar | US | Sunnyvale | 2014-10-30 / 20140325335 - SYSTEM FOR GENERATING MEANINGFUL TOPIC LABELS AND IMPROVING AUTOMATIC TOPIC SEGMENTATION | 1 |
Jayant Karajgi | IN | Hyderabad | 2015-10-15 / 20150290220 - Pharmaceutical formualtions comprising a Gluococorticosteroid | 2 |
Sumit Kumar Karak | IN | West Bengal | 2010-07-01 / 20100168080 - NOVEL COMPOUNDS USEFUL AS CC CHEMOKINE RECEPTOR LIGANDS | 1 |
Sumit Kumar Karak | IN | Kolkata | 2010-06-17 / 20100152160 - NOVEL BENZODIOXANE AND BENZOXAZINE DERIVATIVES USEFUL AS CC CHEMOKINE RECEPTOR LIGANDS | 1 |
Takahisa Karakama | JP | Nakano-Shi | 2012-12-06 / 20120306702 - Substrate Antenna | 3 |
Masafumi Karakama | JP | Isesaki-Shi | 2014-07-03 / 20140183995 - Vibration-Proof Structure For Electric Circuit Of Electric Compressor | 1 |
Sho Karakama | JP | Toyokawa-Shi | 2015-11-26 / 20150338786 - SHEET CONVEYING DEVICE AND IMAGE FORMING APPARATUS | 2 |
Katsuhiko Karakama | JP | Tokyo | 2015-08-13 / 20150227785 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 1 |
Atsushi Karakama | JP | Tokyo | 2010-11-04 / 20100275673 - METHOD OF CALIBRATING PRESSURE MEASUREMENT UNIT | 2 |
Akira Karakama | JP | Hitachinaka | 2009-05-28 / 20090134340 - CHARGED PARTICLE BEAM APPARATUS, AND IMAGE GENERATION METHOD WITH CHARGED PARTICLE BEAM APPARATUS | 1 |
Yuji Karakane | JP | Mie-Ken | 2012-06-21 / 20120153432 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Mitsuo Karakane | JP | Osaka | 2013-10-31 / 20130288141 - FUEL CELL, METHOD FOR OPERATING FUEL CELL AND FUEL CELL SYSTEM | 5 |
Yuji Karakane | JP | Yokkaichi-Shi | 2012-11-15 / 20120286411 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF, AND SEMICONDUCTOR MODULE USING THE SAME | 2 |
Ahmet Karakas | US | Palo Alto | 2014-10-16 / 20140310663 - Performing OPC on Hardware or Software Platforms with GPU | 4 |
Erkan Karakas | US | Huntington | 2014-07-17 / 20140200244 - PHENYLETHANOLAMINE-BASED NMDA RECEPTOR ANTAGONISTS | 2 |
Anastasios Karakasiliotis | GR | Athens | 2013-10-03 / 20130260816 - CONTROL OF A TRANSMITTER OUTPUT POWER | 1 |
Marianthi Karakatsani | US | West Chester | 2016-02-04 / 20160030347 - Stabilization Of Moisture-Sensitive Drugs | 1 |
Leonidas Karakatsanis | GR | Xanthi | 2015-12-17 / 20150363848 - INTERNET SERVICE REGARDING AN AUTOMATED SYSTEM OF INTERACTIVE-BIDIRECTIONAL TRADE, IN REAL TIME, FOR TOURISM PRODUCTS-SERVICES | 1 |
Yuji Karakawa | JP | Kanagawa | 2015-03-26 / 20150085394 - DISK DEVICE AND DATA RECORDING METHOD | 1 |
Yuji Karakawa | JP | Kawasaki | 2009-12-03 / 20090296253 - CONTROL APPARATUS, STORAGE DEVICE, AND SYSTEM-INFORMATION STORAGE METHOD | 3 |
Makoto Karakawa | JP | Suita-Shi | 2011-02-03 / 20110024730 - POLYMER, ORGANIC THIN FILM USING THE SAME, AND ORGANIC THIN FILM DEVICE | 1 |
Takayuki Karakawa | JP | Miyagi | 2015-01-29 / 20150031218 - FILM FORMING PROCESS AND FILM FORMING APPARATUS | 2 |
Takayuki Karakawa | JP | Kurokawa-Gun | 2015-10-15 / 20150292087 - Substrate Processing Apparatus and Substrate Processing Method | 1 |
Masayuki Karakawa | US | Newmarket | 2014-01-30 / 20140029638 - BLUE LASER PUMPED GREEN LIGHT SOURCE FOR DISPLAYS | 5 |
Masaki Karakawa | JP | Kanagawa | 2012-09-13 / 20120229856 - IMAGE FORMING APPARATUS, METHOD OF CONTROLLING START, AND START CONTROL PROGRAM | 1 |
Shuzo Karakawa | JP | Hamamatsu-Shi | 2011-02-17 / 20110040880 - TONE GENERATION SYSTEM CONTROLLING THE MUSIC SYSTEM | 1 |
Makoto Karakawa | JP | Osaka | 2016-05-05 / 20160126462 - FULLERENE DERIVATIVE AND N-TYPE SEMICONDUCTOR MATERIAL | 2 |
Takayuki Karakawa | JP | Sendai City | 2014-08-28 / 20140242814 - METHOD FOR FORMING NITRIDE FILM | 1 |
Koray Karakaya | NL | Eindhoven | 2016-05-12 / 20160128587 - Method and device for measuring intracranial pressure, ICP, in a subject | 9 |
Elif Karakaya | US | Raleigh | 2015-06-11 / 20150161937 - OVERLAPPING DETECTION DISPLAY AND METHOD | 7 |
Koray Karakaya | NL | Cl Eindhoven | 2009-05-21 / 20090129952 - Microfluidic Device | 1 |
Nursel Karakaya | TR | Istanbul | 2011-02-10 / 20110031855 - WASHER | 1 |
Koray Karakaya | NL | Eindhoven | 2016-05-12 / 20160128587 - Method and device for measuring intracranial pressure, ICP, in a subject | 9 |
Nebi Karakaya | TR | Izmir | 2008-12-25 / 20080317617 - Fluid pump | 1 |
Kemal M. Karakayali | US | Hoboken | 2016-04-07 / 20160099790 - Cost Effective Network Interference Cancellation For Wireless Networks | 9 |
Kemal Karakayali | US | Highland Park | 2010-01-14 / 20100008281 - Broadcast and multicast in single frequency networks using othrogonal space-time codes | 1 |
Kemal M. Karakayali | US | Murray Hill | 2014-09-18 / 20140269640 - ARCHITECTURE TO SUPPORT NETWORK-WIDE MULTIPLE-IN-MULTIPLE-OUT WIRELESS COMMUNICATION OVER A DOWNLINK | 1 |
Kemal M. Karakayali | US | Highland Park | 2014-01-30 / 20140029448 - Method And Apparatus For Improved Signal Processing In Wireless Networks | 10 |
Kemal M. Karakayali | US | Hoboken | 2016-04-07 / 20160099790 - Cost Effective Network Interference Cancellation For Wireless Networks | 9 |
Kemal Mehmet Karakayali | US | Highland Park | 2008-12-18 / 20080310343 - Methods of jointly assigning resources in a multi-carrier, multi-hop wireless communication system | 1 |
Kemal M. Karakayali | US | Highland Park | 2014-01-30 / 20140029448 - Method And Apparatus For Improved Signal Processing In Wireless Networks | 10 |
Erkan Karakazan | TR | Istanbul | 2013-11-28 / 20130312250 - GENERATOR ROTOR REFURBISHING SYSTEM AND METHOD OF REPAIRING A GENERATOR ROTOR | 1 |
Mutlu Karakelle | US | Fort Worth | 2014-07-10 / 20140194986 - IOL WITH VARYING CORRECTION OF CHROMATIC ABERRATION | 21 |
Julie Karaki | LB | Mont Liban | 2015-03-19 / 20150078764 - Frequency Synchronization for an OFDM Optical Receiver | 2 |
Hideyuki Karaki | JP | Ashigara-Shi | 2009-07-16 / 20090181470 - MEASUREMENT KIT AND AN IMMUNOCHROMATOGRAPHY METHOD | 1 |
Nobuo Karaki | JP | Matsumoto | 2014-01-23 / 20140023122 - ASYNCHRONOUS CORRELATION CIRCUIT, ASYNCHRONOUS FULL ADDER CIRCUIT, CALCULATION DEVICE, CORRELATION DEVICE, ASYNCHRONOUS MAXIMUM VALUE N DETECTION CIRCUIT, AND SATELLITE SIGNAL ACQUISITION DEVICE | 1 |
Kazuhisa Karaki | JP | Shiojiri-Shi | 2014-05-08 / 20140128741 - ULTRASOUND ELEMENT AND ULTRASOUND ENDOSCOPE | 4 |
Tetsuya Karaki | JP | Kawasaki-Shi | 2013-09-19 / 20130239885 - FILM FORMATION MASK | 6 |
Nobuaki Karaki | JP | Shizuoka | 2010-07-08 / 20100170467 - BALANCER OF ENGINE, ENGINE, AND OUTBOARD MOTOR | 1 |
Masakazu Karaki | JP | Hyogo | 2010-10-14 / 20100259120 - Unlocking Actuator | 1 |
Tatsuya Karaki | JP | Aichi-Ken | 2013-10-03 / 20130257131 - VEHICLE SEAT | 3 |
Masakazu Karaki | JP | Takarazuka-Shi | 2015-09-10 / 20150256048 - OPENING/CLOSING BODY OPERATION DEVICE | 1 |
Sachiko Karaki | JP | Tokyo | 2009-11-19 / 20090286319 - MICROINJECTION METHOD AND DEVICE | 1 |
Hideyuki Karaki | JP | Minami-Ashigara-Shi | 2013-05-30 / 20130137190 - IMMUNOCHROMATOGRAPHIC DEVICE | 4 |
Kazuhisa Karaki | JP | Nagano | 2014-08-21 / 20140236018 - ULTRASOUND TRANSDUCER ELEMENT AND ULTRASOUND ENDOSCOPE | 1 |
Yusuka Karaki | JP | Gunma | 2012-09-20 / 20120238652 - METHOD FOR PRODUCING STEREO COMPLEX CRYSTALS OF POLYLACTIC ACID, POLYLACTIC ACID, AND MOLDED BODY, SYNTHETIC FIBER, POROUS BODY AND ION CONDUCTOR COMPRISING SAME | 1 |
Isuke Karaki | JP | Yokohama-Shi | 2009-01-15 / 20090015622 - Liquid property detection device, liquid container, image forming device, and liquid property detection method | 1 |
Tomoaki Karaki | JP | Imizu-Shi | 2015-10-29 / 20150311425 - METHOD FOR MANUFACTURING PIEZOELECTRIC CERAMIC, PIEZOELECTRIC CERAMIC, AND PIEZOELECTRIC ELEMENT | 3 |
Kenji Karaki | JP | Ina-Shi | 2009-04-02 / 20090086038 - IMAGING APPARATUS INCLUDING A COOLED IMAGING ELEMENT WHICH IS SHIFTED TO PERFORM HIGH-DEFINITION IMAGING | 2 |
Habib Sami Karaki | US | Tucson | 2014-03-27 / 20140089362 - Modified Fixed-Point Algorithm For Implementing Infrared Sensor Radiation Equation | 2 |
Hideyuki Karaki | JP | Minami-Ahigara-Shi | 2009-05-21 / 20090129198 - INTRA-MICROCHANNEL MIXING METHOD AND APPARATUS | 1 |
Mohammad Karaki | US | Boca Raton | 2016-04-07 / 20160098726 - TELEPHONE TRANSACTION VERIFICATION SYSTEM | 4 |
Nobuo Karaki | JP | Matsumoto-Shi | 2011-03-03 / 20110050306 - TIME CORRECTION CIRCUIT AND ELECTRONIC APPARATUS | 3 |
Tadahiko Karaki | JP | Tomi-Shi | 2015-10-01 / 20150275125 - ULTRAVIOLET CURABLE RESIN COMPOSITION, SLIDING MEMBER, AND METHOD FOR PRODUCING SLIDING MEMBER | 2 |
Hideyuki Karaki | JP | Kanagawa-Ken | 2012-03-08 / 20120058465 - METHOD AND DEVICE FOR ASSAY | 1 |
Hideyuki Karaki | JP | Ashigarakami-Gun | 2013-10-10 / 20130266480 - ASSAY CHIP | 2 |
Shigeru Karaki | JP | Nishinomiya | 2014-07-31 / 20140211618 - ACCESS POINT AND METHOD OF CONTROLLING WIRELESS COMMUNICATIONS | 1 |
Tatsuya Karaki | JP | Kako-Gun | 2016-03-10 / 20160069587 - FAN ASSEMBLY AND WATER HEATER | 3 |
Ryotaro Karaki | JP | Tokyo | 2014-10-23 / 20140316591 - WATER SUPPLY APPARATUS AND WATER SUPPLY METHOD | 2 |
Kazuhisa Karaki | JP | Kamiina-Gun | 2009-10-22 / 20090262605 - ULTRASOUND TRANSDUCER AND ELECTRONIC DEVICE | 2 |
Minoru Karaki | JP | Okayama | 2009-08-20 / 20090205943 - PANEL SWITCH | 4 |
Tadahiko Karaki | JP | Kitasaku-Gun | 2014-06-19 / 20140169713 - SLIDING BEARING HAVING SELF-LUBRICATING LINER | 2 |
Isuke Karaki | JP | Yokohama | 2008-12-25 / 20080317174 - RECEIVER AND RECEIVING METHOD | 2 |
Koichi Karaki | JP | Tokyo | 2016-03-03 / 20160064679 - PHOTODETECTOR | 3 |
Hideyuki Karaki | JP | Miami-Ashigura-Shi | 2011-11-03 / 20110269247 - MEASUREMENT KIT AND AN IMMUNOCHROMATOGRAPHY METHOD | 1 |
Nobuo Karaki | JP | Suwa-Shi | 2010-12-02 / 20100302284 - ELECTRO-OPTICAL DEVICE | 1 |
Shoichi Karakida | JP | Chiyoda-Ku, Tokyo | 2016-04-28 / 20160118512 - METHOD FOR MANUFACTURING SOLAR-POWER-GENERATOR SUBSTRATE AND APPARATUS FOR MANUFACTURING SOLAR-POWER-GENERATOR SUBSTRATE | 1 |
Shoichi Karakida | JP | Tokyo | 2015-02-26 / 20150056743 - MANUFACTURING METHOD OF SOLAR CELL | 7 |
Shoichi Karakida | JP | Chiyoda-Ku | 2014-06-12 / 20140162383 - MANUFACTURING METHOD FOR SOLAR CELL AND SOLAR CELL MANUFACTURING SYSTEM | 2 |
Rafal Karakiewics | CA | Toronto | 2013-01-31 / 20130027097 - System Including Circuitry Providing Multiple Circuit Paths For Controlling A Characteristic of A Period Signal | 2 |
Rafal Karakiewicz | CA | Toronto | 2013-01-31 / 20130027119 - System Incorporating Power Supply Rejection Circuitry and Related Method | 4 |
John G. Karakis | US | Scotch Plains | 2009-07-09 / 20090176470 - Base station antenna interface system for antenna cable reduction in dual band deployments | 1 |
Biju Karakkunnummal | IN | Calicut | 2015-08-20 / 20150231700 - METHOD FOR PRODUCING A WEAR-RESISTANT ROLLER COMPONENT | 1 |
Branko Karaklajic | RS | Uzice | 2015-09-03 / 20150246654 - TELEMATICS SYSTEM WITH 3D INTERTIAL SENSORS | 1 |
Vitoldas Karakliukas | US | Oak Brook | 2009-12-10 / 20090302085 - AUTOMATED AIR PILLOW DISPENSER | 1 |
Meri Karako | IL | Bat Yam | 2012-08-09 / 20120201771 - FACIAL ANTIPERSPIRANT MOISTURIZING COMPOSITION AND METHOD OF PREPARING SAME | 1 |
Yuval Karakookly | IL | Modiin | 2012-10-04 / 20120247317 - MULTI-WEAPONS SYSTEM | 1 |
Rahamim Karakop | IL | Nazareth Elite | 2015-11-19 / 20150332257 - SAFE CARD | 1 |
Ajay Karakoti | US | Richland | 2011-05-12 / 20110112272 - STRUCTURAL MATERIALS WITH NEARLY ZERO CARBON EMISSIONS | 1 |
Kenneth M. Karakotsios | US | San Jose | 2015-05-28 / 20150149961 - MOTION-BASED CHARACTER SELECTION | 13 |
Kenneth Mark Karakotsios | US | San Jose | 2016-03-31 / 20160092034 - Kiosk Providing High Speed Data Transfer | 7 |
Kenneth M. Karakotsios | US | San Jose | 2015-05-28 / 20150149961 - MOTION-BASED CHARACTER SELECTION | 13 |
Tatyana Karakouz | IL | Rehovot | 2014-01-09 / 20140009754 - METHOD FOR FABRICATION OF LOCALIZED PLASMON TRANSDUCERS | 2 |
Deniz Karakoyunlu | US | Boston | 2014-08-28 / 20140244991 - Patching Boot Code of Read-Only Memory | 1 |
Deniz Karakoyunlu | US | Waltham | 2012-06-14 / 20120151183 - ENHANCING PERFORMANCE BY INSTRUCTION INTERLEAVING AND/OR CONCURRENT PROCESSING OF MULTIPLE BUFFERS | 1 |
Deniz Karakoyunlu | US | Worcester | 2015-03-19 / 20150082047 - EFFICIENT MULTIPLICATION, EXPONENTIATION AND MODULAR REDUCTION IMPLEMENTATIONS | 2 |
Sarkis Karakozian | US | Belmont | 2015-03-12 / 20150072377 - CELL CULTURING DEVICE | 1 |
Seyhan Karakulak | US | San Diego | 2015-12-24 / 20150371714 - WORD-LINE INTER-CELL INTERFERENCE DETECTOR IN FLASH SYSTEM | 4 |
Cem Karakullukcu | TR | Istanbul | 2011-12-15 / 20110303658 - MINI CARRIAGE BOX WITHIN A CARRIAGE BOX | 1 |
Yilmaz Karakus | DE | Ahlen | 2015-10-29 / 20150307782 - Multi-Level Furnace and Method for Thermal Treatment of a Material Flow | 1 |
Karel Karal | NO | Oslo | 2011-12-15 / 20110305523 - SUPPORT STRUCTURE FOR USE IN THE OFFSHORE WIND FARM INDUSTRY | 2 |
Tufan Karalar | US | Albany | 2013-03-28 / 20130077734 - Initializing A Ring Counter | 1 |
Imadettin Karalar | DE | Ingolstadt | 2016-02-04 / 20160033252 - Measuring Roller and Device for Measuring a Fiber Composite | 1 |
Tufan Karalar | US | Mountain View | 2015-03-26 / 20150085403 - SOFT SHUTDOWN FOR ISOLATED DRIVERS | 1 |
Tufan Coskun Karalar | US | Albany | 2013-04-04 / 20130082748 - PROGRAMMABLE DIVIDER | 1 |
Tufan C. Karalar | US | Mountian View | 2015-07-30 / 20150214826 - SOFT-START FOR ISOLATED POWER CONVERTER | 1 |
Sachin Karale | GB | London | 2015-12-10 / 20150358292 - NETWORK SECURITY MANAGEMENT | 1 |
Chaitanya Mallikarjun Karale | IN | Pune | 2014-12-04 / 20140353042 - Self-Triggering Lost Circulation Control in Carbonate Formation | 1 |
Sanjay Nivruti Karale | IN | Chennai | 2009-10-22 / 20090264643 - Process for The Preparation of Beta-Lactam Antibiotic | 1 |
Ranjeet Karale | IN | Bangalore | 2016-03-10 / 20160071226 - Method and System for Validating Compliance of Medical Records | 1 |
Sanjay Nivruti Karale | IN | Aurangabad | 2012-04-19 / 20120095210 - PROCESS FOR THE PREPARATION OF BETA-LACTAM ANTIBIOTIC | 1 |
Chaitanya M. Karale | IN | Pune | 2014-11-06 / 20140329725 - Dual Retarded Acid System for Well Stimulation | 3 |
Theodora Karali | US | Mountain View | 2009-03-19 / 20090074413 - SYSTEM AND METHOD FOR PROVIDING TRANSPARENT LAN SERVICES | 1 |
Marianthi Karali | IT | Naples | 2016-01-28 / 20160022836 - miR-204 and miR-211 and uses thereof | 1 |
Vitoldas Karalinkas | US | Oak Brook | 2014-09-18 / 20140274645 - TEAR-ASSIST BLADE | 1 |
Aristeidis Karalis | US | Boston | 2016-03-24 / 20160087687 - Communication in a wireless power transmission system | 138 |
Aristeidis Karalis | US | Cambridge | 2012-09-13 / 20120228960 - WIRELESS ENERGY TRANSFER | 11 |
Vitoldas Karaliukas | US | Oak Brook | 2014-02-20 / 20140048577 - AUTOMATED AIR PILLOW DISPENSER | 2 |
Gerald Michael Karam | US | Morristown | 2016-04-14 / 20160104365 - Detecting Presence Using a Presence Sensor Network | 8 |
Gerald Michael Karam | US | Morristown | 2016-04-14 / 20160104365 - Detecting Presence Using a Presence Sensor Network | 8 |
Brian W. Karam | CA | Ottawa | 2014-01-30 / 20140028448 - Entertainment, lighting and climate control system | 1 |
Jean-Pierre R. Karam | US | Wyckoff | 2016-02-11 / 20160039578 - One-Piece Safety Tube Closure with Film Element | 2 |
Gerald Karam | US | Morristown | 2014-10-30 / 20140321334 - Methods and Systems for Providing Location Sensitive Conference Calling | 11 |
Gerald Karam | US | Morristown | 2014-10-30 / 20140321334 - Methods and Systems for Providing Location Sensitive Conference Calling | 11 |
Lina Karam | US | Scottsdale | 2015-09-24 / 20150269723 - STEREO VISION MEASUREMENT SYSTEM AND METHOD | 3 |
Edouard Karam | CA | Toronto | 2011-01-27 / 20110019092 - SYSTEM OF PROGRAMMABLE TIME INTERVALS USED FOR VIDEO SIGNAL SYNCHRONIZATION | 2 |
Carl Karam | AU | Granville | 2014-07-24 / 20140203029 - DISPOSABLE BEVERAGE CONTAINER | 2 |
Rony Karam | CA | Montreal | 2010-07-29 / 20100190545 - GAMING DEVICE WITH INTERACTIVE SPIN ACTION VISUAL EFFECTS | 1 |
Michel Abi Karam | FR | Courbevoie | 2009-02-05 / 20090031776 - Method and Device for Controlling a Rolled Product Thickness at a Tandem Rolling Mill Exit | 1 |
Roger Antoine Karam | US | Mountain View | 2014-08-28 / 20140241181 - METHODS AND DEVICES FOR PERFORMING DYNAMIC DROOP COMPENSATION | 2 |
Carl Karam | AU | New South Wales | 2010-07-01 / 20100163558 - BEVERAGE CONTAINER CLOSURE | 1 |
Margot Karam | US | Mount Prospect | 2009-06-25 / 20090161749 - METHOD AND APPARATUS FOR IFDMA RECEIVER ARCHITECTURE | 1 |
Debra C. Karam | US | Pelzer | 2009-07-16 / 20090178945 - Laminated lidstock | 1 |
Paul Karam | CA | Toronto | 2009-10-01 / 20090245956 - DRILL ASSEMBLY AND METHOD TO REDUCE DRILL BIT PLUNGE | 1 |
Victor Karam | CA | Ottawa | 2009-10-15 / 20090257529 - LOW POWER, INTEGRATED RADIO TRANSMITTER AND RECEIVER | 1 |
Fouad Torkum Karam | US | Hamden | 2010-02-04 / 20100028628 - FIBER-REINFORCED DECORATIVE LAMINATE | 1 |
Roger Karam | US | Cincinnati | 2009-11-19 / 20090285893 - TREATMENT OF HEART FAILURE IN WOMEN | 1 |
Anthony A. Karam | US | Sterling Heights | 2011-08-25 / 20110204086 - Refillable Container with a Graduated Neck Extension | 1 |
Philip Andrew Karam | US | Brooklyn | 2016-04-21 / 20160109585 - Radiation Survey Process | 1 |
Erin M. Karam | US | West Lake Hills | 2012-07-05 / 20120173591 - Settlement House Data Management System | 1 |
Andrew Karam | US | Redwood City | 2013-06-20 / 20130159103 - Advertisement Selection Based on Mobile Applications | 1 |
Ray Karam | US | Santa Barbara | 2015-10-22 / 20150297341 - Valve Position Detection | 1 |
Raymond Miller Karam | US | Santa Barbara | 2016-05-12 / 20160134799 - In-Vehicle Optical Image Stabilization (OIS) | 7 |
Philip Richard Karam | US | Raleigh | 2015-12-24 / 20150372863 - HIERARCHICAL RESALE SYSTEM FOR TELECOMMUNICATION PRODUCTS | 1 |
Mansour Jad Karam | US | San Francisco | 2016-05-19 / 20160142243 - CONFIGURING A NETWORK | 1 |
Mohammed Karam | US | Seattle | 2016-03-31 / 20160094464 - NETWORK CLASSIFICATION FOR APPLICATIONS | 2 |
Mansour J. Karam | US | Mountain View | 2009-01-29 / 20090031025 - Load optimization | 2 |
Roger Karam | US | Sunnyvale | 2009-05-21 / 20090129583 - UNPOWERED TWISTED PAIR LOOPBACK CIRCUIT FOR DIFFERENTIAL MODE SIGNALING | 1 |
Mostafa A. Karam | US | Moorpark | 2015-10-01 / 20150276927 - ENHANCED IMAGING SYSTEM | 7 |
Mostafa Karam | US | Moorpark | 2010-08-26 / 20100214156 - EXTRACTION OF RELATIVE SIGNALS FROM CLOSELY SPACED TARGETS IN A MONOPULSE SYSTEM | 1 |
Mansour J. Karam | US | San Francisco | 2010-12-23 / 20100325272 - METHODS AND SYSTEMS FOR NETWORK TRAFFIC SECURITY | 2 |
Roger A. Karam | US | Mountain View | 2014-04-17 / 20140108847 - POWERED DEVICE CLASSIFICATION IN A WIRED DATA TELECOMMUNICATIONS NETWORK | 11 |
Joseph F. Karam | US | Mountain View | 2015-01-15 / 20150020003 - Interactions Between Users in a Virtual Space | 5 |
Nasser H. Karam | US | La Canada | 2015-11-19 / 20150333208 - GROUP-IV SOLAR CELL STRUCTURE USING GROUP-IV or III-V HETEROSTRUCTURES | 11 |
Michael Karam | US | Plainfield | 2014-12-11 / 20140363276 - ULTRA HIGH BYPASS RATIO TURBOFAN ENGINE | 1 |
Ted J. Karam | US | El Paso | 2011-11-17 / 20110277412 - WALL REPAIR APPARATUS, SYSTEM, AND METHOD | 1 |
Zahi N. Karam | US | Ann Arbor | 2015-11-05 / 20150318002 - MOOD MONITORING OF BIPOLAR DISORDER USING SPEECH ANALYSIS | 1 |
Nasser H. Karam | US | Lacanada | 2015-09-10 / 20150256124 - Method and Apparatus for Calibrating a Micro-Concentrator Solar Array | 4 |
Michael Abraham Karam | US | Plainfield | 2015-02-12 / 20150040538 - ROTOR NOISE SUPPRESSION | 6 |
Maria Karam | CA | Toronto | 2011-06-02 / 20110129093 - SYSTEM AND METHOD FOR DISPLAYING SOUND AS VIBRATIONS | 1 |
Nasser H. Karam | US | La Canada | 2015-11-19 / 20150333208 - GROUP-IV SOLAR CELL STRUCTURE USING GROUP-IV or III-V HETEROSTRUCTURES | 11 |
Nadir Karam | FR | Clermont- Ferrand | 2014-09-18 / 20140267608 - METHOD OF CALIBRATING A COMPUTER-BASED VISION SYSTEM ONBOARD A CRAFT | 1 |
Simon Karam | FR | Voreppe | 2013-03-14 / 20130062130 - Electric or Hybrid Motor Vehicle Bodywork Structure, This Vehicle, and Method for Controlling/Modifying the Temperature of the Passenger Compartment Thereof | 1 |
Roger A. Karam | US | Mountain View | 2014-04-17 / 20140108847 - POWERED DEVICE CLASSIFICATION IN A WIRED DATA TELECOMMUNICATIONS NETWORK | 11 |
Henry J. Karam | US | Floresville | 2015-01-22 / 20150021824 - RECYCLED COMPOSITIONS FOR CONSTRUCTION, AND METHODS OF UTILIZING AND PRODUCING THE SAME | 1 |
Karen Karam | US | Pompano Beach | 2014-05-15 / 20140130819 - HEADBAND WITH INTEGRAL COMPARTMENT AND HAIR ACCESSORY | 1 |
Raymond M. Karam | US | Santa Barbara | 2016-03-31 / 20160089671 - METHOD AND APPARATUS FOR TAPED INTERLAYER FLOW CELL WITH MASKING AND CONDUCTIVE TRACES | 1 |
Roger Karam | US | Mountain View | 2010-09-09 / 20100229048 - FIELD FAILURE DATA COLLECTION | 11 |
Lina Jamil Karam | US | Scottsdale | 2010-04-01 / 20100080439 - Automatic Cell Migration and Proliferation Analysis | 1 |
Srinivasan Karamadai | US | San Franscisco | 2013-05-23 / 20130130648 - PROVIDING SUPPORT TO A USER | 1 |
Yildirim Karamahmut | DE | Singen | 2008-10-30 / 20080264133 - Installation for Treating Continuously Cast Bars or Continuously Cast Billets | 1 |
Elham Karamali | IR | Naragh | 2011-05-19 / 20110117367 - STRONTIUM CERATE SYNTHESIS METHOD | 1 |
Erbil Karaman | US | San Francisco | 2016-02-25 / 20160055537 - TECHNIQUES TO TARGET AND COMMUNICATE MOBILE OFFERS | 2 |
Ibrahim Karaman | US | College Station | 2016-05-12 / 20160130677 - Systems and Methods for Tailoring Coefficients of Thermal Expansion Between Extreme Positive and Extreme Negative Values | 1 |
Mazen Karaman | US | San Diego | 2011-12-01 / 20110294782 - SMALL MOLECULE PAK INHIBITORS | 1 |
Marilyn Emily Karaman | AU | New South Wales | 2010-09-30 / 20100249245 - STERILIZING COMPOSITION | 3 |
Melih Ahmet Karaman | TR | Istanbul | 2015-02-19 / 20150049599 - GUARANTEEING MOBILE USER EQUIPMENT REATTACHMENT TO A MINI BASE STATION UNDER INTERMITTENT IP NETWORK FAILURES | 1 |
Melih Karaman | TR | Istanbul | 2015-10-29 / 20150312132 - METHOD TO CHECK HEALTH OF AUTOMATICALLY DISCOVERED CONTROLLERS IN SOFTWARE DEFINED NETWORKS (SDNs) | 2 |
Mustafa Karaman | TR | Istanbul | 2013-05-23 / 20130128702 - COMPACT, ENERGY-EFFICIENT ULTRASOUND IMAGING PROBES USING CMUT ARRAYS WITH INTEGRATED ELECTRONICS | 2 |
Dimitre Karamanev | CA | London | 2015-11-19 / 20150330419 - COMPRESSED AIR ENERGY STORAGE SYSTEM | 1 |
Gabriel M. Karamanis | US | Stamford | 2008-09-25 / 20080230962 - Method of creating high strength expanded thermoformable honeycomb structures with cementitious reinforcement | 2 |
Stratis Karamanlakis | GR | Zografos | 2010-11-25 / 20100299180 - Virtual Office Environment | 1 |
Mustafa Karamanoglu | US | Fridley | 2013-10-31 / 20130289636 - TIMED IMPLANTABLE THERAPY DELIVERY DEVICE | 43 |
Christos Karamanolis | US | Los Gatos | 2015-12-31 / 20150378857 - Persisting High Availability Protection State for Virtual Machines Stored on Distributed Object-Based Storage | 17 |
Christos Karamanolis | US | Los Gatos | 2015-12-31 / 20150378857 - Persisting High Availability Protection State for Virtual Machines Stored on Distributed Object-Based Storage | 17 |
John Chris Karamanos | US | San Jose | 2016-05-05 / 20160123608 - HVAC SYSTEM AND ZONE CONTROL UNIT | 7 |
John C. Karamanos | US | San Jose | 2016-04-07 / 20160097550 - PIPING STICK SYSTEMS AND METHODS | 6 |
Vahe Karamardian | US | Newport Coast | 2012-11-22 / 20120291372 - UNDERGROUND UTILITY VAULT REPLACEMENT SYSTEM | 2 |
James Robert Karamath | MX | Morelos | 2013-11-21 / 20130307789 - TOUCH-SCREEN DEVICE INCLUDING TACTILE FEEDBACK ACTUATOR | 1 |
James Robert Karamath | GB | Abingdon | 2012-10-25 / 20120268386 - TOUCH-SCREEN DEVICE INCLUDING TACTILE FEEDBACK ACTUATOR | 1 |
Demetrios Karambatos | CA | Toronto | 2013-11-21 / 20130311113 - PROGNOSTICS AND LIFE ESTIMATION OF ELECTRICAL MACHINES | 1 |
Randy C. Karambelas | US | Milwaukie | 2014-09-18 / 20140264210 - Pulling Tool | 3 |
Randy C. Karambelas | US | Milwaukee | 2014-04-03 / 20140091268 - Constant Pull Winch Controls | 1 |
Vivek Vasant Karambelkar | IN | Bangalore | 2011-06-23 / 20110152471 - METHODS FOR THE PREPARATION OF A POLY(ARYLENE ETHER) POLYSILOXANE MULTIBLOCK COPOLYMER, MULTIBLOCK COPOLYMERS PRODUCED THEREBY, AND ASSOCIATED COMPOSITIONS AND ARTICLES | 1 |
Elizabeth Karambelkar | US | Pittsburgh | 2015-10-15 / 20150294598 - CONNECT-THE-NODES | 1 |
Vivek Karambelkar | IN | Bangalore | 2016-03-03 / 20160060494 - MOISTURE CURABLE ORGANOPOLYSILOXANE COMPOSITIONS | 1 |
Narendra Purushottam Karambelkar | IN | Maharashtra | 2010-06-10 / 20100144532 - PROCESS FOR MANUFACTURE OF HIGH PURITY D-(-)-N,N-DIETHYL-2-(alpha-NAPHTHOXY) PROPIONAMIDE | 1 |
Ashish Karamchandani | US | Houston | 2010-06-24 / 20100161250 - SUBSEA CONTROL SYSTEM DIAGNOSIS | 1 |
Sangeet Karamchandani | US | Union City | 2014-04-24 / 20140114603 - PSEUDO TESTER-PER-SITE FUNCTIONALITY ON NATIVELY TESTER-PER-PIN AUTOMATIC TEST EQUIPMENT FOR SEMICONDUCTOR TEST | 1 |
Murali M. Karamchedu | US | Portland | 2015-11-12 / 20150327029 - TEXT MESSAGING TO DEVICES | 19 |
Chaitanya Karamchedu | US | Portland | 2015-10-29 / 20150311367 - SOLAR ENERGY APPARATUS AND METHOD | 1 |
Murali M. Karamchedu | US | Portland | 2015-11-12 / 20150327029 - TEXT MESSAGING TO DEVICES | 19 |
Murali M. Karamchedu | US | Beaverton | 2008-10-09 / 20080250418 - HEALTH CARE ADMINISTRATION SYSTEM | 2 |
Murali Karamchedu | US | Beaverton | 2014-02-13 / 20140047354 - TRANSPORTATION SHARING BASED ON MAP LOCATIONS | 3 |
Vijay Karamcheti | US | Palo Alto | 2016-04-28 / 20160117258 - SEAMLESS APPLICATION ACCESS TO HYBRID MAIN MEMORY | 9 |
Vijay Karamcheti | US | Los Altos | 2016-04-28 / 20160117131 - ASYMMETRIC MEMORY MIGRATION IN HYBRID MAIN MEMORY | 37 |
Pratima Karamcheti | US | Leesburg | 2009-09-17 / 20090232136 - Method and Apparatus for Scalable Protocol Snooping in a Pon | 1 |
Ghassan Karame | DE | Heidelberg | 2016-05-12 / 20160132681 - METHOD FOR PERFORMING A SECURE BOOT OF A COMPUTING SYSTEM AND COMPUTING SYSTEM | 8 |
Ghassan Karame | DE | Heidelberg | 2016-05-12 / 20160132681 - METHOD FOR PERFORMING A SECURE BOOT OF A COMPUTING SYSTEM AND COMPUTING SYSTEM | 8 |
Lyad Karame | LB | Chouf | 2011-06-30 / 20110160412 - HYBRID ORGANIC-INORGANIC MATERIALS THAT CONTAIN STABILIZED CARBENE | 1 |
Emir Karamehmedovic | BA | Sarajevo | 2011-09-22 / 20110228807 - OPTICAL ARRANGEMENT AND METHOD | 1 |
Dobromir Karamelski | DE | Frankfurt | 2016-02-04 / 20160034318 - SYSTEM AND METHOD FOR STAGING IN A CLOUD ENVIRONMENT | 1 |
Krassimir Karamfilov | US | Bellevue | 2014-08-07 / 20140221084 - DYNAMIC BUFFER | 2 |
Krassimir E. Karamfilov | US | Bellevue | 2012-03-29 / 20120079557 - DERIVING EXPRESS RIGHTS IN PROTECTED CONTENT | 4 |
Krassimir Emilov Karamfilov | US | Seattle | 2014-06-26 / 20140179421 - CLIENT RENDERING OF LATENCY SENSITIVE GAME FEATURES | 1 |
Krassimir Emilov Karamfilov | US | Sammamish | 2015-10-22 / 20150297998 - HYPERLINK INITIATION OF GAME SESSIONS | 1 |
Krassimir Emilov Karamfilov | US | Bellevue | 2016-03-31 / 20160089600 - TOUCH SCREEN GAME CONTROLLER | 5 |
Roohollah Karami | IR | Mahshahr Port | 2014-11-06 / 20140327363 - MENIAL POWER CONSUMPTION LIGHT EMITTING DIODE (LED) LAMP DEVICE | 1 |
Hossein Karami | KW | East Ahmadi | 2010-04-22 / 20100100354 - DYNAMIC CALCULATION OF ALLOCATION FACTORS FOR A PRODUCER WELL | 3 |
M. Amin Karami | US | Ann Arbor | 2015-12-17 / 20150365018 - PIEZOELECTRIC VIBRATIONAL ENERGY HARVESTER | 1 |
Masahiro Karami | JP | Obu-City | 2010-01-14 / 20100007306 - CHARGING CABLE, CHARGING CONTROL DEVICE AND VEHICLE CHARGING SYSTEM | 1 |
Askar Karami | CA | Etobicoke | 2010-07-22 / 20100184879 - FOAMED ISOCYANATE-BASED POLYMER | 1 |
Kiomars Karami | US | Aliso Viejo | 2014-04-24 / 20140113974 - 7-[3,5-DIHYDROXY-2- (3-HYDROXY-5-PHENYL-PENT-1-ENYL)- CYCLOPENTYL]-N-ETHYL-HEPT-5-ENAMIDE (BIMATOPROST) IN CRYSTALLINE FORM II, METHODS FOR PREPARATION, AND METHODS FOR USE THEREOF | 3 |
Atsushi Karami | JP | Hirakata-Shi | 2014-06-26 / 20140175834 - WIPER DEVICE, OPEN/CLOSE GUARD WITH WIPER DEVICE, AND CAB FOR CONSTRUCTION MACHINE | 2 |
Masahiro Karami | JP | Obu-Shi | 2010-11-25 / 20100295507 - CHARGING CONTROL APPARATUS FOR VEHICLE | 3 |
Thomas K. Karami | US | Aliso Viejo | 2016-03-24 / 20160083432 - CRYSTALLINE FORM OF CYCLOSPORIN A, METHODS OF PREPARATION, AND METHODS FOR USE THEREOF | 5 |
Mohammad Azim Karami | NL | Delft | 2013-02-28 / 20130051748 - PLASMONIC WAVEGUIDES, CIRCUITS, AND SYSTEMS | 1 |
Saeed Karamooz | US | Henderson | 2011-09-22 / 20110228474 - ADVANCED MEZZANINE CARD FOR HOSTING A PMC OR XMC | 2 |
Dimitrios Karampatsis | GB | Middlesex | 2016-04-21 / 20160112896 - USER-PLANE CONGESTION MANAGEMENT | 2 |
Dimitrios Karampatsis | GB | Ruislip | 2016-03-17 / 20160081136 - DISCONTINUOUS RECEPTION (DRX) USING LONGER DRX INTERVALS | 2 |
Dimitrios Karampatsis | GB | Reading | 2012-10-11 / 20120257598 - METHOD AND APPARATUS FOR PERFORMING A SELECTIVE IP TRAFFIC OFFLOAD PROCEDURE | 5 |
Dimitrios Karampatsis | GB | Caversham | 2015-02-12 / 20150044989 - SPONSORING OF A MOBILE STATION SESSION BY ANOTHER MOBILE STATION IN A WIRELESS NETWORK | 1 |
Nikos Karampatziakis | US | Ithaca | 2012-12-20 / 20120323829 - GRAPH-BASED CLASSIFICATION BASED ON FILE RELATIONSHIPS | 1 |
Vassilis Karampinas | US | San Francisco | 2015-10-15 / 20150295848 - DYNAMIC DATA SERVER NODES | 1 |
Vasileios Karampinas | US | San Francisco | 2016-02-25 / 20160055071 - MEASURING USER SATISFACTION FOR APPLICATION PROGRAMS RUNNING ON MOBILE DEVICES | 2 |
Pranith Kumar Karampuri | IN | Jeedimetla Hyderabad | 2014-02-20 / 20140052705 - GRANULAR SELF-HEALING OF A FILE IN A DISTRIBUTED FILE SYSTEM | 1 |
Sujai Karampuri | IN | Karnataka | 2009-12-03 / 20090298544 - SOFTWARE DEFINED BASE STATION | 1 |
Pranith Kumar Karampuri | IN | Jeedimetla | 2015-09-03 / 20150248434 - DELAYED ASYNCHRONOUS FILE REPLICATION IN A DISTRIBUTED FILE SYSTEM | 5 |
Hasnain Karampurwala | US | Santa Clara | 2016-03-31 / 20160094669 - USE OF PACKET HEADER EXTENSION FOR GEOLOCATION/GEOTARGETING | 7 |
Hasnain Karampurwala | US | Redwood City | 2014-04-03 / 20140092762 - TECHNIQUES FOR EFFICIENTLY UPDATING ROUTING INFORMATION | 3 |
Hasnain Karampurwala | US | San Jose | 2011-09-22 / 20110231578 - TECHNIQUES FOR SYNCHRONIZING APPLICATION OBJECT INSTANCES | 3 |
Erdal Karamuk | CH | Meilan | 2010-03-18 / 20100067713 - METHOD FOR HEARING PROTECTING AND HEARING PROTECTION SYSTEM | 2 |
Erdal Karamuk | US | 2015-09-03 / 20150249896 - ENCAPSULATED HEARING DEVICE | 1 | |
Erdal Karamuk | CH | Maennedorf | 2015-10-01 / 20150281861 - CIC HEARING AID SEAL AND METHOD OF MANUFACTURING THE SAME | 3 |
Erdal Karamuk | CH | Meilen | 2012-08-09 / 20120202371 - INTEGRAL CONNECTOR FOR PROGRAMMING A HEARING DEVICE | 4 |
Erdal Karamuk | CH | Mannedorf | 2015-12-10 / 20150358749 - SHELL FOR A HEARING DEVICE | 6 |
Sergey Sergeevich Karamzin | RU | Moscow | 2015-07-23 / 20150204841 - DEVICE FOR MONITORING SPATIAL COAGULATION OF BLOOD AND OF COMPONENTS THEREOF | 1 |
Apoorva Karan | US | San Jose | 2009-08-13 / 20090201803 - Multicast fast reroute for network topologies | 1 |
Bilgi Karan | SE | Lund | 2010-09-30 / 20100251164 - NAVIGATION AMONG MEDIA FILES IN PORTABLE COMMUNICATION DEVICES | 1 |
Petar A. Karan | IT | Firenze | 2014-05-08 / 20140129571 - ELECTRONIC MEDIA SIGNATURE BASED APPLICATIONS | 1 |
Sreeram Karan | US | San Diego | 2014-05-22 / 20140143185 - METHOD AND APPARATUS FOR INFERRING LOGICAL DEPENDENCIES BETWEEN RANDOM PROCESSES | 1 |
Apoorva Karan | US | San Ramon | 2012-08-30 / 20120218898 - Bandwidth utilization for equal cost multiple paths | 1 |
Jai Karan | IN | Hyderabad | 2014-07-17 / 20140200426 - Devices, Systems, and Methods Associated with Analyte Monitoring Devices and Devices Incorporating the Same | 1 |
Aharon A. Karan | US | Milwaukee | 2010-11-25 / 20100294425 - Method Of Fabricating Ink Jet Label Stock | 1 |
Pallavi Karan | IN | Chattisgarh | 2012-02-09 / 20120035984 - Novel Methods for Supply Chain Management Incorporating Uncertainty | 1 |
Jai Karan | US | Fremont | 2016-03-24 / 20160081598 - Devices, Systems, and Methods Related to Analyte Monitoring and Management | 10 |
Joel Karan | US | Millburn | 2014-08-07 / 20140218896 - Display Assembly Carrier Tray | 2 |
Jai Karan | US | Fremont | 2016-03-24 / 20160081598 - Devices, Systems, and Methods Related to Analyte Monitoring and Management | 10 |
Hima P. Karanam | IN | Hyderabad | 2016-05-05 / 20160125067 - ENTITY RESOLUTION BETWEEN DATASETS | 1 |
Sreepadaraj Karanam | NL | Bergen Op Zoom | 2015-05-07 / 20150126664 - FLOW ENHANCED THERMOPLASTIC COMPOSITIONS AND METHODS FOR ENHANCING THE FLOW OF THERMOPLASTIC COMPOSITIONS | 9 |
Saraschandra Karanam | IN | Bangalore | 2016-05-19 / 20160140477 - METHODS AND SYSTEMS FOR ASSIGNING TASKS TO WORKERS | 4 |
Sreenivas Karanam | IN | Bangalore | 2014-09-25 / 20140286200 - SYSTEM AND METHOD FOR ESTABLISHING COMMUNICATION LINKS BETWEEN MOBILE DEVICES | 2 |
Gopal Gudhur Karanam | IN | Bangalore | 2013-12-26 / 20130342551 - METHODS AND APPARATUS FOR IMAGE PROCESSING AT PIXEL RATE | 7 |
Krishna Mahesh Karanam | IN | Andhra Pradesh | 2015-04-30 / 20150117495 - Systems and methods for on-chip temperature sensor | 1 |
Gopal Karanam | IN | Bangalore | 2013-05-23 / 20130128045 - DYNAMIC LIINE-DETECTION SYSTEM FOR PROCESSORS HAVING LIMITED INTERNAL MEMORY | 6 |
Sreepdaraj Karanam | NL | Bergen Op Zoom | 2008-10-30 / 20080269396 - TELECHELIC POLYESTER/POLYCARBONATE/ORGANOCLAY NANOCOMPOSITES, AND RELATED METHODS AND ARTICLES | 1 |
Sreepadaraj Karanam | NL | Bergen Op Zoom | 2015-05-07 / 20150126664 - FLOW ENHANCED THERMOPLASTIC COMPOSITIONS AND METHODS FOR ENHANCING THE FLOW OF THERMOPLASTIC COMPOSITIONS | 9 |
Hima P. Karanam | IN | New Delhi | 2016-03-24 / 20160085807 - Deriving a Multi-Pass Matching Algorithm for Data De-Duplication | 12 |
Hima P. Karanam | IN | New Delhi | 2016-03-24 / 20160085807 - Deriving a Multi-Pass Matching Algorithm for Data De-Duplication | 12 |
Koteswara Rao Karanam | IN | Andhra Pradedh | 2010-11-18 / 20100287820 - JATROPHA INTERSPECIFIC HYBRID | 1 |
Kannababu Karanam | US | Sammamish | 2015-07-02 / 20150188989 - SEAMLESS CLUSTER SERVICING | 1 |
Hima Prasad Karanam | IN | New Delhi | 2012-02-23 / 20120047179 - SYSTEMS AND METHODS FOR STANDARDIZATION AND DE-DUPLICATION OF ADDRESSES USING TAXONOMY | 1 |
Balasubramanyam Karanam | US | Auburn | 2016-04-21 / 20160106725 - Novel bis-Benzylidine Piperidone Proteasome Inhibitor with Anticancer Activity | 1 |
Sreepadaraj Karanam | NL | Kaatsbaan Bergen Op Zoom | 2009-07-02 / 20090170997 - IONOMERIC POLYESTER COPOLYMER/ORGANOCLAY NANOCOMPOSITES, METHOD OF MANUFACTURE, AND ARTICLES FORMED THEREFROM | 1 |
Ketki Karanam | US | Newton | 2016-02-25 / 20160055420 - SYSTEMS AND TECHNIQUES FOR IDENTIFYING AND EXPLOITING RELATIONSHIPS BETWEEN MEDIA CONSUMPTION AND HEALTH | 1 |
Gopal Gudhdur Karanam | IN | Bangalore | 2015-05-28 / 20150147005 - METHODS AND APPARATUS FOR IMAGE PROCESSING AT PIXEL RATE | 1 |
Venugopal Karancheti | IN | Bangalore | 2010-07-29 / 20100190490 - System and Method for Rapid Deployment of a Mobile Device | 1 |
Tamás Karancsi | HU | Budapest | 2011-02-10 / 20110034704 - LABORATORY SCALE CONTINUOUS FLOW HYDROGENATION PROCESS | 4 |
Tamás Karancsi | HU | Budapest | 2011-02-10 / 20110034704 - LABORATORY SCALE CONTINUOUS FLOW HYDROGENATION PROCESS | 1 |
Tamás Karancsi | HU | Budapest | 2011-02-10 / 20110034704 - LABORATORY SCALE CONTINUOUS FLOW HYDROGENATION PROCESS | 1 |
Tamás Karancsi | HU | Budapest | 2011-02-10 / 20110034704 - LABORATORY SCALE CONTINUOUS FLOW HYDROGENATION PROCESS | 4 |
Tejas Karandakar | US | Redmond | 2011-12-01 / 20110296234 - VIRTUAL MACHINE I/O MULTIPATH CONFIGURATION | 1 |
Chinmay Deepak Karande | US | Mountain View | 2016-01-28 / 20160027061 - Repositioning Previously Presented Content Items For Presentation To A User Via An Updated News Feed | 6 |
Pankaj Karande | US | Somerville | 2008-09-11 / 20080221212 - TOPICAL FORMULATION | 1 |
Advait D. Karande | SG | Singapore | 2011-12-22 / 20110314079 - AGENT SYSTEM FOR REDUCING SERVER RESOURCE USAGE | 1 |
Pankaj Karande | US | Troy | 2015-10-22 / 20150297723 - TOPICAL FORMULATIONS FOR TREATING SKIN CONDITIONS | 6 |
Advait Deepak Karande | SG | Singapore | 2012-08-16 / 20120210437 - Method and system to enhance accuracy of a data leak prevention (DLP) system | 1 |
Pankaj Karande | US | Boston | 2010-02-04 / 20100029769 - TOPICAL FORMULATION | 1 |
Chinmay Karande | US | Bellevue | 2010-12-02 / 20100306216 - SHORT PATHS IN WEB GRAPHS WITH SMALL QUERY TIME | 2 |
Seema V. Karande | US | Pearland | 2010-06-10 / 20100143676 - TRANSPARENT COMPOSITIONS AND LAMINATES | 3 |
Chinmay Deepak Karande | US | San Francisco | 2013-12-05 / 20130325584 - METHODS AND SYSTEMS FOR ATTRIBUTING CHANGES IN AN ADVERTISING METRIC OF INTEREST TO OTHER ADVERTISING METRICS | 1 |
Advait Deepak Karande | US | 2011-03-03 / 20110055573 - SUPPORTING FLEXIBLE USE OF SMART CARDS WITH WEB APPLICATIONS | 1 | |
Shirish Subhash Karande | IN | Maharashtra | 2015-03-05 / 20150067451 - System and Method for Optimizing Luby Transform (Lt) Codes To Facilitate Data Transmission Over Communication Network | 1 |
Shirish Subhash Karande | IN | Pune | 2015-08-06 / 20150220864 - SYSTEM AND METHOD FOR PROVIDING CROWD SOURCING PLATFORM FOR TASK ALLOCATION | 2 |
Shirish Karande | US | Santa Cruz | 2009-07-30 / 20090190492 - Header Estimation To Improve Multimedia Quality Over Wireless Networks | 1 |
Seema Karande | US | Pearland | 2012-09-13 / 20120231287 - Interpolymers Suitable for Multilayer Films | 1 |
Shirish S. Karande | US | Santa Cruz | 2009-08-06 / 20090199064 - Corrupted packet toleration and correction system | 1 |
Amey Vijaykumar Karandikar | US | Long Branch | 2016-05-19 / 20160140199 - INTELLIGENT SCHEDULING FOR REMOTE COMPUTERS | 27 |
Saumitra Karandikar | IN | Faridabad | 2014-02-20 / 20140052659 - LEARNING MANAGEMENT | 1 |
Praveen G. Karandikar | US | Cary | 2012-06-21 / 20120159086 - Cache Management | 2 |
Prashant G. Karandikar | US | Avondale | 2012-07-26 / 20120186429 - Novel Ceramic Tile Designs for Armor | 1 |
Anirudha Subhash Karandikar | US | Cary | 2008-11-27 / 20080295074 - Data management interface with configurable plugins | 2 |
Arvind Karandikar | US | Morristown | 2016-02-25 / 20160052824 - Treated Polyoxymethylene Fibers For Use In Structural Matrices | 7 |
Shrikrishna Karandikar | US | Fremont | 2014-09-11 / 20140258489 - COLLABORATIVE APPLICATION CLASSIFICATION | 3 |
Prashant Dinkar Karandikar | IN | Maharashtra | 2015-11-26 / 20150339234 - SYSTEM AND METHOD FOR MANAGING CACHE | 1 |
Harsh Karandikar | US | Longwood | 2010-05-13 / 20100121500 - Switchgear Data System | 1 |
Praveen Karandikar | US | Cary | 2013-07-18 / 20130184979 - Method and System for Detection of Motor Vehicle Movement to Avoid Collisions | 1 |
Manish Vasant Karandikar | IN | Thane | 2009-11-26 / 20090291037 - METHOD OF INERTISING THE IMPURITIES IN PHOSPHOGYPSUM | 1 |
Jyothi Marie Karandikar | AU | Turramurra | 2012-11-08 / 20120284117 - METHOD AND SYSTEM FOR ADVERTISING | 1 |
Manish Vasant Karandikar | IN | Maharashtra | 2012-01-05 / 20120000395 - Method for producing photocatalytically active clinker | 1 |
Anirudha S. Karandikar | US | Cary | 2010-02-25 / 20100049839 - RAPID DEPLOYMENT REMOTE NETWORK MONITOR | 2 |
Shridhar Karandikar | IN | Pune | 2013-09-26 / 20130254667 - METHOD AND SYSTEM FOR ASSESSING USABILITY OF A WEBSITE | 1 |
Harshavardhan M. Karandikar | US | Longwood | 2015-02-05 / 20150036310 - Pressure Relief System For Switchgear | 9 |
Vivek Moreshwar Karandikar | US | Neenah | 2015-02-05 / 20150034757 - DIAMETER MEASUREMENT OF A ROLL OF MATERIAL IN A WINDING SYSTEM | 6 |
Amey Vijaykumar Karandikar | US | Long Branch | 2016-05-19 / 20160140199 - INTELLIGENT SCHEDULING FOR REMOTE COMPUTERS | 27 |
Dilip Karandikar | US | Phoenix | 2012-10-04 / 20120254261 - DIGITAL TRAVEL RECORD | 1 |
Arvind K. Karandikar | US | Austin | 2008-11-27 / 20080295051 - SLEW CONSTRAINED MINIMUM COST BUFFERING | 1 |
Bhalchandra M. Karandikar | US | Tigard | 2016-02-11 / 20160038413 - Antimicrobial Amorphous Compositions | 6 |
Sandeep Karandikar | US | Austin | 2015-08-06 / 20150220351 - SYSTEM AND METHOD FOR PROVIDING AN IMAGE TO AN INFORMATION HANDLING SYSTEM | 2 |
Prashant Dinkar Karandikar | IN | Bangalore | 2015-08-13 / 20150227421 - Package On Package Memory Interface and Configuration With Error Code Correction | 1 |
Arvind K. Karandikar | IN | Pune | 2009-04-02 / 20090089721 - METHOD FOR INCREMENTAL, TIMING-DRIVEN, PHYSICAL-SYNTHESIS OPTIMIZATION | 2 |
Bhalchandra Karandikar | US | Tigard | 2016-03-03 / 20160060458 - Antimicrobial Polyurethane Foam and Process to Make the Same | 1 |
Prashant Dinkar Karandikar | IN | Pune | 2015-10-22 / 20150304648 - Ensuring Imaging Subsystem Integrity in Camera Based Safety Systems | 1 |
Amey Karandikar | US | Long Branch | 2009-12-24 / 20090320037 - DATA STORAGE RESOURCE ALLOCATION BY EMPLOYING DYNAMIC METHODS AND BLACKLISTING RESOURCE REQUEST POOLS | 2 |
Ramchandra Karandikar | US | Goleta | 2015-03-19 / 20150077502 - GRAPHICAL USER INTERFACES INCLUDING TOUCHPAD DRIVING INTERFACES FOR TELEMEDICINE DEVICES | 1 |
Harshavardhan M. Karandikar | US | Longwood | 2015-02-05 / 20150036310 - Pressure Relief System For Switchgear | 9 |
Bhalchandra M. Karandikar | US | Beaverton | 2015-11-05 / 20150313912 - ANTIMICROBIAL COMPOSITIONS AND METHODS OF MAKING THE SAME | 7 |
Bhalchandra Madhav Karandikar | US | Beaverton | 2013-06-27 / 20130164334 - Dual Element Odor Control In Personal Care Products | 1 |
Sandeep V. Karandikar | US | Austin | 2014-05-22 / 20140143430 - System and Method for Peer-to-Peer Management Through Policy and Context Replication | 2 |
Abhay Karandikar | IN | Mumbai | 2016-04-14 / 20160103164 - IDENTIFICATION OF A POWER SOURCE IN A MULTIPLE POWER SOURCE SCENARIO AND CHARACTERIZATION OF LOADS | 6 |
Anthony G. Karandinos | GR | Glyfada | 2012-11-15 / 20120288669 - Reinforced Thin Film for Flexible Packaging | 1 |
Anthony G. Karandinos | BE | St. Stevens-Woluwe | 2009-06-18 / 20090156729 - Polypropylene-Based Adhesive Compositions | 1 |
Anthony G. Karandinos | GR | Crete | 2013-02-28 / 20130052410 - Reinforced Tacky Stretch Film | 4 |
John Fotis Karandonis | AU | New South Wales | 2008-10-30 / 20080263896 - Footwear | 1 |
John Fotis Karandonis | AU | Fairlight | 2012-08-16 / 20120204445 - FOOTWEAR | 1 |
Kaye Eve Karandonis | AU | New South Wales | 2008-10-30 / 20080263896 - Footwear | 1 |
Donald Karanewsky | US | Escondido | 2015-12-31 / 20150376176 - SWEET FLAVOR MODIFIER | 10 |
Donald S. Karanewsky | US | Escondido | 2015-09-24 / 20150265711 - SWEET FLAVOR MODIFIER | 27 |
Milan Karangutkar | US | Santa Clara | 2010-09-09 / 20100228640 - Systems and Methods for Providing Responses to Requests from Users of an Entertainment System | 1 |
Vijay Karani | US | Fremont | 2013-11-21 / 20130308770 - RINGBACK TONE MANAGEMENT FOR CONTACTS ACROSS COMMUNICATION ACCESS SYSTEMS | 4 |
Chetan Karani | US | Chantilly | 2012-07-05 / 20120168516 - RFID TRANSPONDER ENCAPSULATION FOR MOBILE ELECTRONIC DEVICE COVERS | 1 |
Christos Karanicolas | US | Massapequa Park | 2013-04-18 / 20130096969 - METHOD FOR ENHANCING TRANSIT SCHEDULE | 1 |
Christos Fotios Karanikas | US | Mechanicville | 2012-11-08 / 20120282132 - METAL AND METAL OXIDE STRUCTURES AND PREPARATION THEREOF | 1 |
Terry Karanikas | US | Queensbury | 2014-09-18 / 20140262728 - PYROLYSIS APPARATUS AND METHOD | 1 |
John M. Karanikas | US | Houston | 2014-10-16 / 20140305640 - IN SITU THERMAL PROCESSING OF AN OIL SHALE FORMATION USING CONDUCTIVE HEATING | 1 |
John Michael Karanikas | US | Houston | 2016-03-24 / 20160084051 - IN SITU RECOVERY FROM RESIDUALLY HEATED SECTIONS IN A HYDROCARBON CONTAINING FORMATION | 23 |
Christos Karanikas | US | Mechanicville | 2015-02-26 / 20150056820 - SYSTEMS AND METHODS OF SOLVENT TEMPERATURE CONTROL FOR WAFER COATING PROCESSES | 1 |
Terence Karanink | US | Center Valley | 2010-06-10 / 20100142078 - Systems and Methods for Memory Efficient Repeatable Run Out Processing | 1 |
Peter N. Karanja | US | Saint Louis | 2012-09-06 / 20120225948 - Broad spectrum disinfectant | 1 |
Keya Karanjai | IN | Varanasi | 2011-09-15 / 20110224435 - PROCESS FOR PREPARATION OF AMORPHOUS LOPINAVIR | 2 |
Keya Karanjai | IN | Uttar Pradesh | 2010-11-04 / 20100280093 - PROCESS FOR THE PREPARATION ENANTIOMERICALLY PURE SALTS OF N-METHYL-3-(1-NAPHTHALENEOXY)-3-(2-THIENYL)PROPANAMINE | 2 |
Meherzad Ratan Karanjia | IN | Mumbai | 2010-12-16 / 20100318425 - SYSTEM AND METHOD FOR PROVIDING A PERSONALIZED SHOPPING ASSISTANT FOR ONLINE COMPUTER USERS | 1 |
Darius Jehangir Karanjia | CA | Mississauga | 2012-08-30 / 20120216544 - SWIRL REDUCING GAS TURBINE ENGINE RECUPERATOR | 2 |
Daris Jehangir Karanjia | CA | Mississauga | 2014-09-18 / 20140260295 - GAS TURBINE ENGINE WITH TRANSMISSION AND METHOD OF ADJUSTING ROTATIONAL SPEED | 1 |
Mukund Karanjikar | US | West Valley City | 2016-02-11 / 20160040171 - METHOD TO PRODUCE HYDROCARBON FROM C-1 SUBSTRATE | 10 |
Mukund Karanjikar | US | West Valley | 2014-06-19 / 20140171688 - DECARBOXYLATION OF LEVULINIC ACID TO KETONE SOLVENTS | 7 |
Anant R. Karanjikar | IN | Pune | 2014-09-18 / 20140265800 - UNDER MOUNT ROLLER FOR CRISPER SYSTEM | 1 |
Mukund R. Karanjikar | US | Sandy | 2010-12-09 / 20100307052 - INTEGRATED BIOFUEL PROCESS | 1 |
Anant Ravindra Karanjikar | IN | Pune | 2015-08-27 / 20150241117 - REFRIGERATOR PANTRY COMPARTMENT | 2 |
Mukund Karanjikar | US | West Valley City | 2016-02-11 / 20160040171 - METHOD TO PRODUCE HYDROCARBON FROM C-1 SUBSTRATE | 10 |
Mukund Karanjkar | US | West Valley City | 2014-06-19 / 20140171708 - SYSTEM AND PROCESS FOR CONVERTING NATURAL GAS INTO BENZENE | 1 |
Rached Karanouh | US | Strongsville | 2011-04-07 / 20110079465 - Multi-Fit Stairway Hook | 1 |
Marinko Karanovic | US | Bethesda | 2014-11-27 / 20140350856 - Simultaneous multi-event universal kriging methods for spatio-temporal data analysis and mapping | 1 |
Marinko Karanovic | CA | Markham | 2012-11-15 / 20120287339 - Image Processing Method and System with Repetitive Pattern Detection | 3 |
Gurudev Karanth | US | San Carlos | 2014-11-20 / 20140342193 - SMART BATTERY SYSTEM | 2 |
Sandeep P. Karanth | IN | Bangalore | 2011-09-01 / 20110211517 - EFFICIENT AND RELIABLE MULTICAST OVER A Wi-Fi NETWORK | 5 |
Aditya Karanth | IN | Manipal | 2014-08-07 / 20140222520 - MANAGING CUSTOMER CHANGE REQUESTS | 1 |
Naikanakatte Ganesh Karanth | IN | Karnataka | 2009-06-25 / 20090163443 - NOVEL COMPOUND, USEFUL FOR PANCREATIC LIPASE INHIBITION AND THE PROCESS FOR ISOLATION THEREOF | 1 |
Sandeep Karanth | IN | Bangalore | 2013-07-18 / 20130185253 - WEB SERVICE FOR USER AND SUBSCRIPTION DATA STORAGE | 2 |
Ganesh Karanth | IN | Bangalore | 2015-07-30 / 20150215724 - SYSTEM AND METHOD FOR MANAGING ONE OR MORE HETEROGENOUS NETWORKS | 1 |
Sandeep Karanth | US | Redmond | 2008-11-06 / 20080275993 - Web service for user and subscription data storage | 1 |
Girish Karanth | IN | Karnataka | 2008-10-30 / 20080268034 - Solid Oral Dosage Forms of Ziprasidone Containing Colloidal Silicone Dioxide | 1 |
Avinash Karanth | IN | Chamarajpet | 2013-06-27 / 20130163635 - Foreign object detection in inductive coupled wireless power transfer environment using thermal sensors | 1 |
Dinakara Karanth | US | Northville | 2011-06-09 / 20110132292 - COOLING FAN CONTROL | 1 |
Dean Michael Karantonis | AU | Artarmon | 2014-10-02 / 20140296737 - METHOD AND APPARATUS FOR MEASUREMENT OF NEURAL RESPONSE | 4 |
Dean Karantonis | AU | Maroubra | 2013-10-31 / 20130289683 - DISTRIBUTED IMPLANT SYSTEMS | 1 |
John Karantonis | US | Chicago | 2010-11-18 / 20100293068 - Mall Bid | 2 |
Dean Karantonis | AU | Eveleigh | 2012-06-28 / 20120161945 - NEURO-STIMULATION | 1 |
Tarik Karaoglu | US | Sunnyvale | 2014-03-13 / 20140075048 - Apparatus, System, and Method for Cloud-Assisted Routing | 1 |
Nihat Karaoglu | BE | Heverlee | 2015-04-23 / 20150112928 - Data Synchronisation | 2 |
Jeyhan Karaoguz | US | Rancho Santa Fe | 2011-12-08 / 20110302610 - UTILIZING AN ELECTRONIC PROGRAM GUIDE (EPG) FOR CUSTOMIZED CONTENT SERVICE IN A GATEWAY | 1 |
Jeyhan Karaoguz | US | Arlington | 2014-10-30 / 20140323154 - Method and System for Optimized Transfer of Location Database Information | 1 |
Jeyhan Karaoguz | US | Irvine | 2016-05-19 / 20160142309 - Method And System For Dynamic Routing And/Or Switching In A Network | 755 |
Jaynan Karaoguz | US | Irvine | 2014-02-20 / 20140051388 - Communication Method And Infrastructure Supporting Device Security And Tracking Of Mobile And Portable Multimedia Devices | 1 |
Jayhan Karaoguz | US | Irvine | 2013-09-19 / 20130242762 - Wireless Communication Device Capable of Utilizing Additional Bandwidth | 2 |
Namik Karaosmanoglu | DE | Stuttgart | 2012-04-05 / 20120080013 - HIGH PRESSURE PUMP AND TAPPET ASSEMBLY | 2 |
Ulas Karaoz | US | Berkeley | 2013-06-20 / 20130157876 - Systems and Methods for Detecting Antibiotic Resistance | 2 |
Cynthia Karapanos | US | Webster | 2011-03-17 / 20110066450 - System for providing bio-identical hormone replacement therapy | 1 |
Nancy Karapasha | US | Cincinnati | 2010-03-25 / 20100076393 - WRAPPER HAVING A CORD RESERVOIR | 4 |
Nancy Karapasha | US | Cleves | 2015-10-22 / 20150297392 - PESSARY DEVICE AND APPLICATOR HAVING IMPROVED INSERTION | 11 |
Nancy Karapasha | US | Monfort Heights | 2011-11-03 / 20110270150 - TAMPON APPLICATOR | 1 |
Nakis Karapatis | CH | Premier | 2016-03-24 / 20160085214 - TIMEPIECE MECHANISM COMPRISING A MOVABLE OSCILLATING COMPONENT WITH OPTIMISED GEOMETRY IN A MAGNETIC ENVIRONMENT | 23 |
Polychronis Nakis Karapatis | CH | Premier | 2016-04-21 / 20160109857 - TIMEPIECE WITH IMPROVED SOUND LEVEL | 12 |
Muralidharan Karapattu | IN | Bangalore | 2011-09-29 / 20110235694 - Apparatus and Method for Generating a Waveform Test Signal Having Crest Factor Emulation of Random Jitter | 2 |
Muralidharan A. Karapattu | IN | Bangalore | 2009-08-06 / 20090195525 - Signal Generator Producing Intersymbol Interference Effects on Serial Data | 3 |
Srinivasan Karapattu | IN | Bangalore | 2013-01-24 / 20130024903 - STREAM CONDITIONING FOR SEAMLESS SWITCHING OF ADDRESSABLE CONTENT ACROSS TRANSPORT MULTIPLEX, USING LOCAL STORED CONTENT AS PRE-ROLL AND POST-ROLL BUFFERS; IN DIGITAL TELEVISION RECEIVERS | 2 |
Vahe Nick Karapetian | US | Sherman Oaks | 2011-11-03 / 20110270601 - UNIVERSAL TRANSLATOR | 1 |
Emil Karapetian | US | Costa Mesa | 2014-12-11 / 20140364907 - INDEPENDENT SUTURE TENSIONING AND SNARING APPARATUS | 7 |
Paul Karapetian | US | Somerville | 2012-06-28 / 20120164913 - MAGNETIC TOY PIECES | 1 |
Vahe Karapetian | US | Los Angeles | 2008-10-23 / 20080258596 - SEAMLESS REFRIGERATOR LINER AND PROCESS FOR MANUFACTURING SAME | 1 |
Emil Karapetian | US | Huntington Beach | 2016-01-28 / 20160022417 - MITRAL VALVE ANCHORING | 15 |
Emil Karapetian | US | Huntington Beach | 2016-01-28 / 20160022417 - MITRAL VALVE ANCHORING | 15 |
Hovik Karapetian | US | Montrose | 2013-06-27 / 20130164706 - ADJUSTABLE SUCTION TIPS FOR DENTAL AND MEDICAL USES | 1 |
Albert Karapetyan | US | Valencia | 2010-05-06 / 20100107362 - DOOR SAFETY MECHANISM | 1 |
Sergey Karapetyan | AM | Yerevan | 2009-04-23 / 20090106716 - VARIOUS METHODS AND APPARATUSES FOR MEMORY MODELING USING A STRUCTURAL PRIMITIVE VERIFICATION FOR MEMORY COMPILERS | 1 |
Gevorg Karapetyan | US | Kirkland | 2016-05-12 / 20160132214 - APPLICATION DELIVERY AGENTS ON VIRTUAL DESKTOP INSTANCES | 1 |
Gnuni Amatunu Karapetyan | DE | Bad Essen | 2016-05-12 / 20160130269 - 7-AZAINDOLE DERIVATIVES | 3 |
Vazgen Karapetyan | US | Pasadena | 2014-04-10 / 20140100693 - ROBOT MANAGEMENT SYSTEMS FOR DETERMINING DOCKING STATION POSE INCLUDING MOBILE ROBOTS AND METHODS USING SAME | 1 |
Akli Karar | FR | Clamart | 2016-01-07 / 20160003866 - Microscope Having A Multimode Local Probe, Tip-Enhanced Raman Microscope, And Method For Controlling The Distance Between The Local Probe And The Sample | 2 |
Jeyhan Kararoguz | US | Irvine | 2014-02-06 / 20140040958 - PHONE BASED TELEVISION REMOTE CONTROL | 1 |
Peter Karas | US | Barrington | 2012-06-21 / 20120153201 - LUER ACCESS VALVE | 1 |
Vit Karas | CZ | Svojetice | 2016-04-21 / 20160112511 - PRE-FETCH CACHE FOR VISUALIZATION MODIFICATION | 1 |
Michael Karas | US | Edina | 2011-11-24 / 20110288616 - ELECTRICAL NERVE STIMULATION DEVICE | 2 |
Jonathan A. Karas | US | Solon | 2010-01-21 / 20100011685 - SEALANT ASSEMBLIES AND METHODS | 1 |
Matthew Karas | GB | London | 2010-03-11 / 20100061546 - CALL NOTIFICATION SYSTEM, METHOD, COMPUTER PROGRAM AND ADVERTISING METHOD | 3 |
Michael Karas | US | Marlton | 2010-01-21 / 20100016392 - Pesticidal Aggregates | 3 |
Lawrence J. Karas | US | Missouri City | 2014-07-31 / 20140209510 - HYDROGEN SULFIDE SCAVENGERS | 1 |
Michael Karas | DE | Hattersheim | 2013-02-14 / 20130040395 - USE OF HALOGENATED DERIVATIVES OF THE CYANOCINNAMIC ACID AS MATRICES IN MALDI MASS SPECTROMETRY | 3 |
Larry John Karas | US | The Woodlands | 2011-06-23 / 20110147272 - EMULSIFICATION OF HYDROCARBON GAS OILS TO INCREASE EFFICACY OF WATER BASED HYDROGEN SULFIDE SCAVENGERS | 2 |
Benjamin J. Karas | US | Seattle | 2014-10-30 / 20140325380 - File Access with Different File Hosts | 7 |
Peter M. Karas | US | Franktown | 2008-11-27 / 20080294554 - AUTOMATED TRANSFER WITH STORED VALUE FUND | 2 |
Lawrence C. Karas | US | New Boston | 2016-03-03 / 20160059667 - SWING ARC HVAC DUCT | 6 |
Piotr Mikolaj Karas | PL | Warszawa | 2015-07-02 / 20150188954 - PRESENTING MEDIA DATA TO COMMUNICATION CLIENTS IN THE COURSE OF A COMMUNICATION DATA EXCHANGE | 1 |
Lawrence John Karas | US | Spring | 2013-04-25 / 20130102822 - Process for the Production of Butadiene | 5 |
Jonathan Aaron Karas | US | Solon | 2014-01-02 / 20140000681 - PHOTOVOLTAIC MODULE BACK-SHEET AND PROCESS OF MANUFACTURE | 4 |
Chris Karas | US | Columbus | 2013-01-31 / 20130030470 - SYSTEMS, METHODS, AND APPARATUSES FOR SPINAL FIXATION | 3 |
Peter M. Karas | US | Lakewood | 2013-07-04 / 20130173462 - REQUESTOR-BASED FUNDS TRANSFER SYSTEM AND METHODS | 9 |
Benjamin Karas | US | Seattle | 2009-12-31 / 20090327965 - SELECTION OF ITEMS IN A VIRTUALIZED VIEW | 2 |
Richard Karas | US | Franklin | 2012-05-10 / 20120115175 - METHODS FOR DIAGNOSING ELEVATED RIGHT OR LEFT VENTRICULAR FILLING PRESSURE | 1 |
John M. Karas | US | Grand Rapids | 2012-01-12 / 20120006657 - LOW FRICTION V-BELT DRIVEN CURVE CONVEYOR | 2 |
Chris Karas | US | 2012-07-26 / 20120186411 - AUTOMATED SURGICAL ROD CUTTER AND BENDER INCLUDING A POWER-BASE, ASSEMBLY FOR ROD CUTTING, AND ASSEMBLY FOR ROD BENDING | 1 | |
Larry John Karas | US | Spring | 2012-03-22 / 20120067783 - HYDROGEN SULFIDE SCAVENGER COMPOSITIONS, METHODS FOR MAKING AND PROCESSES FOR REMOVING HYDROGEN SULFIDE FROM LIQUID HYDROCARBON MEDIA | 2 |
Richard H. Karas | US | Franklin | 2014-08-21 / 20140234319 - TREATMENT OF HEART FAILURE AND RELATED CONDITIONS | 2 |
Peter M. Karas | US | Lakewood | 2013-07-04 / 20130173462 - REQUESTOR-BASED FUNDS TRANSFER SYSTEM AND METHODS | 9 |
Bogumil J. Karas | US | San Diego | 2014-06-26 / 20140179001 - CROWDING AGENT-INDUCED NUCLEIC ACID TRANSFER INTO A RECIPIENT HOST CELL | 1 |
Michael Karas | US | Rockville | 2009-03-26 / 20090081791 - INTRACELLULAR DELIVERY OF SMALL MOLECULES, PROTEINS, AND NUCLEIC ACIDS | 1 |
Vincent G. Karasack | US | Ellicott City | 2009-01-08 / 20090009390 - DUAL BAND QUADPACK TRANSMIT/RECEIVE MODULE | 1 |
Mark L. Karasak | US | Lombard | 2009-10-22 / 20090261735 - Lighting System to Facilitate Remote Modification of a Light Fixture Modifiable Operating Parameter | 1 |
Hidehiko Karasaki | JP | Hyogo | 2009-07-23 / 20090184096 - Laser machining apparatus and method of adjusting the same | 1 |
Anestis Karasaridis | US | Oceanport | 2015-10-08 / 20150288652 - Systems and Method to Discover Clients Associated with Local Domain Name Server Using Sampling | 12 |
Hidenori Karasawa | JP | Kanagawa | 2016-02-11 / 20160041721 - Portable Terminal Having User Interface Function, Display Method, And Computer Program | 3 |
Shinya Karasawa | JP | Kawasaki-Shi | 2010-12-16 / 20100316422 - Cleaning device, process cartridge, and image forming apparatus | 2 |
Shinya Karasawa | JP | Kanagawa | 2015-12-10 / 20150355594 - LUBRICANT APPLICATOR, IMAGE FORMING APPARATUS, AND PROCESS CARTRIDGE | 15 |
Joko Karasawa | JP | Wako | 2011-01-27 / 20110022278 - VEHICLE AUTOMATIC TRANSMISSION | 1 |
Yukihiro Karasawa | JP | Azumino-Shi | 2015-11-12 / 20150324054 - INTERACTIVE SYSTEM, CONTROL METHOD FOR INTERACTIVE SYSTEM, AND PROJECTOR | 2 |
Hajime Karasawa | JP | Toyama | 2015-12-24 / 20150371843 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS | 10 |
Yukio Karasawa | JP | Gunma | 2012-03-29 / 20120074912 - INVERTER GENERATOR | 1 |
Yoshinori Karasawa | JP | Minoh-Shi | 2012-03-29 / 20120075583 - PHOTOMETRY DEVICE | 1 |
Hiroshi Karasawa | JP | Kawasaki | 2010-11-18 / 20100291873 - COMMUNICATION TERMINAL DEVICE AND TRIPARTITE COMMUNICATION METHOD | 1 |
Masahiro Karasawa | JP | Toyota-Shi | 2015-10-15 / 20150291174 - CONTROL APPARATUS FOR VEHICLE | 2 |
Satoru Karasawa | JP | Fukuoka-Shi | 2011-10-27 / 20110263861 - QUINOLINE COMPOUND | 3 |
Takeo Karasawa | JP | Minato-Ku | 2012-09-20 / 20120239446 - PRODUCT DEVELOPMENT PROCESS SUPPORTING SYSTEM AND PRODUCT DEVELOPMENT PROCESS SUPPORTING METHOD | 1 |
Joji Karasawa | JP | Okaya-Shi | 2011-02-03 / 20110025984 - PROJECTOR | 2 |
Hitoshi Karasawa | JP | Tochigi | 2012-06-07 / 20120137970 - SURFACE TREATMENT DEVICE FOR CYLINDER BARREL | 3 |
Satoshi Karasawa | JP | Tokyo | 2012-02-09 / 20120034643 - FLUORESCENT PROTEIN | 11 |
Satoshi Karasawa | JP | Saitama | 2009-04-23 / 20090101801 - OPTICAL SCANNER DEVICE | 2 |
Hiroyuki Karasawa | JP | Kanagawa | 2009-10-01 / 20090247877 - ADAPTER AND ULTRASONIC DIAGNOSIS SYSTEM | 1 |
Toshiyuki Karasawa | JP | Nagano | 2015-05-07 / 20150124108 - OPTICAL UNIT WITH SHAKE CORRECTING FUNCTION | 12 |
Kazuaki Karasawa | JP | Hadano | 2016-01-07 / 20160007506 - MODULAR DATA CENTER | 1 |
Masahiro Karasawa | JP | Matsumoto | 2015-10-29 / 20150306883 - LIQUID CONTAINING BODY | 11 |
Katsuaki Karasawa | JP | Tokyo | 2015-10-29 / 20150309479 - TIME MEASUREMENT DEVICE | 2 |
Takumi Karasawa | JP | Aiko-Gun | 2016-04-21 / 20160111117 - FLEXURE CHAIN BLANK SHEET FOR DISK DRIVE SUSPENSION | 2 |
Yasushi Karasawa | JP | Shiojiri | 2012-10-11 / 20120256207 - ILLUMINATION DEVICE AND DISPLAY APPARATUS | 3 |
Joko Karasawa | JP | Saitama | 2014-11-20 / 20140342868 - LUBRICATING STRUCTURE OF TRANSMISSION | 1 |
Masayoshi Karasawa | JP | Kamiina | 2013-09-19 / 20130242383 - MICROSCOPE PROVIDED WITH PLURAL OPTICAL UNITS | 1 |
Masayoshi Karasawa | JP | Kamiina-Gun | 2013-10-31 / 20130286473 - INVERTED MICROSCOPE | 1 |
Toru Karasawa | JP | Shiojiri-Shi | 2015-08-27 / 20150245073 - CREATION OF IMAGE DESIGNATING FILE AND REPRODUCTION OF IMAGE USING SAME | 3 |
Hiroyuki Karasawa | JP | Ashigara-Kami-Gun | 2013-05-30 / 20130137928 - ENDOSCOPE | 1 |
Hiroyuki Karasawa | JP | Ashigarakami-Gun | 2014-10-16 / 20140309491 - ENDOSCOPE SYSTEM WITH PROCESSING APPARATUS | 3 |
Susumu Karasawa | JP | Tokyo | 2013-11-14 / 20130299726 - JOINT | 1 |
Masahiro Karasawa | JP | Matsumoto | 2015-10-29 / 20150306883 - LIQUID CONTAINING BODY | 11 |
Yuko Karasawa | JP | Nagano | 2014-05-01 / 20140117539 - WIRING SUBSTRATE, METHOD FOR MANUFACTURING WIRING SUBSTRATE, AND SEMICONDUCTOR PACKAGE | 1 |
Rumi Karasawa | JP | Shiga | 2010-08-05 / 20100192272 - FIBER STRUCTURE | 1 |
Yutaka Karasawa | JP | Tokyo | 2015-10-15 / 20150294444 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD AND COMPUTER-READABLE STORAGE MEDIUM STORING PROGRAM | 1 |
Mitsuyuki Karasawa | JP | Ibaraki | 2015-06-25 / 20150177136 - DEVICE AND METHOD FOR DETECTING STATE OF DISCHARGED LIQUID DROPLET AND IMAGE FORMING DEVICE INCORPORATING SUCH DEVICE | 2 |
Toshiyuki Karasawa | JP | Nagano | 2015-05-07 / 20150124108 - OPTICAL UNIT WITH SHAKE CORRECTING FUNCTION | 12 |
Joji Karasawa | JP | Kanagawa | 2010-02-11 / 20100033558 - Stereoscopic image display apparatus and method of manufacturing the same | 5 |
Toshio Karasawa | JP | Kanagawa | 2009-03-12 / 20090065615 - Electromagnetic Actuator and Fuel Injection Device | 2 |
Hideo Karasawa | JP | Tsuchiura-Shi | 2013-07-25 / 20130190994 - CONTROL UNIT FOR CONSTRUCTION MACHINE | 5 |
Shinya Karasawa | JP | Kanagawa | 2015-12-10 / 20150355594 - LUBRICANT APPLICATOR, IMAGE FORMING APPARATUS, AND PROCESS CARTRIDGE | 15 |
Hiroyuki Karasawa | JP | Kanagawa-Ken | 2009-01-29 / 20090025867 - MULTI-LAYER LAMINATE SUBSTRATES USEFUL IN ELECTRONIC TYPE APPLICATIONS | 1 |
Tetsushi Karasawa | JP | Osaka | 2008-09-11 / 20080221775 - MULTI-CYLINDER ENGINE | 1 |
Hajime Karasawa | JP | Toyama-Shi | 2010-05-27 / 20100130024 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS | 1 |
Seiji Karasawa | JP | Nagano | 2008-09-25 / 20080232075 - Electronic Component and Manufacturing the Same | 1 |
Shinichi Karasawa | JP | Yokosuka-Shi | 2013-10-31 / 20130285548 - Lighting Control Device for Vehicle, Lighting Control System for Vehicle, and Lighting Control Method for Vehicle | 1 |
Ryo Karasawa | JP | Niigata | 2010-05-06 / 20100107921 - TRACK CAR DRIVE SYSTEM, AND TRACK CAR USING THE SYSTEM | 1 |
Masahiro Karasawa | JP | Masumoto | 2014-12-11 / 20140361023 - LIQUID HOLDING CONTAINER | 1 |
Hiroshi Karasawa | JP | Chikuma-Shi | 2015-01-22 / 20150023841 - STEAM STERILIZER | 2 |
Masayoshi Karasawa | JP | Mishima-Shi | 2012-08-02 / 20120194835 - IMAGE FORMING APPARATUS AND METHOD OF THE SAME | 3 |
Rumi Karasawa | JP | Otsu | 2013-01-10 / 20130011450 - DEODORIZING FIBER STRUCTURE | 1 |
Fumio Karasawa | JP | Tokyo | 2014-06-05 / 20140153090 - PROJECTION SYSTEM | 2 |
Shinya Karasawa | JP | Ukyo-Ku | 2016-03-03 / 20160065071 - Buck DC/DC Converter and Control IC Thereof, Communication Machine for Office, Electric Bicycle | 3 |
Manabu Karasawa | JP | Yokohama-Shi | 2016-03-03 / 20160062531 - CONTROL APPARATUS AND METHOD FOR CONTROLLING OPERATION TARGET DEVICE IN VEHICLE, AND STEERING WHEEL | 2 |
Akira Karasawa | JP | Matsudoshi | 2008-09-25 / 20080229906 - ELECTRIC STRINGED MUSIC INSTRUMENT WITH MUSIC TUNER | 1 |
Junichi Karasawa | JP | Nagano-Ken | 2012-04-12 / 20120089090 - FLUID EJECTION DEVICE AND MEDICAL DEVICE | 1 |
Jun Karasawa | JP | Tokyo | 2011-10-13 / 20110247670 - THERMOELECTRIC MODULE AND POWER GENERATION APPARATUS | 2 |
Masahiro Karasawa | JP | Matsumoto-Shi | 2015-10-01 / 20150274368 - NON-AQUEOUS PHOTOCURABLE INK JET COMPOSITION STORAGE BODY AND RECORDING METHOD | 7 |
Hideo Karasawa | JP | Ibaraki | 2008-10-02 / 20080236157 - Engine Lag Down Suppressing Device of Construction Machinery | 2 |
Katsuya Karasawa | JP | Shiojiri-City | 2015-10-08 / 20150288354 - SEMICONDUCTOR DEVICE FOR SENSING PHYSICAL QUANTITY | 1 |
Shuuji Karasawa | JP | Tokyo | 2009-10-29 / 20090266495 - FOLDABLE SCREEN DEVICE | 1 |
Masayoshi Karasawa | JP | Shizuoka-Ken | 2011-06-02 / 20110128593 - IMAGE PROCESSING APPARATUS AND PROCESSING LIMITING METHOD | 1 |
Chisato Karasawa | JP | Saitama | 2011-12-01 / 20110294203 - CELL WASHING | 2 |
Masayoshi Karasawa | JP | Tokyo | 2014-10-02 / 20140293407 - INVERTED MICROSCOPE SYSTEM | 4 |
Kei Karasawa | JP | Tokyo | 2011-07-28 / 20110185171 - CERTIFICATE AUTHENTICATING METHOD, CERTIFICATE ISSUING DEVICE, AND AUTHENTICATION DEVICE | 4 |
Hiroshi Karasawa | JP | Tokyo | 2012-08-09 / 20120202847 - Novel Tetrahydroisoquinoline Compounds | 2 |
Mikiko Karasawa | JP | Musashino | 2014-12-18 / 20140373061 - Method, Device, and Computer Program Product | 1 |
Ryo Karasawa | JP | Tokyo | 2015-06-11 / 20150163396 - IMAGE PROCESSING DEVICE, DISPLAY DEVICE, IMAGING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 6 |
Hirokazu Karasawa | JP | Kanagawa | 2014-03-27 / 20140083193 - ULTRASONIC FLAW DETECTING APPARATUS, ULTRASONIC TRANSDUCER, AND ULTRASONIC FLAW DETECTING METHOD | 1 |
Takeo Karasawa | JP | Tokyo | 2009-06-18 / 20090157453 - PRODUCT DEVELOPMENT PROCESS SUPPORTING SYSTEM AND PRODUCT DEVELOPMENT PROCESS SUPPORTING METHOD | 1 |
Hidenori Karasawa | JP | Tokyo | 2015-11-12 / 20150324097 - INFORMATION PROCESSING APPARATUS, CONTROL METHOD FOR USE THEREIN, AND COMPUTER PROGRAM | 12 |
Junichi Karasawa | JP | Tatsuno-Machi | 2012-01-26 / 20120019566 - Integrated circuit device and electronic instrument | 3 |
Yasunori Karasawa | JP | Tokyo | 2010-06-03 / 20100133703 - Semiconductor Chip Laminate and Adhesive Composition for Semiconductor Chip Lamination | 2 |
Toshiyuki Karasawa | JP | Tokyo | 2010-02-11 / 20100035523 - SEMICONDUCTOR DEVICE FABRICATING METHOD, AND SEMICONDUCTOR FABRICATING DEVICE | 1 |
Nobuyuki Karasawa | JP | Tokyo | 2009-04-09 / 20090090255 - Agricultural product peeling apparatus | 1 |
Masanao Karasawa | JP | Tokyo | 2014-04-03 / 20140090238 - STACKING APPARATUS AND MANUFACTURING APPARATUS FOR FLATTENED TUBE FINS | 5 |
Mikiko Karasawa | JP | Tokyo | 2016-05-19 / 20160142691 - IMAGE PROCESSING APPARATUS, IMAGE PROJECTION SYSTEM, IMAGE PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 5 |
Toru Karasawa | JP | Nagano-Ken | 2012-11-01 / 20120274865 - Image-Display Method, Projector, Image-Display System, Projector-Control Method, Image-Display Program, and Projector-Control Program | 1 |
Shinya Karasawa | JP | Kyoto | 2009-06-11 / 20090146633 - STEP-UP SWITCHING REGULATOR AND ITS CONTROL CIRCUIT AND ELECTRONIC APPARATUS EMPLOYING IT | 2 |
Akira Karasawa | JP | Sunto-Gun | 2014-09-04 / 20140249166 - METHODS OF TREATING PATIENTS SUFFERING FROM MOVEMENT DISORDERS | 2 |
Junichi Karasawa | JP | Shimosuwa-Machi | 2015-08-27 / 20150238216 - FLUID EJECTING APPARATUS AND MEDICAL DEVICE | 7 |
Nobuhiro Karasawa | JP | Kanagawa | 2013-02-21 / 20130044245 - SOLID-STATE IMAGER DEVICE, DRIVE METHOD OF SOLID-STATE IMAGER DEVICE AND CAMERA APPARATUS | 13 |
Fumiaki Karasawa | JP | Kamiina-Gun | 2009-04-23 / 20090100670 - Input device and its manufacturing method | 1 |
Shinya Karasawa | JP | Kawasaki City | 2009-05-14 / 20090120356 - LUBRICANT APPLICATION APPARATUS, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS USING SAME | 1 |
Hidenori Karasawa | JP | Tokyo | 2015-11-12 / 20150324097 - INFORMATION PROCESSING APPARATUS, CONTROL METHOD FOR USE THEREIN, AND COMPUTER PROGRAM | 12 |
Hiroo Karasawa | JP | Nagoya-Shi | 2014-09-25 / 20140288229 - RESIN COMPOSITION, AND PELLET AND MOLDED PRODUCT THEREOF | 1 |
Yukio Karasawa | JP | Ota-Shi | 2012-10-04 / 20120249059 - SWITCHING POWER SUPPLY DEVICE | 1 |
Hiroyuki Karasawa | JP | Kaisei-Machi | 2010-10-14 / 20100262005 - Ultrasonic diagnostic apparatus | 4 |
Yoshiyuki Karasawa | JP | Kyoto | 2009-09-10 / 20090224819 - CONSTANT CURRENT CIRCUIT, AND INVERTER AND OSCILLATION CIRCUIT USING SUCH CONSTANT CURRENT CIRCUIT | 1 |
Isamu Karasawa | JP | Hino-Shi | 2008-08-21 / 20080200759 - MEDICAL APPARATUS | 1 |
Hirokazu Karasawa | JP | Kanagawa-Ken | 2011-05-05 / 20110102429 - THREE-DIMENSIONAL ULTRASONIC INSPECTION APPARATUS | 3 |
Yasunori Karasawa | JP | Saitama-Shi | 2011-03-03 / 20110048779 - RESIN SHEET FOR CIRCUIT BOARDS, SHEET FOR CIRCUIT BOARDS AND CIRCUIT BOARD FOR DISPLAYS | 1 |
Miki Karasawa | JP | Kuwana | 2016-05-19 / 20160139000 - TESTING DEVICE FOR THIN-WALLED LARGE BEARING | 1 |
Fumio Karasawa | JP | Niigata | 2013-10-31 / 20130283622 - HAND-HELD ELECTRIC CUTTING MACHINE | 1 |
Fumiaki Karasawa | JP | Nagano | 2009-10-29 / 20090265927 - INPUT DEVICE AND ITS MANUFACTURING METHOD | 1 |
Akira Karasawa | JP | Kawasaki-Shi | 2011-03-03 / 20110052172 - IMAGE PICKUP APPARATUS WITH BUILT-IN FLASH | 1 |
Fumiaki Karasawa | TW | Hsin-Chu | 2011-01-06 / 20110000305 - Stress Sensor and Assembly Method Thereof | 1 |
Toru Karasawa | JP | Komiino-Gun | 2014-10-02 / 20140298425 - IMAGE-DISPLAY METHOD, PROJECTOR, IMAGE-DISPLAY SYSTEM, PROJECTOR-CONTROL METHOD, IMAGE-DISPLAY PROGRAM, AND PROJECTOR-CONTROL PROGRAM | 2 |
Takashi Karasawa | JP | Suwa-Shi | 2012-03-29 / 20120075396 - ELECTRONIC APPARATUS | 2 |
Yoshinori Karasawa | JP | Osaka | 2014-01-16 / 20140015444 - LIGHTING DEVICE | 3 |
Katsumi Karasawa | JP | Utsunomiya-Shi | 2015-08-20 / 20150237255 - FOCUS DETECTING APPARATUS, LENS APPARATUS INCLUDING THE SAME, IMAGE PICKUP APPARATUS, AND METHOD OF DETECTING DEFOCUS AMOUNT | 7 |
Tomonori Karasawa | JP | Nagano | 2014-04-17 / 20140102905 - PLATED ALUMINUM PRODUCT | 2 |
Hitoshi Karasawa | JP | Tokyo | 2011-02-24 / 20110046445 - MEDICAL INSTRUMENT | 15 |
Yoji Karasawa | JP | Tokyo | 2011-08-11 / 20110192771 - Solid-liquid separator with self-priming pump | 1 |
Hirokazu Karasawa | JP | Yokohama-Shi | 2011-09-29 / 20110232386 - ULTRASONIC FLAW DETECTING APPARATUS AND ULTRASONIC FLAW DETECTING METHOD | 3 |
Toru Karasawa | JP | Matsumoto-Shi | 2013-08-29 / 20130222211 - IMAGE DISPLAY DEVICE, STORAGE DEVICE, IMAGE DISPLAY SYSTEM AND NETWORK SETUP METHOD | 3 |
Yasunori Karasawa | JP | Warabi-Shi | 2010-01-07 / 20100003481 - DISPLAY SUBSTRATE FOR EMBEDDING PIXEL-CONTROLLING ELEMENTS | 1 |
Shunichi Karasawa | JP | Fukuroi-Shi | 2010-01-07 / 20100000091 - METHOD FOR WORKING OUTER RACE OF ONE-WAY CLUTCH OF ROLLER TYPE | 1 |
Hajime Karasawa | JP | Toyama | 2015-12-24 / 20150371843 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS | 10 |
Yosinori Karasawa | JP | Osaka | 2012-10-25 / 20120268034 - ILLUMINATION SYSTEM AND ILLUMINATION APPARATUS | 1 |
Hayato Karasawa | JP | Nagano | 2016-04-07 / 20160099114 - SOLID ELECTROLYTE CAPACITOR | 2 |
Toru Karasawa | JP | Minowa-Machi | 2011-07-07 / 20110164008 - Image display system | 2 |
Satoshi Karasawa | JP | Tokyo | 2012-02-09 / 20120034643 - FLUORESCENT PROTEIN | 11 |
Toru Karasawa | JP | Kamiina-Gun | 2011-09-29 / 20110238744 - IMAGE DISPLAY APPARATUS AND IMAGE DISPLAY METHOD | 3 |
Rumi Karasawa | JP | Otsu-Shi | 2015-02-05 / 20150038035 - FIBER STRUCTURE | 1 |
Tatsuya Karasawa | JP | Shiojiri-Shi | 2014-09-04 / 20140246769 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Ryo Karasawa | JP | Toyama-Shi | 2015-11-05 / 20150316850 - RESIST UNDERLAYER FILM-FORMING COMPOSITION COMPRISING CARBONYL-CONTAINING POLYHYDROXY AROMATIC RING NOVOLAC RESIN | 6 |
Tatsuya Karasawa | JP | Shiojiri-City | 2016-03-31 / 20160095244 - POWER SEMICONDUCTOR MODULE DEVICE | 1 |
Shigeyuki Karasawa | JP | Tokyo | 2012-02-16 / 20120038099 - DOCUMENT HANDLER | 1 |
Takeshi Karasawa | JP | Ibaraki-Shi | 2014-01-23 / 20140025017 - BARREL WITH CAP, PRE-FILLED SYRINGE, AND CAP WITH CONNECTOR | 1 |
Noriyuki Karasawa | JP | Koto-Ku, Tokyo | 2015-12-24 / 20150369633 - ELECTROSTATIC CAPACITANCE SENSOR AND STEERING | 1 |
Yutaka Karasawa | JP | Kamiina-Gun | 2015-01-15 / 20150015977 - FILTER FRAME FOR DIGITAL CAMERA MADE FROM POLYMER ELASTIC BODY | 2 |
Siegmund Karasch | DE | Berlin | 2015-10-22 / 20150301040 - IDENTIFICATION OF MODULATORS OF BINDING PROPERTIES OF ANTIBODIES REACTIVE WITH A MEMBER OF THE INSULIN RECEPTOR FAMILY | 1 |
Mark Lee Karasek | US | Lombard | / - | 1 |
Ryan F. Karasek | US | Champlin | 2014-02-27 / 20140053352 - CARBOY CLEANING APPARATUS AND METHOD | 2 |
Bryan Karasek | US | Huffman | 2014-09-18 / 20140260705 - PIPE OUTER SURFACE INSPECTION APPARATUS | 1 |
Jens Karasek | DE | Kaufungen | 2016-02-18 / 20160046391 - COUPLING MODULE | 5 |
Manfred Karasek | DE | Steinheim | 2010-08-05 / 20100194517 - Current-Compensated Choke and Circuit Arrangement With a Current-Compensated Choke | 1 |
Gary Karasek | CA | Nova Scotia | 2012-06-14 / 20120150752 - METHOD AND SYSTEM FOR PROVIDING WORKFLOW CONTROL | 1 |
Mark L. Karasek | US | Lombard | 2012-11-22 / 20120293310 - Method and Apparatus Pertaining to Using a Door Chime to Audibilize Non-Door-Chime Messages | 4 |
Charlie Karasek | US | Seattle | 2015-09-17 / 20150259414 - ANTI-ACTH ANTIBODIES AND USE THEREOF | 1 |
Miroslav Karásek | CZ | Kolin | 2013-06-13 / 20130148960 - MODULAR KIT OF DEVICES FOR VARIABLE DISTRIBUTION, MIXING AND MONITORING OF OPTICAL SIGNALS IN THE INTERNET AND OTHER NETWORKS | 3 |
Miroslav Karásek | CZ | Kolin | 2013-06-13 / 20130148960 - MODULAR KIT OF DEVICES FOR VARIABLE DISTRIBUTION, MIXING AND MONITORING OF OPTICAL SIGNALS IN THE INTERNET AND OTHER NETWORKS | 2 |
Igor Karasev | US | San Jose | 2015-07-30 / 20150215557 - ANTIBLOOMING IMAGING APPARATUS, SYSTEMS, AND METHODS | 4 |
Nikolay K. Karasev | US | Winfield | 2015-04-23 / 20150112789 - METHOD AND SYSTEM FOR OPTIMIZING VALUE OF CONSUMER OFFERS | 1 |
Andrey Karasev | SE | Alta | 2013-05-16 / 20130121870 - FERRITIC STAINLESS STEEL, WITH HIGH AND STABLE GRAIN REFINING POTENCY, AND ITS PRODUCTION METHOD | 1 |
Elena Karaseva | RU | Ufa | 2015-01-29 / 20150030934 - REINFORCED METAL FOIL ELECTRODE | 8 |
Eugenie Karasewitsch | DE | Uelzen | 2013-07-11 / 20130178566 - RUBBER MIXTURES | 1 |
Toru Karashima | JP | Tokyo | 2011-05-12 / 20110109785 - IMAGE CAPTURING APPARATUS | 1 |
Seiji Karashima | JP | Osaka | 2011-08-18 / 20110201195 - FLIP CHIP MOUNTING METHOD AND BUMP FORMING METHOD | 27 |
Ryusuke Karashima | JP | Isehara-Shi | 2014-12-25 / 20140374181 - BATTERY SYSTEM COMPONENT LAYOUT STRUCTURE FOR ELECTRICALLY DRIVEN VEHICLE | 1 |
Shuichi Karashima | JP | Osaka | 2015-10-01 / 20150280237 - BINDER FOR USE IN POSITIVE ELECTRODE FOR LITHIUM ION SECONDARY BATTERY, POSITIVE ELECTRODE FOR LITHIUM ION SECONDARY BATTERY CONTAINING SAID BINDER, LITHIUM ION SECONDARY BATTERY USING SAID POSITIVE ELECTRODE, AND ELECTRICAL MACHINERY AND APPARATUS | 1 |
Yuta Karashima | JP | Miyoshi-Shi | 2014-06-19 / 20140167491 - WHEEL COVER | 1 |
Daisuke Karashima | JP | Hachiouji | 2014-03-06 / 20140068194 - PROCESSOR, INFORMATION PROCESSING APPARATUS, AND CONTROL METHOD OF PROCESSOR | 2 |
Yuta Karashima | JP | Toyota-Shi | 2014-01-30 / 20140027212 - CORROSION PREVENTION DEVICE FOR VEHICLE BRAKE UNIT | 1 |
Kenji Karashima | JP | Tokyo | 2016-05-05 / 20160124376 - CLEANING BLADE AND CLEANING DEVICE | 7 |
Takashi Karashima | JP | Kanagawa | 2012-04-05 / 20120081702 - METHOD OF INSPECTING SEMICONDUCTOR DEVICE | 2 |
Yosuke Karashima | JP | Kudamatsu-Shi | 2010-11-25 / 20100297783 - Plasma Processing Method | 2 |
Nobuyoshi Karashima | JP | Oita-City | 2010-03-18 / 20100069823 - Iontophoresis-based medical device | 2 |
Teruhiko Karashima | JP | Kariya-City | 2009-11-26 / 20090291714 - Mobile station having waiting process with main power off | 1 |
Yoshikuni Karashima | JP | Mito | 2009-10-01 / 20090248281 - Control Apparatus and Method for Internal Combustion Engine | 1 |
Toru Karashima | JP | Kanagawa | 2011-06-30 / 20110157806 - ELECTRONIC DEVICE | 3 |
Geoffrey I. Karasic | US | Milton | 2015-07-09 / 20150190131 - FLEXIBLE ANCHOR DELIVERY SYSTEM | 1 |
Geoffrey I. Karasic | US | Bedford | 2012-11-01 / 20120272505 - Robot having obstacle avoidance mechanism | 1 |
Geoffrey I. Karasic | US | Boston | 2015-11-12 / 20150320412 - FLEXIBLE ANCHOR DELIVERY SYSTEM | 2 |
Neal J. Karasic | US | Brighton | 2014-06-26 / 20140180825 - Interactive Mobile Advertisement Banners | 78 |
Geoffrey Ian Karasic | US | Bedford | 2013-11-07 / 20130297070 - Robot having obstacle avoidance mechanism | 1 |
Neal J. Karasic | US | Brighton | 2014-06-26 / 20140180825 - Interactive Mobile Advertisement Banners | 78 |
Nea J. Karasic | US | Brington | 2013-02-28 / 20130055097 - MANAGEMENT OF MULTIPLE ADVERTISING INVENTORIES USING A MONETIZATION PLATFORM | 1 |
Michael Karasick | US | White Plains | 2015-12-31 / 20150379689 - LENS DISTORTION CORRECTION USING A NEUROSYNAPTIC SYSTEM | 1 |
Michael Karasick | CN | Beijing | 2012-10-04 / 20120250089 - METHOD FOR ANALYZING USAGE OF PRINTERS | 2 |
Zev W. Karasick | US | Kew Gardens | 2010-02-11 / 20100037299 - Method, System, And Computer Program Product For Identifying An Authorized Officer Of A Business | 1 |
Michael Sidney Karasick | US | Austin | 2008-08-28 / 20080208671 - SYSTEM AND METHOD FOR MATCHING PEOPLE AND JOBS USING SOCIAL NETWORK METRICS | 1 |
Joanna Karasiewicz | PL | Komorniki | 2012-06-28 / 20120165565 - SYNTHESIS OF FLUOROCARBOFUNCTIONAL ALKOXYSILANES AND CHLOROSILANES | 2 |
Richard David Karasiewski | US | Elkridge | 2012-01-12 / 20120005926 - SNAP N' STRETCH STRETCHER BAR | 1 |
Richard David Karasiewski | US | 2012-12-27 / 20120324770 - SNAP N' STRETCH STRETCHER BAR WITH CONNECTING SEGMENTS | 1 | |
Gregory Karasik | US | Bellevue | 2009-01-08 / 20090009815 - APPARATUS FOR ELECTRONIC STORAGE OF RECIPES | 1 |
Michael Karasik | IL | Jerusalem | 2011-03-31 / 20110077657 - DRILL-AIMING METHOD AND APPARATUS | 1 |
Roman Karasik | IL | San Martin | 2012-05-31 / 20120132211 - MONITORING ENDOTRACHEAL INTUBATION | 1 |
Roman Karasik | IL | Lod | 2012-10-04 / 20120253142 - MONITORING, PREDICTING AND TREATING CLINICAL EPISODES | 3 |
Vladimir Karasik | US | Walled Lake | 2013-06-27 / 20130161168 - SELF-LOCKING SWITCH | 2 |
Avraham Karasik | IL | Ramat Hasharon | 2011-02-24 / 20110046071 - GLP-1 Receptor Agonists And Related Active Pharmaceutical Ingredients For Treatment Of Cancer | 1 |
David Karasik | IL | Moshav Gimzo | 2014-01-02 / 20140005966 - METHOD AND SYSTEM FOR PERFORMING COMPLEX SAMPLING OF SIGNALS BY USING TWO OR MORE SAMPLING CHANNELS AND FOR CALCULATING TIME DELAYS BETWEEN THESE CHANNELS | 2 |
Nir Karasikov | IL | Haifa | 2012-01-05 / 20120002852 - Advanced Digital Pathology and Provisions for Remote Diagnostics | 9 |
Igor Karasin | IL | Raanana | 2016-01-07 / 20160004316 - GESTURE BASED COMPUTER INTERFACE SYSTEM AND METHOD | 4 |
Gavriel Karasin | IL | Raanana | 2016-01-07 / 20160004316 - GESTURE BASED COMPUTER INTERFACE SYSTEM AND METHOD | 4 |
Igor Karasin | IL | Jerusalem | 2010-06-03 / 20100134416 - SYSTEM AND METHOD OF TACTILE ACCESS AND NAVIGATION FOR THE VISUALLY IMPAIRED WITHIN A COMPUTER SYSTEM | 1 |
Alexander I. Karasin | US | Madison | 2016-02-25 / 20160051662 - H3 INFLUENZA A VIRUS | 5 |
Svetlana Karasina | US | Basking Ridge | 2016-05-12 / 20160128465 - ILLUMINATED SURGICAL UTILITY TABLE | 2 |
Cihan Karasinir | US | St. Louis | 2014-06-26 / 20140180722 - Time Lapsable Motion Image Responsive to Features of Pathophysiologic Perturbations | 3 |
Kenneth B. Karasiuk | US | Oak Park | 2015-10-29 / 20150305775 - Microdermabrasion System Upgrade Kit | 9 |
Kenneth B. Karasiuk | US | Oak Park | 2015-10-29 / 20150305775 - Microdermabrasion System Upgrade Kit | 9 |
Andrzej Karaskiewicz | PL | Koczaragi Stare | 2010-03-18 / 20100069901 - LIQUID NITROGEN CRYOSURGICAL APPARATUS WITH A SET OF SUWALSKI CARDIAC SURGERY CRYOPROBES | 1 |
Audrey Karass | CA | Montreal | 2011-09-01 / 20110209312 - STRAP BUCKLE AND METHOD OF SECURING A STRAP PORTION | 1 |
Viatcheslav Karassik | US | Chicago | 2014-06-05 / 20140156686 - DOCUMENT MANAGEMENT SYSTEM | 1 |
Viatcheslav Karassik | US | Silver Spring | 2012-03-29 / 20120078924 - WORKER AND DOCUMENT MANAGEMENT SYSTEM | 2 |
Valentine A. Karassiouk | CA | Vancouver | 2012-01-19 / 20120013901 - CALIBRATION OF A SPATIAL LIGHT MODULATOR | 6 |
Brad Karassner | US | Miami Beach | 2009-10-22 / 20090265243 - System and method for creation, distribution and tracking of advertising via electronic networks | 1 |
Dimitri Karastamalis | US | Sugar Land | 2011-05-05 / 20110100482 - CONTINUOUS GAS SERVICE RESTORATION AND METER CHANGE-OUT SYSTEM | 1 |
Kraig Karasti | US | Brooklyn Park | 2014-12-18 / 20140371770 - CONTROLLER FOR AN ATHERECTOMY DEVICE | 4 |
Ayu Karasudani | JP | Kawasaki | 2009-11-05 / 20090275847 - Sleepiness level detection method and apparatus | 1 |
Akira Karasudani | JP | Yamato | 2015-07-23 / 20150205879 - INFORMATION PROCESSING APPARATUS, BROWSING HISTORY CLASSIFICATION METHOD, AND BROWSING HISTORY CLASSIFICATION PROGRAM | 5 |
Takashi Karasudani | JP | Fukuoka | 2012-04-12 / 20120086216 - FLUID MACHINE, WIND TURBINE, AND METHOD FOR INCREASING VELOCITY OF INTERNAL FLOW OF FLUID MACHINE, UTILIZING UNSTEADY FLOW | 1 |
Takashi Karasudani | JP | Fukuoka-Shi | 2011-02-24 / 20110042952 - FLUID MACHINE, WIND TURBINE, AND METHOD FOR INCREASING VELOCITY OF INTERNAL FLOW OF FLUID MACHINE, UTILIZING UNSTEADY FLOW | 1 |
Akira Karasudani | JP | Kawasaki | 2009-03-26 / 20090083796 - INFORMATION RECOMMENDATION APPARATUS AND METHOD | 2 |
Kohei Karasumi | JP | Osaka | 2008-10-02 / 20080241691 - SINTERED SUBSTRATE AND ALKALINE STORAGE BATTERY USING THE SUBSTRATE | 1 |
Gou Karasuyama | JP | Tokyo | 2013-03-07 / 20130055793 - FILTER CLOGGING DETECTION APPARATUS | 1 |
Valentin Karasyuk | CA | Vancouver | 2010-02-25 / 20100047701 - ENHANCED IMAGING OF FEATURES | 2 |
Claire-Marie N. Karat | US | Greenwich | 2009-04-16 / 20090100498 - METHOD AND SYSTEM FOR ANALYZING POLICIES FOR COMPLIANCE WITH A SPECIFIED POLICY USING A POLICY TEMPLATE | 1 |
John Karat | US | Greenwich | 2009-04-23 / 20090106815 - METHOD FOR MAPPING PRIVACY POLICIES TO CLASSIFICATION LABELS | 3 |
Clare-Marie N. Karat | US | Greenwich | 2009-04-23 / 20090106815 - METHOD FOR MAPPING PRIVACY POLICIES TO CLASSIFICATION LABELS | 1 |
Clare-Marie Karat | US | Greenwich | 2010-02-25 / 20100049558 - SYSTEM AND METHOD FOR AUTOMATICALLY GENERATING SUGGESTED ENTRIES FOR POLICY SETS WITH INCOMPLETE COVERAGE | 3 |
Yukinobu Karata | JP | Tokyo | 2011-07-28 / 20110180216 - LAMINATED CORE, METHOD AND APPARATUS FOR MANUFACTURING LAMINATED CORE, AND STATOR | 3 |
Yukinobu Karata | JP | Chiyoda-Ku | 2012-11-15 / 20120286619 - ROTARY ELECTRIC MACHINE AND METHOD FOR MANUFACTURING STATOR USED THEREIN | 1 |
Ilhami Karatas | DE | Glinde | 2015-04-23 / 20150107946 - CARRIER BODY FOR A BRAKE LINING OF A DISK BRAKE WITH A DAMPER MASS FOR MODIFYING THE VIBRATION | 2 |
Cihan Karatas | TR | Ankara | 2014-06-12 / 20140161988 - LASER NITRIDING METHOD OF MAKING PHOSPHOR BRONZE WITH SURFACE-EMBEDDED TITANIUM CARBIDE PARTICLES | 4 |
Yunus Karatas | TR | Kirsehir | 2011-06-23 / 20110151340 - NON-AQUEOUS ELECTROLYTE CONTAINING AS A SOLVENT A BORATE ESTER AND/OR AN ALUMINATE ESTER | 1 |
Erdal Karatas | DE | Uhingen | 2010-10-21 / 20100266354 - NEGATIVE INSERT HAVING DOUBLE-POSITIVE CLEARANCE SURFACE | 1 |
Hacer Karatas | US | Ann Arbor | 2014-09-11 / 20140256706 - BET BROMODOMAIN INHIBITORS AND THERAPEUTIC METHODS USING THE SAME | 3 |
Haluk Karatas | TR | Istanbul | 2009-01-15 / 20090013987 - Oven | 1 |
Cihan Karatas | TR | Ivogsan | 2012-06-14 / 20120148758 - METHOD OF CARBO-NITRIDING ALUMINA SURFACES | 3 |
Valeri Karatchoun | US | Playa Vista | 2009-08-06 / 20090195942 - Effect of a loss of a reactive impedance of a transformer, when secondary windings of the transformer are short circuited. The Method and the Device for a reduction of a short circuit currents | 1 |
Haralambos C. Karathanasis | GR | Athens | 2014-07-10 / 20140194209 - PLATFORMS FOR COMMUNITY GAME DEVELOPMENT AND COMMUNITY MANAGEMENT OF GAME LIFECYCLE | 1 |
Babis Karathanasis | GR | Athens | 2014-03-06 / 20140068659 - COMPUTER-IMPLEMENTED METHODS AND COMPUTER SYSTEMS FOR COMBINING MULTICHANNEL CONTENT PRESENTATION WITHIN INTERACTIVE LOTTERY/GAMING ENVIRONMENT IN AN INTERACTIVE PRESENTATION DEVICE OF A LOTTERY/GAME OPERATOR | 1 |
Efstathios Karathanasis | US | Cleveland | 2014-09-04 / 20140248339 - MULTI-COMPONENT NANOCHAINS | 1 |
Efstathios Karathanasis | US | Atlanta | 2013-07-25 / 20130189187 - NANO-SCALE CONTRAST AGENTS AND METHODS OF USE | 3 |
Maheswaran Karatholuvhu | US | Detroit | 2010-09-02 / 20100222549 - DECHALCOGENATIVE METHODS FOR THE PREPARATION OF ALLYLIC SULFIDES | 1 |
Takanori Karato | JP | Hyogo | 2010-05-13 / 20100116062 - CRACK-PROPAGATION PREDICTION METHOD AND PROGRAM | 1 |
Toshihiko Karato | JP | Shizuoka | 2011-08-18 / 20110200929 - ELECTROSTATIC IMAGE DEVELOPING TONER AND TWO-COMPONENT DEVELOPER | 1 |
Takeo Karato | JP | Tokyo | 2010-10-28 / 20100270771 - WALKING AUXILIARY EQUIPMENT | 1 |
Ryo Karato | JP | Kawagoe-Shi | 2015-02-19 / 20150050745 - PEROXIDE INDICATOR | 1 |
Mariko Karato | JP | Nerima-Ku | 2010-08-12 / 20100204523 - METHOD OF PREVENTING DISCOLORATION OF CAROTENOID PIGMENT AND CONTAINER USED THEREFOR | 1 |
Takeshi Karato | JP | Chiyoda-Ku | 2013-01-10 / 20130012651 - CONJUGATED DIENE RUBBER, RUBBER COMPOSITION, CROSSLINKED RUBBER, TIRE, AND PROCESS FOR PRODUCTION OF CONJUGATED DIENE RUBBER | 1 |
Yoshiaki Karato | JP | Yokkaichi-Shi | 2015-07-09 / 20150190551 - PELLET-SHAPED COMPOSITION FOR MEDICAL USE, AND MOLDED PRODUCT | 1 |
Toshihiko Karato | JP | Numazu-Shi | 2010-08-19 / 20100209838 - TONER AND DEVELOPMENT AGENT | 2 |
Takeshi Karato | JP | Tokyo | 2013-10-10 / 20130267649 - CONJUGATED DIENE RUBBER, RUBBER COMPOSITION, CROSS-LINKED RUBBER, AND TIRE | 2 |
Toshikazu Karatsu | JP | Osaka | 2010-02-11 / 20100031600 - Load Bearing Frame | 1 |
Murat Karatutlu | IE | Dublin | 2012-03-15 / 20120066682 - VIRTUAL AND PHYSICAL ENTERPRISE SYSTEM IMAGING | 1 |
Aggelos Karatzas | GR | Pallini Attikis | 2014-08-21 / 20140235656 - SUBLINGUAL PHARMACEUTICAL COMPOSITION CONTAINING AN ANTIHISTAMINE AGENT AND METHOD FOR THE PREPARATION THEREOF | 1 |
Costas N. Karatzas | CA | Beaconsfield | 2010-12-02 / 20100305039 - Production of collagen in the milk of transgenic mammals | 1 |
Antonios Karatzis | GR | Crete | 2012-01-12 / 20120008885 - PACKAGING BAG | 3 |
Antonios Karatzis | GR | Heraclion | 2014-03-06 / 20140060119 - Device for Elongating Stitches, Elongating Device for Producing a Net with Elongated Stitches, Method for Producing a Net with Elongated Stitches and a Net with Elongated Stitches | 1 |
Alexandros Karatzoglou | ES | Barcelona | 2014-07-03 / 20140188865 - METHOD AND SYSTEM OF OPTIMIZING A RANKED LIST OF RECOMMENDED ITEMS | 1 |
Alexandros Karatzoglou | ES | Barecelona | 2015-07-02 / 20150187024 - System and Method for Socially Aware Recommendations Based on Implicit User Feedback | 1 |
Alexandros Karatzoglou | ES | Madrid | 2014-06-26 / 20140180760 - METHOD FOR CONTEXT-AWARE RECOMMENDATIONS BASED ON IMPLICIT USER FEEDBACK | 2 |
William H. Karau | US | Southlake | 2016-04-07 / 20160097168 - INTERLOCKING PAVER | 12 |
Andreas Karau | FR | Vieux Moulin | 2015-06-25 / 20150174088 - FOOD SUPPLEMENT CONTAINING ALPHA-KETO ACIDS FOR SUPPORTING DIABETES THERAPY | 5 |
William Howard Karau | US | Southlake | 2010-12-16 / 20100313868 - CONCRETE BLOCK SPLITTING AND PITCHING APPARATUS AND METHOD | 2 |
William H. Karau | US | Southlake | 2016-04-07 / 20160097168 - INTERLOCKING PAVER | 12 |
Andreas Karau | DE | Gelnhausen | 2015-02-26 / 20150056667 - MUTANTS OF HYDANTOINASE | 3 |
Kelly Lynn Karau | US | New Berlin | 2009-01-22 / 20090022386 - METHODS AND SYSTEMS FOR COMPUTER AIDED TARGETING | 1 |
Friedrich Wilhelm Karau | DE | Goslar | 2011-09-15 / 20110224085 - MAGNESIUM DIBORIDE | 2 |
Matthew Brent Karau | AE | Abu Dhabi | 2015-07-16 / 20150199918 - BLIND AID DEVICE | 1 |
Todor Karaulanov | US | Albuquerque | 2015-12-24 / 20150369887 - Methods and apparatuses related to instrumentation for magnetic relaxometry measurements | 1 |
Todor Karaulanov | US | Los Alamos | 2012-05-10 / 20120112749 - APPARATUS AND METHOD FOR INCREASING SPIN RELAXATION TIMES FOR ALKALI ATOMS IN ALKALI VAPOR CELLS | 1 |
Hatice Karauzum | US | Silver Spring | 2016-02-11 / 20160039888 - IMMUNOGENIC COMPOSITION COMPRISING ALPHA-HEMOLYSIN OLIGOPEPTIDES | 3 |
Hatice Karauzum | US | Silverspring | 2014-09-25 / 20140286960 - STAPHLOCOCCUS AUREUS ALPHA-HEMOLYSIN ANTIBODIES | 1 |
Nilesh Karava | US | Atlanta | 2013-05-16 / 20130122151 - Process for Spray Drying Botanical Food | 2 |
Subba Rao Karavadi | US | Frisco | 2015-08-06 / 20150219405 - CLADDED BRAZED ALLOY TUBE FOR SYSTEM COMPONENTS | 1 |
Konstantine Karavakis | US | Pleasanton | 2016-05-12 / 20160135297 - VIA IN A PRINTED CIRCUIT BOARD | 8 |
Konstantine Karavakis | US | Pleasanton | 2016-05-12 / 20160135297 - VIA IN A PRINTED CIRCUIT BOARD | 8 |
Golan Karavani | IL | Gan Yavne | 2012-06-28 / 20120160880 - METHOD AND APPARATUS INTEGRAL OR ADDED TO A CONTAINER FOR SPREADING THE CONTAINER CONTENTS | 1 |
Rene Karavas | CA | Mississauga | 2013-09-26 / 20130253975 - SYSTEM AND METHOD FOR INSPECTING AND ASSESSING RISK OF MECHANICAL EQUIPMENT AND FACILITIES | 1 |
Evangelos Karavas | GR | Pallini Attikis | 2015-10-15 / 20150290137 - NOVEL METHOD FOR IMPROVING THE BIOAVAILABILITY OF LOW AQUEOUS SOLUBILITY DRUGS | 12 |
Evangelos Karavas | GR | Athens | 2008-09-04 / 20080213356 - Pharmaceutical Composition Containing Hmg-Coa Reductase Inhibitor And Method For The Preparation Thereof | 1 |
Evangelos Karavas | GR | Pallini Attikis | 2015-10-15 / 20150290137 - NOVEL METHOD FOR IMPROVING THE BIOAVAILABILITY OF LOW AQUEOUS SOLUBILITY DRUGS | 12 |
Yiannis G. Karavas | US | Canton | 2011-07-14 / 20110169507 - METHODS AND APPARATUS FOR THE DETERMINATION OF MOISTURE CONTENT | 1 |
Stella J. Karavas | US | Canton | 2011-07-14 / 20110169507 - METHODS AND APPARATUS FOR THE DETERMINATION OF MOISTURE CONTENT | 1 |
Dimitrios Karavidas | GR | Athens | 2010-08-12 / 20100201555 - METHOD OF CYCLICALLY CONVERTING AN ANALOG SIGNAL TO A MULLTI-BIT DIGITAL SIGNAL AND CONVERTER FOR PERFORMING THE METHOD | 1 |
Michael Karavitis | US | Dana Point | 2013-06-27 / 20130163622 - Transverse Adjustable Laser Beam Restrictor | 1 |
Michael Karavitis | US | Seal Beach | 2011-01-20 / 20110013262 - Method and System for Laser Amplification Using a Dual Crystal Pockels Cell | 2 |
Michael Karavitis | US | Huntington Beach | 2009-05-21 / 20090126870 - METHOD OF MAKING SUB-SURFACE PHOTOALTERATIONS IN A MATERIAL | 1 |
Michael Karavitis | US | San Pedro | 2015-11-19 / 20150328045 - Precise Targeting Of Surgical Photodisruption | 3 |
Michael Karavitis | US | Aliso Viejo | 2011-08-25 / 20110206073 - High Power Femtosecond Laser with Adjustable Repetition Rate and Simplified Structure | 5 |
Geetha Karavoor | IN | Kasaragod | 2010-10-07 / 20100254875 - MONODISPERSE NANOPARTICLES AND METHOD OF MAKING | 2 |
Geetha Karavoor | IN | Kasaragod District | 2014-09-18 / 20140262780 - GAS SENSOR AND METHOD OF MAKING | 3 |
Geetha Karavoor | IN | Kerala | 2009-06-25 / 20090159447 - GAS SENSOR AND METHOD OF MAKING | 1 |
Ronald S. Karaway | US | Kenosha | 2008-10-23 / 20080257759 - RECYCLING CONTAINER FOR THE COLLECTION AND TEMPORARY STORAGE OF MERCURY CONTAMINATED WASTES IN DENTAL FACILITIES | 1 |
Stephen John Karay | AU | Scarborough | 2014-08-07 / 20140220873 - AIR COOLING SYSTEM FOR AN UNMANNED AERIAL VEHICLE | 1 |
Steve Karay | AU | Scarborough | 2013-09-12 / 20130233279 - FUEL INJECTION ASSEMBLY | 1 |
Allison Nicole Karay | US | Cary | 2012-10-11 / 20120255968 - GRAVITY FEED CARTON FOR CEREAL BARS | 1 |
Levon Karayan | US | Los Angeles | 2011-09-22 / 20110231296 - SYSTEMS AND METHODS FOR INTERACTING WITH MESSAGES, AUTHORS, AND FOLLOWERS | 1 |
Vahe Karayan | US | Potomac Falls | 2008-08-28 / 20080206503 - Solid concentrate composition for polymeric chain extension | 1 |
Emin Karayel | DE | Pforzheim | 2013-06-13 / 20130151499 - METHOD FOR SEARCHING IN A PLURALITY OF DATA SETS AND SEARCH ENGINE | 3 |
Eleni Karayianni | GR | Geneva | 2011-12-29 / 20110315423 - ABRASION RESISTANT AND FLAME RETARDANT THERMOPLASTIC VULCANIZATE COMPOSITIONS | 1 |
Eleni Karayianni | CH | Geneva | 2016-04-21 / 20160108232 - ABRASION RESISTANT AND FLAME RETARDANT THERMOPLASTIC VULCANIZATE COMPOSITIONS | 13 |
Eleni Karayianni | CH | Geneva | 2016-04-21 / 20160108232 - ABRASION RESISTANT AND FLAME RETARDANT THERMOPLASTIC VULCANIZATE COMPOSITIONS | 13 |
Manoj Karayil Thekkoott Narayanan | IN | Kerala | 2009-12-31 / 20090323833 - VERSATILE PLATFORM FOR BROADBAND WIRELESS SYSTEM DESIGN AND PROTOTYPING USING SOFTWARE DEFINED RADIO METHODOLOGY | 2 |
Maria Karayiorgou | US | New York | 2015-08-27 / 20150239831 - INHIBITORS OF CENTRAL NERVOUS SYSTEM VASOACTIVE INHIBITORY PEPTIDE RECEPTOR 2 | 3 |
Maria Karayiorgou | US | Riverdale | 2016-03-31 / 20160089377 - THERAPEUTIC TARGETING OF THE MTOR PATHWAY IN NEURODEVELOPMENTAL AND NEUROPSYCHIATRIC DISEASE | 5 |
Uri Karazi | IL | Nof Ayalon | 2016-05-19 / 20160139249 - LOW POWER LASER SENSING | 8 |
Uri Karazi | IL | Nof Ayalon | 2016-05-19 / 20160139249 - LOW POWER LASER SENSING | 8 |
Naim Karazivan | CA | Montreal | 2011-12-01 / 20110294089 - System and method for detection and removal of dental tartar | 1 |
Naim Karazivan | CA | Repentigny | 2015-07-02 / 20150182321 - DENTAL COMPOSITIONS CONTAINING UPCONVERSION PHOSPHORS AND METHODS OF USE | 7 |
Krzysztof Karazniewicz | PL | Stargard Szczecinski | 2010-02-25 / 20100044454 - WATER SPRAY NOZZLE AND METHOD OF OPTIMIZATION OF WORKING PARAMETERS OF WATER SPRAY NOZZLE | 1 |
Ingo Karb | DE | Flacht | 2014-04-17 / 20140103571 - METHOD AND APPARATUS FOR PRODUCING LAID FIBRE FABRICS AND COMPONENT PREFORMS MADE OF FIBRES | 1 |
Ingo Karb | DE | Leonberg | 2015-11-19 / 20150328843 - METHOD AND DEVICE FOR MANUFACTURING THREE-DIMENSIONAL FIBER FABRICS AND COMPONENT PREFORMS MADE OF FIBRES IN TWO STEPS | 2 |
Ingo Karb | DE | Weissach | 2015-11-05 / 20150314522 - Laying Head and Apparatus and Method for Manufacturing a Three-Dimensional Pre-form for a Structural Component from a Fiber Composite Material | 1 |
Stefan Karbach | DE | Neustadt | 2012-09-06 / 20120226073 - METHOD AND APPARATUS FOR THE INTERMIXING OF TWO FLUID STREAMS | 1 |
Edgar Karbach | DE | Wuppertal | 2010-08-26 / 20100215297 - COMB SIDE PLATE CAGE FOR GUIDING ROLLING BODIES IN A ROLLING BODY BEARING AND ROLLING BODY BEARING | 1 |
Alexander Karbach | DE | Krefeld | 2011-06-16 / 20110143126 - POLYCARBONATE BLENDS HAVING HIGH HEAT DISTORTION RESISTANCE AND IMPROVED SURFACE PROPERTIES | 5 |
Doris Karbach | DE | Rauenberg | 2008-10-23 / 20080262881 - LOGICALLY CENTRALIZED SCRAP MANAGEMENT USING PLANNING OPERATIONS | 1 |
Karen L. Karbacka | US | Perry | 2013-02-28 / 20130048221 - Adhesive Acrylate-Olefin Copolymers, Methods for Producing Same and Compositions Utilizing Same | 1 |
Maxim Karban | RU | Moscow | 2009-03-26 / 20090078024 - PARTICLE DETECTOR | 1 |
Frank A. Karbarz | US | Houston | 2014-09-25 / 20140286842 - RECOVERY METHOD FOR A CONTINUOUS CALCIUM EXTRACTION AND PCC PRODUCTION | 2 |
Amin Karbasi | CH | Lausanne | 2012-10-18 / 20120266176 - Allocating Tasks to Machines in Computing Clusters | 1 |
Amir Karbasi | FI | Espoo | 2011-10-06 / 20110245445 - REMOVING VOLATILE COMPOUNDS FROM POLYMER PELLETS | 1 |
Amir Kiumars Karbasi | FI | Espoo | 2010-09-16 / 20100234541 - Process to Reduce Polyolefins with Extra Low Impurity Content | 1 |
Salman Karbasivalashani | US | Milwaukee | 2013-08-01 / 20130195410 - OPTICAL FIBER WITH A VARIABLE REFRACTIVE INDEX PROFILE | 1 |
Behjatolah Karbassi | US | Little Rock | 2015-11-05 / 20150315654 - Chondroitin Sulfate Sulfotransferases and Proteoglycans as Cancer Biomarkers: Use of Expression and Methalytion Status | 2 |
Behjatolah M. Karbassi | US | Little Rock | 2014-09-04 / 20140248290 - INHIBITION OF CANCER METASTASIS | 2 |
Payam Karbassi | US | Wauwatosa | 2012-07-05 / 20120171650 - METHODS AND SYSTEMS FOR DEVELOPING MEDICAL WAVEFORMS AND TRAINING METHODS | 1 |
Abdolreza Karbassi | US | San Francisco | 2015-08-27 / 20150244399 - Ultra-broadband Programmable Hybrid Step Attenuator | 1 |
Katherine Karberg | US | Cambridge | 2010-08-26 / 20100216204 - NUCLEIC ACID SEQUENCES TO PROTEINS INVOLVED IN TOCOPHEROL SYNTHESIS | 1 |
Basak Ulker Karbeyaz | US | Peabody | 2014-11-06 / 20140326894 - PHOTON COUNT CORRECTION | 1 |
Basak Ulker Karbeyaz | US | Concord | 2015-03-12 / 20150069255 - NOISE DISCRIMINATION IN PHOTON COUNTING SYSTEM | 1 |
Basak Ulker Karbeyaz | US | Malden | 2009-01-01 / 20090003515 - METHOD OF AND SYSTEM FOR VARIABLE PITCH COMPUTED TOMOGRAPHY SCANNING FOR BAGGAGE SCREENING | 1 |
Prashant Karbhari | US | Sugar Land | 2015-06-25 / 20150178422 - SYSTEM AND METHOD FOR AUTOGENERATING SIMULATIONS FOR PROCESS CONTROL SYSTEM CHECKOUT AND OPERATOR TRAINING | 3 |
Pradnya Karbhari | IN | Bangalore | 2012-08-23 / 20120215776 - QUERY IDENTIFICATION AND ASSOCIATION | 2 |
Prashant R. Karbhari | US | Sugar Land | 2014-09-25 / 20140287092 - SIMULATED FERMENTATION PROCESS | 1 |
Prashant Karbhari | US | Missouri City | 2011-07-07 / 20110166841 - METHOD AND APPARATUS FOR TRANSLATION OF PROCESS MODELS TO FACILITATE USAGE BY PLURAL SIMULATION APPLICATIONS | 1 |
Kairi Karblane | EE | Saku Vald | 2013-01-31 / 20130029417 - Suppressors of RNA Silencing as Modulators of miRNA Levels | 1 |
Mokhtar Karboul | CA | Montreal | 2015-07-23 / 20150202952 - VEHICLE COVER SYSTEM | 1 |
Kinga Karbowniczek | GB | Leatherhead | 2013-08-22 / 20130216562 - PRODUCTION OF CLOSED LINEAR DNA USING A PALINDROMIC SEQUENCE | 1 |
Miroslav Karbowniczek | PL | Krakow | 2009-10-15 / 20090255375 - Method for the Production of a Foamed Slag in a Metal Bath | 1 |
Jacek Grzegorz Karbowniczek | PL | Warsaw | 2015-03-12 / 20150073464 - Lancet Device | 7 |
Jacek Karbowniczek | PL | Warsaw | 2010-07-01 / 20100168775 - PATIENT'S SKIN PUNCTURING DEVICE | 1 |
Jean-Pierre Karbowski | FR | Chef-Lieu | 2010-06-10 / 20100139326 - Automatic yarn-gripping installation | 1 |
Lydia Karbowski | FR | Saint-Alban-Leysse | 2010-06-10 / 20100139326 - Automatic yarn-gripping installation | 2 |
Marie Karbowski | FR | Hyeres | 2010-06-10 / 20100139326 - Automatic yarn-gripping installation | 2 |
Natacha Karbowski | FR | Crest | 2010-06-10 / 20100139326 - Automatic yarn-gripping installation | 2 |
Sarah Karbowski | FR | Saint-Alban-Leysse | 2010-06-10 / 20100139326 - Automatic yarn-gripping installation | 2 |
Danyele Rey Karbowski | FR | Chambery | 2009-08-06 / 20090193852 - Automatic Strand Take-Up Installation | 1 |
Bartlomiej Karbowy | PL | Warszawa | 2014-06-19 / 20140171701 - APPARATUS FOR CONDUCTING THERMOLYSIS OF PLASTIC WASTE AND METHOD OF THERMOLYSIS IN CONTINUOUS MANNER | 3 |
Jiri Karbula | CH | Riehan | 2011-08-04 / 20110187205 - Circuit Arrangement for Supplying a Field Device of Automation Technology | 1 |
Jiri Karbula | CH | Riehen | 2013-08-01 / 20130197818 - Measuring Arrangement for Registering Chemical and/or Physical, Measured Variables, as well as Measuring Device therefor | 3 |
Stefan Karch | CH | Olten | 2009-03-19 / 20090074556 - Method and Device for the Transport of Goods by Rail | 1 |
Joshua P. Karch | US | Sacramento | 2012-09-27 / 20120243988 - PORT PURGING AND DEICING SYSTEM | 1 |
Robert Karch | US | Greenwich | 2011-11-10 / 20110276587 - MONITORING AND AUDITING SYSTEM | 1 |
Michael Karch | DE | Burglauer | 2011-05-26 / 20110120249 - CONTROL ELEMENT FOR A MOTOR VEHICLE | 1 |
Robert Joseph Karch | US | Frederick | 2015-04-30 / 20150121147 - METHODS, APPARATUSES AND COMPUTER PROGRAM PRODUCTS FOR BULK ASSIGNING TESTS FOR EXECUTION OF APPLICATIONS | 1 |
James B. Karch | US | Chicago | 2012-09-13 / 20120227399 - IN-FLOW AIR INJECTION HOUSING | 1 |
Thomas Karch | DE | Bamberg | 2010-05-13 / 20100119035 - Computed tomography scanner, in particular for performing a spiral scan, and a method for controlling a computed tomography scanner | 1 |
Ralf Karch | DE | Kleinostheim | 2015-11-05 / 20150315224 - METHOD FOR PRODUCING AQUEOUS PREPARATIONS OF COMPLEXES OF PLATINUM GROUP METALS | 17 |
Christopher Karch | US | Singer Island | 2010-09-09 / 20100225475 - Metered Electrical Charging Station With Integrated Expense Tracking And Invoice Capabilities | 1 |
Viktor Karch | CA | Windsor | 2008-12-04 / 20080296939 - METHODS AND SYSTEMS FOR PROVIDING COMFORT TO AN OCCUPANT OF A VEHICLE SEAT | 1 |
Ralf Wilhelm Karch | DE | Kleinostheim | 2008-09-11 / 20080221345 - Use of a Phosphorus Containing Ligand and a Cyclic Organic Ligand in a Transition Metal Compound | 1 |
Christopher C. Karch | US | West Palm Beach | 2015-07-23 / 20150203219 - Vending apparatus providing bulk or bagged ice | 1 |
Dan Karch | US | La Porte | 2014-07-31 / 20140209043 - Companion Water Heater Jacket | 3 |
Ralf Karch | DE | Kleinostheim | 2015-11-05 / 20150315224 - METHOD FOR PRODUCING AQUEOUS PREPARATIONS OF COMPLEXES OF PLATINUM GROUP METALS | 17 |
Christian Karch | DE | Neubiberg | 2016-02-04 / 20160031569 - Lightning Protection Layer for Fiber Composite Structures | 2 |
Andreas Karch | DE | Uebersee | 2015-12-17 / 20150364384 - PLACEMENT METHOD FOR CIRCUIT CARRIER AND CIRCUIT CARRIER | 1 |
Christopher Karch | US | Riviera Beach | 2013-09-19 / 20130241483 - Metered electrical charging station with integrated expense tracking and invoice capabilities | 1 |
Aaron Karch | US | Metamora | 2013-09-19 / 20130239925 - Piston Having Combustion Bowl Shaped To Balance Combustion Efficiency And Emission Properties | 1 |
Vijay Pandurang Karche | IN | Pune, Maharashtra | 2016-05-19 / 20160137659 - CHROMANE AND CHROMENE DERIVATIVES AND THEIR USE AS CRAC MODULATORS | 1 |
Navnath Popat Karche | IN | Pune | 2016-05-19 / 20160137600 - Pyrrole Derivatives as Alpha 7 nAChR Modulators | 11 |
Vijay Pandurang Karche | IN | Pune | 2016-01-28 / 20160022673 - OXAZOLE AND ISOXAZOLE CRAC MODULATORS | 3 |
Navnath Popat Karche | IN | Pune | 2016-05-19 / 20160137600 - Pyrrole Derivatives as Alpha 7 nAChR Modulators | 11 |
Navnath Karche | IN | Pune | 2012-04-05 / 20120082635 - 2-AMINO-2- [8-(DIMETHYL CARBAMOYL)- 8-AZA- BICYCLO [3.2.1] OCT-3-YL]-EXO- ETHANOYL DERIVATIVES AS POTENT DPP-IV INHIBITORS | 1 |
Andriy Karchenko | FR | Palaiseau | 2011-05-19 / 20110117293 - METHOD FOR THIN LAYER DEPOSITION | 1 |
Yves Karcher | CH | Cully | 2009-08-27 / 20090213076 - COLLAPSIBLE WIRELESS INPUT DEVICE | 1 |
Jeffery Dwane Karcher | US | Duncan | 2014-05-08 / 20140123879 - Settable Compositions Comprising Interground Perlite and Hydraulic Cement | 3 |
Yves Karcher | US | 2010-04-01 / 20100079500 - Mouse having Pan, Zoom, and Scroll Controls | 1 | |
Michael Karcher | DE | Schwetzingen | 2013-02-14 / 20130040799 - PROCESS FOR PREPARING HIGH-PURITY MAGNESIUM HYDROXIDE AND MAGNESIUM OXIDE | 4 |
Jeffery D. Karcher | US | Duncan | 2015-04-23 / 20150107490 - Calcium Phosphate Cement Compositions Comprising Pumice and/or Perlite and Associated Methods | 15 |
Hermann Rudolf Theobald Karcher | DE | Sankt Augustine | 2011-09-01 / 20110210971 - GENERATING POINT CLOUDS | 1 |
Rolf Karcher | DE | Neckarsulm | 2011-08-18 / 20110197864 - Internal combustion engine and method for monitoring a tank ventilation system and a crankcase ventilation system | 1 |
Jeffery D. Karcher | US | Houston | 2014-11-20 / 20140338909 - CEMENT COMPOSITIONS COMPRISING PARTICULATE FOAMED ELASTOMERS AND ASSOCIATED METHODS | 2 |
Lawrence P. Karcher | US | Decatur | 2008-11-06 / 20080274019 - Preparation of Derivative of Polyhydric Alcohols | 1 |
Eric C. Karcher | US | Boxford | 2012-09-27 / 20120246044 - Account and Investment Market Monitoring Tools | 1 |
Jeffery Karcher | US | Duncan | 2013-03-14 / 20130061779 - Settable Compositions Comprising Unexpanded Perlite and Methods of Cementing in Subterranean Formations | 5 |
Xavier Karcher | FR | La Motte Achard | 2009-04-23 / 20090101466 - Freewheeling Roll and Brake | 1 |
Arron Karcher | US | Duncan | 2013-12-12 / 20130332130 - Method for Analyzing Water and Preparing Oilfield Fluids Therefrom | 1 |
David Paul Karcher | US | Elm Grove | 2011-11-24 / 20110288881 - Method and System for Processing Healthcare Payments | 1 |
Douglas E. Karcher | US | Fayetteville | 2013-02-21 / 20130044919 - SYSTEM AND METHOD OF IN-SEASON NITROGEN MEASUREMENT AND FERTILIZATION OF NON-LEGUMINOUS CROPS FROM DIGITAL IMAGE ANALYSIS | 2 |
Torsten Karcher | DE | Leingarten | 2013-05-09 / 20130112837 - Antenna Fixing Unit | 1 |
Jeffrey Lawrence Karcher | US | Portland | 2014-09-18 / 20140259523 - DOOR STOP | 1 |
Daniel Karcher | DE | Postdam-Golm | 2011-08-25 / 20110207117 - GENERATION OF PRODUCTION STRAINS THAT EFFICIENTLY EXPRESS NUCLEAR TRANSGENES | 1 |
Arron L. Karcher | US | Duncan | 2010-12-23 / 20100319923 - MOBILE SYSTEMS AND METHODS OF SUFFICIENTLY TREATING WATER SO THAT THE TREATED WATER MAY BE UTILIZED IN WELL-TREATMENT OPERATIONS | 3 |
Richard L. Karcher | US | Hollidaysburg | 2014-07-10 / 20140194217 - GOLF CLUB STAND ARRANGEMENT | 2 |
Jeffery D. Karcher | US | Duncan | 2015-04-23 / 20150107490 - Calcium Phosphate Cement Compositions Comprising Pumice and/or Perlite and Associated Methods | 15 |
Karsten Karcher | GB | Aberdeen | 2014-04-03 / 20140093611 - COMPOSITION AND METHOD OF MANUFACTURE | 1 |
Rolf Andreas Karcher | DE | Neckarsulm | 2012-12-20 / 20120317958 - METHOD OF OPERATING A MOTOR VEHICLE WITH TWO TURBOCHARGERS | 1 |
Wolfram Karcher | DE | Langebrueck | 2014-04-24 / 20140110254 - Backing Plate for a Sputter Target, Sputter Target, and Sputter Device | 1 |
Jeffery Dwane Karcher | US | Houston | 2014-12-18 / 20140367105 - Filler Particles with Enhanced Suspendability for Use in Hardenable Resin Compositions | 3 |
Hubertus Karcher | DE | Buehl | 2013-05-16 / 20130119911 - WIPER CONTROL | 3 |
Philip B. Karcher | US | Sarasota | 2012-04-26 / 20120097741 - WEAPON SIGHT | 1 |
Jeffrey Karcher | US | Duncan | 2012-06-21 / 20120152539 - Settable Compositions Comprising Interground Perlite and Hydraulic Cement | 1 |
Christian Karcher | DE | Iimenau | 2008-10-16 / 20080252287 - Method and Arrangement for the Contactless Inspection of Moving Electrically Conductive Substances | 1 |
Martin Karches | DE | Neustadt | 2015-12-17 / 20150360210 - PROCESS FOR THE OXIDATION OF HYDROGEN CHLORIDE OVER A CATALYST HAVING A LOW SURFACE ROUGHNESS | 17 |
Hagai Karchi | IL | Doar-Na Emek Soreq | 2009-11-26 / 20090293154 - Isolated Polypeptides, Polynucleotides Encoding Same, Transgenic Plants Expressing Same and Methods of Using Same | 4 |
Hagai Karchi | IL | Moshav Sitriya | 2016-05-19 / 20160138039 - METHODS OF INCREASING ABIOTIC STRESS TOLERANCE AND/OR BIOMASS IN PLANTS | 34 |
Hagai Karchi | IL | Moshav Sitriya | 2016-05-19 / 20160138039 - METHODS OF INCREASING ABIOTIC STRESS TOLERANCE AND/OR BIOMASS IN PLANTS | 34 |
Hagai Karchi | IL | Moshav Sitriya Doar-Na Emek Soreq | 2010-12-16 / 20100319088 - POLYNUCLEOTIDES, POLYPEPTIDES ENCODED THEREBY, AND METHODS OF USING SAME FOR INCREASING ABIOTIC STRESS TOLERANCE AND/OR BIOMASS AND/OR YIELD IN PLANTS EXPRESSING SAME | 4 |
Rachel Karchin | US | Towson | 2015-01-22 / 20150025861 - GENETIC SCREENING COMPUTING SYSTEMS AND METHODS | 5 |
Mauricio Karchmer | US | Chestnut Hill | 2011-12-15 / 20110307365 - Computer Method and System for Intermediated Exchanges | 1 |
Kirsten Karchmer | US | Austin | 2016-05-19 / 20160140314 - CONCEIVABLE BASAL BODY TEMPERATURES AND MENSTRUAL CYCLE | 1 |
James A. Karchon | US | Auburn Hills | 2012-07-05 / 20120169235 - Light Assembly Having Light Sources and Adjacent Light Tubes | 1 |
Alexander J. Karchon | US | Northville | 2014-07-17 / 20140198485 - APPARATUS AND METHOD FOR ENCAPSULATING TRITIUM | 1 |
Christopher J. Karchon | US | Bloomfield Hills | 2014-07-17 / 20140198485 - APPARATUS AND METHOD FOR ENCAPSULATING TRITIUM | 1 |
Jaroslaw Karciarz | PL | Warszawa | 2015-10-08 / 20150286867 - METHOD OF TRACKING MARKER AND ELECTRONIC DEVICE THEREOF | 1 |
Tomaz Karcnik | SI | Ljubljana | 2009-12-03 / 20090295471 - Method for Compensating the Non-Linear Distortions of High-Frequency Signals and Device for Carrying Out Said Method | 2 |
Anita Karcz | US | Wetertown | 2013-01-24 / 20130023781 - IMPEDANCE MEASURING DEVICES AND METHODS FOR EMERGENCY CARDIOVASCULAR CARE | 1 |
Irek Karcz | AU | Cromer | 2015-07-09 / 20150191938 - LATCHES FOR GATES AND DOORS | 3 |
Anita Karcz | US | Watertown | 2012-02-16 / 20120041279 - DEVICES AND METHODS FOR RESPIRATORY VARIATION MONITORING BY MEASUREMENT OF RESPIRATORY VOLUMES, MOTION AND VARIABILITY | 1 |
Marta Karczewcz | US | San Diego | 2010-12-16 / 20100316122 - MULTIVIEW VIDEO CODING OVER MPEG-2 SYSTEMS | 1 |
Tino Karczeweski | DE | Regensburg | 2012-12-06 / 20120306069 - ELECTRONIC MODULE | 2 |
Martha Karczewicz | US | San Diego | 2009-10-15 / 20090257493 - INTERPOLATION FILTER SUPPORT FOR SUB-PIXEL RESOLUTION IN VIDEO CODING | 1 |
Marta Karczewicz | US | 2010-07-15 / 20100177822 - FILTER PREDICTION BASED ON ACTIVITY METRICS IN VIDEO CODING | 1 | |
Marta Karczewicz | US | San Deigo | 2013-11-14 / 20130304935 - Providing Sequence Data Sets for Streaming Video Data | 1 |
Marta Karczewicz | US | Irving | 2008-10-09 / 20080247657 - Method for Encoding Images, and an Image Coder | 1 |
Marta Karczewicz | US | San Diego | 2016-05-12 / 20160134876 - LOW COMPLEXITY CODING BASED ON DYNAMIC MODE DECISION BRANCHING FOR LARGEST CODING UNITS | 542 |
Marzena Karczewska | IT | Viareggio | 2011-12-01 / 20110296462 - APPARATUS, SYSTEM AND METHOD FOR DISPLAYING IMAGES ONTO A SCREEN | 1 |
Peter Karczewski | DE | Berlin | 2015-10-15 / 20150291661 - AGONISTIC AUTOANTIBODIES TO THE ALPHA1-ADRENERGIC RECEPTOR AND THE BETA2-ADRENERGIC RECEPTOR IN ALZHEIMER'S AND VASCULAR DEMENTIA | 2 |
Konrad Karczewski | US | Stanford | 2013-05-09 / 20130116931 - Method and System for the Use of Biomarkers for Regulatory Dysfunction in Disease | 2 |
James Karczewski | US | Grand Rapids | 2012-02-02 / 20120023862 - INTERNAL POST AND BEAM CONNECTION ASSEMBLY | 1 |
Konrad J. Karczewski | US | Stanford | 2013-04-04 / 20130085728 - Method And System For Phasing Individual Genomes In The Context Of Clinical Interpretation | 1 |
Michael Karczewski | US | Plainfield | 2010-12-02 / 20100306041 - METHOD AND SYSTEM FOR DISTRIBUTING LEAD GENERATION FEES | 1 |
Aleksander Edward Karczewski | US | Berkeley | 2010-04-08 / 20100087318 - Herbicidal Compositions | 1 |
Gregory S. Karczmar | US | Crete | 2010-07-01 / 20100166662 - MAGNETIC RESONANCE IMAGING METHOD USING VANADYL-BASED CONTRAST AGENTS | 1 |
Kamal M. Karda | US | Boise | 2016-05-19 / 20160141336 - Field Effect Transistor Constructions And Memory Arrays | 21 |
Kamal Karda | US | Boise | 2015-05-21 / 20150140781 - SEMICONDUCTOR ISOLATION STRUCTURE AND METHOD OF MANUFACTURE | 3 |
Catherine Kardach | US | Saratoga | 2014-02-13 / 20140047396 - P AND N REGION DIFFERENTIATION FOR IMAGE-TO-CAD ALIGNMENT | 1 |
Gerald E. Kardach | US | South Milwaukee | 2010-04-08 / 20100084427 - Folding Tube | 1 |
James P. Kardach | US | Saratoga | 2016-05-12 / 20160132101 - NON MAIN CPU/OS BASED OPERATIONAL ENVIRONMENT | 12 |
James P. Kardach | US | Saratoga | 2016-05-12 / 20160132101 - NON MAIN CPU/OS BASED OPERATIONAL ENVIRONMENT | 12 |
Jim Kardach | US | Saratoga | 2015-12-10 / 20150357025 - DEVICE, SYSTEM, AND METHOD OF MEMORY ALLOCATION | 13 |
Jim Kardach | US | Saratoga | 2015-12-10 / 20150357025 - DEVICE, SYSTEM, AND METHOD OF MEMORY ALLOCATION | 13 |
James Kardach | US | Saratoga | 2011-03-10 / 20110059772 - Method and Device for Communicating Data | 2 |
Constantinos Kardamilas | US | Irvine | 2010-05-06 / 20100110464 - MULTI-FUNCTION PERIPHERAL DEVICE WITH SCANNING CUSTOMIZATION | 2 |
Jason Christopher Kardas | US | Vista | 2016-01-28 / 20160023726 - Adjustable Kayak Chair IM | 8 |
Jason Christopher Kardas | US | Vista | 2016-01-28 / 20160023726 - Adjustable Kayak Chair IM | 8 |
Sylvester Kardas | US | San Diego | 2009-07-16 / 20090180839 - Concrete Anchor Insertion Device and Method | 1 |
Nadia Kardash | US | Cliffside Park | 2011-09-15 / 20110224327 - HIGH HEAT RESISTANT NON-SKID COATINGS | 1 |
Jaroslav Kardash | BY | Minsk | 2015-01-29 / 20150032613 - PAYMENT SYSTEMS AND METHODS FOR ACCELERATING DEBT PAYOFF AND REDUCING INTEREST EXPENSE | 2 |
Anatoly Kardash | IL | Rishon Le Zion | 2015-02-05 / 20150039658 - ENCAPSULATED FILE MANAGEMENT SYSTEMS | 3 |
Vlad Kardashov | CA | Ontario | 2015-12-10 / 20150358573 - SYSTEMS AND METHODS FOR DYNAMIC DATA MANAGEMENT FOR CAMERA SYSTEMS | 1 |
Timor Kardashov | IL | Kiriyat Ono | 2015-07-09 / 20150195412 - CONFERENCE MIXING USING TURBO-VAD | 2 |
Timor Kardashov | IL | Kyriat Ono | 2015-12-31 / 20150381481 - DATA PATH ACCELERATION USING HW VIRTUALIZATION | 6 |
Barbara Anna Kardasz | CA | Richmond Hill | 2012-04-12 / 20120088140 - BATTERY HAVING INTERNAL ELECTROLYTE FLOW PATH AND/OR INTEGRAL HEAT SINK | 1 |
Michael A. Kardasz | US | Troy | 2010-09-23 / 20100236694 - Method and Tape for Adhesive Locking of a Threaded Fastener | 1 |
Bartek Kardasz | US | Pleasanton | 2016-03-24 / 20160087193 - MAGNETIC TUNNEL JUNCTION STRUCTURE FOR MRAM DEVICE | 2 |
Barbara Kardasz | CA | Richmond Hill | 2012-04-19 / 20120090907 - VEHICLE WITH STRUCTURAL BATTERY PACK | 1 |
Hubert Kardasz | PL | Warszawa | 2016-05-12 / 20160130190 - TITANIUM-CONTAINING FORMULATION, METHOD OF PREPARATION OF ATITANIUM-CONTAINING FORMULATION AND USE OF TITANIUM-CONTAINING FORMULATION IN CULTIVATION OF PLANTS | 1 |
Grzegorz M. Kardasz | CA | Richmond Hill | 2012-04-12 / 20120088140 - BATTERY HAVING INTERNAL ELECTROLYTE FLOW PATH AND/OR INTEGRAL HEAT SINK | 1 |
Grzegorz Kardasz | CA | Richmond Hill | 2012-04-19 / 20120090907 - VEHICLE WITH STRUCTURAL BATTERY PACK | 1 |
Prashant Kardekar | IN | Geetanjali | 2013-05-09 / 20130116413 - PURIFICATION OF PROTEINS | 1 |
Prashant Kardekar | IN | Indore | 2014-05-08 / 20140128577 - PURIFICATION OF CHIMERIC PROTEIN | 1 |
Arno Kardel | DE | Gluckstadt | 2010-08-19 / 20100207373 - Diverter for the Seatbelt System of a Motor Vehicle | 1 |
Doug Kardell | US | Grimes | 2010-05-13 / 20100116579 - BENT AXIS HYDROMODULE WITH BOLT ON TRUNNION BEARING CARRIERS | 1 |
James Kardell | US | Hellertown | 2013-07-04 / 20130173437 - Liquidity Assessment System | 1 |
Dag-Olof Kardell | SE | Mjolby | 2012-01-05 / 20120000291 - FIXING DEVICE AND METHOD FOR FIXING IN AN OPENING IN A WALL | 1 |
Aaron Kardell | US | St. Paul | 2014-09-18 / 20140279255 - SYSTEM AND METHOD FOR LAYERED VISUALIZATION OF POINTS OF INTEREST DATA | 3 |
Eckhard Karden | DE | Aachen | 2016-05-05 / 20160121750 - METHOD FOR CONTROLLING A VOLTAGE SOURCE FOR CHARGING A BATTERY OF A MOTOR VEHICLE | 10 |
Eckhard Karden | DE | Aachen | 2016-05-05 / 20160121750 - METHOD FOR CONTROLLING A VOLTAGE SOURCE FOR CHARGING A BATTERY OF A MOTOR VEHICLE | 10 |
Amber Kardes | US | Durham | 2013-02-07 / 20130032078 - Sea Glider | 1 |
Shailendra Kashinath Kardile | US | Peoria | 2013-03-21 / 20130068328 - Gerotor Pump Assembly and Engine Fluid Delivery System Using a Gerotor Pump Assembly | 1 |
Bhavana Shrirang Kardile | IN | Pune | 2013-09-19 / 20130245000 - BICYCLIC GPR119 MODULATORS | 1 |
Shailendra Kardile | US | Peoria | 2015-01-15 / 20150013624 - Engine Crankcase Breather With Mesh Filter | 1 |
Kardium Inc. | CA | Richmond | 2013-08-01 / 20130197513 - HIGH-DENSITY ELECTRODE-BASED MEDICAL DEVICE SYSTEM | 7 |
Kardium Inc. | CA | Vancouver | 2013-02-14 / 20130041405 - METHOD AND DEVICE FOR CLOSING HOLES IN TISSUE | 1 |
Lynn Kardls | US | 2014-04-17 / 20140102511 - ASSEMBLY FOR COLLECTING LIGHT | 1 | |
Michael James Kardohely | US | West Salem | 2015-02-19 / 20150050070 - TOGGLE LATCH FOR SEQUENTIALLY EXTENDED MECHANICAL MAST | 4 |
Janine Kardokus | US | Veradale | 2016-05-05 / 20160126689 - Corrosion Resistant Electrodes for Laser Chambers | 3 |
Janine K. Kardokus | US | Veradale | 2009-03-12 / 20090065354 - SPUTTERING TARGETS COMPRISING A NOVEL MANUFACTURING DESIGN, METHODS OF PRODUCTION AND USES THEREOF | 1 |
Janine K. Kardokus | US | Varadale | 2009-03-26 / 20090078570 - TARGET/BACKING PLATE CONSTRUCTIONS, AND METHODS OF FORMING TARGET/BACKING PLATE CONSTRUCTIONS | 1 |
Menno Kardolus | NL | Duivendrecht | 2016-03-10 / 20160072551 - POWER LINE COMMUNICATION SYSTEM | 4 |
Menno Kardolus | NL | Dl Duivendrecht | 2013-11-28 / 20130314038 - BATTERY CHARGER FOR ELECTRIC VEHICLES | 2 |
Pribadi Kardono | US | Monroeville | 2015-06-04 / 20150154434 - Item Storage Arrangement System and Method | 11 |
Keith Kardos | US | Bethlehem | 2014-10-23 / 20140316302 - SAMPLE COLLECTION KIT | 1 |
Lori L. Kardos | US | Sugar Land | 2016-05-19 / 20160137822 - COMPOSITIONS CONTAINING LOW DENSITY ETHYLENE-BASED POLYMERS WITH HIGH MELT STRENGTH AND FILMS FORMED FROM THE SAME | 8 |
Lori Kardos | US | Sugarland | 2014-12-11 / 20140360669 - METHOD OF HEAT SEALING WOVEN POLYPROPYLENE TYPE FABRICS | 1 |
Lori L. Kardos | US | Sugar Land | 2016-05-19 / 20160137822 - COMPOSITIONS CONTAINING LOW DENSITY ETHYLENE-BASED POLYMERS WITH HIGH MELT STRENGTH AND FILMS FORMED FROM THE SAME | 8 |
Thomas Joseph Kardos | US | Aliso Viejo | 2012-02-23 / 20120046598 - Contactless Electropermeabilization Electrode and Method | 3 |
Josh Kardos | US | Walkinsville | 2012-04-05 / 20120084892 - Lantana plant named 'Sunny Side Up' | 1 |
Keith W. Kardos | US | Bethlehem | 2009-01-22 / 20090024060 - SAMPLE COLLECTOR | 1 |
Jozsef Kardos | HU | Budapest | 2009-02-12 / 20090042248 - FOLDED RECOMBINANT CATALYTIC FRAGMENTS OF MULTIDOMAIN SERINE PROTEASES, PREPARATION AND USES THEREOF | 1 |
Zoltan Kardos | SE | Sodertalije | 2011-12-29 / 20110315130 - ARRANGEMENT FOR COOLING OF RECIRCULATING EXHAUST GASES OF A COMBUSTION ENGINE | 1 |
Joshua H. Kardos | US | Watkinsville | 2015-04-30 / 20150121587 - Buddleia plant named 'PIIBD-III' | 29 |
Zoltan Kardos | SE | Södertälje | 2009-06-25 / 20090159021 - COOLING FAN ARRANGEMENT AT A VEHICLE | 1 |
Victor Kardos | US | Irvine | 2014-09-18 / 20140276674 - SYSTEM AND METHOD FOR OPHTHALMIC LASER SURGERY EMPLOYING EYE TRACKING WITHOUT EYE DOCKING | 1 |
Nancy Kardos | US | Burlington | 2009-06-25 / 20090160343 - ALTERNATING HEADLIGHTS AND METHOD OF OPERATING | 1 |
Victor J. Kardos | US | Irvine | 2014-09-18 / 20140276677 - SYSTEMS AND METHODS FOR PROVIDING ANATOMICAL FLAP CENTRATION FOR AN OPHTHALMIC LASER TREATMENT SYSTEM | 1 |
Joshua H. Kardos | US | Watkinsville | 2015-04-30 / 20150121587 - Buddleia plant named 'PIIBD-III' | 29 |
Gregory Kardos | US | Hershey | 2016-02-18 / 20160045437 - COMPOSITIONS AND METHODS INCLUDING CELECOXIB AND PLUMBAGIN RELATING TO TREATMENT OF CANCER | 1 |
Christopher P. Kardos | US | Center Point | 2013-12-26 / 20130342358 - METER DATA MANAGEMENT SYSTEMS, METHODS, AND SOFTWARE WITH OUTAGE MANAGEMENT CAPABILITIES | 1 |
Lori L. Kardos | US | Lake Jackson | 2013-02-21 / 20130046061 - ETHYLENE-BASED POLYMER COMPOSITION | 6 |
Zsuzsanna Kardos | HU | Budapest | 2016-05-19 / 20160137621 - PROCESS FOR THE PREPARATION OF TRAVOPROST | 4 |
Paula S. Kardos | US | Wilmington | 2010-02-25 / 20100047907 - METHODS OF TRANSPORTING EPITHELIAL CELL MONOLAYERS | 1 |
Zoltan Kardos | SE | Sodertalje | 2014-11-06 / 20140326443 - ARRANGEMENT AND METHOD FOR COOLING OF COOLANT IN A COOLING SYSTEM IN A VEHICLE | 32 |
Laura Anne Kardos | US | Grosse Ile | 2012-07-26 / 20120186025 - Back Support | 1 |
John Michael Kardos | CA | Chilliwack | 2011-08-11 / 20110192868 - AIR INGRESS TUBE ASSEMBLY FOR A CONTAINER AND A KIT EMPLOYING SAME | 1 |
David Ward Kardos | US | Sykesville | 2011-08-18 / 20110201439 - GOLF SETUP AND SWING TRAINING AID | 1 |
Michael Kardosh | IL | Kiriat Ono | 2015-12-17 / 20150359558 - REFLECTANCE-FACILITATED ULTRASOUND TREATMENT AND MONITORING | 4 |
Michael Kardosh | IL | Kiryat-Ono | 2016-04-21 / 20160107003 - REFLECTANCE-FACILITATED ULTRASOUND TREATMENT AND MONITORING | 4 |
Tamer Karduman | DE | Erzhausen | 2010-07-01 / 20100163837 - GUNN DIODE | 1 |
Tomasz Kardynal | CA | Mississauga | 2009-05-28 / 20090133363 - Continuous loading system | 1 |
Jordin T. Kare | US | San Jose | 2016-05-19 / 20160142613 - DEVICES, METHODS, AND SYSTEMS FOR VISUAL IMAGING ARRAYS | 58 |
Jordin T. Kare | US | Seattle | 2016-05-19 / 20160140321 - MONITORING TREATMENT COMPLIANCE USING SPEECH PATTERNS PASSIVELY CAPTURED FROM A PATIENT ENVIRONMENT | 1000 |
Jordin Kare | US | Seattle | 2014-07-03 / 20140182265 - Rocket Propulsion Systems, and Related Methods | 4 |
Jordin T. Kare | US | San Jose | 2016-05-19 / 20160142613 - DEVICES, METHODS, AND SYSTEMS FOR VISUAL IMAGING ARRAYS | 58 |
Jordin T. Kare | US | Bellevue | 2011-11-24 / 20110285256 - Motor with rotor-mounted control circuitry | 3 |
Susan D. Kare | US | San Francisco | 2009-04-23 / 20090106113 - INTERNET PUBLISHING ENGINE AND PUBLISHING PROCESS USING AD METADATA TO DELIVER ADS | 1 |
Vinay Karecha | IN | Baroda | 2010-02-11 / 20100037033 - Exploit nonspecific host intrusion prevention/detection methods and systems and smart filters therefor | 1 |
Vinay Karecha | IN | Gujarat | 2013-04-25 / 20130103924 - EXPLOIT NONSPECIFIC HOST INTRUSION PREVENTION/DETECTION METHODS AND SYSTEMS AND SMART FILTERS THEREFOR | 1 |
Vinay Karecha | MY | Brick Fields | 2010-06-24 / 20100162398 - Method and apparatus for detecting shellcode insertion | 1 |
Edward Karedes | US | Cheshire | 2008-12-25 / 20080315035 - VARIABLE SPEED GEARBOX WITH AN INDEPENDENTLY VARIABLE SPEED TAIL ROTOR SYSTEM FOR A ROTARY WING AIRCRAFT | 1 |
Edward J. Karedes | US | Cheshire | 2009-06-18 / 20090151494 - Methods and Systems to Enhance Efficiency of Power-Transmission Systems Containing Higher Viscosity Lubricants | 2 |
Edward J. Karedes | US | Chesire | 2009-04-30 / 20090107275 - Gear Having Improved Surface Finish | 1 |
Meedia A. Kareem | US | Bridgeport | 2014-03-27 / 20140084518 - METHOD OF MAKING A FILTER MEDIA WITH AN ENRICHED BINDER | 3 |
Rezan Kareem | US | Bridgeport | 2015-05-07 / 20150122719 - ELECTROSTATIC REMOVAL OF COLLOIDAL, SOLUBLE AND INSOLUBLE MATERIALS FROM A FLUID | 2 |
Fazul Kareem | JP | Yokohama-Shi | 2012-09-27 / 20120245906 - MONTE CARLO ANALYSIS EXECUTION CONTROLLING METHOD AND MONTE CARLO ANALYSIS EXECUTION CONTROLLING APPARATUS | 1 |
Nazim Kareemi | US | Palo Alto | 2015-02-26 / 20150057082 - Method and System to Create Three-Dimensional Mapping in a Two-Dimensional Game | 4 |
Suryaprasad Kareenahalli | US | Folsom | 2015-12-24 / 20150370564 - APPARATUS AND METHOD FOR ADDING A PROGRAMMABLE SHORT DELAY | 13 |
Hila Kareev | IL | Tel Aviv | 2013-08-22 / 20130215273 - TRAFFIC ENFORCEMENT SYSTEM AND METHODS THEREOF | 1 |
Uri Kareev | IL | Ramat-Hasharon | 2009-01-08 / 20090013090 - Techniques for Path Finding and Terrain Analysis | 1 |
Spencer Aaron Kareff | US | Simpsonville | 2016-03-17 / 20160076385 - TURBOMACHINE BLADE TIP SHROUD | 9 |
Spencer Aaron Kareff | US | Greenville | 2015-08-20 / 20150233258 - TURBINE BUCKET AND METHOD FOR BALANCING A TIP SHROUD OF A TURBINE BUCKET | 3 |
Spencer Aaron Kareff | US | Simpsonville | 2016-03-17 / 20160076385 - TURBOMACHINE BLADE TIP SHROUD | 9 |
Steven J. Karel | US | Mendota Heights | 2009-07-02 / 20090169557 - Whole glucan particles in combination with antibiotics, vaccines and viral monoclonal antibodies | 1 |
Steven J. Karel | US | Mendota | 2011-01-27 / 20110020336 - Combination Of A Beta-Glucan And An EGF Receptor Antagonist For The Treatment Of Cancer And Infection | 2 |
Kriel J. Karel | US | Houston | 2011-03-10 / 20110060168 - IMPROVED HYDROGENATION PROCESS | 1 |
Jonathon Karel | US | Zeeland | 2015-02-12 / 20150042057 - AXLE LIFT ASSEMBLY | 2 |
Frederik Willem Karel | NL | Epse | 2010-05-06 / 20100113726 - PEROXIDE COMPOSITION | 1 |
Isaac Karel | US | Lakewood | 2010-07-15 / 20100176550 - BOARD GAME EXTENSION | 1 |
James D. Karel | US | Bentonville | 2011-08-04 / 20110186530 - Device Display Unit | 3 |
Sipkema Marcus Karel | NL | Arnhem | 2009-07-16 / 20090182688 - Connector assembly for connecting an earpiece of a hearing aid to glasses temple | 1 |
Ptacek Karel | CZ | Roznov Pod Radhostem | 2012-02-09 / 20120032695 - METHOD OF AND CIRCUIT FOR BROWN-OUT DETECTION | 1 |
Gerald L. Karel | US | Maplewood | 2015-01-29 / 20150033192 - METHOD FOR CREATING EFFECTIVE INTERACTIVE ADVERTISING CONTENT | 5 |
Colby Karel | US | Lakewood | 2010-07-15 / 20100176550 - BOARD GAME EXTENSION | 1 |
Paula Karelic | US | 2008-10-30 / 20080266882 - Decorative lamp and method of manufacture | 1 | |
Alexey Karelin | RU | Moscow | 2010-05-06 / 20100111683 - FLUID FLOW MACHINE | 1 |
Kirill Karelin | DE | Boblingen | 2016-05-12 / 20160131007 - EXHAUST GAS AFTERTREATMENT DEVICE WITH INJECTION SECTION | 2 |
Irina Karelin | IL | Haifa | 2014-03-27 / 20140086394 - SYSTEM AND METHOD FOR CORRECTION OF GEOMETRIC DISTORTION OF MULTI-CAMERA FLAT PANEL X-RAY DETECTORS | 4 |
Alex Karelin | US | Los Angeles | 2009-10-29 / 20090271247 - SYSTEM FOR CREATING A SOCIAL-NETWORKING ONLINE COMMUNITY | 1 |
Boris Karelin | IL | Haifa | 2016-03-10 / 20160066813 - POSITION ESTIMATION OF IMAGING CAPSULE IN GASTROINTESTINAL TRACT | 1 |
Tatiana Karelina | US | Dublin | 2012-05-31 / 20120135425 - ELISA for Haptoglobin-Matrix Metalloproteinase 9 Complex as a Diagnostic Test for Conditions Including Acute Inflammation | 1 |
Viktor Karell | DE | Rudelzhausen | 2008-12-11 / 20080304478 - Communications Network | 1 |
Manuel L. Karell | US | Alameda | 2014-03-27 / 20140083826 - Bicycle Bell Using Brake Lever | 4 |
Peter Karellas | AU | Reservoir | 2013-05-09 / 20130116432 - MODIFIED MACROMOLECULE | 2 |
Peter Karellas | AU | Coberg | 2014-06-19 / 20140171375 - MACROMOLECULES | 1 |
Peter Karellas | AU | Victoria | 2009-12-31 / 20090324535 - MODIFIED MACROMOLECULE | 1 |
Andrew Karellas | US | Grafton | 2016-01-07 / 20160000393 - APPARATUS AND METHOD FOR X-RAY-BASED BREAST IMAGING | 5 |
Steven N. Karels | US | Salem | 2015-02-12 / 20150042816 - METHODS OF EXTRACTING 4-BAND DATA FROM A SINGLE CCD; METHODS OF GENERATING 4X4 OR 3X3 COLOR CORRECTION MATRICES USING A SINGLE CCD | 1 |
Nicholas J. Karels | US | Aurora | 2016-03-17 / 20160080025 - INPUT DEVICE SWITCHING AN OPERATING CHANNEL OF A RADIO TRANSCEIVER BETWEEN FIRST AND SECOND COMPUTER SYSTEMS | 10 |
Nicholas J. Karels | US | Aurora | 2016-03-17 / 20160080025 - INPUT DEVICE SWITCHING AN OPERATING CHANNEL OF A RADIO TRANSCEIVER BETWEEN FIRST AND SECOND COMPUTER SYSTEMS | 10 |
Michael J. Karels | US | Eden Prairie | 2013-10-10 / 20130269021 - NAMED SOCKETS IN A FIREWALL | 4 |
Jan Theresa Karels | US | Rochester | 2009-05-14 / 20090125540 - METHOD FOR EXECUTING FEDERATED DATABASE QUERIES USING ALIASED KEYS | 2 |
Andrew Paul Karels | US | Savage | 2009-02-19 / 20090048525 - Venous refill testing system and method | 1 |
Mati Karelson | EE | Vahi | 2013-01-31 / 20130030180 - Methods of Facilitating Neural Cell Survival Using GDNF Family Ligand (GFL) Mimetics or RET Signaling Pathway Activators | 1 |
Mati Karelson | EE | Tartu | 2016-05-19 / 20160136159 - Method for Treating Peripheral Neuropathy | 5 |
Abe Karem | US | N. Tustin | 2012-10-18 / 20120263913 - WING AND BLADE STRUCTURE USING PULTRUDED COMPOSITES | 12 |
Abe Karem | US | Tustin | 2016-03-17 / 20160075423 - Multi-Role Aircraft With Interchangeable Mission Modules | 18 |
Ratna Karen | IN | New Delhi | 2011-09-29 / 20110239326 - HYBRID-TYPE HISTIDINE KINASE GENE ISOLATED FROM INDICA RICE IR64 | 1 |
Leena Karenko | FI | Helsinki | 2013-01-31 / 20130029337 - METHODS AND USES INVOLVING GENETIC ABNORMALITIES AT CHROMOSOME 12 | 2 |
Kyriakos Karenos | US | 2011-05-26 / 20110125921 - SYSTEM AND METHOD FOR PROVIDING QUALITY OF SERVICE IN WIDE AREA MESSAGING FABRIC | 1 | |
Kyriakos Karenos | US | New York | 2012-02-02 / 20120030572 - NETWORK VISUALIZATION SYSTEM | 3 |
Kyriakos Karenos | GB | London | 2013-05-30 / 20130138638 - TEMPORAL VISUALIZATION OF QUERY RESULTS | 1 |
Alexy Davison Karenowska | GB | Nottinghamshire | 2009-04-09 / 20090091314 - Position Sensor | 1 |
Alexy Davison Karenowska | GB | Oxford | 2014-04-03 / 20140091785 - TARGET CLEARANCE MEASUREMENT DEVICE | 7 |
Debra Karen Yale | US | Novi | 2008-11-27 / 20080290690 - EXTERIOR MIRROR SAIL AIR FLOW SPOILER | 1 |
Jean Pierre Karenzi | US | Erie | 2016-03-03 / 20160059707 - METHOD AND APPARATUS FOR CONTROLLING ELECTRICAL CURRENTS IN A VEHICLE | 1 |
Rainer Karer | DE | Kaiserslautern | 2015-04-23 / 20150112038 - METHOD FOR COOLING A GAS-PHASE REACTOR FOR THE POLYMERIZATION OF OLEFINS | 19 |
Peter Kares | DE | Herzogenaurach | 2011-01-13 / 20110005073 - METHOD OF MANUFACTURING A LIFT TRANSMITTING COMPONENT | 1 |
Vaclav Kares | CZ | Strakonice | 2015-06-11 / 20150159660 - AXIAL TURBINE WITH RADIAL VNT VANES | 13 |
Vaclav Kares | CZ | Strakonice | 2015-06-11 / 20150159660 - AXIAL TURBINE WITH RADIAL VNT VANES | 13 |
Robert G. Kares | US | Stevensville | / - | 1 |
Andrei Vladimirovich Karev | RU | Sankt-Peterburg | 2011-07-07 / 20110166643 - Stent | 5 |
Alexander Ivanovich Karev | RU | Moscow | 2012-06-07 / 20120140863 - METHODS OF DETECTION AND IDENTIFICATION OF CARBON- AND NITROGEN-CONTAINING MATERIALS | 2 |
Kenneth P. Karey | US | Bolton | 2009-09-24 / 20090239235 - Facs- and Reporter Protein-Based System for High Throughput Development of Therapeutic Proteins | 1 |
Jeffrey A. Karg | US | Hopkinton | 2016-04-07 / 20160095991 - DOSE COUNTER FOR A METERED-DOSE INHALER | 20 |
Siegfried Friedrich Karg | CH | Ruschlikon | 2011-05-26 / 20110120856 - Memory Cell and Select Element | 1 |
Kenneth Andrew Karg | US | Belle Vernon | 2011-12-29 / 20110320071 - System and Method of Determining Train Length | 1 |
Dieter Karg | DE | Nurnberg | 2015-05-28 / 20150146424 - LED LIGHTING DEVICE | 1 |
Siegfried F. Karg | CH | Rueschlikon | 2014-03-06 / 20140060601 - THERMOELECTRIC ELEMENTS | 2 |
Jeff Karg | US | Hopkinton | 2010-05-06 / 20100111721 - DUAL PISTON PUMP ASSEMBLY WITH ANTI-ROTATION GUIDE RAILS | 1 |
Franz Karg | DE | Muenchen | 2014-09-04 / 20140246070 - THIN FILM SOLAR MODULE HAVING SERIES CONNECTION AND METHOD FOR THE SERIES CONNECTION OF THIN FILM SOLAR CELLS | 4 |
Karin M. Karg | US | Waltham | 2011-12-08 / 20110300378 - DISCONTINUOUS SHORT FIBER PREFORM AND FIBER-REINFORCED ALUMINUM BILLET AND METHODS OF MANUFACTURING THE SAME | 1 |
David Karg | AT | Bregenz | 2013-12-19 / 20130334944 - FURNITURE ITEM HAVING A FURNITURE BODY AND A FOLDING FLAP | 3 |
Franz Karg | DE | Munchen | 2012-07-12 / 20120174981 - PHOTOVOLTAIC MODULE MOUNTING SYSTEM | 5 |
Eugen Karg | DE | Bergholen | 2010-07-29 / 20100186906 - Device for driving and turning the slats of a Venetian blind | 1 |
Siegfried F. Karg | CH | Adliswil | 2015-10-29 / 20150308891 - OPTICAL SPECTROMETER | 18 |
Stefan Karg | DE | Röthenbach | 2011-06-30 / 20110161953 - METHOD FOR OPERATING A HOSPITAL INFORMATION SYSTEM | 1 |
Horst-Reiner Karg | DE | Wolfsburg | 2013-08-22 / 20130214548 - VEHICLE HAVING AN OVERHEAD CONSOLE | 1 |
Jeffrey A. Karg | US | Hopkinton | 2016-04-07 / 20160095991 - DOSE COUNTER FOR A METERED-DOSE INHALER | 20 |
Gunter Karg | US | Las Vegas | 2012-01-19 / 20120015625 - INTRA-VEHICULAR MOBILE DEVICE USAGE DETECTION SYSTEM AND METHOD OF USING THE SAME | 2 |
Eugen Karg | DE | Berghulen | 2010-07-29 / 20100186907 - Device for driving and turning the slats of a Venetian blind | 1 |
Travis Karg | US | Laguna Niguel | 2015-03-12 / 20150072945 - Methotrexate Adjuvants to Reduce Toxicity and Methods for Using the Same | 3 |
Siegfried Friedrich Karg | CH | Zurich | 2011-06-23 / 20110149648 - PROGRAMMABLE DEVICE | 2 |
Michelle Elisabeth Karg | CA | Kitchener | 2015-05-14 / 20150133823 - MOTION ANALYSIS METHOD | 2 |
Siegfried F. Karg | US | Yorktown Heights | 2009-12-03 / 20090298209 - OPTOELECTRONIC DEVICE MANUFACTURING | 1 |
Siegfried Friedrich Karg | CH | Adliswil | 2009-07-09 / 20090174430 - LOGIC ELEMENT, AND INTEGRATED CIRCUIT OR FIELD PROGRAMMABLE GATE ARRAY | 2 |
Anthony Karg | US | Los Angeles | 2012-08-02 / 20120193025 - APPLICATOR DEVICE AND METHOD FOR MAKING | 2 |
Jeffrey Karg | US | Hopkington | 2008-12-11 / 20080302178 - Method and system for dispensing precise reagent volumes | 1 |
Stavros Kargakis | BE | Raeren | 2014-11-20 / 20140343672 - ARTIFICIAL HEART | 1 |
Yahya Kargalioglu | US | Glen Allen | 2014-09-18 / 20140260329 - METHOD AND SYSTEM FOR THERMOELECTRIC COOLING OF PRODUCTS ON DISPLAY AT RETAIL | 1 |
Soroosh Kargar | US | Lake In The Hills | 2015-06-11 / 20150161340 - Medical Scanner Optimized Workflow System | 16 |
Pedram Kargar | CA | Richmond Hill | 2015-04-02 / 20150094139 - SYSTEMS AND METHODS FOR SOCIAL GAMING | 1 |
Soroosh Kargar | US | Lake In The Hills | 2015-06-11 / 20150161340 - Medical Scanner Optimized Workflow System | 16 |
Mahyar Kargar | US | Irvine | 2013-10-31 / 20130285752 - Reference-Less Frequency Detector | 4 |
Reinhard Karge | CH | Basel | 2013-11-21 / 20130310607 - PROCESS FOR PREPARING PSEUDOIONONE | 2 |
Reinhard Karge | DE | Staufen | 2013-09-19 / 20130245263 - PROCESS FOR THE PREPARATION OF PYRIMIDINE DERIVATIVES | 9 |
Bjôrn Karge | US | 2015-05-14 / 20150134850 - METHOD AND APPARATUS FOR PROBE-BASED ROUTING | 1 | |
Reinhard Karge | DE | Staufen | 2013-09-19 / 20130245263 - PROCESS FOR THE PREPARATION OF PYRIMIDINE DERIVATIVES | 9 |
Thorsten Karge | DE | Berlin | 2014-03-13 / 20140072395 - Medical Workplace | 4 |
Hasko Karge | DE | Wilhelmshaven | 2009-11-19 / 20090287381 - Determining and Reconstructing Changes in Load on Lifting Gear | 1 |
Svenia Karge | DE | Berlin | 2014-03-13 / 20140072395 - Medical Workplace | 2 |
Detlef Karge | DE | Brietlingen | 2008-12-25 / 20080318508 - Microbe-Resistant Hair Removal Paddle | 1 |
Mark L. Kargel | US | Rockwall | 2009-01-15 / 20090015479 - METHOD AND APPARATUS FOR ALL-POLARIZATION DIRECTION FINDING | 1 |
Paul Ashley Karger | US | Chappaqua | 2009-11-12 / 20090282487 - Method of Managing and Mitigating Security Risks Through Planning | 4 |
Carol Lynn C. Karger | US | 2013-08-29 / 20130227704 - PROCESSOR AND DATA PROCESSING METHOD WITH NON-HIERARCHICAL COMPUTER SECURITY ENHANCEMENTS FOR CONTEXT STATES | 1 | |
Achim E. Karger | US | Foster City | 2012-04-05 / 20120082979 - COMPOSITIONS, METHODS, AND KITS FOR (MIS)LIGATING OLIGONUCLEOTIDES | 3 |
Achim Karger | US | Foster City | 2014-06-12 / 20140162258 - COMPOSITIONS, METHODS, AND KITS FOR (MIS)LIGATING OLIGONUCLEOTIDES | 7 |
Paul A. Karger | US | Chappaqua | 2013-08-29 / 20130227704 - PROCESSOR AND DATA PROCESSING METHOD WITH NON-HIERARCHICAL COMPUTER SECURITY ENHANCEMENTS FOR CONTEXT STATES | 8 |
Paul A. Karger | US | Chappaqua | 2013-08-29 / 20130227704 - PROCESSOR AND DATA PROCESSING METHOD WITH NON-HIERARCHICAL COMPUTER SECURITY ENHANCEMENTS FOR CONTEXT STATES | 8 |
David R. Karger | US | Cambridge | 2016-02-04 / 20160036765 - Content delivery network (CDN) content server request handling mechanism with metadata framework support | 5 |
David Karger | US | Cambridge | 2012-05-17 / 20120124214 - Method and Apparatus for Distributing Requests Among a Plurality of Resources | 2 |
Simon Alexander Karger | US | Somerville | 2011-09-22 / 20110227734 - PHARMACEUTICAL PRODUCT CONTAINER WITH MOTION SENSOR AND ALARM | 2 |
Barry L. Karger | US | Newton | 2014-02-06 / 20140033804 - NARROW BORE POROUS LAYER OPEN TUBE CAPILLARY COLUMN AND USES THEREOF | 6 |
Josh Karges | US | Brookline | 2010-10-28 / 20100269716 - PORTABLE ELECTROMECHANICAL BRAILLE LABEL MAKER | 1 |
Emiliano Kargieman | AR | Buenos Aires | 2015-11-12 / 20150326769 - IMAGING DEVICE FOR SCENES IN APPARENT MOTION | 1 |
Anton Kargl | DE | Munchen | 2011-07-14 / 20110173456 - EFFICIENT STORAGE OF CRYPTOGRAPHIC PARAMETERS | 8 |
Anton Kargl | DE | München | 2009-09-17 / 20090235073 - Authentication method and communications system used for authentication | 1 |
Christian Kargl | AT | Graz | 2011-03-17 / 20110066805 - Process For The Management Of Data Of Analysis Devices, Analysis Device And System Comprising Analysis Devices | 1 |
Florian Kargl | DE | Donauworth | 2012-12-13 / 20120313027 - RING SEAL FOR A CLOSING ELEMENT OF A VALVE AND SEAL ARRANGEMENT WITH SUCH A RING SEAL | 1 |
Hubert Kargl | AT | Gaal | 2009-01-08 / 20090008984 - Method For Regulating the Drive of a Shearing or Heading Machine | 1 |
Walter Kargl | AT | Graz | 2015-12-10 / 20150356334 - ANTENNA ARRANGEMENT AND METHOD FOR OPERATING AN ANTENNA ARRANGEMENT | 33 |
Anton Kargl | DE | Munchen | 2011-07-14 / 20110173456 - EFFICIENT STORAGE OF CRYPTOGRAPHIC PARAMETERS | 8 |
Anton Kargl | DE | Munich | 2008-08-28 / 20080205639 - Method for securely encrypting or decrypting a message | 1 |
Harry B. Kargman | US | New York | 2011-03-17 / 20110064208 - Service Interfacing for Telephony | 2 |
Harry Kargman | US | Brookline | 2012-03-29 / 20120079369 - DELIVERING CUSTOMIZED CONTENT TO MOBILE DEVICES | 1 |
Harry Kargman | US | New York | 2010-08-26 / 20100218211 - VIDEO INTERACTION WITH A MOBILE DEVICE AND A VIDEO DEVICE | 1 |
James B. Kargman | US | Chicago | 2016-01-07 / 20160005027 - METHOD AND APPARATUS FOR AUTOMATED ORDERING AND PAYMENT | 9 |
James B. Kargman | US | Chicago | 2016-01-07 / 20160005027 - METHOD AND APPARATUS FOR AUTOMATED ORDERING AND PAYMENT | 9 |
Harry B. Kargman | US | Brookline | 2015-06-11 / 20150163316 - Delivering Customized Content to Mobile Devices | 5 |
Jens Peter Kargo | DK | Skanderborg | 2013-03-07 / 20130058507 - METHOD FOR TRANSFERRING DATA TO A MUSICAL SIGNAL PROCESSOR | 1 |
Waldemar Kargus | DE | Pfronten | 2011-04-14 / 20110087362 - MACHINING HEAD, LASER MACHINING TOOL, RECEIVING METHOD, MEASURING HEAD, MEASURING METHOD | 2 |
Walter A. Kargus, Iv | US | Livonia | 2011-02-03 / 20110026753 - MICROPHONE APPARATUS WITH INCREASED DIRECTIVITY | 1 |
Andreas Karguth | DE | Tuttleben | 2014-06-26 / 20140180309 - MOUNTING AND POSITIONING DEVICE OF A SURGICAL INSTRUMENT AND.OR AN ENDOSCOPE FOR MINIMALLY INVASIVE SURGERY AND ASURGICAL ROBOT SYSTEM | 2 |
Brian Karguth | US | Van Alstyne | 2013-10-31 / 20130290984 - Method for Infrastructure Messaging | 1 |
Brian J. Karguth | US | Van Alstyne | 2014-12-18 / 20140369365 - Header Compression for Wireless Backhaul Systems | 3 |
Omkar Karhade | US | Chandler | 2016-03-31 / 20160095220 - ELECTRONIC PACKAGE DESIGN THAT FACILITATES SHIPPING THE ELECTRONIC PACKAGE | 5 |
Madhav Karhade | US | Fremont | 2015-12-10 / 20150358358 - ADDING FIREWALL SECURITY POLICY DYNAMICALLY TO SUPPORT GROUP VPN | 1 |
Miloslav Karhanek | US | Santa Cruz | 2016-05-19 / 20160138093 - Charge Perturbation Detection System for DNA and Other Molecules | 8 |
Jaroslav Karhanek | CZ | Brno | 2009-06-04 / 20090139092 - METHOD AND MANUFACTURING OF BALL BEARING RINGS | 1 |
Miloslav Karhanek | US | Santa Cruz | 2016-05-19 / 20160138093 - Charge Perturbation Detection System for DNA and Other Molecules | 8 |
Tarmo Karhapaa | FI | Joensuu | 2010-04-29 / 20100105219 - BOARD-TO-BOARD CONNECTOR AND ARRANGEMENT WITH TWO CIRCUIT BOARDS | 1 |
Anssi Karhinen | FI | Vantaa | 2011-08-18 / 20110202989 - METHOD AND APPARATUS FOR PROVIDING AUTHENTICATION SESSION SHARING | 3 |
Marko Karhiniemi | FI | Kilonpuisto | 2009-01-01 / 20090002199 - Piezoelectric sensing as user input means | 1 |
Marko Kalervo Karhiniemi | FI | Espoo | 2011-12-22 / 20110310064 - User Interfaces and Associated Apparatus and Methods | 1 |
Marko Karhiniemi | FI | Espoo | 2011-09-15 / 20110221680 - KEYPAD APPARATUS | 5 |
Jacob R. Karhoff | US | Swartz Creek | 2013-04-25 / 20130098406 - DISHWASHER WITH AUXILIARY WASHING AGENT DISPENSING SYSTEM | 1 |
Jacob R. Karhoff | US | Kentwood | 2013-08-01 / 20130192642 - DISHWASHER AND OZONE GENERATOR | 1 |
Ho Kar Ho Samuel | HK | Kowloon | 2015-04-23 / 20150107128 - Measuring tape with a sucker | 1 |
Jarmo Karhu | FI | Oulu | 2008-09-04 / 20080211516 - Method and Measuring Instrument for Measuring Water Content | 1 |
Jari Karhu | FI | Kuopio | 2012-04-26 / 20120101366 - MAGNETIC STIMULATION DEVICE AND METHOD | 1 |
Mika Karhu | FI | Pirkkala | 2008-08-21 / 20080201299 - Method and System for Managing Metadata | 1 |
Abhinav R. Karhu | US | Hillsboro | 2016-03-17 / 20160077576 - TECHNOLOGIES FOR COLLABORATIVE HARDWARE AND SOFTWARE SCENARIO-BASED POWER MANAGEMENT | 1 |
Teemu Karhu | FI | Lahti | 2013-06-13 / 20130146608 - METHOD FOR FORMING A PACKAGE, A PACKAGE AND A PACKAGE BLANK | 2 |
Teemu Karhu | FI | Imatra | 2011-06-09 / 20110135793 - PACKAGE FOR READY-PREPARED FOODS | 5 |
Hannu Karhuketo | FI | Valkeakoski | 2012-05-17 / 20120120471 - DISPLAY MODULE AND RELATED MANUFACTURING METHOD | 3 |
Hannu Karhuketo | FI | Tuusula | 2015-02-05 / 20150035674 - ELECTRONIC LABEL TAG AND ELECTRONIC LABEL TAG SYSTEM | 6 |
Niina Karhuluoma | FI | Hameenkyro | 2013-12-26 / 20130343540 - CIPHERING AS A PART OF THE MULTICAST CONCEPT | 1 |
Kaisa Karhumaa | SE | Lund | 2009-12-17 / 20090311771 - ARABINOSE-AND XYLOSE-FERMENTING SACCHAROMYCES CEREVISIAE STRAINS | 1 |
Markku Karhumäki | FI | Hyvinkaa | 2011-04-28 / 20110097508 - Method and apparatus for mixing and feeding solid matter and binder | 1 |
Markku Karhumäki | FI | Hyvinkaa | 2011-04-28 / 20110097508 - Method and apparatus for mixing and feeding solid matter and binder | 1 |
Pirkko Karhunen | FI | Espoo | 2013-03-07 / 20130056165 - PROCESS FOR FIBRILLATING LIGNOCELLULOSIC MATERIAL, FIBRES AND THEIR USE | 1 |
Juho Kari | FI | Tampere | 2016-02-04 / 20160029920 - BIOIMPEDANCE SENSOR, STYLET, CANNULA AND METHOD FOR MEASURING BIOIMPEDANCE | 1 |
U. Prasad Kari | US | Hatfield | 2011-09-15 / 20110224153 - Asthma Associated Factors as Targets for Treating Atopic Allergies Including Asthma and Related Disorders | 1 |
Juhani Kari | FI | Lieto | 2016-05-05 / 20160126752 - METHOD AND APPARATUS FOR WIRELESS POWER TRANSFER | 10 |
Juhani Kari | FI | Lieto | 2016-05-05 / 20160126752 - METHOD AND APPARATUS FOR WIRELESS POWER TRANSFER | 10 |
Sudheer Kari | IN | Bangalore | 2014-09-11 / 20140253943 - SECURE PRINTING | 1 |
Alexander Kari | AT | Fuschl Am See | 2015-12-24 / 20150369284 - SLIDE BEARING SET | 5 |
Vijayakrishna Kari | IN | Vellore | 2015-08-27 / 20150238623 - Dendrimers, Conjugates and Methods Thereof | 1 |
Marianne Kari | US | 2012-05-10 / 20120113008 - ON-SCREEN KEYBOARD WITH HAPTIC EFFECTS | 1 | |
Juhani V. Kari | FI | Lieto | 2013-05-09 / 20130114823 - Headset With Proximity Determination | 3 |
Stuart Kari | US | Windsor | 2014-09-18 / 20140277382 - Method for Manufacturing a Stent and Stent Manufactured Thereby | 1 |
Ryan J. Kari | US | San Diego | 2014-06-05 / 20140152236 - DEVICES AND METHODS FOR DETECTING RATES OF CHANGE OF TORQUE | 3 |
Csaba Kari | US | Rosemont | 2013-06-13 / 20130150558 - DESIGN AND DEVELOPMENT OF MASKED THERAPEUTIC ANTIBODIES TO LIMIT OFF-TARGET EFFECTS; APPLICATION TO ANTI-EGFR ANTIBODIES | 2 |
Jeppe Kari | DK | Copenhagan Nv | 2015-10-15 / 20150291947 - CELLOBIOHYDROLASE VARIANTS AND POLYNUCLEOTIDES ENCODING SAME | 1 |
Subrahmanya Satya Kari | IN | Hyderabad | 2010-06-10 / 20100146594 - DATA NAVIGATION USING SET OF AUTHORISED FORUMS THROUGH INTERNET | 1 |
Stuart Earl Kari | US | Windsor | 2012-08-02 / 20120197384 - IMPLANTABLE AND LUMEN-SUPPORTING STENTS AND RELATED METHODS OF MANUFACTURE AND USE | 2 |
Jeppe Kari | DK | Copenhagen | 2016-02-18 / 20160046917 - Cellobiohydrolase Variants and Polynucleotides Encoding Same | 1 |
John D. Kari | US | Coeur D'Arlene | 2012-11-15 / 20120287833 - Using a Transmission Control Protocol (TCP) Channel to Save Power for Virtual Private Networks (VPNs) That Use User Datagram Protocol (UDP) | 2 |
Robert Howard Kari | US | Ocoee | 2010-04-22 / 20100096593 - Encapsulated luminescent particulates and aggregates made therefrom | 2 |
Thomas Kari | US | Waseca | 2010-12-02 / 20100304598 - Coaxial connector with coupling spring | 1 |
John D. Kari | US | Coeur D'Alene | 2011-04-07 / 20110083174 - Dynamic Network Tunnel Endpoint Selection | 3 |
Ahmed Kari | FR | Aix En Provence | 2009-04-09 / 20090094410 - METHOD FOR BLOCK WRITING IN A MEMORY | 7 |
Juhani Valdemar Kari | FI | Lieto | 2014-09-04 / 20140247004 - METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR FOREIGN OBJECT DETECTION PARAMETER AND CHARGING DATA COMMUNICATION WITH WIRELESS CHARGING CAPABLE BATTERY PACK | 7 |
Artur Kari | DE | Gelnhausen | 2012-04-12 / 20120086198 - CONNECTING DEVICE FOR TWO PIPES OVERLAPPING IN SECTIONS | 2 |
David Fred Kari | US | Bloomingdale | 2011-12-22 / 20110308993 - COLLAPSIBLE BULK BIN CONTAINER | 1 |
Horneman Kari | FI | Oulu | 2015-03-19 / 20150078274 - SPECTRUM ALLOCATION METHOD | 1 |
Hannu H. Kari | FI | Veikkola | 2012-10-11 / 20120258745 - COMMUNICATION NETWORK TERMINAL SUPPORTING A PLURALITY OF APPLICATIONS | 2 |
Ketan V. Karia | US | Cortland | 2009-09-17 / 20090231479 - Image Sensor ADC and CDS per Column | 1 |
Nimesh Pratapbhai Karia | US | Des Plaines | 2015-02-12 / 20150041700 - FLOW SWITCH ASSEMBLY FEATURING TWO-PART BASE ASSEMBLY WITH NON-METALLIC UPPER PART AND METALLIC LOWER PART | 1 |
Timir Karia | US | New York | 2010-08-19 / 20100212019 - Method and Apparatus for Protecting Information and Privacy | 2 |
Meetesh M. Karia | US | Austin | 2009-12-31 / 20090327166 - Method And Apparatus For Efficient Delta Pricing | 1 |
Bharat Karia | US | Edison | 2015-02-05 / 20150039489 - Electronic Trading Platform and Method Thereof | 2 |
Meetesh Karia | US | Austin | 2014-07-17 / 20140201292 - DIGITAL BUSINESS CARD SYSTEM PERFORMING SOCIAL NETWORKING COMMONALITY COMPARISIONS, PROFESSIONAL PROFILE CURATION AND PERSONAL BRAND MANAGEMENT | 1 |
Ketan Vrajlal Karia | US | Cortland | 2011-08-25 / 20110205384 - VARIABLE ACTIVE IMAGE AREA IMAGE SENSOR | 4 |
Snehal Karia | US | Fremont | 2009-04-09 / 20090094325 - Acuro Universal Multimedia Communication System (AUMCS) | 3 |
Vinod Kariat | US | Sunnyvale | 2012-11-29 / 20120304137 - METHOD AND APPARATUS FOR MULTI-DIE THERMAL ANALYSIS | 10 |
Vinod Kariat | US | Sunnyvale | 2012-11-29 / 20120304137 - METHOD AND APPARATUS FOR MULTI-DIE THERMAL ANALYSIS | 10 |
Murali Kariathungal | US | Hoffman Estates | 2009-05-21 / 20090132963 - METHOD AND APPARATUS FOR PACS SOFTWARE TOOL CUSTOMIZATION AND INTERACTION | 5 |
Murali K. Kariathungal | US | Hoffinan Estates | 2009-02-19 / 20090048866 - Rules-Based System For Routing Evidence and Recommendation Information to Patients and Physicians By a Specialist Based on Mining Report Text | 1 |
Murali Kumaran Kariathungal | US | Hoffman Estates | 2010-03-25 / 20100076780 - METHODS AND APPARATUS TO ORGANIZE PATIENT MEDICAL HISTORIES | 9 |
Yuji Kariatsumari | JP | Kashiwara-Shi | 2009-01-15 / 20090015182 - MOTOR CONTROL DEVICE | 1 |
Yuji Kariatsumari | JP | Kitakatsuragi-Gun | 2015-04-23 / 20150109114 - WARNING DEVICE FOR VEHICLE | 8 |
Yuji Kariatsumari | JP | Nara | 2011-02-03 / 20110025238 - MOTOR CONTROLLER AND ELECTRONIC POWER STEERING APPARATUS | 2 |
Yuji Kariatsumari | JP | Kitakatsuragi-Gun | 2015-04-23 / 20150109114 - WARNING DEVICE FOR VEHICLE | 8 |
Yuji Kariatsumari | JP | Yamatotakada-Shi | 2013-11-14 / 20130304325 - MOTOR CONTROL DEVICE | 21 |
Syou Kariatumari | JP | Higashimatsuyama-Shi | 2014-09-11 / 20140254020 - ANTIGLARE HARD COAT FILM | 1 |
Akhil Karibandi | US | Anderson | 2014-09-18 / 20140265803 - SHELF ASSEMBLY FOR A REFRIGERATOR | 1 |
Tomoyuki Karibe | JP | Osaka | 2015-07-16 / 20150199698 - DISPLAY METHOD, STAY INFORMATION DISPLAY SYSTEM, AND DISPLAY CONTROL DEVICE | 5 |
Takeshi Karibe | JP | Joso-Shi | 2013-04-25 / 20130099146 - SOLENOID VALVE | 1 |
Emi Karibe | JP | Osaka | 2014-10-02 / 20140297558 - STORE RANKING DEVICE, ORGANIZATION RANKING DEVICE, AND STORE RANKING METHOD | 1 |
Annapurna Karicherla | US | Valencia | 2015-08-13 / 20150223702 - IMPLANTABLE ECHO DOPPLER FLOW SENSOR FOR MONITORING OF HEMODYNAMICS | 9 |
Annapurna Karicherla | US | Valencia | 2015-08-13 / 20150223702 - IMPLANTABLE ECHO DOPPLER FLOW SENSOR FOR MONITORING OF HEMODYNAMICS | 9 |
Venkateswarlu Karicheti | US | Durham | 2011-09-29 / 20110236318 - ANIMAL MODEL OF AN EJACULATION-LIKE REFLEX | 1 |
Ron J. Karidi | IS | Herzeliya | 2014-04-10 / 20140100968 - DETERMINING BIDDER-AWARE VALUES FOR CONFIGURABLE AUCTION PARAMETERS | 1 |
Ron Jack Karidi | IL | Herzeliya | 2011-08-11 / 20110196747 - FACILITATING ADVERTISEMENT SELECTION USING ADVANCEMENT BIDS | 1 |
Ron J. Karidi | IL | Beit Yehoshua | 2009-01-01 / 20090003721 - METHODS AND APPARATUS FOR RECONSTRUCTING DIGITIZED IMAGES | 1 |
Ron Karidi | IL | Herzeliya | 2013-12-26 / 20130346401 - TOPICAL AFFINITY BADGES IN INFORMATION RETRIEVAL | 24 |
Ron J. Karidi | IL | Herzeliya | 2012-06-14 / 20120150656 - Integration of Reserved and Dynamic Advertisement Allocations | 2 |
Ron Karidi | IL | Herzliya | 2015-07-23 / 20150206068 - FUNCTION STREAM BASED ANALYSIS | 2 |
Ron Karidi | US | New York | 2014-08-07 / 20140222888 - SYSTEM AND METHOD FOR PERFORMING FOLLOW UP BASED ON USER INTERACTIONS | 1 |
John Peter Karidis | US | Ossinging | 2010-04-08 / 20100084438 - Dispensing Assembly with an Injector Controlled Gas Environment | 1 |
John Peter Karidis | US | Ossining | 2012-07-26 / 20120192034 - Lengthening Life of a Limited Life Memory | 8 |
John Peter Karidis | US | Ossining | 2012-07-26 / 20120192034 - Lengthening Life of a Limited Life Memory | 8 |
John P. Karidis | US | Ossining | 2015-06-11 / 20150162528 - POST-FABRICATION SELF-ALIGNED INITIALIZATION OF INTEGRATED DEVICES | 35 |
Deborah Karie | US | Lawrenceburg | 2009-07-23 / 20090183327 - Fingernail cleaning brush | 1 |
Maarit Kariemi | FI | Helsinki | 2013-09-26 / 20130252016 - METAMATERIAL THIN FILMS | 1 |
Gunter Karig | DE | Hofheim Am Taunus | 2015-05-14 / 20150133660 - METHOD FOR PRODUCING N-SULFONYL-SUBSTITUTED OXINDOLES | 11 |
Gunter Karig | DE | Frankfurt Am Main-Hoechst | 2015-11-12 / 20150322003 - Method for Producing 4-Haloalkyl -3-Mercapto-Substituted 2-Hydroxy-Benzoic Acid Derivatives | 1 |
Gunter Karig | DE | Hofheim Am Taunus | 2015-05-14 / 20150133660 - METHOD FOR PRODUCING N-SULFONYL-SUBSTITUTED OXINDOLES | 11 |
Gunter Karig | DE | Koln | 2012-12-20 / 20120322772 - SUBSTITUTED IMIDAZOPYRIMIDINES AND TRIAZOLOPYRIMIDINES | 4 |
Joseph Michael Karigan | US | Carrollton | 2012-05-24 / 20120125636 - REMOTE OPERATION OF A ROTATING CONTROL DEVICE BEARING CLAMP | 1 |
Jeffery J. Karigan | US | Mint Hill | 2015-06-25 / 20150175280 - BULK BAG DENSIFYING APPARATUS AND METHOD | 1 |
Vasan Karighattam | US | Davis | 2014-06-26 / 20140181605 - ASYNCHRONOUS PROGRAMMABLE JTAG-BASED INTERFACE TO DEBUG ANY SYSTEM-ON-CHIP STATES, POWER MODES, RESETS, CLOCKS, AND COMPLEX DIGITAL LOGIC | 1 |
Mai Karihara | JP | Sodegaura-Shi | 2013-02-28 / 20130052464 - RESIN FINE POWDER CONSISTING OF 4-METHYL-1-PENTENE POLYMER, COMPOSITION CONTAINING SAME, AND PROCESS FOR PRODUCTION THEREOF | 1 |
Yukinori Karihara | JP | Iwate | 2011-04-07 / 20110079301 - WATER FLOW DETECTION DEVICE | 2 |
Yukinori Karihara | JP | Tokyo | 2012-05-03 / 20120103637 - WATER FLOW DETECTION DEVICE | 1 |
Peter H. Kariher | US | Duham | 2010-12-23 / 20100323386 - Method and apparatus to indicate combustor performance for processing chemical/biological contaminated waste | 1 |
Thomas F. Kari, Jr. | US | Lindenhurst | 2013-03-21 / 20130072168 - Private Labeled Mobile Applications | 1 |
Sampath K. V. Karikalan | US | Irvine | 2014-07-03 / 20140183748 - Microbump and Sacrificial Pad Pattern | 5 |
Sampath Komarapalayam Velayudham Karikalan | US | Chandler | 2012-09-20 / 20120234582 - MUTUAL CAPACITANCE AND MAGNETIC FIELD DISTRIBUTION CONTROL FOR TRANSMISSION LINES | 4 |
Sampath K.v. Karikalan | US | Irvine | 2015-08-06 / 20150221603 - Microbump and Sacrificial Pad Pattern | 9 |
Sampath K. Karikalan | US | Irvine | 2015-08-20 / 20150235992 - SEMICONDUCTOR PACKAGE WITH A BRIDGE INTERPOSER | 1 |
Sampath K.v. Karikalan | US | Irvine | 2015-08-06 / 20150221603 - Microbump and Sacrificial Pad Pattern | 9 |
Sampath Komarapalayam Karikalan | US | Irvine | 2015-10-22 / 20150302974 - MAGNETIC-CORE THREE-DIMENSIONAL (3D) INDUCTORS AND PACKAGING INTEGRATION | 1 |
Sampath Komarapalayam Velayudham Karikalan | US | Irvine | 2014-05-29 / 20140145300 - INTEGRATION OF CHIPS AND SILICON-BASED TRENCH CAPACITORS USING LOW PARASITIC SILICON-LEVEL CONNECTIONS | 2 |
Afua S. Karikari | US | Bristol | 2015-02-19 / 20150051336 - COATING COMPOSITIONS HAVING CHELANT FUNCTIONALITY | 1 |
Afia Sarpong Karikari | US | Bristol | 2015-01-22 / 20150025177 - COATING COMPOSITIONS HAVING CHELANT FUNCTIONALITY | 2 |
Afia S. Karikari | US | Bristol | 2012-02-16 / 20120041166 - AQUEOUS COATING COMPOSITION | 1 |
Kari Karikkainen | FI | Espoo | 2010-07-29 / 20100191886 - Resource-limited electronic device comprising means for prioritizing services | 1 |
Katalin Kariko | US | Rydal | 2016-02-04 / 20160032316 - Purification and Purity Assessment of RNA Molecules Synthesized with Modified Nucleosides | 9 |
Katalin Kariko | US | Raydal | 2013-10-03 / 20130261172 - RNA Containing Modified Nucleosides and Methods of Use Thereof | 1 |
Katalin Kariko | US | Rydal | 2016-02-04 / 20160032316 - Purification and Purity Assessment of RNA Molecules Synthesized with Modified Nucleosides | 9 |
Masahiro Karikomi | JP | Tokyo | 2016-02-18 / 20160049709 - PHASE SHIFTER, ANTENNA AND RADIO APPARATUS | 1 |
Takaaki Karikomi | JP | Sagamihara-Shi | 2010-11-25 / 20100299011 - CONTROLLER AND CONTROLLING METHOD OF ELECTRIC VEHICLE | 1 |
Kai Karikomi | JP | Nagasaki | 2011-01-27 / 20110018271 - DEVICE AND METHOD OF ADJUSTING CURTAILMENT STRATEGY FOR WIND TURBINE, AND PROGRAM | 1 |
Kenichiro Karikomi | JP | Kariya-City | 2016-05-19 / 20160142689 - VEHICLE DISPLAY DEVICE | 1 |
Kenichirou Karikomi | JP | Nagoya-City | 2010-10-07 / 20100253496 - Display apparatus and meter for vehicle | 1 |
Kai Karikomi | JP | Nagasaki-Ken | 2009-02-26 / 20090053062 - Lightning Protection Device of Windmill Blade | 1 |
Kai Karikomi | JP | Tokyo | 2015-06-25 / 20150176569 - MONITORING SYSTEM AND A MONITORING METHOD FOR A WIND TURBINE GENERATOR | 2 |
Takaaki Karikomi | JP | Kanagawa | 2015-11-19 / 20150333683 - MOTOR CONTROL APPARATUS AND MOTOR CONTROL METHOD | 1 |
Kai Karikomi | JP | Nagasaki-Shi | 2010-08-26 / 20100213721 - WIND TURBINE BLADE AND WIND POWER GENERATOR USING THE SAME | 1 |
Seetharaman Karikrishnan | US | Redmond | 2012-05-03 / 20120109899 - CONFIGURING A DATA PROTECTION SYSTEM | 1 |
Kaisa Karila | FI | Espoo | 2008-10-02 / 20080241142 - Neuropilin/VEGF-C/VEGFR-3 Materials and Methods | 1 |
Karim S. Karim | CA | Waterloo | 2014-11-27 / 20140346631 - RADIATION DETECTOR SYSTEM AND METHOD OF MANUFACTURE | 6 |
Tayeb Karim | US | Somerville | 2015-07-16 / 20150199185 - System and Method for Providing Online Software Development Services | 1 |
Syed M. Karim | US | Lincoln | 2009-07-23 / 20090185336 - ELECTRICAL ENCLOSURE, AND PANEL ASSEMBLY AND MOUNTING ASSEMBLY THEREFOR | 1 |
Syed Karim | US | Chicago | 2008-10-23 / 20080258471 - Gravity based power generator | 1 |
Khalid Karim | SA | Riyadh | 2016-04-07 / 20160096796 - PRODUCTION OF PRODUCTS FROM NATURAL RESOURCES | 12 |
Fatima Karim | FR | Paris | 2010-11-25 / 20100298022 - CONFIGURATION OF RADIO COVERAGE | 2 |
Salim Abdool Karim | ZA | Durban | 2009-03-19 / 20090076245 - HIV-1 Subtype Isolate Regulatory/Accessory Genes, and Modification and Derivatives Thereof | 1 |
Zia Karim | US | St. Charles | 2011-09-08 / 20110215923 - ASPIRATING ENVIRONMENTAL SENSOR WITH WEBSERVER AND EMAIL NOTIFICATION | 1 |
Fatimah Binti A. Karim | MY | Selangor | 2010-08-26 / 20100212501 - Membrane Filter Element with Multiple Fiber Types | 1 |
Nad Karim | US | Palo Alto | 2013-06-06 / 20130141045 - ELECTRICALLY RECHARGEABLE, DUAL CHEMISTRY, BATTERY SYSTEM FOR USE IN PLUG-IN OR HYBRID ELECTRIC VEHICLES | 1 |
Mohammad Rezaul Karim | SA | Riyadh | 2013-06-27 / 20130160854 - DI-THIAZOLYL-BENZODIAZOLE BASED SENSITIZERS AND THEIR USE IN PHOTOVOLTAIC CELL | 2 |
Felix D. Karim | US | Walnut Creek | 2008-12-25 / 20080317738 - Mylks as Modifiers of Branching Morphogenesis and Methods of Use | 2 |
Riyez Karim | US | North Andover | 2015-06-04 / 20150152176 - ANTAGONIST ANTIBODIES AGAINST GDF-8 | 8 |
Faraydon O. Karim | US | Round Rock | 2009-05-21 / 20090133022 - Multiprocessing apparatus, system and method | 1 |
Aftab S. Karim | US | Burton | 2013-08-15 / 20130211442 - METHOD AND APPARATUS FOR CUTTING EMBOLIC COILS | 1 |
Rod M. Karim | US | San Diego | 2013-05-02 / 20130108188 - Reclosable Pouch with Leakproof Closure and Method of Manufacture | 2 |
Saad Karim | US | Cary | 2013-01-31 / 20130031401 - Systems, Methods, and Computer Program Products Providing Output Sample Frequency Determinism | 1 |
Rezaul Karim | US | Canton | 2009-03-19 / 20090076679 - METHOD AND APPARATUS TO MONITOR AN OUTPUT SPEED SENSOR DURING OPERATION OF AN ELECTRO-MECHANICAL TRANSMISSION | 1 |
Naimul Karim | US | Maplewood | 2015-10-29 / 20150306273 - MEDICAL SEALANT COMPOSITION AND METHOD OF USING SAME | 30 |
Douglas P. Karim | US | Green Brook | 2012-08-16 / 20120208034 - FILMS AND ARTICLES HAVING A BARRIER COATING DERIVED FROM CONCENTRATED AQUEOUS NANOCOMPOSITE DISPERSIONS | 2 |
Shahriar Reza Karim | US | Old Tappan | 2013-06-27 / 20130167010 - AUTOMATED GENERATION OF MULTIPLE VERSIONS OF A PUBLICATION | 1 |
Hakim Abdul Karim | CN | Sheung Wan | 2012-11-08 / 20120284782 - Method and system for facilitating secure electronic transactions | 1 |
Salim Abdol Karim | ZA | Durban | 2009-10-29 / 20090270488 - Process for the Selection of HIV-1 Subtype C Isolates, Selected HIV-1 Subtype Isolates, Their Genes and Modifications and Derivatives Thereof | 1 |
Omar Karim | US | Brooklyn | 2011-07-14 / 20110173214 - CROWDSOURCED MULTI-MEDIA DATA RELATIONSHIPS | 1 |
Felix Karim | US | Walnut Creek | 2015-06-25 / 20150175664 - THERAPEUTIC AGENTS FOR REDUCING PARATHYROID HORMONE LEVELS | 7 |
Sadiyq Karim | US | Sterling | 2010-08-26 / 20100218256 - SYSTEM AND METHOD OF INTEGRATING AND MANAGING INFORMATION SYSTEM ASSESSMENTS | 1 |
Bazlul Karim | CA | Richmond | 2015-03-19 / 20150077257 - DISPOSABLE ANTI-TAMPER CONDUCTIVE PLASTIC BAND FOR RE-USABLE RFID TAG | 1 |
Muneeb Iqbal Karim | US | Redmond | 2011-12-22 / 20110310002 - FREE SPACE DIRECTIONAL FORCE FEEDBACK APPARATUS | 2 |
Sarah Jane Karim | GB | Cambridgeshire | 2014-12-25 / 20140377878 - VIAL RACK FOR LIQUID CHROMATOGRAPHY HAVING DRAINING MEANS | 1 |
Anwarul Karim | US | Peoria | 2010-06-24 / 20100154416 - CROSSOVER EXHAUST DUCT | 1 |
Khursheed Karim | US | Amherst | 2010-11-25 / 20100298611 - PRODUCTION OF FERMENTIVE END PRODUCTSFROM CLOSTRIDIUM sp. | 1 |
Shahid Karim | US | Hattiesburg | 2010-11-04 / 20100278752 - Methods for Detection and Prevention of Tick Infestation and Pathogen Transmission | 1 |
Mohsin Karim | US | Irving | 2011-06-30 / 20110161304 - DEPLOYMENT AND COMPLIANCE MANAGER | 1 |
Zia Karim | US | Sunnyvale | 2016-03-10 / 20160068961 - Method and Apparatus For Growing Binary, Ternary and Quaternary Materials on a Substrate | 1 |
Samer Karim | US | Redmond | 2010-06-24 / 20100157799 - LOAD BALANCING | 1 |
Nozad O. Karim | US | Chandler | 2010-05-27 / 20100127376 - SYSTEM AND METHOD TO PROVIDE RF SHIELDING FOR A MEMS MICROPHONE PACKAGE | 1 |
Naimul Karim | US | St. Paul | 2010-03-11 / 20100062394 - PREFORMED MALLEABLE MULTILAYER DENTAL ARTICLES | 1 |
Hasan Karim | US | Simpsonville | 2016-02-18 / 20160047316 - SYSTEMS AND APPARATUS RELATING TO GAS TURBINE COMBUSTORS | 18 |
M. Ziaul Karim | US | San Jose | 2011-10-20 / 20110253046 - APPARATUS FOR PROVIDING UNIFORM GAS DELIVERY TO A REACTOR | 2 |
Hasan Karim | US | Simsonville | 2010-12-30 / 20100330510 - METHOD FOR LOW NOx COMBUSTION OF SYNGAS / HUGH HYDROGEN FUELS | 1 |
Hasan Karim | US | Simpsonvile | 2010-07-08 / 20100170216 - LATE LEAN INJECTION SYSTEM CONFIGURATION | 1 |
Rezaoul Karim | US | Medford | 2015-06-25 / 20150181714 - SYSTEMS AND METHODS FOR CONTINUOUS FLASH LAMP SINTERING | 3 |
Hassan Ul Karim | US | Simpsonville | 2012-06-28 / 20120159959 - System and Method for Fuel and Air Mixing in a Gas Turbine | 1 |
Aftab Karim | US | Burton | 2012-12-13 / 20120316482 - ORTHOTIC BONE STIMULATOR | 1 |
Md Anwarul Karim | US | Peoria | 2014-07-31 / 20140208726 - Air Shielded Water Cooled Exhaust Manifold With Exhaust Tube Support | 2 |
Muhammad S. Karim | US | San Jose | 2014-06-26 / 20140176689 - APPARATUS AND METHOD FOR ASSISTING THE VISUALLY IMPAIRED IN OBJECT RECOGNITION | 1 |
Aftab S. Karim | US | Armonk | 2014-05-08 / 20140128906 - Method and Apparatus for Cutting Embolic Coils | 1 |
Feroze Karim | IN | Bangalore | 2014-10-16 / 20140307766 - ITERATIVELY SCANNING EQUALIZATION COEFFICIENTS TO OPTIMIZE SIGNAL QUALITY IN A DATA COMMUNICATION LINK | 2 |
Ishtak Karim | US | San Jose | 2016-02-25 / 20160056053 - METHOD AND APPARATUS TO DEPOSIT PURE TITANIUM THIN FILM AT LOW TEMPERATURE USING TITANIUM TETRAIODIDE PRECURSOR | 8 |
Hasan Karim | US | Simpsonville | 2016-02-18 / 20160047316 - SYSTEMS AND APPARATUS RELATING TO GAS TURBINE COMBUSTORS | 18 |
Ishtak Karim | US | San Jose | 2016-02-25 / 20160056053 - METHOD AND APPARATUS TO DEPOSIT PURE TITANIUM THIN FILM AT LOW TEMPERATURE USING TITANIUM TETRAIODIDE PRECURSOR | 8 |
Syed Aftab Karim | US | Palo Alto | 2013-07-18 / 20130184758 - Spinal Fixation Method and Apparatus | 1 |
Mohsin A. Karim | US | Plano | 2013-06-20 / 20130159862 - AUTOMATED NETWORK INVENTORY USING A USER DEVICE | 1 |
Altaii Karim | US | Harrisonburg | 2011-09-29 / 20110232419 - Shape memory alloy motor | 3 |
Md Anwarui Karim | US | Peoria | 2013-01-03 / 20130000287 - EXHAUST MANIFOLD WITH SHIELDED COOLING | 1 |
Alamgir Karim | US | Akron | 2015-10-22 / 20150298405 - FABRICATION OF DIRECTIONALLY ORIENTED BLOCK COPOLYMER FILMS | 2 |
Mohammad A. Karim | US | Virginia Beach | 2009-12-03 / 20090297021 - Optical pattern recognition technique | 1 |
Hasan Ul Karim | US | Simpsonville | 2013-10-24 / 20130276450 - COMBUSTOR APPARATUS FOR STOICHIOMETRIC COMBUSTION | 3 |
Khalku Karim | GB | Cambridgeshire | 2015-04-30 / 20150119274 - Microplates with Enhanced Immobilisation Capabilities Controlled by Magnetic Field | 5 |
Mohammad Rezaul Karim | BD | Chatkhil | 2012-10-04 / 20120251612 - Wound Healing Device, Method for Making the Same and Method for Treating a Wound | 1 |
Mohammed Karim | GB | Liverpool | 2008-10-02 / 20080242876 - Process For the Manufacture of Substituted Propionic Acids | 1 |
Hasan Ui Karim | US | Simpsonville | 2012-04-26 / 20120098276 - DRY 3-WAY CATALYTIC REDUCTION OF GAS TURBINE NOX | 1 |
Douglas P. Karim | US | Irvine | 2009-11-19 / 20090285722 - Collection container assembly with nanocomposite barrier coating | 1 |
Aftab S. Karim | US | Flint | 2011-10-06 / 20110245875 - SUBLAMINAR WIRED SCREWED DEVICE FOR SPINAL FUSION | 1 |
Samer J. Karim | US | Kirkland | 2010-08-26 / 20100218247 - SERVICE ACCESS USING A SERVICE ADDRESS | 1 |
Khalku Karim | GB | Cambridge | 2009-03-12 / 20090068758 - SYNTHETIC RECEPTOR | 2 |
Norfidathul Aizar Abdul Karim | MY | Penang | 2014-12-04 / 20140353701 - LIGHT SOURCE PACKAGE AND METHOD OF MANUFACTURING THE SAME | 3 |
Riyez Karim | US | North Andover | 2015-06-04 / 20150152176 - ANTAGONIST ANTIBODIES AGAINST GDF-8 | 8 |
Munawar Karim | US | Fairport | 2014-03-06 / 20140061368 - VERTICAL/SHORT TAKE-OFF AND LANDING PASSENGER AIRCRAFT | 1 |
Quarraisha Abdool Karim | ZA | Durban | 2013-08-22 / 20130216590 - TOPICAL ANTIVIRAL FORMULATIONS FOR PREVENTION OF TRANSMISSION OF HSV-2 | 1 |
Salim S. Abdool Karim | ZA | Durban | 2013-08-22 / 20130216590 - TOPICAL ANTIVIRAL FORMULATIONS FOR PREVENTION OF TRANSMISSION OF HSV-2 | 1 |
Karim Sallaudin Karim | CA | Waterloo | 2015-10-08 / 20150287760 - APPARATUS FOR RADIATION DETECTION IN A RADIOGRAPHY IMAGING SYSTEM | 4 |
Mohammad A. Karim | US | Norfolk | 2013-06-13 / 20130148915 - Optical Pattern Recognition Technique | 2 |
Asif Karim | DE | Mannheim | 2015-10-29 / 20150306272 - ODOUR-INHIBITING SUPERABSORBER | 6 |
Hasan Karim | US | Greenville | 2014-03-06 / 20140060069 - COMBUSTOR INCLUDING COMBUSTION NOZZLE AND AN ASSOCIATED METHOD THEREOF | 3 |
Aly Karim | GB | Middlesex | 2015-09-10 / 20150254615 - SYSTEM AND METHOD FOR PROVIDING MERCHANT LOYALTY REWARDS | 4 |
Zia Karim | US | Saint Charles | 2009-09-24 / 20090237242 - Remotely Controllable Route Indicating Devices | 1 |
Jeffrey Karim | US | Mason | 2011-12-29 / 20110315585 - Packaging System For Shipping, Storing, Displaying, And/Or Dispensing Absorbent Articles | 1 |
Ahsanul Karim | US | Canton | 2015-12-24 / 20150369254 - TURBOCHARGER COMPRESSOR NOISE REDUCTION SYSTEM AND METHOD | 7 |
Muneeb Karim | US | Redmond | 2011-11-03 / 20110267259 - RESHAPABLE CONNECTOR WITH VARIABLE RIGIDITY | 1 |
Khalid Karim | SA | Riyadh | 2016-04-07 / 20160096796 - PRODUCTION OF PRODUCTS FROM NATURAL RESOURCES | 12 |
Zahra Karimaghaloo | CA | Montreal | 2010-09-23 / 20100239144 - Marker Localization Using Intensity-Based Registration of Imaging Modalities | 1 |
Yoshitada Karimai | JP | Tokyo | 2015-02-26 / 20150055970 - IMAGE FORMING APPARATUS | 2 |
Kimmo Juhani Karimaki | FI | Tampere | 2010-08-12 / 20100205563 - DISPLAYING INFORMATION IN A UNI-DIMENSIONAL CAROUSEL | 2 |
Alexander V. Kariman | US | Rockville | 2015-08-27 / 20150244520 - ONE-TIME-PAD DATA ENCRYPTION WITH MEDIA SERVER | 7 |
Alexander V. Kariman | US | 2015-07-09 / 20150195175 - METHOD AND APPARATUS FOR PROVIDING REMOTE SUPPORT FOR AN EMBEDDED SYSTEM | 1 | |
Tsutomu Karimata | JP | Tokyo | 2015-11-26 / 20150340193 - INSPECTION APPARATUS | 9 |
Tsutomu Karimata | JP | Kanagawa-Ken | 2015-05-07 / 20150122993 - TESTING APPARATUS USING CHARGED PARTICLES AND DEVICE MANUFACTURING METHOD USING THE TESTING APPARATUS | 4 |
Tsutomu Karimata | JP | Yokohama-Shi | 2014-12-18 / 20140367570 - SUBSTRATE INSPECTION METHOD AND A SUBSTRATE PROCESSING METHOD | 2 |
Tsutomu Karimata | JP | Kanagawa | 2014-02-06 / 20140034831 - INSPECTION SYSTEM BY CHARGED PARTICLE BEAM AND METHOD OF MANUFACTURING DEVICES USING THE SYSTEM | 8 |
Tsutomu Karimata | JP | Kanagawa | 2014-02-06 / 20140034831 - INSPECTION SYSTEM BY CHARGED PARTICLE BEAM AND METHOD OF MANUFACTURING DEVICES USING THE SYSTEM | 8 |
Tsutomu Karimata | JP | Tokyo | 2015-11-26 / 20150340193 - INSPECTION APPARATUS | 9 |
Mustapha N. Karime | SA | Riyadh | 2016-03-17 / 20160075630 - METHOD FOR THE PURIFICATION OF ACETIC ACID AND ACRYLIC ACID | 2 |
Mustapha Karime | SA | Riyadh | 2015-05-21 / 20150139896 - SOLAR ENERGY BASED COUNTINUOUS PROCESS AND REACTOR SYSTEM FOR THE PRODUCTION OF AN ALKENE BY DEHYDROGENATION OF THE CORRESPONDING ALKANE | 1 |
Ali Karime | CA | Ottawa | 2015-02-26 / 20150054633 - Interactive Tangible Interface for Hand Motion | 1 |
Ali Karime | QA | Doha | 2015-10-22 / 20150301643 - PLUG AND PLAY TANGIBLE USER INTERFACE SYSTEM | 1 |
Gholemhossein (shahrad) Karimi | CA | Calgary | 2013-01-24 / 20130019780 - GEOPOLYMER ADDITIVES AND METHODS OF USE FOR TREATMENT OF FLUID FINE TAILINGS | 1 |
Joubin Karimi | CA | Ottawa | 2015-11-05 / 20150318893 - LOWERING TRANSMISSION POWER RESPONSIVE TO BROADCAST RADIO TRANSMISSIONS | 5 |
Charif Karimi | FR | Orsay | 2013-10-31 / 20130285615 - MODULE FOR CONVERTING VOLTAGE BETWEEN A HIGH-VOLTAGE ELECTRICAL NETWORK OF AN AIRCRAFT AND AN ENERGY STORAGE ELEMENT | 1 |
Ebrahim Karimi | CA | Ottawa | 2013-07-04 / 20130168577 - CONVERTER OF ORBITAL MOMENTUM INTO SPIN MOMENTUM FOR THE POLARIZATION OF PARTICLE BEAMS | 1 |
Farrokh Jazizadeh Karimi | US | Los Angeles | 2014-09-18 / 20140277765 - HUMAN-BUILDING INTERACTION FRAMEWORK FOR PERSONALIZED COMFORT DRIVEN SYSTEM OPERATIONS IN BUILDINGS | 2 |
Kamiar J. Karimi | US | Kirkland | 2016-05-05 / 20160126731 - PROGRAMMABLE ALTERNATING CURRENT (AC) LOAD HAVING REGENERATIVE AND DISSIPATIVE MODES | 21 |
Kamiar Karimi | US | Kirkland | 2015-01-22 / 20150021983 - AIRCRAFT UNIVERSAL POWER CONVERTER | 3 |
Farhad Karimi | SE | Massachusetts | 2011-03-17 / 20110065914 - PERFLUORO MACROCYCLES IN 18F-LABELLING OF MACROMOLECULES | 1 |
Sultan Masoud Karimi | DE | Munich | 2010-04-15 / 20100089703 - RESTRAINT SYSTEM FOR WALKIE/RIDER PALLET TRUCK | 2 |
Joubin Karimi | CA | Ontario | 2014-09-18 / 20140269873 - ADJUSTING MULTI-CARRIER MODULATION AND TRANSMISSION POWER PROPERTIES | 3 |
Kamiar J. Karimi | US | Everett | 2015-10-29 / 20150311832 - SYSTEMS AND METHODS FOR THE CONTROL AND OPERATION OF A PARALLEL MOTOR CONTROLLER ARCHITECTURE | 1 |
Mehdi Karimi | CA | Ottawa | 2015-10-29 / 20150311919 - CODE DESIGN AND HIGH-THROUGHPUT DECODER ARCHITECTURE FOR LAYERED DECODING OF A LOW-DENSITY PARITY-CHECK CODE | 2 |
Pezhman Karimi | US | Irvine | 2015-09-24 / 20150269800 - MOVABLE BARRIER OPERATOR CONFIGURED FOR REMOTE ACTUATION | 4 |
Ali Naghi Karimi | IR | Tehran | 2010-11-11 / 20100281951 - Gas Permeability Measurement Apparatus | 1 |
Hirad Karimi | CA | Toronto | 2012-10-25 / 20120268127 - System and Method for Spatially Encoding Nuclear Magnetic Resonance Signals Using Magnetic Susceptibility Effects | 1 |
Razieh Karimi | AT | Vienna | 2015-09-17 / 20150259656 - MODIFIED FUNGAL CELL | 1 |
Farhad Karimi | US | Canton | 2010-09-16 / 20100234611 - Fluorination Process of Anilide Derivatives and Benzothiazole Fluorinate Derivatives as in VIVO Imaging Agents | 8 |
Vahid Karimi | US | Fremont | 2015-10-01 / 20150273307 - Self-Defense Training Dummy | 1 |
Javad Karimi | IR | Mashhad | 2016-02-11 / 20160040363 - PRODUCING ANTIMICROBIAL PAPER | 1 |
Mostafa Karimi | IR | Varamin | 2011-05-26 / 20110124040 - FIXATIVE OF POLYMERIZED CARBON NANOTUBES ENCAPSULATING OSMIUM NANOPARTICLES FOR BIOLOGICAL TISSUE | 1 |
Roman Karimi | DE | Aalen | 2015-04-02 / 20150093285 - MAGNETIC MATERIAL, USE THEREOF AND METHOD FOR PRODUCING SAME | 1 |
Farhad Karimi | US | Mansfield | 2015-11-19 / 20150328344 - Fluorination Process | 12 |
Reza Karimi | IR | Varamin | 2012-04-12 / 20120086206 - APPARATUS FOR CONVERTING WAVE, SOLAR AND WIND ENERGY | 1 |
Davood Karimi | CA | Winnipeg | 2014-05-22 / 20140142452 - ACOUSTIC SYSTEM AND METHODOLOGY FOR IDENTIFYING THE RISK OF OBSTRUCTIVE SLEEP APNEA DURING WAKEFULNESS | 1 |
Kamiar J. Karimi | US | Kirkland | 2016-05-05 / 20160126731 - PROGRAMMABLE ALTERNATING CURRENT (AC) LOAD HAVING REGENERATIVE AND DISSIPATIVE MODES | 21 |
Joubin Karimi | CA | Kanata | 2014-06-12 / 20140160953 - PACKET COLLISIONS AND IMPULSIVE NOISE DETECTION | 1 |
Hamid Reza Karimi | GB | Swindon | 2015-04-23 / 20150111501 - METHOD OF MANAGING INTERFERENCE IN A WIRELESS COMMUNICATION SYSTEM | 2 |
Kamiar Karimi | US | Brooklyn | 2014-07-31 / 20140211522 - APPARATUS AND METHOD FOR CONTROLLING CIRCULATING CURRENT IN AN INVERTER SYSTEM | 1 |
Kamran Karimi | CA | Burnaby | 2012-01-26 / 20120023053 - SYSTEMS AND METHODS FOR QUANTUM COMPUTATION USING REAL PHYSICAL HARDWARE | 1 |
Mojtaba Karimi | US | Houston | 2015-10-29 / 20150308209 - System and Method for Managed Pressure Wellbore Strengthening | 2 |
Pezhmon Karimi | US | Irvine | 2015-08-13 / 20150227284 - MOVABLE BARRIER OPERATOR WITH REMOTE MONITORING CAPABILITIES | 1 |
Pegah Karimi | US | Allston | 2013-08-15 / 20130206165 - Damage Free Cleaning Using Narrow Band Megasonic Cleaning | 1 |
Pezhman Karimi | US | Laguna Niguel | 2010-04-15 / 20100090796 - MOVABLE BARRIER SYSTEM ADAPTED TO TRANSMIT DIAGNOSTIC INFORMATION TO A REMOTE DEVICE | 1 |
Majid Bigdeli Karimi | US | Allston | 2016-02-04 / 20160032239 - Deterministic Manufacturing Process For Creating 3D Living Tissues Based on 2D Directed Assembly And Origami Techniques | 1 |
Behrooz Karimian-Kakolaki | CA | Newmarket | 2013-06-20 / 20130155078 - CONFIGURABLE GRAPHICS CONTROL AND MONITORING | 1 |
Behrooz Karimian-Kakolaki | CA | Toronto | 2014-03-13 / 20140075171 - MULTI-PURPOSE POWER CONTROLLER AND METHOD | 1 |
Feridoun Karimi-Busheri | CA | Edmonton | 2015-10-29 / 20150306219 - SMALL MOLECULE INHIBITORS OF POLYNUCLEOTIDE KINASE/PHOSPHATASE, POLY(ADP-RIBOSE) POLYMERASE AND USES THEREOF | 7 |
Bizhan Karimi-Cherkandi | US | Boca Raton | 2015-05-21 / 20150138307 - Method, Device, and System for Testing Video Quality | 10 |
Bizhan Karimi-Cherkandi | US | Boca Raton | 2015-05-21 / 20150138307 - Method, Device, and System for Testing Video Quality | 10 |
Masoud Karimi Ghartemani | US | Mississippi State | 2014-09-18 / 20140268957 - Single Phase Power System Controller and Method Therefor | 2 |
Farshid Karimi Mostowfi | CA | Edmonton | 2009-10-01 / 20090243635 - ANALYSIS OF THIN LIQUID FILMS | 1 |
Yasmin Karimi-Nejad | DE | Hannover | 2011-10-27 / 20110262930 - Screening and Treatment Methods Using IGS5 Enzymes of the Metalloprotease Family | 1 |
Srikanth Karimisetty | US | Austin | 2014-09-18 / 20140282394 - INVOCATION OF EXTERNAL WEB SERVICES USING DYNAMICALLY GENERATED COMPOSITE APPLICATIONS | 5 |
Hamed Karimi-Sharif | CA | Vancouver | 2015-10-01 / 20150275813 - Fuel System Protection in a Multi-Fuel Internal Combustion Engine | 1 |
Yasmin Karimli | US | Kirkland | 2016-05-05 / 20160127295 - SOCIAL PRESENCE | 5 |
Massoud Karimnia | DE | Hamburg | 2009-04-23 / 20090101556 - REACTOR AND METHOD FOR DECALCIFYING WATER AND SIMULTANEOUS REMOVAL OF POLLUTANTS | 1 |
Omid Karimnia | US | Memphis | 2009-10-29 / 20090266962 - Coupling/splice/end bracket for channel | 1 |
Hiroyasu Karimoto | JP | Kanagawa | 2009-02-19 / 20090046544 - Contents management method and contents distribution method | 4 |
Takashi Karimoto | JP | Kanagawa | 2010-04-01 / 20100079613 - IMAGE CAPTURING APPARATUS, IMAGE CAPTURING METHOD, AND COMPUTER PROGRAM | 4 |
Ildar Rafaelovich Karimov | RU | Zagorodnyi | 2016-03-03 / 20160062847 - INSTALLING APPLICATIONS VIA RESTORATION OF A FALSE BACKUP | 1 |
Pavel Karimov | US | Redmond | / - | 1 |
Genghis Karimov | US | Redmond | 2013-03-14 / 20130067285 - MEMORY DUMP WITH EXPANDED DATA AND USER PRIVACY PROTECTION | 2 |
Pavel R. Karimov | US | Redmond | 2010-11-25 / 20100299347 - DATABASE APPLICATION NAVIGATION | 5 |
Paul Karimov | US | Redmond | 2013-08-01 / 20130198171 - METHOD AND SYSTEM FOR MANAGING DATABASE OBJECT INFORMATION | 1 |
Jamshid Karimov | US | Cleveland Hts. | 2015-10-29 / 20150305727 - KITS AND METHODS FOR VISUALIZING A CARDIAC CHAMBER FOR CONNECTION TO A MECHANICAL CIRCULATORY SUPPORT DEVICE | 2 |
Ramin Karimpour | US | Raleigh | 2015-05-21 / 20150138535 - NON-CONTACT EGG IDENTIFICATION SYSTEM FOR DETERMINING EGG VIABILITY USING TRANSMISSION SPECTROSCOPY, AND ASSOCIATED METHOD | 3 |
Mirza Karimullah | CA | Arnprior | 2015-08-06 / 20150219413 - METHODS AND DEVICES RELATING TO FIREARMS | 1 |
Khalid Karimullah | US | Olney | 2012-11-22 / 20120294266 - METHOD AND SYSTEM FOR PROVIDING MULTI-INPUT-MULTI-OUTPUT (MIMO) DOWNLINK TRANSMISSION | 4 |
Nathan Karin | IL | Haifa | 2015-12-03 / 20150344569 - COMPOSITIONS AND METHODS FOR DIAGNOSING AND TREATING AN INFLAMMATION | 16 |
Norman J. Karin | US | Richland | 2010-10-21 / 20100266691 - Agents and Methods to Stimulate Bone Healing | 1 |
Motti Karin | IL | Hod Hasharon | 2016-03-31 / 20160090656 - System for the Electrolysis of Water having Rotating Disc Cathodes and an Automated Cathode Cleaner | 2 |
Michael Karin | US | Lajolla | 2008-11-13 / 20080280286 - Compositions and Methods for Gene Expression | 1 |
Michael Karin | US | La Jolla | 2015-11-12 / 20150320020 - FIBROTIC NON-HUMAN ANIMAL, AND USE THEREOF | 8 |
Michael Karin | US | San Diego | 2010-03-04 / 20100055714 - IKB kinase, subunits thereof, and methods of using same | 2 |
Nathan Karin | IL | Haifa | 2015-12-03 / 20150344569 - COMPOSITIONS AND METHODS FOR DIAGNOSING AND TREATING AN INFLAMMATION | 16 |
Nir Karin | IL | Givataim | 2009-01-01 / 20090002407 - Inkjet Printing | 1 |
Michael Karin | US | La Jolla | 2015-11-12 / 20150320020 - FIBROTIC NON-HUMAN ANIMAL, AND USE THEREOF | 8 |
Ryouji Karinaga | JP | Matsudo-Shi | 2013-10-03 / 20130256208 - MATERIAL FOR CAPTURING MICROBES, DEVICE FOR CAPTURING MICROBES, METHOD OF CAPTURING MICROBES, AND METHOD OF PRODUCING MATERIAL FOR CAPTURING MICROBES | 2 |
Seppo Karine | FI | Imatra | 2009-05-28 / 20090133282 - Method and Apparatus for Drying a Moving Web of Material at a Paper or Board Machine | 2 |
Sakari Karinen | FI | Espoo | 2014-01-02 / 20140002634 - SYSTEM FOR IMAGING SAWN TIMBER | 1 |
Robert Kariniemi | US | Plymouth | 2013-08-22 / 20130216075 - SWITCHING STUCTURES FOR HEARING AID | 1 |
Ryan Douglas Kariniemi | US | Cokato | 2012-09-27 / 20120245668 - DEVICE AND METHOD FOR DELIVERING A VASCULAR DEVICE | 2 |
David Kariniemi | US | Maple Grove | 2008-08-21 / 20080197868 - CIRCUIT BOARD TESTING DEVICE WITH SELF ALIGNING PLATES | 1 |
Thomas E. Kariniemi | US | Flagstaff | 2014-05-15 / 20140135897 - Elastic Stent Graft | 2 |
Ryan Kariniemi | US | Cokato | 2010-08-19 / 20100211046 - MEDICAL DEVICE WITH STIFFENER WIRE FOR OCCLUDING VASCULAR DEFECTS | 3 |
David Kariniemi | US | New Hope | 2011-09-29 / 20110234248 - CIRCUIT BOARD TESTING DEVICE WITH SELF ALIGNING PLATES | 2 |
Ryan D. Kariniemi | US | Flagstaff | 2015-11-05 / 20150313738 - PUSH AND PULL MEDICAL DEVICE DELIVERY SYSTEM | 2 |
Stephen Kariniemi | US | Scottsdale | 2016-03-03 / 20160059895 - Arcuate Frame For A Vehicle | 1 |
Shirdhara Alva Karinka | US | Pleasanton | 2009-08-27 / 20090211905 - ELECTROCHEMICAL CELL | 1 |
Shridhara Alva Karinka | US | Pleasanton | 2015-08-13 / 20150226691 - Small Volume Test Strips with Large Sample Fill Ports, Supported Test Strips, and Methods of Making and Using Same | 32 |
Prasad Alva Karinka | IN | Bangalore | 2015-03-05 / 20150066640 - COMMUNICATING DEVICE DATA PRIOR TO CONNECTION ESTABLISHMENT | 1 |
Shridhara Alva Karinka | US | Chelmsford | 2008-10-30 / 20080264787 - ELECTROCHEMICAL CELL | 2 |
Osamu Karino | JP | Kanagawa | 2012-12-06 / 20120304779 - ELECTRONIC FLOW METER | 1 |
Yoshiji Karino | JP | Yokohama | 2015-07-16 / 20150198542 - INNER IMAGE GENERATING APPARATUS AND METHOD THEREOF | 1 |
Taichi Karino | JP | Nagano | 2015-07-16 / 20150200309 - JUNCTION FIELD EFFECT TRANSISTOR | 1 |
Takuhiro Karino | JP | Fuwa-Gun, Gifu | 2015-10-29 / 20150308271 - SWASH-PLATE HYDRAULIC MOTOR OR SWASH-PLATE HYDRAULIC PUMP | 1 |
Yasushi Karino | JP | Fussa-Shi | 2014-04-10 / 20140097049 - BRAKE LINING FOR RAILWAY VEHICLES AND DISC BRAKE EQUIPPED WITH THE SAME | 2 |
Wataru Karino | JP | Atsugi-Shi | 2015-08-06 / 20150217100 - URETHRAL STRICTURE TREATMENT METHOD | 3 |
Wataru Karino | JP | Atsugi | 2016-05-19 / 20160136394 - GUIDING CATHETER FOR RENAL ARTERY AND METHOD FOR USING THE SAME | 1 |
Wataru Karino | JP | Fujinomiya-Shi | 2013-10-03 / 20130261538 - MEDICAL ELONGATED BODY | 1 |
Wataru Karino | JP | Kanagawa | 2015-10-15 / 20150289857 - MEDICAL INSTRUMENT AND MEDICAL SYSTEM | 3 |
Wataru Karino | JP | Ashigarakami-Gun | 2013-10-31 / 20130289339 - MEDICAL DEVICE AND MEDICAL DEVICE ASSEMBLY | 2 |
Susumu Karino | JP | Kawasaki-Shi | 2014-06-26 / 20140174355 - PLASMA CVD APPARATUS AND VACUUM TREATMENT APPARATUS | 1 |
Shuichi Karino | JP | Tokyo | 2015-12-17 / 20150365828 - COMMUNICATION TERMINAL, COMMUNICATION METHOD, PROGRAM, COMMUNICATION SYSTEM, AND INFORMATION PROCESSING APPARATUS | 18 |
Tsuyoshi Karino | JP | Shiga | 2015-11-12 / 20150322949 - ROTARY COMPRESSOR | 9 |
Wataru Karino | JP | Atsugi-City | 2016-01-07 / 20160000345 - MONITORING DEVICE AND MONITORING DEVICE KIT | 1 |
Tatsuya Karino | JP | Saitama | 2011-06-30 / 20110158263 - TRANSIT TIME FIXATION DEVICE | 1 |
Takeshi Karino | JP | Chiba | 2012-03-08 / 20120059135 - COPOLYMER OF OLEFIN AND CONJUGATED DIENE, AND PROCESS FOR PRODUCING THE SAME | 2 |
Shinobu Karino | JP | Osaka | 2012-02-02 / 20120024032 - SPINNING METHOD FOR FORMING A DIAMETER REDUCED PORTION | 1 |
Daiki Karino | JP | Kobe-Shi | 2013-04-04 / 20130084212 - SAMPLE PROCESSING APPARATUS | 2 |
Takuhiro Karino | JP | Gifu | 2011-11-24 / 20110283692 - LIQUID PRESSURE MOTOR | 1 |
Yasushi Karino | JP | Tokyo | 2011-09-29 / 20110233009 - CALIPER BRAKE DEVICE | 2 |
Takatoshi Karino | JP | Tokyo | 2010-11-11 / 20100286296 - ANTI-TERMITE STYROFOAM PRODUCT AND METHOD OF MANUFACTURING THE SAME | 1 |
Koya Karino | JP | Tokyo | 2016-04-28 / 20160116503 - ELECTRONIC DEVICE HANDLING APPARATUS AND ELECTRONIC DEVICE TESTING APPARATUS | 3 |
Tsuyoshi Karino | JP | Shiga | 2015-11-12 / 20150322949 - ROTARY COMPRESSOR | 9 |
Shuichi Karino | JP | Tokyo | 2015-12-17 / 20150365828 - COMMUNICATION TERMINAL, COMMUNICATION METHOD, PROGRAM, COMMUNICATION SYSTEM, AND INFORMATION PROCESSING APPARATUS | 18 |
Sachio Karino | JP | Miyagi | 2012-05-17 / 20120122257 - SEMICONDUCTOR LIGHT-EMITTING ELEMENT, FABRICATION METHOD THEREOF, CONVEX PART FORMED ON BACKING, AND CONVEX PART FORMATION METHOD FOR BACKING | 11 |
Shuuichi Karino | JP | Tokyo | 2010-09-23 / 20100238921 - COMMUNICATION APPARATUS, ITS OPERATING METHOD, AND OPERATING PROGRAM | 1 |
Yosuke Karino | JP | Tokyo | 2012-07-19 / 20120181825 - SEAT BACK FRAMEWORK | 4 |
Yoshiji Karino | JP | Kamakura-Shi | 2014-04-10 / 20140098925 - CONTROL ROD FOR NUCLEAR REACTOR AND METHOD OF MANUFACTURING CONTROL ROD | 2 |
Kenichi Karino | JP | Suntou-Gun | 2015-01-15 / 20150015907 - IMAGE FORMING APPARATUS, COMMUNICATION DEVICE, AND CARTRIDGE | 6 |
Takeshi Karino | JP | Ichihara-Shi | 2013-08-15 / 20130209805 - METHOD OF PRODUCTION OF ETHYLENE-BASED POLYMER PARTICLES AND STRETCH-MOLDED ARTICLE OBTAINED FROM THE ETHYLENE-BASED POLYMER PARTICLES | 2 |
Kyo Karino | JP | Inukami-Gun | 2009-03-12 / 20090065235 - GROMMET | 1 |
Susumu Karino | JP | Tokyo | 2016-01-28 / 20160027624 - SPUTTERING APPARATUS | 1 |
Tomokazu Karino | JP | Hiroshima | 2009-08-20 / 20090208998 - D/P CREATININE MARKER, METHOD OF DETERMINING D/P CREATININE, AND INTENDED USE THEREOF | 1 |
Taichi Karino | JP | Matsumoto City | 2016-05-12 / 20160133704 - SEMICONDUCTOR DEVICE | 6 |
Tomokazu Karino | JP | Hiroshima-Shi | 2009-10-29 / 20090271119 - Pertioneal Membrane Function Test Method, Peritoneal Membrane Function Test Apparatus and Peritoneal Membrane Function Test Program | 1 |
Shingo Karino | JP | Kanagawa | 2011-02-10 / 20110032974 - CARRIER FREQUENCY SYNCHRONIZATION DETECTION CIRCUIT AND CORRELATION CALCULATOR | 1 |
Ryuichi Karino | JP | Kawasaki | 2010-02-04 / 20100031015 - IP Network Communication Method Having Security Function, And Communication System | 2 |
Shuji Karino | JP | Kariya-City | 2010-09-23 / 20100240299 - Content data acquisition system | 2 |
Shinobu Karino | JP | Hyogo | 2009-10-15 / 20090255309 - Spinning method for forming pipe end | 1 |
Vineeth Karinta | US | Fremont | 2014-05-22 / 20140143778 - METHOD AND SYSTEM FOR PROVIDING STORAGE SERVICES | 2 |
Dani I. Kario | US | Elmwood Park | 2009-05-14 / 20090120894 - Multifunctional bottle cap | 1 |
Daniel Kario | IL | Ein Sarid | 2012-12-27 / 20120329426 - SYSTEM AND METHOD FOR MONITORING THE SECURITY OF CELLULAR DEVICE COMMUNICATION | 1 |
Kazuomi Kario | JP | Shimotsuke-Shi | 2010-06-24 / 20100160118 - BREATHING EXERCISER AND BREATHING EXERCISE PROGRAM PRODUCT CAPABLE OF EASILY DISTINGUISHING BREATHING STATE | 1 |
Berna Kario | US | Elmwood Park | 2009-05-14 / 20090120894 - Multifunctional bottle cap | 1 |
Atsushi Kario | JP | Fukuroi-Shi | 2009-06-11 / 20090145719 - ONE-WAY CLUTCH OF SPRAG TYPE | 1 |
Kazuomi Kario | JP | Tochigi | 2014-03-27 / 20140088386 - CARDIOVASCULAR RISK EVALUATION APPARATUS | 6 |
Atsushi Kario | JP | Shizuoka | 2009-12-31 / 20090321207 - ONE-WAY CLUTCH | 1 |
Atsushi Kario | JP | Kanagawa | 2015-12-24 / 20150369291 - MULTIPOINT CONTACT BALL BEARING | 1 |
Mihalis Kariolis | US | Stanford | 2016-04-21 / 20160108378 - Inhibition of AXL Signaling in Anti-Metastatic Therapy | 7 |
David Karipides | US | Casstown | 2009-07-09 / 20090174188 - AIRCRAFT ENGINE STARTER/GENERATOR AND CONTROLLER | 1 |
Daniel Paul Karipides | US | Round Rock | 2012-08-02 / 20120197682 - Identifying Quality User Sessions And Determining Product Demand With High Resolution Capabilities | 1 |
David Dimitri Karipides | US | Casstown | 2016-03-03 / 20160065024 - ROTOR SLOT LINERS | 9 |
Daniel P. Karipides | US | Round Rock | 2014-03-20 / 20140081983 - Session-Based Processing Method and System | 2 |
David Dimitri Karipides | US | Casstown | 2016-03-03 / 20160065024 - ROTOR SLOT LINERS | 9 |
Zoi Karipidou | DE | Stuttgart | 2011-05-12 / 20110108816 - ELECTRONIC HYBRID DEVICE | 2 |
Zoi Karipidou | DE | Esslingen | 2011-07-28 / 20110180906 - METHOD OF APPLYING A PATTERN OF METAL, METAL OXIDE AND/OR SEMICONDUCTOR MATERIAL ON A SUBSTRATE | 1 |
Manish Karir | US | Ann Arbor | 2016-03-03 / 20160065620 - NETWORK MALICIOUSNESS SUSCEPTIBILITY ANALYSIS AND RATING | 2 |
Kannan Kariraman | IN | Chennai | 2014-04-03 / 20140095931 - Method and system for automating the process of testing a device | 1 |
Dirk Karis | US | Seattle | 2014-09-18 / 20140282163 - Tree Map | 1 |
Thomas Edward Karis | US | Armoras | 2010-09-02 / 20100221416 - SYSTEM, METHOD AND APPARATUS FOR COMBINING THE PROCESSES OF LUBRICATION AND FINAL TAPE POLISH FOR MAGNETIC MEDIA | 1 |
Keith Karis | US | Baldwin Park | 2015-11-05 / 20150316197 - AIRCRAFT ENGINE STAND | 1 |
Thomas E. Karis | US | Aromas | 2015-05-21 / 20150136730 - VAPOR PHASE CHEMICAL MECHANICAL POLISHING OF MAGNETIC RECORDING DISKS | 15 |
Thomas E. Karis | US | Aromas | 2015-05-21 / 20150136730 - VAPOR PHASE CHEMICAL MECHANICAL POLISHING OF MAGNETIC RECORDING DISKS | 15 |
Thomas Karis | US | Aromas | 2011-06-30 / 20110157747 - INHIBITING OXIDATION OF ORGANIC CONTAMINANTS IN A HARD DISK DRIVE (HDD) | 1 |
Thomas Edward Karis | US | Aromas | 2016-03-24 / 20160086631 - CHARGE CONTROL AGENT FOR FLUID DYNAMIC BEARING MOTOR LUBRICANT | 3 |
James Karis | AU | Moorabbin | 2009-09-24 / 20090240618 - Method of detering parameters of long-term lease | 1 |
Jonas B. Karisson | SE | Upplands Väsby | 2010-08-26 / 20100216483 - SELECTION OF TRANSMIT MODE DURING A RANDOM ACCESS PROCEDURE | 1 |
Jonas B. Karisson | SE | Upplands Väsby | 2010-08-26 / 20100216483 - SELECTION OF TRANSMIT MODE DURING A RANDOM ACCESS PROCEDURE | 1 |
Geron Karisson | SE | Karlskoga | 2011-08-11 / 20110192312 - A CARTRIDGE CASE AND A ROUND COMPRISING SUCH A CARTRIDGE CASE | 1 |
Anders Karisson | SE | Braas | 2010-02-18 / 20100037767 - METHOD OF ESTIMATING THE DUST LOAD OF AN ESP, AND A METHOD AND A DEVICE OF CONTROLLING THE RAPPING OF AN ESP | 1 |
L. Niklas Karisson | US | Pasadena | 2010-11-11 / 20100284621 - SYSTEMS AND METHODS FOR ADDING A LANDMARKS FOR VISUAL SIMULTANEOUS LOCALIZATION AND MAPPING | 1 |
Anders Karisson | SE | Saltsjobaden | 2010-11-25 / 20100298781 - DEVICE FOR DELIVERING MEDICAMENT | 1 |
Patrick Karisson | SE | Stokholm | 2011-06-30 / 20110158194 - Scheduling of Data Transmissions in Multi-Carrier Data Transmission Networks | 1 |
Stefan Karisson | SE | Bastionsgatan 4 | 2010-06-03 / 20100136971 - Usage of MSISDN in Subscription Assignment | 1 |
Yoshihiro Karita | JP | Shizuoka-Ken | 2013-12-26 / 20130346321 - COMMODITY SALES DATA PROCESSING APPARATUS AND METHOD FOR ISSUING WARRANTY CIRTIFICATE BY THE SAME | 1 |
Takeshi Karita | JP | Hokkaido | 2008-09-25 / 20080233219 - Composition for diet comprising plant essential oil as active ingredient, sheet type composition for diet comprising thereof, percutaneous pharmaceutical agent for diet comprising thereof, and method for producing thereof | 1 |
Seiichiro Karita | JP | Saitama-Shi | 2015-07-16 / 20150197089 - LIQUID EJECTION HEAD, LIQUID EJECTING APPARATUS AND METHOD FOR MANUFACTURING THE LIQUID EJECTION HEAD | 6 |
Masakazu Karita | JP | Tokyo | 2010-06-24 / 20100161008 - Potential therapy apparatus and combined electric therapy apparatus | 1 |
Nobuki Karita | JP | Kodaira-Shi | 2014-02-13 / 20140045410 - RETREADED TIRE MANUFACTURING METHOD AND TIRES SUITED THEREFOR | 1 |
Seiichiro Karita | JP | Toda-Shi | 2011-07-07 / 20110164085 - LIQUID DISCHARGE HEAD AND LIQUID DISCHARGE APPARATUS USING LIQUID DISCHARGE HEAD | 4 |
Toshikazu Karita | JP | Tokyo | 2011-01-06 / 20110003519 - TERMINAL JOINING STRUCTURE AND TERMINAL JOINING METHOD | 1 |
Hideyuki Karita | JP | Osaka | 2011-06-16 / 20110139889 - FINE PARTICLE DIFFUSION DEVICE | 1 |
Masafumi Karita | JP | Kobe | 2011-05-12 / 20110110874 - Cosmetic Compositions | 1 |
Nobuki Karita | JP | Saitama | 2012-02-23 / 20120043001 - AIRCRAFT RADIAL TIRE | 1 |
Hideyuki Karita | JP | Osaka-Shi | 2015-12-17 / 20150359920 - FINE PARTICLE DIFFUSION DEVICE | 1 |
Yuji Karita | JP | Osaka-Shi | 2014-06-12 / 20140159759 - WIRING FAULT DETECTION METHOD, WIRING FAULT DETECTION APPARATUS, AND METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE | 1 |
Nobuhiro Karito | JP | Matsumoto-Shi | 2011-03-31 / 20110075101 - Projector, Projection System, and Method for Controlling Projection System | 9 |
Troy Karius | CA | Salmon Arm | 2011-10-20 / 20110255927 - Drill saw and cutting tool | 1 |
Trent Karius | CA | Kelowna | 2014-10-16 / 20140306053 - APPARATUS AND METHOD FOR ROLLING PHARMACEUTICAL STRIPS | 1 |
Yoram Kariv | IL | Tel Aviv | 2012-01-26 / 20120023041 - SYSTEM AND METHOD FOR PREDICTIVE NETWORK MONITORING | 1 |
Itay Kariv | IL | Haifa | 2014-08-28 / 20140243650 - REPRESENTATIVE EMULATION OF ORGAN BEHAVIOR | 6 |
Shai Kariv | IL | Sofit | 2015-09-10 / 20150256514 - AUTOMATIC DETECTION OF AUTHENTICATION METHODS BY A GATEWAY | 1 |
Shai Kariv | US | Bellevue | 2008-12-25 / 20080320503 - URL Namespace to Support Multiple-Protocol Processing within Worker Processes | 1 |
Itay M. Kariv | IL | Haifa | 2014-07-24 / 20140206985 - DISTRIBUTED LOCATION SENSOR | 1 |
Amnon Kariv | IL | Ra'Anana | / - | 1 |
Dov Kariv | IL | Kfar Vradim | 2010-02-11 / 20100034435 - IMAGING SYSTEM SAG CORRECTION METHOD AND APPARATUS | 1 |
Asaf Kariv | IL | Ra'Anana | 2011-12-15 / 20110307947 - FLEXIBLE END-POINT COMPLIANCE AND STRONG AUTHENTICATION FOR DISTRIBUTED HYBRID ENTERPRISES | 1 |
Adi Kariv | IL | Givatayim | 2009-12-03 / 20090300037 - Enhanced database structure configuration | 1 |
Asaf Kariv | IL | Tel Mond | 2015-08-27 / 20150242204 - METHODS AND APPARATUS TO GENERATE A CUSTOMIZED APPLICATION BLUEPRINT | 4 |
Adam Kariv | IL | Tel Aviv | 2014-04-10 / 20140101127 - SYSTEM AND METHODS THEREOF FOR DOWNLOADING APPLICATIONS VIA A COMMUNICATION NETWORK | 1 |
Adi Kariv | IL | Givatayyim | 2011-09-22 / 20110231412 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR ANALYZING AND DECOMPOSING A PLURALITY OF RULES INTO A PLURALITY OF CONTEXTS | 1 |
Zafrir Kariv | US | Berkeley | 2008-08-21 / 20080201399 - Apparatus And System For Location Assistance And Providing Other Information | 1 |
Revital Kariv | US | Bellevue | 2009-10-22 / 20090263383 - Antibodies to angiogenesis inhibiting domains of CD148 | 1 |
Sagi Kariv | IL | Tel-Aviv | 2009-11-19 / 20090288099 - APPARATUS AND METHOD FOR ACCESSING AND INDEXING DYNAMIC WEB PAGES | 1 |
Sathyanarayanan Karivaradaswamy | US | Sammamish | 2014-10-30 / 20140320698 - SYSTEMS AND METHODS FOR CAPTURING PHOTO SEQUENCES WITH A CAMERA | 2 |
Pranav Kariwala | US | Sandy Springs | 2012-09-06 / 20120226588 - eGift Social Platform | 1 |
Toshihiko Kariya | JP | Aichi | 2016-01-07 / 20160001477 - INJECTION MOLDING METHOD AND INJECTION MOLDING DEVICE | 3 |
Takao Kariya | JP | Hino-Shi | 2009-10-01 / 20090246585 - CELL STRUCTURE OF FUEL CELL AND FUEL CELL STACK | 1 |
Yoshinobu Kariya | JP | Ibaraki | 2009-10-29 / 20090269848 - TECHNIQUE FOR CULTURE OF MESENCHYMAL STEM CELL UTILIZING LAMININ-5 | 1 |
Hiroyuki Kariya | JP | Yokkaichi-Shi | 2015-08-13 / 20150225276 - GLASS-SUBSTRATE MANUFACTURING METHOD | 7 |
Toshihiro Kariya | JP | Ashigarakami-Gun | 2013-07-25 / 20130186672 - METAL FILM MATERIAL AND METHOD FOR MANUFACTURING THE SAME | 4 |
Toshihiro Kariya | JP | Kanagawa | 2016-01-28 / 20160024316 - CONDUCTIVE FILM-FORMING COMPOSITION AND CONDUCTIVE FILM PRODUCING METHOD | 9 |
Kyoji Kariya | JP | Yokohama | 2009-11-19 / 20090284521 - PLASMA DISPLAY DEVICE | 1 |
Yasuhiro Kariya | JP | Obu-City | 2009-12-10 / 20090301062 - EXHAUST EMISSION CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 2 |
Satoru Kariya | JP | Ogaki-Shi | 2012-05-10 / 20120110805 - METHOD FOR MANUFACTURING HOLDING SEALING MATERIAL | 4 |
Shinichi Kariya | JP | Chiba | 2016-01-28 / 20160026669 - INFORMATION PROCESSOR, INFORMATION PROCESSING METHOD, PROGRAM, AND INFORMATION STORAGE MEDIUM | 3 |
Yoshinobu Kariya | JP | Kanagawa | 2016-04-28 / 20160116863 - IMAGE FORMING APPARATUS | 2 |
Jun Kariya | JP | Miyagi | 2010-04-29 / 20100105635 - COMPOSITION FOR PREVENTION OR TREATMENT OF DISEASE ASSOCIATED WITH AMYLOIDOSIS THROUGH INHIBITION OF AMYLOID PROTEIN AGGREGATION AND PROMOTION OF AMYLOID PROTEIN DEGRADATION | 2 |
Yuichi Kariya | JP | Kyoto | 2010-06-03 / 20100134944 - MAGNETIC COUPLER DEVICE AND MAGNETICALLY COUPLED ISOLATOR | 1 |
Naohiro Kariya | JP | Tokyo | 2010-06-10 / 20100139681 - HAIR COSMETIC COMPOSITION | 1 |
Tetsuya Kariya | JP | Wakayama | 2010-07-29 / 20100190708 - Composition for amelioration of body lipid | 1 |
Isao Kariya | JP | Minamitsuru-Gun | 2010-09-09 / 20100225333 - EXCITATION PHASE DETECTING CAPACITANCE-TYPE POSITION TRANSDUCER | 4 |
Mitsunari Kariya | JP | Kasugai-Shi | 2010-09-09 / 20100223973 - METHOD OF PRODUCING METALLIC SHELL FOR SPARK PLUG | 1 |
Ayao Kariya | JP | Osaka-Shi | 2010-09-16 / 20100230141 - STRUCTURE OF CONNECTING PRINTED WIRING BOARDS, METHOD OF CONNECTING PRINTED WIRING BOARDS, AND ADHESIVE HAVING ANISOTROPIC CONDUCTIVITY | 1 |
Takahiro Kariya | JP | Arida-Shi | 2010-12-09 / 20100308545 - TIGHT-SEAL CONSTRUCTION | 2 |
Tetsuro Kariya | JP | Himeji-Shi | 2014-12-18 / 20140370386 - Si-Based-Alloy Anode Material | 1 |
Syuji Kariya | JP | Kawaguchi-Shi | 2014-12-18 / 20140367613 - FLUORESCENCE IMPARTING AGENT FOR CERAMICS | 1 |
Koushi Kariya | JP | Tokyo | 2013-01-31 / 20130029064 - RESIN LAMINATED FILM FOR ENCLOSING FIRE EXTINGUISHING AGENT AND FIRE EXTINGUISHING EQUIPMENT UTILIZING THE SAME | 3 |
Tomotaka Kariya | JP | Saitama | 2009-03-05 / 20090058014 - PISTON RING | 1 |
Yoshinobu Kariya | JP | Fukushima-Shi, Fukushima | 2015-11-26 / 20150338396 - GLYCOFORM DETECTION METHOD AND GLYCOFORM DETECTION DEVICE | 1 |
Isao Kariya | JP | Yamanashi | 2014-12-04 / 20140354272 - ROTATION DETECTOR DETECTING ROTATION OF ROTATING MACHINE AND SYSTEM PROVIDED WITH ROTATION DETECTOR | 3 |
Toshihiko Kariya | JP | Nagoya-Shi | 2016-05-12 / 20160129619 - INJECTION FOAM MOLDING MACHINE | 18 |
Nobusuke Kariya | JP | Fukuyama-Shi | 2014-08-07 / 20140220383 - HOT-DIP GALVANIZED STEEL SHEET AND PRODUCTION METHOD THEREFOR | 2 |
Daisuke Kariya | JP | Kobe-Shi | 2014-02-27 / 20140053598 - LIQUEFIER SYSTEM | 2 |
Yuko Kariya | JP | Toyota-Shi | 2013-02-28 / 20130055038 - COMPUTING UNIT ABNORMALITY DETERMINING APPARATUS AND METHOD | 1 |
Nobusuke Kariya | JP | Tokyo | 2014-08-21 / 20140234655 - HOT-DIP GALVANIZED STEEL SHEET AND METHOD FOR PRODUCING SAME | 2 |
Shinichi Kariya | JP | Tokyo | 2012-08-23 / 20120216110 - INFORMATION PROCESSING DEVICE | 1 |
Shigeo Kariya | JP | Fuji-Shi | 2011-05-26 / 20110125360 - CONTROL APPARATUS FOR AUTOMATIC TRANSMISSION | 1 |
Shinichi Kariya | JP | Kanagawa | 2011-12-29 / 20110316869 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 2 |
Takeshi Kariya | JP | Kanagawa | 2010-11-18 / 20100292045 - FRICTION-ROLLER TYPE TRANSMISSION MECHANISM | 1 |
Nobusuke Kariya | JP | Kanagawa | 2010-10-21 / 20100266441 - High carbo hot-rolled steel sheet | 5 |
Hiroyuki Kariya | JP | Ehime | 2015-10-29 / 20150311077 - ION IMPLANTATION METHOD AND ION IMPLANTATION APPARATUS | 3 |
Hirotaka Kariya | JP | Yokohama-Shi | 2012-03-22 / 20120069683 - SEMICONDUCTOR STORAGE DEVICE | 1 |
Hiroshi Kariya | JP | Kanagawa | 2009-09-03 / 20090219947 - Communication device, communication method, and data structure | 3 |
Atsushi Kariya | JP | Kanagawa | 2009-11-05 / 20090275197 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Izumi Kariya | JP | Kanagawa | 2008-11-13 / 20080278747 - Thermal dye sublimation printer and ink ribbon cassette therefor | 1 |
Takashi Kariya | JP | Ogaki-Shi | 2016-04-14 / 20160105960 - MULTILAYER WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 33 |
Keisuke Kariya | JP | Nakano-Ku | 2013-05-02 / 20130109478 - GAME DEVICE, METHOD OF CONTROLLING A GAME DEVICE, AND NON-TRANSITORY INFORMATION STORAGE MEDIUM | 1 |
Toshihiko Kariya | JP | Nagoya-Shi | 2016-05-12 / 20160129619 - INJECTION FOAM MOLDING MACHINE | 18 |
Kazutoshi Kariya | JP | Tsuchiura | 2016-03-10 / 20160072711 - Relay System and Relay Device | 6 |
Yuko Kariya | JP | Hitachinaka | 2011-09-01 / 20110211060 - WAFER INSPECTION DATA HANDLING AND DEFECT REVIEW TOOL | 1 |
Hiroyuki Kariya | JP | Tokyo | 2013-10-03 / 20130256566 - ION IMPLANTATION APPARATUS AND CONTROL METHOD THEREOF | 1 |
Brian H. Kariya | US | Los Gatos | 2014-08-21 / 20140230640 - ARMORED SPLIT HATCH | 2 |
Takashi Kariya | JP | Ogaki-Shi | 2016-04-14 / 20160105960 - MULTILAYER WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 33 |
Yasuhiro Kariya | JP | Oobu-Shi | 2008-09-04 / 20080215205 - DIAGNOSTIC DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Kei Kariya | JP | Tachikawa-Shi | 2008-10-02 / 20080240682 - SOUND PLAYBACK APPARATUS | 1 |
Hiroyasu Kariya | JP | Kariya-Shi | 2008-10-02 / 20080236541 - THROTTLE DEVICES FOR INTERNAL COMBUSTION ENGINES | 1 |
Shuji Kariya | JP | Itabashi-Ku | 2012-10-04 / 20120252654 - ZIRCONIA-BASED COMPOSITE CERAMIC SINTERED COMPACT AND METHOD FOR PRODUCING THE SAME | 2 |
Takashi Kariya | JP | Ibi-Gun | 2012-11-29 / 20120302010 - MULTILAYER PRINTED WIRING BOARD | 28 |
Tomoyuki Kariya | JP | Koshigaya-Shi | 2008-11-27 / 20080289811 - CONSTANT TEMPERATURE CONTROLLER | 1 |
Toshihiro Kariya | JP | Kanagawa | 2016-01-28 / 20160024316 - CONDUCTIVE FILM-FORMING COMPOSITION AND CONDUCTIVE FILM PRODUCING METHOD | 9 |
Tomotaka Kariya | JP | Saitama-Ken | 2009-01-15 / 20090013866 - THREE-PIECE OIL RING AND COMBINATION OF THE THREE-PIECE OIL RING AND PISTON | 1 |
Takeshi Kariya | JP | Yokohama-Shi | 2013-09-19 / 20130241342 - POWER TRANSMISSION DEVICE FOR ELECTRIC VEHICLE AND PRODUCTION METHOD THEREFOR | 1 |
Toshihiko Kariya | JP | Nagoya-Shi, Aichi | 2016-04-21 / 20160110032 - CONTROL DEVICE FOR INJECTION MOLDING MACHINE | 2 |
Tomotaka Kariya | JP | Saitama-Shi | 2009-02-26 / 20090051123 - PISTON RING | 2 |
Toshihiro Kariya | JP | Ashigara-Kami-Gun | 2015-07-09 / 20150194235 - METHOD OF MANUFACTURING CONDUCTIVE FILM AND COMPOSITION FOR FORMING CONDUCTIVE FILM | 1 |
Satoshi Kariya | JP | Yokohama-Shi | 2012-10-04 / 20120250169 - OSCILLATOR, VIBRATION ACTUATOR, LENS BARREL, CAMERA, BONDED PRODUCT AND BONDING METHOD | 3 |
Masahiko Kariya | JP | Kyoto | 2015-04-16 / 20150103503 - INJECTION MOLDED PRODUCT AND METHOD OF MANUFACTURING THE SAME | 1 |
Takashi Kariya | JP | Gifu | 2009-11-26 / 20090290316 - PRINTED WIRING BOARD | 3 |
Masaki Kariya | JP | Kyoto | 2015-12-31 / 20150375528 - METHOD FOR IMAGE FORMATION AND TEXTILE FIBER PRODUCTS | 2 |
Tomoharu Kariya | JP | Cyofu | 2014-02-27 / 20140059366 - ELECTRONIC DEVICE AND CONTROL METHOD | 1 |
Takamitsu Kariya | JP | Yokohama-Shi | 2012-11-01 / 20120276601 - METHOD FOR PRODUCING ACRYLAMIDE USING MICROBIAL CATALYST | 1 |
Takashi Kariya | JP | Ogaki | 2015-12-17 / 20150366102 - ELECTRONIC CIRCUIT APPARATUS AND METHOD FOR MANUFACTURING ELECTRONIC CIRCUIT APPARATUS | 7 |
Eiji Kariyada | JP | Kawasaki-Shi | 2015-09-17 / 20150263276 - MAGNETIC MEMORY CELL AND METHOD OF MANUFACTURING THE SAME | 3 |
Eiji Kariyada | JP | Kanagawa | 2015-07-23 / 20150207063 - SEMICONDUCTOR DEVICE | 7 |
Teruyoshi Kariyada | JP | Shinagawa-Ku, Tokyo | 2015-10-29 / 20150307219 - APPARATUS FOR SUPPLYING A LARGE AMOUNT OF BAG | 1 |
Eiji Kariyada | JP | Tokyo | 2016-03-03 / 20160064654 - SEMICONDUCTOR DEVICE AND A MANUFACTURING METHOD THEREOF | 6 |
Suresh Kariyadan | US | Irvine | 2012-07-26 / 20120187928 - Synchronous Buck Converter Including Multi-Mode Control for Light Load Efficiency and Related Method | 1 |
Naomi Kariyama | JP | Neyagawa | 2015-01-22 / 20150021491 - METHOD AND APPARATUS FOR MEASURING CONCENTRATION OF ADVANCED-OXIDATION ACTIVE SPECIES | 2 |
Osamu Kariyama | JP | Sakai-Shi | 2016-03-03 / 20160059926 - HYDRAULIC OPERATING DEVICE FOR A BICYCLE | 1 |
Osamu Kariyama | JP | Osaka | 2015-12-24 / 20150367908 - BICYCLE HYDRAULIC OPERATING SYSTEM | 22 |
Osamu Kariyama | JP | Sakai City | 2016-03-03 / 20160061279 - BICYCLE BRAKING SYSTEM | 9 |
Osamu Kariyama | JP | Sakai City | 2016-03-03 / 20160061279 - BICYCLE BRAKING SYSTEM | 9 |
Osamu Kariyama | JP | Osaka | 2015-12-24 / 20150367908 - BICYCLE HYDRAULIC OPERATING SYSTEM | 22 |
Osamu Kariyama | JP | Sakai | 2008-12-18 / 20080308363 - BICYCLE DISC BRAKE DEVICE | 1 |
Naomi Kariyama | JP | Osaka | 2011-01-20 / 20110013193 - METHOD FOR ATTENUATED TOTAL REFLECTION FAR ULTRAVIOLET SPECTROSCOPY AND AN APPARATUS FOR MEASURING CONCENTRATIONS THEREWITH | 1 |
Masahiro Kariyama | JP | Okayama | 2011-05-05 / 20110104006 - Method for Sterilizing Powder or Grain and Sterilizing Apparatus Employing the Same | 1 |
Takahiro Kariyasu | JP | Fukuyama | 2011-06-23 / 20110151130 - ROLL COATING APPARATUS AND METHOD FOR PRODUCING A COATED METAL STRIP | 1 |
Tatsuya Kariyasu | JP | Okayama | 2015-08-27 / 20150243400 - FINE SILVER PARTICLE POWDER, METHOD FOR MANUFACTURING THE SAME, SILVER PASTE USING THE POWDER AND METHOD OF USE OF THE PASTE | 2 |
Tatuya Kariyasu | JP | Okayama | 2015-09-24 / 20150266097 - METHOD FOR PRODUCING FINE SILVER PARTICLES | 1 |
Takeyuki Kariyasu | JP | Saitama | 2011-03-03 / 20110049855 - VEHICLE FRAME STRUCTURE AND VEHICLE INCORPORATING SAME | 1 |
Makoto Kariyazaki | JP | Kakogawa-Shi | 2011-01-27 / 20110020167 - HIGH-STRENGTH THICK STEEL PLATE FOR STORAGE CONTAINER EXCELLENT IN LOW-TEMPERATURE TOUGHNESS OF MULTI-LAYER WELDED JOINT | 2 |
Hirokazu Kariyazaki | JP | Fukuoka | 2011-09-15 / 20110224826 - ROBOT SYSTEM | 2 |
Syuuichi Kariyazaki | JP | Tokyo | 2012-03-22 / 20120068362 - SEMICONDUCTOR DEVICE HAVING SEMICONDUCTOR MEMBER AND MOUNTING MEMBER | 2 |
Shuuichi Kariyazaki | JP | Kawasaki-Shi | 2015-12-17 / 20150364392 - SEMICONDUCTOR DEVICE WITH COVERING MEMBER THAT PARTIALLY COVERS WIRING SUBSTRATE | 5 |
Shuuichi Kariyazaki | JP | Kanagawa | 2015-07-30 / 20150214142 - SEMICONDUCTOR DEVICE | 5 |
Makoto Kariyazaki | JP | Hyogo | 2010-12-23 / 20100322814 - HIGH-STRENGTH STEEL SHEET EXCELLENT IN RESISTANCE TO STRESS-RELIEF ANNEALING AND LOW TEMPERATURE JOINT TOUGHNESS | 1 |
Shiori Kariyuki | JP | Shizuoka | 2015-03-19 / 20150080549 - PEPTIDE-COMPOUND CYCLIZATION METHOD | 1 |
Sanket Karjagi | IN | Gulbarga District | 2011-01-13 / 20110010278 - EXPENSE TRACKING, ELECTRONIC ORDERING, INVOICE PRESENTMENT, AND PAYMENT SYSTEM AND METHOD | 1 |
Teresa P. Karjala | US | Lake Jackson | 2016-05-19 / 20160137822 - COMPOSITIONS CONTAINING LOW DENSITY ETHYLENE-BASED POLYMERS WITH HIGH MELT STRENGTH AND FILMS FORMED FROM THE SAME | 56 |
Teresa P. Karjala | US | Lake Jacson | 2012-06-28 / 20120165481 - Interconnected Copolymers of Ethylene in Combination with one other Polyalkene | 1 |
Thomas Karjala | US | Lake Jackson | 2011-12-22 / 20110313108 - CRYSTALLINE BLOCK COMPOSITES AS COMPATIBILIZERS | 3 |
Teresa Karjala | US | Lake Jackson | 2012-11-08 / 20120283390 - HIGH MELT STRENGTH POLYETHYLENE COMPOSITIONS AND METHODS FOR MAKING THE SAME | 3 |
Jari Karjala | FI | Vantaa | 2011-06-23 / 20110153644 - METHOD AND APPARATUS FOR UTILIZING A SCALABLE DATA STRUCTURE | 2 |
Jari Karjala | DE | Helsinki | 2014-05-01 / 20140120944 - METHOD AND APPARATUS FOR PROVIDING LOCATION-BASED SERVICES USING LOCATION-BASED TRACES | 1 |
Markku Karjalainen | FI | Kempele | 2015-12-31 / 20150382150 - BLUETOOTH BEACON TRANSMISSION | 5 |
Juha P. Karjalainen | FI | Olu | 2013-07-25 / 20130188536 - Full-Duplex Bandwidth Deployment | 2 |
Janne Karjalainen | FI | Leppakaarre | 2013-09-19 / 20130245443 - METHOD AND ARRANGEMENT FOR ESTIMATING MINERAL DENSITY OF A BONE | 1 |
Juha Karjalainen | FI | Helsinki | 2013-07-18 / 20130183926 - Apparatus, System and Method for Mobile Terminal Data Analysis and Distribution Utilizing Internal Proxies | 2 |
Antti Karjalainen | FI | Kajaani | 2011-02-17 / 20110039579 - Position-Dependent Connectivity Management | 1 |
Kari Karjalainen | FI | Vaasa | 2015-11-26 / 20150336325 - A METHOD AND APPARATUS FOR SPIRALLY WINDING A THERMOPLASTIC PROFILE IN THE MANUFACTURE OF WELDED PLASTIC TUBES | 2 |
Arto Karjalainen | FI | Espoo | 2008-11-20 / 20080287685 - Detomidine Hydrochloride Crystallization Method | 2 |
Juha Pekka Karjalainen | FI | Oulu | 2013-10-17 / 20130273924 - METHODS AND APPARATUS FOR TRANSMITTING AND/OR CONTROLLING DEVICE-TO-DEVICE DISCOVERY SIGNALS | 2 |
Marko Petteri Karjalainen | FI | Kajaani | 2016-05-05 / 20160123049 - DOOR LOCK | 1 |
Petteri Karjalainen | FI | Kajaani | 2010-07-22 / 20100185331 - ELECTROMECHANICAL LOCK | 2 |
Juha P. Karjalainen | FI | Oulu | 2009-08-13 / 20090202031 - Delay estimation for a timing advance loop | 2 |
Arja Karjalainen | FI | Espoo | 2016-05-12 / 20160130254 - NOVEL CYP17 INHIBITORS/ANTIANDROGENS | 5 |
Miro Karjalainen | SE | Linkoping | 2013-04-18 / 20130097289 - METHOD AND ARRANGEMENT FOR SERVICE SHARING | 1 |
Juha Karjalainen | FI | Oulu | 2014-03-27 / 20140086175 - METHODS, APPARATUS AND COMPUTER PROGRAMS FOR CONTROLLING RETRANSMISSIONS OF WIRELESS SIGNALS | 3 |
Simo Karjalainen | FI | Savolinna | 2014-12-04 / 20140353260 - METHOD AND APPARATUS FOR WASHING PULP BY MEANS OF INTENSIFIED SUCTION | 1 |
Leo Pentti Karjalainen | FI | Oulu | 2014-10-09 / 20140299237 - METHOD FOR MANUFACTURING A HIGH-STRENGTH STRUCTURAL STEEL AND A HIGH-STRENGTH STRUCTURAL STEEL PRODUCT | 1 |
Rami Karjanmaa | FI | Tampere | 2011-09-08 / 20110217968 - Changing settings of a mobile terminal | 1 |
Guenter Karjoth | CH | Waedenswil | 2011-02-10 / 20110035241 - Anonymous Separation of Duties with Credentials | 5 |
Guenter Karjoth | CH | Rueschlikon | 2015-02-12 / 20150046973 - Access control in data processing system | 5 |
Kevin W. Kark | US | Poughkeepsie | 2015-09-17 / 20150262713 - BUILT-IN TESTING OF UNUSED ELEMENT ON CHIP | 8 |
Kevin W. Kark | US | Poughkeepsie | 2015-09-17 / 20150262713 - BUILT-IN TESTING OF UNUSED ELEMENT ON CHIP | 8 |
Shellie A. Kark | US | Denver | 2009-01-29 / 20090029326 - Integrated method of teaching cooking and reinforcing cooking skills | 1 |
Donald Kark | US | Highlands Ranch | 2010-06-03 / 20100138447 - SYSTEM AND METHOD FOR IMPROVING RESOLUTION OF CHANNEL DATA | 1 |
Umanka Hebbar Karkada | US | Mountain View | 2014-05-15 / 20140136528 - Providing Content Recommendation to Users on a Site | 1 |
Nagaveni Karkada | IN | Karnataka | 2009-08-06 / 20090194149 - LOW BAND GAP SEMICONDUCTOR OXIDES, PROCESSES FOR MAKING THE SAME, AND DYE SENSITIZED SOLAR CELLS CONTAINING THE SAME | 1 |
Nagaveni Karkada | IN | Bangalore | 2015-02-19 / 20150048054 - ELECTRICAL CONTACT SYSTEM | 7 |
Mohan Karkada | CA | Beechville | 2011-03-24 / 20110070298 - Compositions Comprising Liposomes, An Antigen, A Polynucleotide and A Carrier Comprising a Continuous Phase of a Hydrophobic Substance | 1 |
Mohan Karkada | CA | Halifax | 2010-08-12 / 20100203116 - USE OF LIPOSOMES IN A CARRIER COMPRISING A CONTINUOUS HYDROPHOBIC PHASE FOR DELIVERY OF POLYNUCLEOTIDES IN VIVO | 1 |
Shamir Karkal | IN | Bangalore | 2011-09-29 / 20110238550 - SYSTEMS AND METHODS FOR PREDICTING FINANCIAL BEHAVIORS | 1 |
Karthik Karkala | US | Wappinger Falls | 2016-02-11 / 20160041126 - ENHANCED ENCLOSURES FOR ACOUSTICAL GAS CONCENTRATION SENSING AND FLOW CONTROL | 1 |
Ashwin Kumar Karkala | IN | Bangalore | 2012-07-19 / 20120185736 - SYSTEM AND METHOD FOR USING DEPENDENCY IN A DYNAMIC MODEL TO RELATE PERFORMANCE PROBLEMS IN A COMPLEX MIDDLEWARE ENVIRONMENT | 3 |
Ajay Reddy Karkala | US | Austin | 2012-12-20 / 20120324528 - System and method for merging security constraints when using security annotations | 2 |
Ajay R. Karkala | US | Austin | 2011-04-07 / 20110083164 - ASSOCIATING MULTIPLE SECURITY DOMAINS TO APPLICATION SERVERS | 1 |
Guruprasad Vishwanath Karkala | IN | Hyderabad | 2012-09-20 / 20120239168 - VIRTUAL COMMUNICATION RELATIONSHIP INFORMATION EXTRACTION, AVAILABILITY DETERMINATION AND VALIDATION FROM FOUNDATION FIELDBUS DEVICE DESCRIPTION FILES | 2 |
Evangelos Karkaletsis | GR | Halandri Attikis | 2010-01-14 / 20100010940 - Method for probabilistic information fusion to filter multi-lingual, semi-structured and multimedia Electronic Content | 1 |
Chris Karkanias | US | Sammamish | 2012-06-21 / 20120158771 - TEMPORAL BINDING FOR SEMANTIC QUERIES | 1 |
Jim Karkanias | US | Sammamish | 2012-06-07 / 20120143793 - FEATURE SPECIFICATION VIA SEMANTIC QUERIES | 1 |
Chris D. Karkanias | US | Sammanish | 2010-05-27 / 20100131437 - Correlating data indicating subjective user states associated with multipleusers with data indicating objective occurrences | 1 |
Chris Demetrios Karkanias | US | Sammamish | 2015-12-31 / 20150374231 - TIME-BASED UNOBTRUSIVE ACTIVE EYE INTERROGATION | 67 |
Chris Demetrios Karkanias | US | Bellevue | 2012-07-12 / 20120179482 - Computational systems and methods for health services planning and matching | 1 |
Chris D. Karkanias | US | Sammamish | 2013-06-06 / 20130144919 - TEMPLATE DEVELOPMENT BASED ON REPORTED ASPECTS OF A PLURALITY OF SOURCE USERS | 55 |
George Basil Karkanias | US | Irvington | 2011-11-03 / 20110270930 - Facilitating Interaction Between Web Browsers | 1 |
Issa George Karkar | US | Burlingame | 2010-04-29 / 20100105011 - System, Method And Apparatus For Tooth Implant Planning And Tooth Implant Kits | 2 |
Victor Manu Karkar | US | San Mateo | 2013-07-18 / 20130185622 - METHODS AND SYSTEMS FOR HANDLING ANNOTATIONS AND USING CALCULATION OF ADDRESSES IN TREE-BASED STRUCTURES | 2 |
Paul George Karkar | US | Burlingame | 2010-04-29 / 20100105011 - System, Method And Apparatus For Tooth Implant Planning And Tooth Implant Kits | 1 |
Victor Manu Karkar | US | Sunnyvale | 2014-04-24 / 20140115439 - METHODS AND SYSTEMS FOR ANNOTATING WEB PAGES AND MANAGING ANNOTATIONS AND ANNOTATED WEB PAGES | 3 |
Trupti Karkar | US | Dumont | 2010-07-15 / 20100179558 - Apparatus And Methods For Inter-Operative Verification Of Appropriate Spinal Prosthesis Size And Placement | 1 |
Radhika Karkare | US | Medford | 2014-06-12 / 20140163068 - Pharmaceutical Compositions for the Treatment of CFTR Mediated Diseases | 1 |
Burges M. Karkaria | US | San Jose | 2014-08-07 / 20140222328 - DYNAMIC ROUTE MAPPING BETWEEN MOBILE DEVICES | 6 |
Burges Karkaria | US | San Jose | 2014-01-09 / 20140012917 - SHARING USER INFORMATION WITH PROXIMATE DEVICES | 2 |
Cyrus Karkaria | US | Branford | 2015-02-12 / 20150044212 - Antibodies Directed to GPNMB and Uses Thereof | 2 |
Petri Karkas | FI | Espoo | 2008-10-02 / 20080242955 - RELIABILITY IN DETERMINATION OF CLINICAL STATE OF A SUBJECT | 1 |
Petri Karkela | FI | Kausala | 2010-09-30 / 20100243380 - MULTIFUNCTION CALL BUTTONS FOR AN ELEVATOR SYSTEM | 1 |
Jayaprakash Karkera | US | Germantown | 2016-03-31 / 20160090633 - USE OF FGFR MUTANT GENE PANELS IN IDENTIFYING CANCER PATIENTS THAT WILL BE RESPONSIVE TO TREATMENT WITH AN FGFR INHIBITOR | 3 |
Jayaprakash Karkera | US | Radnor | 2012-12-27 / 20120329060 - DIAGNOSTIC FOR LUNG CANCER USING MIRNA | 1 |
Tejas Karkhanis | US | White Plains | 2015-12-17 / 20150363201 - PREDICTING INDIRECT BRANCHES USING PROBLEM BRANCH FILTERING AND PATTERN CACHE | 6 |
Nitin Karkhanis | US | Nashua | 2013-10-24 / 20130282666 - METHOD AND SYSTEM FOR IMPLEMENTING A REDO REPEATER | 1 |
Tejas S. Karkhanis | US | White Plains | 2012-11-08 / 20120284542 - POWER MANAGEMENT FOR SYSTEMS ON A CHIP | 2 |
Tushar R. Karkhanis | US | Brooklyn | 2015-07-09 / 20150194066 - MEDICAL DEVICE TRAINING SYSTEMS AND METHODS OF USING | 1 |
Rajiv Karkhanis | US | York | 2015-01-15 / 20150013356 - HOT GAS REHEAT MODULATION | 1 |
Kiran Arun Karkhanis | US | Hillsborough | 2014-05-29 / 20140149280 - REAL-TIME MULTI MASTER TRANSACTION | 1 |
Yogita Karkhanis | US | Fresno | 2010-04-01 / 20100083340 - Line Tapping Method of Video Amplification for Unshielded Twisted Pair Active Receiver | 1 |
Shweta S. Karkhanis | IN | Pune | 2015-09-10 / 20150254255 - PRIMARY AND FOREIGN KEY RELATIONSHIP IDENTIFICATION WITH METADATA ANALYSIS | 1 |
Kapil Kumar Karki | US | Foster City | 2015-12-03 / 20150344521 - MACROCYCLIC INHIBITORS OF FLAVIVIRIDAE VIRUSES | 7 |
Sher Bahadur Karki | US | Pasadena | 2014-12-25 / 20140377256 - OPTIMIZED FC VARIANTS AND METHODS FOR THEIR GENERATION | 18 |
Rajeshri Ganesh Karki | US | Cambridge | 2012-02-02 / 20120028969 - BICYCLIC ACETYL-COA CARBOXYLASE INHIBITORS AND USES THEREOF | 2 |
Rajesh Karki | US | Cambridge | 2010-03-18 / 20100069368 - Organic Compounds and Their Uses | 1 |
Mukesh Karki | US | Bellevue | 2015-06-25 / 20150181512 - GATEWAY ADVERTISEMENT IN A WIRELESS MESH | 10 |
Rajeshri Ganesh Karki | US | Somerville | 2016-01-28 / 20160024079 - Complement Factor B inhibitors and uses there of | 13 |
Shyam Karki | GB | Oxford, Oxfordshire | 2015-12-10 / 20150352077 - Multi-Component Crystalline Particles for Inhalation Therapy | 1 |
Mukesh Karki | US | Bellevue | 2015-06-25 / 20150181512 - GATEWAY ADVERTISEMENT IN A WIRELESS MESH | 10 |
Sher Bahadur Karki | US | Santa Monica | 2015-11-05 / 20150315284 - OPTIMIZED Fc VARIANTS | 17 |
Rajeshri Ganesh Karki | US | Somerville | 2016-01-28 / 20160024079 - Complement Factor B inhibitors and uses there of | 13 |
Dipesh Karki | US | Shakopee | 2011-12-15 / 20110307100 - SYSTEMS, METHODS, AND SOFTWARE FOR AUTOMATED DESIGN AND MANUFACTURING OF HVAC CONTROL PANELS | 4 |
Rajeshri Karki | US | Somerville | 2015-06-25 / 20150174089 - SUBSTITUTED AMINOBUTYRIC DERIVATIVES AS NEPRILYSIN INHIBITORS | 1 |
Sher Karki | US | Santa Monica | 2015-01-29 / 20150031862 - OPTIMIZED Fc VARIANTS | 2 |
Kapil K. Karki | US | Foster City | 2016-05-12 / 20160130300 - INHIBITORS OF HEPATITIS C VIRUS | 2 |
Manoj Karki | IN | Ghaziabad | 2014-09-18 / 20140282069 - System and Method of Storing, Editing and Sharing Selected Regions of Digital Content | 1 |
Sher Bahadur Karki | CA | Pasadena | 2013-03-07 / 20130058919 - Optimized Fc Variants and Methods for their Generation | 1 |
Subhas Somalingappa Karki | IN | Bangalore | 2015-06-11 / 20150158822 - COMPOUNDS AS INHIBITOR OF DNA DOUBLE-STRAND BREAK REPAIR, METHODS AND APPLICATIONS THEREOF | 1 |
Sher Bahadur Karki | US | Santa Moniva | 2015-06-04 / 20150152183 - Fc VARIANTS WITH ALTERED BINDING TO FcRn | 1 |
Sher Bahadur Karki | US | Pomona | 2016-05-05 / 20160122435 - METHODS AND COMPOSITIONS FOR INHIBITING CD32B EXPRESSING CELLS | 39 |
Pasi Karki | FI | Kajaani | 2014-05-15 / 20140130615 - SAMPLER | 2 |
Sher Bahadur Karki | US | Santa Monica | 2015-11-05 / 20150315284 - OPTIMIZED Fc VARIANTS | 17 |
Kelvin Kar-Kin | CA | Ottawa | 2014-03-20 / 20140080495 - System and Method for Peer-to-Peer Communication in Cellular Systems | 1 |
Jouni V. Karkinen | FI | Oulu | 2012-07-19 / 20120182198 - FM Transmission Using A RFID/NFC Coil Antenna | 1 |
Jouni Vesa Karkinen | FI | Oulu | 2010-11-04 / 20100277383 - Multiprotocol antenna for wireles systems | 1 |
Jouni Vesa Juhani Karkinen | FI | Oulu | 2014-06-05 / 20140152522 - Apparatus with Antenna and Method for Wireless Communication | 2 |
Jouni Karkinen | FI | Oulu | 2010-11-04 / 20100279734 - Multiprotocol Antenna For Wireless Systems | 1 |
Marja Karkkaine | FI | Oulu | 2009-08-13 / 20090199539 - COATING FOR PARTICULATE FILTERS | 1 |
Marika Karkkainen | FI | Espoo | 2012-01-12 / 20120010276 - SCREENING AND THERAPY FOR LYMPHATIC DISORDERS INVOLVING THE FLT4 RECEPTOR TYROSINE KINASE (VEGFR-3) | 2 |
Thomas R. Karkkainen | US | Orange Park | 2015-12-03 / 20150342453 - PATIENT INTERACTIVE FIT TOOL AND METHODOLOGY FOR CONTACT LENS FITTING | 3 |
Leo Mikko Karkkainen | FI | Helsinki | 2015-10-08 / 20150287787 - Graphene Device And Method Of Fabricating A Graphene Device | 1 |
Asta Karkkainen | FI | Helsinki | 2014-11-20 / 20140341420 - ACOUSTIC TRANSDUCER APPARATUS | 4 |
Marika Karkkainen | FI | Helsinki | 2010-02-18 / 20100041605 - Use of VEGF-C or VEGF-D in Reconstructive Surgery | 3 |
Anna-Maija Karkkainen | FI | Helsinki | 2012-08-16 / 20120206017 - METHOD AND DEVICE FOR ENERGY HARVESTING | 1 |
Leo Karkkainen | FI | Helsinki | 2015-10-01 / 20150281847 - APPARATUS AND METHOD OF PROVIDING AN ACOUSTIC SIGNAL | 24 |
Leo M. Karkkainen | FI | Helsinki | 2009-05-21 / 20090129623 - Speaker Apparatus in a Wireless Communication Device | 1 |
Johanna Karkkainen | GB | Belfast | 2008-12-11 / 20080306319 - Oligomerisation | 1 |
Thomas Karkkainen | US | Jacksonville | 2010-12-02 / 20100302505 - LENSES FOR THE CORRECTION OF PRESBYOPIA AND METHODS OF DESIGNING THE LENSES | 2 |
Kevin James Karkkainen | US | Washington | 2008-12-04 / 20080295492 - Injector cleaning system based on pressure decay | 1 |
Leo Karkkainen | FI | Helsinki | 2015-10-01 / 20150281847 - APPARATUS AND METHOD OF PROVIDING AN ACOUSTIC SIGNAL | 24 |
Kari Juhani Karkkainen | FI | Espoo | 2009-07-02 / 20090172720 - HANDLING METADATA BASED ON USER BEHAVIOR | 1 |
Tero T. Karkkainen | FI | Hameenkyro | 2010-05-06 / 20100115259 - Methods, Apparatuses, and Computer Program Products for Reducing Power Consumption in Computing Devices | 1 |
Tuula Karkkainen | FI | Tampere | 2011-11-17 / 20110283223 - METHOD AND APPARATUS FOR RENDERING USER INTERFACE FOR LOCATION-BASED SERVICE HAVING MAIN VIEW PORTION AND PREVIEW PORTION | 2 |
Leo M.j. Karkkainen | FI | Helsinki | 2012-02-09 / 20120033834 - Apparatus With Directivity Pattern | 1 |
Leo Mikko Johanne Karkkainen | FI | Helsinki | 2012-05-03 / 20120110267 - METHOD AND APPARATUS FOR PROVIDING EFFICIENT CONTEXT CLASSIFICATION | 1 |
Tero Karkkainen | FI | Sasi | 2015-05-14 / 20150133745 - METHOD, SYSTEM AND APPARATUS FOR GENERATING PULSE ESTIMATE | 1 |
Ari Karkkainen | FI | Oulu | 2014-08-28 / 20140239516 - Carbosilane Polymer Compositions for Anti-Reflective Coatings | 10 |
Asta M. Karkkainen | FI | Helsinki | 2010-10-28 / 20100272917 - Method and apparatus | 1 |
Tuomas Mikael Karkkainen | FI | Turku | 2016-02-04 / 20160036915 - SERVER NODE ARRANGEMENT AND METHOD | 4 |
Tapio Karkkola | FI | Turku | / - | 1 |
Heather Karklin | US | San Francisco | 2008-09-04 / 20080211525 - Probe card assembly and method of forming same | 1 |
Kenneth D. Karklin | US | Pasadena | 2010-05-06 / 20100109699 - METHODS, APPARATUS AND ARTICLES OF MANUFACTURE FOR TESTING A PLURALITY OF SINGULATED DIE | 1 |
Steven Alan Karklin | US | Solon | 2008-12-25 / 20080319894 - PURCHASING OF INVESTMENT PROPERTY BY WAY OF HAVING AN ADVANCED LEASE OPTION OR RENT TO OWN TENANT CHOOSE HIS OWN PROPERTY | 1 |
Ken Karklin | US | Pasadena | 2009-06-11 / 20090146675 - PLANARIZING PROBE CARD | 2 |
Kenneth D. Karklin | US | Pasadina | 2008-09-25 / 20080233769 - Right Angle Connection System for ATE Interface | 1 |
Linard Karklin | US | Sunnyvale | 2009-10-01 / 20090245621 - System And Method Of Providing Mask Defect Printability Analysis | 2 |
Gregory J. Karklins | US | Johnson City | 2014-08-28 / 20140244823 - System for Accessing and Browsing A PLC Provided Within A Network | 2 |
Dana Karklins | US | Lake Worth | 2009-10-08 / 20090250358 - Portion-Controlled Dispensing Straw Assembly | 1 |
Marjan Karkooti | US | Houston | 2009-04-30 / 20090113256 - Method, computer program product, apparatus and device providing scalable structured high throughput LDPC decoding | 1 |
Marjan Karkooti | US | Bryan | 2012-09-20 / 20120240003 - Method, Apparatus, Computer Program Product and Device Providing Semi-Parallel Low Density Parity Check Decoding Using a Block Structured Parity Check Matrix | 3 |
Hans-Jürgen Karkosch | DE | Hemmingen | 2012-11-01 / 20120274009 - Adaptive Motor Mount | 1 |
Hans-Juergen Karkosch | DE | Hemmingen | 2015-01-15 / 20150015091 - ACTUATOR FOR DAMPING LOW-FREQUENCY OSCILLATIONS | 3 |
John D. Karkosiak | US | Broadview Heights | 2015-12-17 / 20150362101 - PULL-UP BY TORQUE FITTING WITH COMPRESSIBLE MEMBER | 12 |
Bastien Karkouche | FR | Antony | 2011-06-30 / 20110159533 - BIOPARTICLE CAPTURE DEVICE, AND USE THEREOF | 1 |
Jorgen Karkov | DK | Struer | 2011-10-06 / 20110246663 - BROADBAND NETWORK ACCESS | 2 |
Douglas W. Karkow | US | Kent | 2015-03-19 / 20150079524 - LIFTED FLAME LOW NOx BURNER WITH FLAME POSITION CONTROL | 1 |
Douglas W. Karkow | US | Des Moines | 2016-03-31 / 20160091200 - COMBUSTION SYSTEM AND METHOD FOR ELECTRICALLY ASSISTED START-UP | 16 |
Jon Karkow | US | Tehachapi | 2015-01-22 / 20150021434 - SELF-ORIENTING AIRCRAFT LANDING GEAR | 5 |
Douglas W. Karkow | US | Des Moines | 2016-03-31 / 20160091200 - COMBUSTION SYSTEM AND METHOD FOR ELECTRICALLY ASSISTED START-UP | 16 |
Rolf Karkowski | DE | Neuss | 2015-04-23 / 20150107776 - NON-RETURN VALVE FOR A RESIN INJECTION SYSTEM AND RESIN INJECTION SYSTEM INCORPORATING SAME | 1 |
Michal Karkowski | PL | Legionowo | 2015-10-15 / 20150294174 - METHOD OF VEHICLE IDENTIFICATION AND A SYSTEM FOR VEHICLE IDENTIFICATION | 1 |
Ireneusz Piotr Karkowski | NL | Km Delft | 2011-10-27 / 20110260871 - SYSTEM FOR TRACKING A PRESENCE OF PERSONS IN A BUILDING, A METHOD AND A COMPUTER PROGRAM PRODUCT | 1 |
Frank Karl | DE | Werneck | 2015-03-05 / 20150061203 - VIBRATION DAMPER FOR A MOTOR VEHICLE | 1 |
Hasel Karl | US | Manchester | 2013-09-19 / 20130239587 - GAS TURBINE ENGINE COMPRESSOR ARRANGEMENT | 2 |
Ulrich Karl | DE | Grünstadt | 2009-10-01 / 20090246242 - METHOD AND DEVICE FOR PROTECTING TOBACCO | 1 |
Christoph Karl | DE | Zell Am Harmbersbach | 2012-05-17 / 20120121360 - Fastening Device and Fastening System | 1 |
Thomas Karl | US | Lindenhursl | 2013-06-20 / 20130155242 - Stand-Alone, Portable Video Alarm System | 1 |
Jörn Karl | CN | Beijing | 2009-12-31 / 20090320354 - BRANCHED DECYL NITRATES AND THEIR USE AS COMBUSTION IMPROVERS AND/OR CETANE NUMBER IMPROVERS IN FUELS | 1 |
Klaus Karl | DE | Geinhausen | 2013-11-28 / 20130314639 - DISPLAY UNIT | 1 |
Guenter Karl | DE | Esslingen | 2015-07-23 / 20150204292 - FUEL INJECTION SYSTEM OF AN INTERNAL COMBUSTION ENGINE | 1 |
Matthias Karl | DE | Mannheim | 2013-12-26 / 20130345320 - Active-Ingredient-Containing Solid Dispersions Based on Diethylaminoethyl Methacrylate Copolymers | 2 |
Jürgen Karl | AT | Gleisdorf | 2012-05-10 / 20120114541 - METHOD FOR MANUFACTURING A PRODUCT GAS AND GENERATING STEAM, AND MODULAR PRODUCT GAS-STEAM REACTOR FOR CARRYING OUT SAID METHOD | 1 |
Julia Karl | US | Redondo Beach | 2014-12-11 / 20140362774 - CIRCUITS AND METHOD TO ENABLE EFFICIENT GENERATION OF DIRECT DIGITAL SYNTHESIZER BASED WAVEFORMS OF ARBITRARY BANDWIDTH | 1 |
Timothy L. Karl | US | Harwood | 2016-01-28 / 20160021815 - AGRICULTURAL IMPLEMENT PARTICULATE DISTRIBUTION SYSTEM | 2 |
Michael Karl | DE | Gauting | 2013-05-30 / 20130134269 - SUPPORT FITTING FOR HEIGHT-ADJUSTABLE SUPPORT OF A SUBSTANTIALLY HORIZONTALLY EXTENDING BEARING AND GUIDING TRACK, AND TRACK SYSTEM THEREWITH | 1 |
Ryley Karl | US | Frederic | 2014-09-18 / 20140271162 - PUMP CASING WITH PRE-STRESSED LINING | 1 |
Jeffrey G. Karl | US | Cordova | 2014-09-18 / 20140259525 - VISION LITE AND SCREW BOSS CHANNEL | 1 |
Jeffrey G. Karl | US | Portage | 2015-01-22 / 20150020453 - TELESCOPING DOOR INTEGRATED HARDWARE | 2 |
Stephen G. Karl | US | Aloha | 2015-11-26 / 20150336183 - BORE ADAPTOR | 1 |
Philip J. Karl | US | Rehoboth | 2016-02-04 / 20160029757 - SPINNER WHEEL ASSEMBLY FOR A LUGGAGE ARTICLE | 1 |
Nathan D. Karl | US | Denver | 2016-03-10 / 20160073560 - IMPLEMENTING SIMULTANEOUSLY CONNECTING OF MULTIPLE DEVICES IN A MULTI-TIERED, MULTI-DIRECTIONAL, ENHANCED TOLERANCE SYSTEM WITH MECHANICAL SUPPORT STRUCTURES | 2 |
Ruediger Karl | DE | Weilheim | 2015-01-29 / 20150028652 - BELT TENSIONER FOR A SAFETY BELT SYSTEM | 4 |
Harald Karl | DE | Fürth | 2013-07-25 / 20130189922 - TIME SYNCHRONIZATON OF NETWORK SUBSCRIBERS | 5 |
Jörn Karl | DE | Ludwigshafen | 2010-08-19 / 20100205852 - POLYAMINE ADDITIVES FOR FUELS AND LUBRICANTS | 3 |
Reinhard Karl | AT | Klosterneuburg | 2016-02-25 / 20160052034 - COOLING SECTION HAVING LOWER SPRAY BAR | 4 |
Joseph Karl | US | St. Louis | 2011-07-21 / 20110178817 - TARGETED AND PATIENT-FRIENDLY BILLIING SYSTEM METHOD | 1 |
William C. Karl | US | Andover | 2013-05-23 / 20130130926 - Methods for Screening Cells and Antibodies | 1 |
Frederick Karl | US | Beaverton | 2012-01-19 / 20120011705 - Integrated lancet and bodily fluid sensor | 1 |
Christian Karl | AT | Gaming | 2015-10-08 / 20150283582 - LATERAL SEALING FOR A FLIP-FLOW SCREEN | 1 |
Thomas Karl | DE | Wunsiedel | 2012-01-19 / 20120015129 - COMPONENT HAVING AN OVERLAPPING LASER TRACK; METHOD FOR PRODUCING SUCH A COMPONENT | 1 |
Alexander Karl | DE | Bad Rodach | 2015-07-09 / 20150191074 - Vehicle Heating, Ventilating And/Or Air Conditioning Device | 1 |
Philip Karl | DE | Frankenberg | 2015-07-09 / 20150190939 - APPARATUS AND METHOD FOR SLICING FOOD PRODUCTS | 1 |
Julia Karl | US | Princeton | 2014-09-04 / 20140250313 - MINIMIZING POWER CONSUMPTION IN ASYNCHRONOUS DATAFLOW ARCHITECTURES | 2 |
Stephan Karl | FR | Fourqueux | 2012-08-16 / 20120204597 - Heat Exchange Device Containing Heat Storage Material | 1 |
Mueller Karl | CH | Roggwil | 2012-01-26 / 20120021875 - Belt for a Treamill and Training Equipment Having a Belt | 1 |
Joachim Karl | DE | Sand | 2014-06-05 / 20140152036 - ASSEMBLY WITH AT LEAST TWO COMPONENTS THAT ARE FIXED TOGETHER | 1 |
Eric A. Karl | US | Hillsboro | 2015-10-01 / 20150279438 - ASSIST CIRCUIT FOR MEMORY | 2 |
Johann Karl | DE | Peissenberg | 2016-04-21 / 20160109461 - FEN1 AS A MARKER FOR CHRONIC OBSTRUCTIVE PULMONARY DISEASE (COPD) | 49 |
Charles Karl | AU | Templestowe Lower | 2013-05-16 / 20130124007 - System And Method For Monitoring Vehicle Mass Tamper Events | 1 |
Andreas Karl | DE | Benningen/necke | 2014-01-30 / 20140027658 - MAGNET VALVE FOR CONTROLLING A FLUID | 1 |
Harald Karl | DE | Furth | 2016-04-07 / 20160095528 - CIRCUIT ARRANGEMENT FOR SUPPRESSING COMMON-MODE INTERFERENCE SIGNALS DURING THE MEASUREMENT OF BIOELECTRIC SIGNALS | 11 |
Lanzinger Karl | DE | Munchen | 2012-02-16 / 20120042085 - Method, Apparatus and Computer Program Product for Improving Resource Reservation in Session Initiation | 1 |
Gerhard Karl | DE | Breitenberg | 2013-08-15 / 20130207762 - CURRENT-COMPENSATED INDUCTOR WITH INCREASED LEAKAGE INDUCTANCE | 1 |
Winter Karl | US | Houston | 2014-02-20 / 20140048648 - Free Spinning Wheel for Airplanes | 1 |
Martin Karl | DE | Tamm | 2009-04-30 / 20090107134 - PUMP, IN PARTICULAR FOR A HYDRAULIC UNIT | 1 |
Stephan Karl | DE | Eichstatt | 2009-08-27 / 20090213420 - Method for the automatic detection of at least one property of at least one component of an apparatus for the production of printed products | 1 |
Weber Karl | DE | Altdorf | / - | 1 |
Mitchell A. Karl | US | Fuquay-Varina | 2013-07-25 / 20130186611 - Air Humidification System | 1 |
Andreas Karl | DE | Marbach/neckar | 2009-10-29 / 20090269227 - PISTON PUMP WITH AT LEAST ONE STEPPED PISTON ELEMENT | 1 |
Christoph Karl | DE | Schopfloch | 2015-11-12 / 20150323016 - Drive Arrangement | 1 |
Stefan Karl | DE | Muenchen | 2013-02-07 / 20130032440 - Brake Disc | 1 |
Jörn Karl | CN | Beijing | 2009-12-31 / 20090320354 - BRANCHED DECYL NITRATES AND THEIR USE AS COMBUSTION IMPROVERS AND/OR CETANE NUMBER IMPROVERS IN FUELS | 1 |
Stefan Karl | FR | Bazemont | 2010-01-21 / 20100017038 - Device For Controlling A Fixed-Capacity Compressor | 1 |
Christoph Karl | DE | Offenburg | 2014-10-09 / 20140301803 - Mounting Assembly | 1 |
Wolfgang Karl | AT | Graz | 2010-09-16 / 20100234267 - AGENTS CONTAINING PROTEASES FROM STENOTROPHOMONAS MALTOPHILIA | 1 |
Jörn Karl | DE | Ludwigshafen | 2010-08-19 / 20100205852 - POLYAMINE ADDITIVES FOR FUELS AND LUBRICANTS | 8 |
Alois Karl | DE | Egling-Egertshausen | 2013-02-21 / 20130043774 - SYSTEM FOR RECEIVING A HEAD UNIT IN A VEHICLE | 1 |
Klaus Karl | DE | Gelnhausen | 2011-06-16 / 20110141702 - Display Device | 2 |
Werner Karl | GB | London | 2014-12-04 / 20140352432 - ACCELEROMETERS | 1 |
Johan Karl | DE | Peissenberg | 2009-11-19 / 20090286328 - USE OF PROTEIN S100A12 AS A MARKER FOR COLORECTAL CANCER | 1 |
Alfons Karl | DE | Grundau | 2013-01-17 / 20130015175 - METHOD FOR PRODUCING SILICONAANM Karl; AlfonsAACI GrundauAACO DEAAGP Karl; Alfons Grundau DEAANM Lang; Jurgen ErwinAACI KarlsruheAACO DEAAGP Lang; Jurgen Erwin Karlsruhe DEAANM Rauleder; HartwigAACI RheinfeldenAACO DEAAGP Rauleder; Hartwig Rheinfelden DEAANM Frings; BodoAACI Schloss HolteAACO DEAAGP Frings; Bodo Schloss Holte DE | 10 |
Alfons Karl | DE | Gruendau | 2011-10-27 / 20110262336 - PRODUCTION OF SOLAR-GRADE SILICON FROM SILICON DIOXIDE | 5 |
Andrew E. Karl | US | Greenwood | 2011-03-31 / 20110073277 - ADAPTER FOR HEAT EXCHANGER | 2 |
Bernhard Karl | DE | Muhr Am See | 2016-05-12 / 20160131534 - METHOD FOR DETERMINING A COIL TEMPERATURE OF AN ELECTRIC MACHINE | 1 |
Matthias Karl | DE | Ettlingen | 2015-03-26 / 20150085612 - METHOD AND DRIVING-ENVIRONMENT SENSOR FOR DETERMINING THE POSITION AND/OR THE MOVEMENT OF AT LEAST ONE OBJECT IN THE VICINITY OF A VEHICLE ON THE BASIS OF ACOUSTIC SIGNALS REFLECTED OFF OF THE OBJECT | 15 |
Andreas Karl | DE | Tegernheim | 2013-04-04 / 20130082112 - Smart Card Module for a Smart Card | 3 |
Hans-Juergen Karl | DE | Hamburg | 2010-05-06 / 20100112338 - Polymer film for surface coating fiber/plastics composite materials | 1 |
Harald Karl | DE | Furth | 2016-04-07 / 20160095528 - CIRCUIT ARRANGEMENT FOR SUPPRESSING COMMON-MODE INTERFERENCE SIGNALS DURING THE MEASUREMENT OF BIOELECTRIC SIGNALS | 11 |
Ulrich Karl | DE | Grunstadt | 2014-07-10 / 20140190075 - Method and Device for Protecting Crop Plants | 12 |
Thomas Karl | US | Federal Heights | 2011-06-16 / 20110143647 - Engine compartment cooling system | 2 |
Ulrich Karl | DE | Gruenstadt | 2014-05-08 / 20140128503 - DIGLYCIDYL ETHERS OF 2-PHENYL-1,3-PROPANEDIOL DERIVATIVES AND OLIGOMERS THEREOF AS CURABLE EPOXY RESINS | 3 |
Brie G. Karl | US | West Linn | 2008-11-13 / 20080277433 - MODULAR PERSONAL HYDRATION AND STORAGE SYSTEM | 1 |
Andreas Karl | DE | Seeburg | 2015-04-30 / 20150114123 - APPARATUS FOR PROCESSING BIOLOGICAL MATERIAL | 2 |
Reinhard Karl | AT | Leonding | 2012-03-22 / 20120067095 - METHOD AND DEVICE FOR PREPARING HOT-ROLLING STOCK | 1 |
Thomas F. Karl | US | Lindenhurst | 2012-11-15 / 20120286946 - FULLY SUPERVISED SELF TESTING ALARM NOTIFICATION APPARATUS | 2 |
Philip J. Karl | US | Providence | 2009-06-04 / 20090139944 - HANGING DEVICE WITH ARRESTOR AND METHODS | 2 |
Mitchell Karl | US | Boca Raton | 2010-12-16 / 20100316758 - Nutritional supplement | 3 |
Schoenbach H. Karl | US | Norfolk | 2010-11-04 / 20100280513 - SYSTEM AND METHOD FOR APPLYING PLASMA SPARKS TO TISSUE | 1 |
Nathan D. Karl | US | Rochester | 2012-11-15 / 20120287573 - Cover Latch Of A Blade Server | 2 |
Uwe Karl | DE | Wiesbaden | 2010-10-28 / 20100269500 - DEVICE FOR SUPPLYING PRESSURE TO AN ACTUATION UNIT OF A MOTOR VEHICLE BRAKE SYSTEM AND METHOD FOR CONTROLLING SAID DEVICE | 1 |
William C. Karl | US | North Andover | 2010-09-16 / 20100231907 - Method and Apparatus for Biosensor Spectral Shift Detection | 2 |
Margaret Karl | US | San Francisco | 2010-07-29 / 20100186257 - Insole for a ballet slipper | 1 |
Cliff Karl | US | Buzzards Bay | 2014-10-23 / 20140316382 - CATHETER AND CONNECTOR FOR USE WITH SAME | 3 |
Eric A. Karl | US | Portland | 2014-11-20 / 20140340977 - LOW POWER TRANSIENT VOLTAGE COLLAPSE APPARATUS AND METHOD FOR A MEMORY CELL | 2 |
Maurice W. Karl | US | Columbia | 2011-12-08 / 20110298612 - METHOD AND APPARATUS FOR PERSONAL ALERT | 2 |
Frederick Timothy Karl | US | Newtown | 2012-03-29 / 20120078135 - Medical Instrument | 4 |
Richard B. Karl | US | Naples | 2013-03-14 / 20130061395 - Intensive Use Bed | 2 |
Scott Karl | US | Geneva | 2013-03-14 / 20130061395 - Intensive Use Bed | 3 |
Claudia Karl | DE | Regensburg | 2011-01-27 / 20110020790 - USE OF REGULATORY SEQUENCES FOR SPECIFIC, TRANSIENT EXPRESSION IN NEURONAL DETERMINED CELLS | 3 |
Rachael M. Karl | US | Owego | 2008-12-18 / 20080312812 - SUSPENSION HEIGHT CONTROL ALLOWING FOR DETERMINATION OF VEHICLE CENTER OF GRAVITY | 1 |
Ruediger Karl | DE | Waibstadt | 2011-03-31 / 20110078654 - SERVICE VARIANTS FOR ENTERPRISE SERVICES | 1 |
Matthias Karl | DE | Ettlingen | 2015-03-26 / 20150085612 - METHOD AND DRIVING-ENVIRONMENT SENSOR FOR DETERMINING THE POSITION AND/OR THE MOVEMENT OF AT LEAST ONE OBJECT IN THE VICINITY OF A VEHICLE ON THE BASIS OF ACOUSTIC SIGNALS REFLECTED OFF OF THE OBJECT | 15 |
Frederick T. Karl | US | West Linn | 2008-11-13 / 20080277433 - MODULAR PERSONAL HYDRATION AND STORAGE SYSTEM | 1 |
Jeffrey J. Karl | US | Williamsburg | 2008-10-02 / 20080241004 - Plasma actuated electronic catalytic converter | 1 |
Franz-Xaver Karl | DE | Sinzing | 2013-10-10 / 20130266382 - APPARATUS FOR THE TREATMENT OF PLASTICS MATERIAL CONTAINERS WITH FRICTION-REDUCING GUIDE DEVICES | 1 |
Stefan Karl | FR | Fourqueux | 2013-06-13 / 20130146251 - Heat Conditioning System For A Motor Vehicle | 2 |
Alfons Karl | DE | Grundau | 2013-01-17 / 20130015175 - METHOD FOR PRODUCING SILICONAANM Karl; AlfonsAACI GrundauAACO DEAAGP Karl; Alfons Grundau DEAANM Lang; Jurgen ErwinAACI KarlsruheAACO DEAAGP Lang; Jurgen Erwin Karlsruhe DEAANM Rauleder; HartwigAACI RheinfeldenAACO DEAAGP Rauleder; Hartwig Rheinfelden DEAANM Frings; BodoAACI Schloss HolteAACO DEAAGP Frings; Bodo Schloss Holte DE | 10 |
Levis R. Karl | US | Vienna | 2013-08-22 / 20130218598 - ELECTRONIC MEDICAL CODING SYSTEMS | 1 |
Andreas Karl | DE | Benningen/neckar | 2014-04-24 / 20140110614 - MAGNETIC VALVE | 7 |
Ulrich Karl | DE | Grunstadt | 2014-07-10 / 20140190075 - Method and Device for Protecting Crop Plants | 12 |
Thomas Karl | DE | Durach | 2015-03-19 / 20150081075 - METHOD OF SETTING UP A GEAR CUTTING MACHINE AND GEAR CUTTING MACHINE | 2 |
Harald Karl | DE | Fuerth | 2013-05-30 / 20130138996 - NETWORK AND EXPANSION UNIT AND METHOD FOR OPERATING A NETWORK | 4 |
Sean R. Karla | US | Skaneateles Falls | 2014-07-31 / 20140209504 - CARTON HOLDER WITH DISPENSING CONFIGURATIONS, SYSTEM, AND METHOD OF USE | 1 |
Sean Karla | US | Syracuse | 2010-11-25 / 20100298724 - RECYCLABLE OR BIODEGRADABLE BLOOD PRESSURE CUFF | 1 |
Pradeep K. Karla | US | Washington | 2015-12-10 / 20150352177 - Method Of Treating An Ocular Disease And Compositions Effective For Treating An Ocular Disease | 3 |
Uwe Karla | DE | Wandlitz | 2012-03-08 / 20120055948 - CARTRIDGE, MEDICAMENT DISPENSER FOR SOLID MEDICAMENT PORTIONS, AND USES OF THE CARTRIDGE AND OF THE MEDICAMENT DISPENSER | 2 |
Ingo Karla | DE | Schermbeck | 2015-02-12 / 20150045008 - METHOD FOR DETERMINING CELL CONFIGURATION PARAMETERS IN A WIRELESS TELECOMMUNICATION NETWORK | 3 |
Pradeep K. Karla | US | Arlington | 2015-12-31 / 20150374667 - Compositions And Method For Treatment Of Ischemic Neuronal Reperfusion Injury | 3 |
Sean R. Karla | US | Syracuse | 2015-10-01 / 20150272452 - SINGLE SITE VITALS | 7 |
Uwe Karla | DE | Basdorf | 2013-01-31 / 20130026175 - DISPENSER FOR WAFER POCKETS CONTAINING WAFERS AND WAFER POCKET ASSEMBLY | 2 |
Sture Karlander | SE | Angelholm | 2015-07-09 / 20150190084 - Patient Management Device, System And Method | 2 |
Sainath Karlapalem | IN | Bangalore | 2016-03-24 / 20160084952 - PERSONAL RADAR ASSISTANCE | 6 |
Sainath Karlapalem | IN | Banglore | 2009-08-27 / 20090217095 - MEANS AND METHOD FOR DEBUGGING | 1 |
Ramkumar Karlapudi | SG | Singapore | 2015-06-11 / 20150158748 - PROCESS FOR TREATING CONCENTRATED BRINE | 1 |
Johan Karlberg | SE | Lund | 2011-08-04 / 20110191427 - COMMUNICATION METHOD ADAPTED FOR USERS USING MULTIPLE COMMUNICATION FACILITIES | 1 |
Rune Karlberg | SE | Bottnaryd | 2011-01-20 / 20110012400 - VEHICLE SEAT WITH A STOWABLE HEAD RESTRAINT AND AN ANTI-WHIPLASH SYSTEM | 2 |
Nils Karlberg | FR | Le Bez | 2015-05-21 / 20150135702 - WORKING CYLINDER FOR AN ENERGY CONVERTER | 1 |
Per Karlberg | SE | Uppsala | 2013-10-10 / 20130263968 - COLUMN PACKING METHOD | 2 |
Kuldeep Karlcut | US | Cupertino | 2011-05-05 / 20110107281 - TIERED SCHEMATIC-DRIVEN LAYOUT SYNCHRONIZATION IN ELECTRONIC DESIGN AUTOMATION | 1 |
Derrick Joseph Karle | US | Wallingford | 2014-06-05 / 20140156313 - SYSTEM AND METHOD FOR USING INSURANCE PICTORICAL CLASSIFICATION | 1 |
Otmar Karle | DE | Vorstetten | 2009-11-12 / 20090277312 - Pliers | 2 |
Joachim Karle | DE | Ruesselsheim | 2015-02-05 / 20150038441 - KIT-IN-PARTS FOR CLEANING AND TREATING EARS OF COMPANION ANIMALS | 2 |
Shawn Karle | CA | Edmonton | 2015-12-31 / 20150380932 - POWER LOCK | 1 |
Christopher James Karle | US | Wayland | 2015-10-01 / 20150277901 - Recording, replaying and modifying an Unstructured Information Management Architecture (UIMA) pipeline | 2 |
Joachim Karle | DE | Ingelheim Am Rhein | 2012-05-03 / 20120103326 - INHALER | 1 |
Rozana Karle | US | Tampa | 2013-06-13 / 20130146625 - ATTACHABLE HOLDER WITH FLEXIBLE RING FOR ANY HANDHELD DEVICE | 1 |
Stefan Karle | DE | Muenchen | 2010-05-20 / 20100124068 - Softbox | 1 |
Claire Karle | US | Troy | 2016-02-04 / 20160029846 - BEVERAGE CONTAINER CASK | 1 |
Roland Karle | DE | Bisingen | 2016-05-12 / 20160130812 - Method For Producing A Concrete Component, Prefabricated Structural Element of a Concrete Component, and Concrete Component | 4 |
Michael Karle | SE | Molndal | 2010-10-14 / 20100261755 - ISOXAZOL-3(2H)-ONE ANALOGS AS THERAPEUTIC AGENTS | 2 |
Derrick J. Karle | US | Wallingford | 2015-04-16 / 20150106128 - SYSTEM AND METHOD TO FACILITATE A COMMUNICATION INTERFACE BETWEEN INSURANCE AGENT AND UNDERWRITER DEVICES | 3 |
David A. Karle | US | Girard | 2010-09-30 / 20100247388 - DOOR SEAL SYSTEM FOR STEAM STERILIZER | 1 |
Erwin Karle | DE | Aitern | 2012-08-23 / 20120210762 - PROFILE AND METHOD OF FORMING SAME | 3 |
Christopher J. Karle | US | Wayland | 2015-12-24 / 20150371137 - Displaying Quality of Question Being Asked a Question Answering System | 1 |
Stefan Karle | DE | Munich | 2014-09-18 / 20140270742 - SOFTBOX | 1 |
Marc-Oliver Karle | DE | Stuttgart | 2009-02-26 / 20090049637 - Windscreen wiping device, particularly for a motor vehicle | 1 |
Bryan Karle | US | Tampa | 2013-06-13 / 20130146625 - ATTACHABLE HOLDER WITH FLEXIBLE RING FOR ANY HANDHELD DEVICE | 1 |
Eugen Karlein | DE | Mellrichstadt | 2013-08-15 / 20130205957 - CENTRIC CLAMPING DEVICE | 2 |
Birgitt Karlein | DE | Mellrichstadt | 2013-08-15 / 20130205957 - CENTRIC CLAMPING DEVICE | 1 |
Torbjorn Karlelid | SE | Malmo | 2009-09-17 / 20090233647 - SEAMLESS SLIDER | 2 |
Leif Douglas Karlen | KR | Seoul | 2013-10-03 / 20130254989 - CLIMATE CONTROLED SLEEPING SPACE | 1 |
Mats Karlen | SE | Spanga | 2014-12-18 / 20140369854 - METHOD FOR CONTROLLING A PUMP ARRANGEMENT | 1 |
Urs Karlen | CH | Stalden | 2015-01-15 / 20150013173 - Method for Producing at Least One Cutting Unit Segment of a Cutting Unit | 4 |
Eric Karlen | US | Rockford | 2016-04-28 / 20160114439 - Method of Making a Heat Exchanger Using Additive Manufacturing and Heat Exchanger | 10 |
Eric Warren Karlen | US | Rockford | 2015-12-10 / 20150352661 - ULTRASONIC ADDITIVE MANUFACTURING ASSEMBLY AND METHOD | 1 |
Eric Karlen | US | Rockford | 2016-04-28 / 20160114439 - Method of Making a Heat Exchanger Using Additive Manufacturing and Heat Exchanger | 10 |
Olivier Karlen | CH | Blonay | 2015-08-20 / 20150234361 - MAGNETIC AND/OR ELECTROSTATIC PIVOT | 4 |
James P. Karlen | US | Bethel | 2010-09-30 / 20100247275 - AUTOMATED STOWAGE AND RETRIEVAL SYSTEM | 1 |
Hans Frederich Paul Karlen | US | Bethel | 2010-09-30 / 20100247275 - AUTOMATED STOWAGE AND RETRIEVAL SYSTEM | 1 |
David Karlen | SE | Vasteras | 2015-09-17 / 20150262774 - Switching Device | 2 |
Herly Karlen | US | Madison | 2014-09-11 / 20140252079 - ANALYZER WITH MACHINE READABLE PROTOCOL PROMPTING | 1 |
Georgios D. Karles | US | Richmond | 2016-04-07 / 20160095347 - METHODS AND COMPOSITIONS FOR PRODUCING HYDROGEL CAPSULES COATED FOR LOW PERMEABILITY AND PHYSICAL INTEGRITY | 36 |
Georgios Karles | US | Richmond | 2013-11-21 / 20130306082 - NOVEL BANDED CIGARETTE WRAPPER WITH OPENED-AREA BANDS | 5 |
Mati Karleson | EE | Tartu | 2011-06-23 / 20110152346 - Use of Oligonucleotides with Modified Bases in Hybridization of Nucleic Acids | 1 |
Matthew E. Karli | US | Austin | 2015-09-10 / 20150254790 - SYSTEMS AND METHODS FOR TRACKING PARCEL DATA ACQUISITION | 4 |
Robert K. Karlicek | US | Clifton Park | 2015-03-05 / 20150060702 - EFFICIENT IRRADIATION SYSTEM USING CURVED REFLECTIVE SURFACES | 1 |
Robert F. Karlicek | US | Mechanicville | 2015-03-05 / 20150061509 - SENSORY LIGHTING SYSTEM AND METHOD FOR CHARACTERIZING AN ILLUMINATION SPACE | 2 |
Shannon Marie Karlicek | US | San Diego | 2010-08-05 / 20100197005 - HUMAN MONOCLONAL ANTIBODIES TO ACTIVIN RECEPTOR-LIKE KINASE-1 | 1 |
Tom Karlicek | US | Fort Collins | 2009-11-12 / 20090278512 - APPARATUS, SYSTEM, AND METHOD FOR CONTROLLING A MATCHING NETWORK | 1 |
Robert F. Karlicek | US | Chelmsford | 2008-10-23 / 20080258695 - Switching device integrated with light emitting device | 3 |
Robert F. Karlicek, Jr. | US | Chelmsford | 2012-08-02 / 20120195068 - LIGHT-EMITTING DEVICES FOR LIQUID CRYSTAL DISPLAYS | 8 |
Robert Frank Karlicek, Jr. | US | Clifton | 2016-01-28 / 20160023017 - LIGHTING SYSTEM FOR PROTECTING CIRCADIAN NEUROENDOCRINE FUNCTION | 1 |
Robert F. Karlicek, Jr. | US | Chelmsford | 2012-08-02 / 20120195068 - LIGHT-EMITTING DEVICES FOR LIQUID CRYSTAL DISPLAYS | 8 |
Robert F. Karlicek, Jr. | US | Mechanicville | 2016-04-21 / 20160111408 - LIGHT EMITTING DIODES AND A METHOD OF PACKAGING THE SAME | 2 |
Robert F. Karlicek, Jr. | US | Clifton Park | 2015-03-19 / 20150076368 - EFFICIENT IRRADIATION SYSTEM USING CURVED REFLECTIVE SURFACES | 3 |
David A. Karlin | US | Los Altos | 2012-06-21 / 20120156199 - USE OF PICOPLATIN TO TREAT COLORECTAL CANCER | 9 |
Dmitry V. Karlin | RU | St. Petersburg | 2011-07-28 / 20110179921 - Method for Processing Domestic and Industrial Fabric Waste | 1 |
Lisa Karlin | US | Chandler | 2010-08-05 / 20100193884 - Method of Fabricating High Aspect Ratio Transducer Using Metal Compression Bonding | 1 |
Daniel Karlin | US | Medford | 2013-11-21 / 20130310771 - MEDICAL CONDUIT PROTECTION DEVICES, SYSTEMS AND METHODS | 1 |
Mary Sue Karlin | US | Denver | 2016-05-12 / 20160132053 - METHODS AND SYSTEMS OF SELECTIVELY ENABLING A VEHICLE BY WAY OF A PORTABLE WIRELESS DEVICE | 5 |
Josh Forrest Karlin | US | Stow | 2015-12-10 / 20150358285 - DESTINATION ADDRESS CONTROL TO LIMIT UNAUTHORIZED COMMUNICATIONS | 2 |
Daniel Karlin | US | Arlington | 2015-10-01 / 20150272481 - ACCELEROMETER AND WIRELESS NOTIFICATION SYSTEM | 1 |
Lisa H. Karlin | US | Chandler | 2015-03-26 / 20150084138 - INTEGRATED CIRCUIT HAVING VARYING SUBSTRATE DEPTH AND METHOD OF FORMING SAME | 13 |
Jon Karlin | US | Redmond | 2015-11-26 / 20150339703 - CONTINGENT FEE ADVERTISEMENT PUBLISHING SERVICE PROVIDER FOR INTERACTIVE TV MEDIA SYSTEM AND METHOD | 4 |
Dave Karlin | US | Rockville | 2015-09-17 / 20150264439 - CONTEXT AWARENESS FOR SMART TELEVISIONS | 1 |
Lisa H. Karlin | US | Chandler | 2015-03-26 / 20150084138 - INTEGRATED CIRCUIT HAVING VARYING SUBSTRATE DEPTH AND METHOD OF FORMING SAME | 13 |
Josh Forrest Karlin | US | Cambridge | 2012-12-06 / 20120311691 - SYSTEMS AND METHODS FOR DECOY ROUTING AND COVERT CHANNEL BONDING | 1 |
Joel S. Karliner | US | San Francisco | 2013-10-03 / 20130259827 - USE OF INTERLEUKIN-15 TO TREAT CARDIOVASCULAR DISEASES | 2 |
Robert L. Karlinsey | US | Indianapolis | 2014-09-04 / 20140248322 - DENTAL COMPOSITIONS CONTAINING SILICA MICROBEADS | 11 |
Thomas W. Karlinsey | US | American Fork | 2016-03-24 / 20160086485 - DETECTING ROADWAY TARGETS ACROSS BEAMS | 4 |
James M. Karlinsey | US | Audubon | 2012-09-06 / 20120222747 - PASSIVE COMPONENTS FOR MICRO-FLUIDIC FLOW PROFILE SHAPING AND RELATED METHOD THEREOF | 3 |
Robert L. Karlinsey | US | Indianapolis | 2014-09-04 / 20140248322 - DENTAL COMPOSITIONS CONTAINING SILICA MICROBEADS | 11 |
Haggai Karlinski | IL | Ramat Chen Israel | 2012-05-03 / 20120102695 - PIEZOELECTRIC PRINTHEAD AND RELATED METHODS | 1 |
Haggai Karlinski | IL | Ramat Chen | 2013-02-07 / 20130033551 - FLUID EJECTION DEVICE | 8 |
Haggai Karlinski | IL | Ramat Chen | 2013-02-07 / 20130033551 - FLUID EJECTION DEVICE | 8 |
Frank J. Karlinski, Iii | US | Red Bank | 2013-10-10 / 20130268300 - METHOD AND SYSTEM OF DETERMINING AND APPLYING INSURANCE PROFIT SCORES | 2 |
Arto Karljalainen | FI | Espoo | 2014-06-26 / 20140179926 - 2,3-DIHYDROBENZO[1,4] DIOXIN-2-YLMETHYL DERIVATIVES AS ALPHA2C ANTAGONISTS FOR USE IN THE TREATMENT OF PERIPHERIC AND CENTRAL NERVOUS SYSTEM DISEASES | 4 |
Howard Karloff | US | New York | 2016-05-05 / 20160125454 - SYSTEMS AND METHODS FOR MANAGING ADVERTISING CAMPAIGNS | 8 |
Howard Karloff | US | New York | 2016-05-05 / 20160125454 - SYSTEMS AND METHODS FOR MANAGING ADVERTISING CAMPAIGNS | 8 |
Donald D. Karlov | US | North Bend | 2014-11-13 / 20140337433 - Media Streams from Containers Processed by Hosted Code | 4 |
David Karlov | AU | Emu Plains New South Wales | 2012-05-31 / 20120136871 - SKIP LIST GENERATION | 1 |
Donald David Karlov | US | North Bend | 2013-08-29 / 20130222407 - GLYPH RENDERING | 1 |
Alexandre Karlov | CH | Meyrin | 2015-10-15 / 20150296374 - APPARATUS FOR MANAGING MEMBERS OF AT LEAST ONE GROUP OF DECODERS HAVING ACCESS TO BROADCAST DATA | 11 |
Valeri I. Karlov | US | Stony Brook | 2016-02-04 / 20160034607 - VIDEO-ASSISTED LANDING GUIDANCE SYSTEM AND METHOD | 2 |
David Karlov | AU | Blaxland East | 2012-01-12 / 20120011166 - SKIP LIST GENERATION | 1 |
David Karlov | AU | Emu Plains | 2015-06-25 / 20150178605 - METHOD OF DETECTING REGIONS IN AN EDGE-BASED REPRESENTATION | 4 |
Donald Karlov | US | North Bend | 2013-05-09 / 20130117792 - ADAPTIVE VIDEO SWITCHING FOR VARIABLE NETWORK CONDITIONS | 2 |
Alexandre Karlov | CH | Meyrin | 2015-10-15 / 20150296374 - APPARATUS FOR MANAGING MEMBERS OF AT LEAST ONE GROUP OF DECODERS HAVING ACCESS TO BROADCAST DATA | 11 |
Valeri I. Karlov | US | Boston | 2009-01-22 / 20090024332 - DIAGNOSING INAPPARENT DISEASES FROM COMMON CLINICAL TESTS USING BAYESIAN ANALYSIS | 1 |
Yury Karlov | RU | Taganrog | 2013-11-14 / 20130304876 - SYSTEM AND METHOD FOR COMPUTER NETWORK CONFIGURATION AND OPERATION | 4 |
Neven Karlovac | US | Pacific Palisades | 2015-02-26 / 20150056719 - Universal Rapid Diagnostic Test Reader with Trans-Visual Sensitivity | 1 |
Gyorgy Karlovits | PL | Papuszy | 2015-07-30 / 20150208686 - NEW FAT BLEND COMPOSITION | 1 |
Daniel Martin Karlovsky | US | Cary | 2010-06-03 / 20100137826 - PRE-ASSEMBLED MEDICAL FLUID FLOW SYSTEM AND METHOD OF MAKING SAME | 1 |
Kamil Karlovsky | SK | Bratislava | 2015-10-01 / 20150280289 - Lithium Ion Battery, Integrated Circuit and Method of Manufacturing a Lithium Ion Battery | 3 |
Frank J. Karlovsky | US | Bloomingdale | 2013-07-18 / 20130180478 - ENGINE WITH ENGINE OIL VISCOSITY CONTROL AND METHOD FOR CONTROLLING THE SAME | 2 |
James Peter Karlow | US | Commerce Township | 2013-10-03 / 20130261900 - OCCUPANT PROTECTION SYSTEM | 2 |
James P. Karlow | US | Commerce Township | 2015-06-04 / 20150151700 - ACTIVE LOWER LEG ENGAGEMENT SYSTEM | 2 |
James P. Karlow | US | Milford | 2011-04-07 / 20110079988 - PASSIVE AIRBAG VENTING | 1 |
James Karlow | US | Commerce Township | 2011-05-26 / 20110121548 - AIRBAG MODULE WITH DEPLOYMENT CONTROL FLAP | 2 |
Jim Karlow | US | Commerce Township | 2009-08-27 / 20090212537 - Airbag | 1 |
Richard K. Karlquist | US | Galt | 2015-02-19 / 20150049782 - Thermal Substitution Power Measurement System with RF Self-Heating Temperature Sensor | 1 |
Joseph Karls | US | Fitchburg | 2014-12-18 / 20140371587 - Ultrasound Machine Providing Composite Image Data | 1 |
Russell K. Karls | US | Athens | 2012-07-26 / 20120189657 - MICROBIAL VACCINE AND VACCINE VECTOR | 1 |
Phillip Karls | US | Belgrade | 2015-04-23 / 20150111399 - ELECTRICAL CONNECTOR ASSEMBLY FOR NEURAL MONITORING DEVICE AND METHOD OF USING SAME | 1 |
James D. Karls | US | Pomona | 2015-10-22 / 20150300533 - STACKABLE FASTENER | 2 |
Ingolf Karls | DE | Feldkirchen | 2014-01-23 / 20140023017 - RADIO COMMUNICATION DEVICE AND METHOD FOR CONTROLLING FREQUENCY SELECTION | 3 |
Jeff Karls | US | Big Lake | 2009-10-22 / 20090260464 - ELECTRIC ACTUATOR | 1 |
Werner Karls | DE | Hurtgenwald | 2011-03-10 / 20110056525 - DEVICE AND METHOD FOR DEBURRING AND/OR CLEANING A WORK PIECE DIPPED IN A FLUID MEDIUM | 1 |
Evan Karls | CA | Dorval | 2016-01-28 / 20160021951 - BODY PART COVERING EMBEDDED WITH BAKING SODA | 1 |
Korey W. Karls | US | Coon Rapids | 2015-10-08 / 20150283285 - MULTI-LAYER ARTICLES | 5 |
Jari Karlsberg | FI | Tampere | 2010-11-11 / 20100285749 - Hiding A Device | 1 |
Kenneth Karlsen | NO | Lysar | 2014-03-13 / 20140069313 - Method and Apparatus to Facilitate Cleaning Marine Survey Equipment | 1 |
Bjorn Karlsen | NO | Larvik | 2014-12-11 / 20140364540 - POWDER COATING | 1 |
Geir Christian Karlsen | NO | Greaaker | 2009-07-09 / 20090177688 - Employee Tracking System | 1 |
Jørgen Karlsen | NO | Høvik | 2009-11-05 / 20090273162 - SNOWBOARD AND SKIS | 1 |
Knut Eilert Karlsen | NO | Fevik | / - | 1 |
Kenneth Karlsen | NO | Oslo | 2015-09-24 / 20150268366 - HYDRODYNAMIC DEPRESSOR FOR MARINE SENSOR STREAMER ARRAYS | 2 |
Jorn Karlsen | NO | Oslo | 2011-05-12 / 20110109629 - RENDERING IMPROVEMENT FOR 3D DISPLAY | 1 |
Jan Erik Karlsen | NO | Kolbotn | 2016-05-12 / 20160134095 - TERMINATION OF STRENGTH MEMBERS OF DEEP WATER CABLES | 5 |
Bjarte Karlsen | NO | Nesttun | 2013-04-18 / 20130097161 - GENERATION OF DEGENERATE SEQUENCES AND IDENTIFICATION OF INDIVIDUAL SEQUENCES FROM A DEGENERATE SEQUENCE | 2 |
Hege Karlsen | NO | Oslo | 2010-05-06 / 20100111865 - CONTRAST AGENTS | 5 |
Frank Karlsen | NO | Klokkarstua | 2013-11-21 / 20130309658 - METHOD FOR DETECTING HUMAN PAPILLOMAVIRUS mRNA | 10 |
Morten Roll Karlsen | NO | Borre | 2015-03-26 / 20150085613 - SYSTEM FOR POSITION MEASURING AND INTEGRITY MEASURING | 2 |
Scott Karlsen | US | Battle Ground | 2012-10-18 / 20120262938 - CLADDING MODE SPATIAL FILTER | 2 |
Kenneth Karlsen | NO | Tonsberg | 2014-11-13 / 20140334258 - DISPOSABLE ANTIFOULING COVERS FOR GEOPHYSICAL SURVEY EQUIPMENT | 1 |
Kresten Karlsen | DK | Silkeborg | 2015-07-02 / 20150181947 - PANT | 1 |
Geir Christian Karlsen | NO | Yven | 2014-08-28 / 20140245255 - MODULAR PLATFORM FOR WEB APPLICATIONS AND SYSTEMS | 1 |
Morten Friis Karlsen | DK | Kobenhavn N. | 2012-12-20 / 20120323186 - AUTO INJECTOR WITH AUTOMATIC NEEDLE SHIELDING | 1 |
Frank Karlsen | NO | Klokkarstua | 2013-11-21 / 20130309658 - METHOD FOR DETECTING HUMAN PAPILLOMAVIRUS mRNA | 10 |
Scott R. Karlsen | US | Battle Ground | 2015-08-06 / 20150219905 - SINGLE-EMITTER LINE BEAM SYSTEM | 8 |
Johnny Karlsen | SE | Jarfalla | 2016-02-25 / 20160057804 - Optimizing Channel State Switch based on the Traffic Volume Indicator (TVI) Values Associated with Throughputs on the Communication Links | 7 |
Randall Karlsen | US | Mchenry | 2015-12-17 / 20150363556 - Healthcare Facility Management and Information System | 2 |
Stig Karlsen | US | Burlington | 2010-04-15 / 20100089693 - Erector Scaffold Deck Fall Arrest Assembly | 2 |
Stale Karlsen | NO | Tiller | 2011-08-25 / 20110208358 - APPARATUS FOR SPLASH ZONE OPERATIONS | 1 |
Kenneth Karlsen | NO | Aalesund | 2016-02-04 / 20160031529 - Towing Methods and Systems for Geophysical Surveys | 7 |
Scott R. Karlsen | US | Battle Ground | 2015-08-06 / 20150219905 - SINGLE-EMITTER LINE BEAM SYSTEM | 8 |
Morten Salihi Karlsen | NO | Trondheim | / - | 1 |
Kristian Karlsen | NO | Stanghelle | 2015-11-26 / 20150337968 - BALL VALVE | 1 |
Morten Friis Karlsen | DK | Smorum | 2015-03-19 / 20150078601 - FILTER MEMBER | 5 |
Jørgen Karlsen | NO | Lysaker | 2008-10-23 / 20080262068 - Method of Tonic Treatment With Oxyphenbutazone Derivatives | 1 |
Jørgen Karlsen | NO | Hovik | 2014-06-12 / 20140159344 - SKI WITH TRI-DIMENSIONAL SKI SURFACE | 3 |
Jorgen Karlsen | NO | Hovik | 2015-01-29 / 20150028553 - ADJUSTMENT SYSTEM FOR STRAPS ON SNOWBOARD BINDINGS | 5 |
Stian Karlsen | NO | Halden | 2014-05-22 / 20140138115 - SUBSEA UMBILICAL | 2 |
Kristian Karlshoej | US | Santa Clara | 2014-04-03 / 20140093929 - FATTY ALCOHOL FORMING ACYL REDUCTASES (FARS) AND METHODS OF USE THEREOF | 2 |
Leif Olof Karlson | SE | Stenungsund | 2013-10-17 / 20130274110 - HYDROPHOBICALLY MODIFIED POLYSACCHARIDE ETHERS AS DEPOSITION ENHANCERS FOR AGRICULTURAL ACTIVE INGREDIENTS | 1 |
Larry Markus Karlson | CA | Prince George | 2010-12-23 / 20100320790 - Railway car independent axles with axle locking mechanism | 2 |
Amy Kathleen Karlson | US | Columbia | 2009-01-01 / 20090006972 - COLLABORATIVE PHONE-BASED FILE EXCHANGE | 1 |
Amy Kathleen Karlson | US | Bellevue | 2014-10-02 / 20140297814 - COLLABORATIVE PHONE-BASED FILE EXCHANGE | 5 |
Amy Kathleen Karlson | US | Redmond | 2009-11-12 / 20090282473 - OWNER PRIVACY IN A SHARED MOBILE DEVICE | 1 |
Peter T. Karlson | CA | Whitby | 2015-11-19 / 20150329008 - BATTERY SYSTEMS OPERABLE IN A BACKUP MODE AND RELATED METHODS | 4 |
Jan Roger Karlson | NO | Oslo | 2015-04-16 / 20150104386 - ISOTOPE PREPARATION METHOD | 6 |
Bruce Karlson | US | Mission | 2015-11-05 / 20150317590 - REMINDER SYSTEM | 2 |
Malin Karlson | NO | Oslo | 2010-10-21 / 20100264090 - MAGNETISING PORTION FOR A MAGNETIC SEPARATION DEVICE | 1 |
Björn Karlson | DE | Nürnberg | 2010-12-02 / 20100302053 - SWITCH HAVING A FLAT DISPLAY | 1 |
Eric Karlson | US | Alameda | 2016-02-04 / 20160034547 - SYSTEMS AND METHODS FOR AN SQL-DRIVEN DISTRIBUTED OPERATING SYSTEM | 1 |
James Karlson | US | Wayland | 2013-10-31 / 20130283532 - Axillary Support Device | 3 |
Tomas Karlson | SE | Savedalen | 2014-11-27 / 20140350502 - ABSORBENT ARTICLE COMPRISING A TEMPERATURE SENSOR | 1 |
Amy Karlson | US | Bellevue | 2015-11-26 / 20150339397 - MOBILE SEARCH BASED ON PREDICTED LOCATION | 6 |
Björn Karlson | DE | Nürnberg | 2010-12-02 / 20100302053 - SWITCH HAVING A FLAT DISPLAY | 1 |
Amy K. Karlson | US | Bellevue | 2012-11-29 / 20120303369 - Energy-Efficient Unobtrusive Identification of a Speaker | 3 |
Tomas Karlson | SE | Savedalden | 2011-06-16 / 20110144610 - ABSORBENT ARTICLE COMPRISING AN ELASTIC WEB MATERIAL | 1 |
Mikael C.i. Karlsson | SE | Jaerfalla | 2010-09-09 / 20100227415 - METHOD AND MEANS FOR PREDICTION OF SYSTEMIC LUPUS ERYTHEMATOSUS SUSCEPTIBILITY | 1 |
Henrik Karlsson | SE | Goteborg | 2012-07-12 / 20120177527 - BEARING STEELS | 2 |
Carl-Johan Karlsson | SE | Goteborg | 2011-02-24 / 20110041815 - EXHAUST PURIFICATION SYSTEM WITH A DIESEL PARTICULATE FILTER AND A METHOD OF CLEANING SAID FILTER | 3 |
Mattias Karlsson | SE | Goteborg | 2011-04-21 / 20110091864 - Device And Use Thereof | 1 |
Per-Olof Karlsson | SE | Alingsas | 2012-11-15 / 20120290116 - METHOD AND APPARATUS FOR OBTAINING DATA FOR A DENTAL COMPONENT AND A PHYSICAL DENTAL MODEL | 9 |
Carl Anton Börje Karlsson | SE | Lund | 2013-08-08 / 20130199997 - Method of Treating Municipal Wastewater and Producing Biomass with Biopolymer Production Potential | 3 |
Eric Karlsson | US | Napa | 2014-11-20 / 20140339625 - PSEUDO SELF ALIGNED RADHARD MOSFET AND PROCESS OF MANUFACTURE | 2 |
Kent Karlsson | US | Berkley | 2014-01-09 / 20140013004 - MODIFICATION OF LIVE STREAMS | 2 |
Fredrik Karlsson | SE | Hyssna | 2013-08-29 / 20130220464 - FLOW CONTROL | 1 |
Roger Karlsson | SE | Rosvik | 2015-10-01 / 20150272801 - SLING BAR FOR PATIENT LIFT SLINGS | 6 |
Goeril Karlsson | CH | Basel | 2016-01-28 / 20160022611 - Paediatric Compositions For Treating Multiple Sclerosis | 3 |
Karl Andreas Karlsson | FR | Paris | 2014-10-09 / 20140303156 - NOVEL (6-OXO-1,6-DIHYDROPYRIMIDIN-2-YL)AMIDE DERIVATIVES, PREPARATION THEREOF AND PHARMACEUTICAL USE THEREOF AS AKT(PKB) PHOSPHORYLATION INHIBITORS | 6 |
Torbjörn Karlsson | SE | Jarfalla | 2009-12-17 / 20090307877 - Coupling Device and Method for Enabling Simultaneous Lifting of Two Containers | 1 |
Asger Karlsson | DK | Brondby Strand | 2011-02-24 / 20110042051 - TEMPERATURE STABLE CAST-IRON ALLOY AND ITS USE | 2 |
Martin Karlsson | FI | Espoo | 2015-12-17 / 20150363085 - User Interface for the Application of Image Effects to Images | 2 |
Bjoern Karlsson | SE | Skaerblacka | 2011-06-09 / 20110133950 - INSTRUMENTED COMPONENT FOR WIRELESS TELEMETRY | 2 |
Jan H. Karlsson | SE | Asbro | 2010-08-12 / 20100204829 - METHOD FOR CONTROLLING A PLURALITY OF AXES IN AN INDUSTRIAL ROBOT SYSTEM AND AN INDUSTRIAL ROBOT SYSTEM | 1 |
Jörgen Karlsson | SE | Katrineholm | 2010-05-06 / 20100108282 - PRESS FABRIC | 1 |
Jerry Karlsson | SE | Lund | 2014-10-02 / 20140295745 - MODULE AND APPARATUS | 2 |
Peter C. Karlsson | SE | Lund | 2016-05-12 / 20160135007 - DETERMINING THE GEOGRAPHIC LOCATION OF A PORTABLE ELECTRONIC DEVICE | 6 |
David Karlsson | SE | Lulea | 2012-07-05 / 20120169536 - GNSS RECEIVER DESIGN TESTING | 1 |
Thomas Karlsson | SE | Goteborg | 2012-07-05 / 20120169767 - METHOD FOR OPTIMISING THE PLACEMENT OF AT LEAST TWO PIECES OF INFORMATION | 1 |
Maiko Karlsson | SE | Malmo | 2011-04-28 / 20110097071 - SHUTTER ARRANGEMENT FOR COVERING A CAMERA LENS | 2 |
Magnus Karlsson | SE | Färjestaden | 2015-11-26 / 20150340949 - PROGRAMMABLE VOLTAGE CONVERTER | 1 |
Arne Karlsson | SE | Vaxjo | 2013-10-03 / 20130255096 - METHOD FOR DRYING A CELLULOSE PULP WEB AND A CELLULOSE PULP DRYER COMPRISING AN INSPECTION DEVICE FOR INSPECTING THE POSITION OF THE WEB OR THE OCCURRENCE OF WEB RESIDUE | 1 |
Joachim Karlsson | SE | Kavlinge | 2011-06-23 / 20110153756 - Network-Based Service Access for Wireless Communication Devices | 1 |
Jonas Karlsson | SE | Uppsala | 2013-07-11 / 20130175219 - WETTING OF A PLASTIC BED SUPPORT FOR A CHROMATOGRAPHY COLUMN | 1 |
Pär Karlsson | SE | Karlskrona | 2011-05-26 / 20110125848 - METHOD OF PERFORMING DATA MEDIATION, AND AN ASSOCIATED COMPUTER PROGRAM PRODUCT, DATA MEDIATION DEVICE AND INFORMATION SYSTEM | 1 |
Jonas Karlsson | US | Rochester | 2013-12-26 / 20130346225 - PERSONALIZED VIRTUAL GOODS HOLIDAY OR EVENT CALENDAR | 9 |
Sebastian Karlsson | SE | Sundbyberg | 2016-03-24 / 20160082190 - MEDICAMENT DELIVERY DEVICE | 18 |
Mikael Karlsson | SE | Trollhattan | 2011-06-23 / 20110147126 - HYDRAULIC MACHINE WITH OIL DAMS | 1 |
John Karlsson | SE | Marsta | 2016-03-10 / 20160067602 - GAMING DEVICE | 29 |
Ola Karlsson | SE | Eldsberga | 2010-08-19 / 20100205924 - LAWNMOWER ASSEMBLY | 1 |
Hakan L. Karlsson | SE | Annerstad | 2013-07-04 / 20130167558 - COOLING DEVICE AND METHOD THEREFORE FOR CO2 WASHING MACHINES | 1 |
Tony Karlsson | SE | Savedalen | 2013-10-24 / 20130281958 - ABSORBENT ARTICLE IN THE FORM OF BOXER SHORTS AND METHOD FOR MAKING THEREOF | 1 |
Martin Karlsson | SE | Linköping | 2013-10-24 / 20130280731 - RATIONAL ENZYME MINING | 1 |
Per-Olof Karlsson | SE | Alingsas | 2012-11-15 / 20120290116 - METHOD AND APPARATUS FOR OBTAINING DATA FOR A DENTAL COMPONENT AND A PHYSICAL DENTAL MODEL | 9 |
Kjell Karlsson | SE | Degerfors | 2011-05-26 / 20110119964 - WEAR PART FOR A BUCKET TO A LOADING OR DIGGING MACHINE, FASTENING UNIT THEREFORE AND WEAR PART SYSTEM, BUCKET AND LOADING OR DIGGING MACHINE | 1 |
Patrik Karlsson | SE | Älta | 2009-07-16 / 20090181686 - Enhanced Uplink Retransmission Securing | 2 |
Per Karlsson | SE | Hagersten | 2013-03-14 / 20130065744 - DEVICE AND METHOD FOR MONITORING AND ADJUSTING THE RADIAL POSITION OF AN INTERFACE LAYER IN A NOZZLE CENTRIFUGE | 2 |
Pontus Karlsson | SE | Ornskoldsvik | 2011-05-26 / 20110124460 - ELECTRIC DRIVE SYSTEM | 2 |
Pontus Karlsson | SE | Goteborg | 2015-12-24 / 20150367530 - Tile or Masonry Saw Assembly with Improved Blade Wetting Capability | 1 |
Lars Karlsson | SE | Göteborg | 2009-09-03 / 20090221399 - METHOD FOR ADAPTING AN AUTOMATED TRANSMISSION OF A HEAVY VEHICLE IN CONSIDERATION OF A SPEED SENSITIVE PTO | 1 |
Joakim Karlsson | SE | Klågerup | 2009-12-31 / 20090322509 - Assignment of Alarms | 1 |
Jörgen Karlsson | SE | Katrineholm | 2010-05-06 / 20100108282 - PRESS FABRIC | 1 |
David Karlsson | SE | Norrkoping | 2012-05-24 / 20120131488 - GUI CONTROLS WITH MOVABLE TOUCH-CONTROL OBJECTS FOR ALTERNATE INTERACTIONS | 1 |
Josefin Karlsson | SE | Torslanda | 2015-10-15 / 20150296421 - Circuit Switched/Packet Switched (CS/PS) Coordination in a Shared Network | 11 |
Jonathan Karlsson | SE | Sosdala | 2013-11-07 / 20130292100 - COOLING UNIT | 1 |
Josefin Karlsson | SE | Torslanda | 2015-10-15 / 20150296421 - Circuit Switched/Packet Switched (CS/PS) Coordination in a Shared Network | 11 |
Asa Karlsson | SE | Sollentuna | 2011-11-17 / 20110280934 - Increased Expression of Specific Antigens | 2 |
Hakan Karlsson | SE | Goteborg | 2014-12-18 / 20140368405 - Inverted F-Antennas at a Wireless Communication Node | 1 |
Håkan Karlsson | SE | Saffle | 2010-09-02 / 20100221438 - Method and Device for Coating | 2 |
Håkan Karlsson | SE | Sodertalje | 2010-12-30 / 20100325810 - VARIABLE-SIZE BED | 1 |
Håkan Karlsson | SE | Saffle | 2010-09-02 / 20100221438 - Method and Device for Coating | 3 |
Håkan Karlsson | SE | Sodertalje | 2010-12-30 / 20100325810 - VARIABLE-SIZE BED | 1 |
Sören Karlsson | FI | Solf | 2009-12-24 / 20090314227 - METHOD OF OPERATING A GAS ENGINE PLANT AND FUEL FEEDING SYSTEM FOR A GAS ENGINE | 1 |
Torbjörn Karlsson | SE | Jarfalla | 2009-12-17 / 20090307877 - Coupling Device and Method for Enabling Simultaneous Lifting of Two Containers | 1 |
Torbjörn Karlsson | SE | Jarfalla | 2009-12-17 / 20090307877 - Coupling Device and Method for Enabling Simultaneous Lifting of Two Containers | 1 |
Hanna Kristina Karlsson | SE | Goteborg | 2014-09-18 / 20140275498 - METHOD FOR PRECIPITATING LIGNIN FROM BLACK LIQUOR BY UTILIZING WASTE GASES | 1 |
Bengt Karlsson | SE | Goteborg | 2011-07-21 / 20110174589 - SYSTEM FOR PREVENTING GEAR HOPOUT IN A TOOTH CLUTCH IN A VEHICLE TRANSMISSION | 1 |
Ewa Karlsson | SE | Bromma | 2015-10-29 / 20150306052 - PHARMACEUTICAL COMPOSITION FOR THE TREATMENT OF FUNGAL INFECTIONS | 2 |
Torbjörn Karlsson | SE | Jarfalla | 2009-12-17 / 20090307877 - Coupling Device and Method for Enabling Simultaneous Lifting of Two Containers | 1 |
Martin R. Karlsson | US | San Francisco | 2011-11-10 / 20110276791 - HANDLING A STORE INSTRUCTION WITH AN UNKNOWN DESTINATION ADDRESS DURING SPECULATIVE EXECUTION | 8 |
Magnus Karlsson | CH | Zurich | 2014-12-25 / 20140379831 - SPACE-EFFICIENT MAIL STORING AND ARCHIVING BASED ON COMMUNICATION STRUCTURE | 1 |
Jörgen Karlsson | SE | Katrineholm | 2010-05-06 / 20100108282 - PRESS FABRIC | 1 |
Margareta Karlsson | SE | Vanersborg | 2008-08-21 / 20080196963 - Method and Device For Controlling a Car | 1 |
Stefan Karlsson | SE | Ronneby | 2011-07-21 / 20110177308 - SUBSTRATE-FREE DECORATIVE SURFACE COVERING | 1 |
Sven-Olof Karlsson | SE | Lund | 2011-07-21 / 20110178702 - OPTIMUM TRAVEL TIMES | 1 |
Magnus Karlsson | SE | Ljungbyholm | 2015-01-29 / 20150027784 - ROCK DRILL MACHINE WITH FEED LEG | 2 |
Mats Karlsson | SE | Valberg | 2013-11-21 / 20130310021 - WIRELESS COMMUNICATION SYSTEM FOR MOVING VEHICLES | 1 |
Kristofer Karlsson | SE | Taby | 2015-03-19 / 20150075724 - TAPE FEEDER AND METHOD FOR MOVING A CARRIER TAPE TOWARDS A PICKING POSITION IN A COMPONENT MOUNTING MACHINE | 2 |
Jan-Erik Karlsson | SE | Tyreso | 2015-01-15 / 20150018185 - ROLL FOR HOT ROLLING | 1 |
Carl-Johan Karlsson | SE | Stromstad | 2013-06-20 / 20130152550 - METHOD AND SYSTEM FOR DIESEL PARTICLE FILTER REGENERATION | 3 |
Rolf Karlsson | SE | Laxa | 2012-08-02 / 20120195086 - Inverter With Commutation Circuit | 1 |
Birgitta Karlsson | SE | Molnlycke | 2011-07-28 / 20110184372 - ABSORBENT GARMENT PROVIDED WITH SIDE PANELS OR BELT MEMBERS | 2 |
Ola John Ivar Karlsson | SE | Lund | 2013-06-06 / 20130139835 - Polymers Selective for Tobacco Specific Nitrosamines and Methods of Using the Same | 2 |
Magnus Karlsson | SE | Kariskoga | 2011-07-28 / 20110181460 - DEVICE FOR GENERATION OF MICROWAVES | 1 |
Magnus Karlsson | SE | Oskarshamn | 2016-03-24 / 20160084890 - METHOD FOR PREPARING A CURRENT MEASURING ARRANGEMENT, METHOD FOR MEASURING AN OUTPUT CURRENT, CONTROLLER, SWITCHED MODE POWER SUPPLY, AND BASE STATION | 27 |
Sören Karlsson | FI | Soif | 2015-01-29 / 20150027137 - LNG TANK | 1 |
Åsa Karlsson | SE | Sollentuna | 2011-07-28 / 20110182880 - Combination Therapies Against Cancer | 1 |
Gosta Karlsson | SE | Killeberg | 2015-01-29 / 20150030422 - SIDE LIFT SPREADER | 3 |
Staffan Karlsson | SE | Uppsala | 2011-07-28 / 20110184317 - SENSOR AND GUIDE WIRE ASSEMBLY | 1 |
Tobias Karlsson | US | Broomfield | 2011-07-28 / 20110185065 - STATELESS FORWARDING OF LOAD BALANCED PACKETS | 1 |
Svante Karlsson | SE | Vastra Frolunda | 2013-09-19 / 20130245872 - HYBRID POWERTRAIN AND METHOD FOR CONTROLLING A HYBRID POWERTRAIN | 13 |
Niklas Karlsson | US | Mountain View | 2015-05-21 / 20150142554 - SYSTEM IDENTIFICATION, ESTIMATION, AND PREDICTION OF ADVERTISING-RELATED DATA | 11 |
Erik Martin Roland Karlsson | US | San Francisco | 2010-05-13 / 20100122036 - METHODS AND APPARATUSES FOR IMPROVING SPECULATION SUCCESS IN PROCESSORS | 1 |
Kent Karlsson | US | San Franisco | 2009-10-15 / 20090260045 - NETWORK OPTIMIZED DISTRIBUTION | 1 |
Anders Karlsson | SE | Kalmar | 2012-05-03 / 20120103702 - METHOD FOR WEIGHING PRODUCTS AND A CHECKWEIGHER | 1 |
Martin Karlsson | US | San Francisco | 2012-06-28 / 20120166756 - INDEX GENERATION FOR CACHE MEMORIES | 10 |
Olov B. Karlsson | US | San Jose | 2009-10-15 / 20090256242 - METHOD OF FORMING AN ELECTRONIC DEVICE INCLUDING FORMING A CHARGE STORAGE ELEMENT IN A TRENCH OF A WORKPIECE | 1 |
L. Niklas Karlsson | US | Pasadena | 2015-11-05 / 20150316930 - SYSTEMS AND METHODS FOR USING MULTPLE HYPOTHESES IN A VISUAL SIMULTANEOUS LOCALIZATION AND MAPPING SYSTEM | 7 |
Roland Karlsson | SE | Listerby | 2011-08-11 / 20110193029 - SURFACE COVERING WITH STATIC CONTROL PROPERTIES | 1 |
Andreas Karlsson | SE | Umea | 2014-04-10 / 20140096502 - BURNER FOR A GAS TURBINE | 2 |
Anders Nils Gustav Karlsson | SE | Braas | 2015-01-15 / 20150013775 - FLUE GAS CONDITIONING SYSTEM AND METHOD | 2 |
Jan-Olof Karlsson | NO | Trondheim | 2016-02-11 / 20160038507 - Calmangafodipir, a New Chemical Entity, and Other Mixed Metal Complexes, Methods of Preparation, Compositions, and Methods of Treatment | 3 |
Adreas Karlsson | SE | Karlskrona | 2010-12-30 / 20100326724 - TRANSITION FRAME WITH INTEGRATED COMPRESSION UNIT | 1 |
Stefan Karlsson | SE | Karlskrona | 2013-10-03 / 20130260714 - METHOD AND DEVICE FOR DETERMINING RATING DATA FOR SERVICE USAGE IN AN ELECTRONIC COMMUNICATION NETWORK | 7 |
Karl Petter Karlsson | SE | Karlskrona | 2011-02-03 / 20110029923 - INTEGRATING TRANSPORT MODES INTO A COMMUNICATION STREAM | 1 |
Marcus Karlsson | SE | Karlskrona | 2014-11-27 / 20140348030 - Method and Apparatus for Controlling Charging in a Communication Network | 6 |
Gösta Karlsson | SE | Killeberg | 2012-12-06 / 20120306223 - CABLE-CONTROLLED CONTAINER YOKE | 2 |
Jorgen Karlsson | SE | Sundbyberg | 2015-10-01 / 20150281972 - Network Node and Method for Handling Spectrum Bands in a Mobile Radio Communication System | 5 |
Mats Bertil Karlsson | SE | Linkoping | 2011-08-18 / 20110200019 - Methods and Nodes in a Wireless Communication System | 1 |
Kent Karlsson | US | San Francisco | 2014-09-25 / 20140289375 - CONTENT SEVER MEDIA STREAM MANAGEMENT | 13 |
Patrik Karlsson | SE | Alta | 2014-08-07 / 20140219102 - Control Unit and Method for Controlling the Load in a Mobile Telecommunications Network | 14 |
Christer Karlsson | SE | Linkoping | 2014-08-07 / 20140216791 - SHORT CIRCUIT REDUCTION IN AN ELECTRONIC COMPONENT COMPRISING A STACK OF LAYERS ARRANGED ON A FLEXIBLE SUBSTRATE | 4 |
Harald Karlsson | SE | Goteborg | 2015-01-29 / 20150027194 - INJECTOR SLEEVE | 2 |
Olov Karlsson | US | San Jose | 2016-03-31 / 20160093711 - Tantalum carbide metal gate stack for mid-gap work function applications | 18 |
Robert Arnar Karlsson | IS | Reykjavik | 2012-04-19 / 20120093389 - TEMPORAL OXIMETER | 1 |
Martin Karlsson | SE | Mariestad | 2012-04-19 / 20120095616 - HEATING-ENERGY SAVING SYSTEM AND METHOD | 1 |
Jonas Karlsson | SE | Ludvika | 2012-08-30 / 20120218672 - METHOD AND A DEVICE FOR OVERVOLTAGE PROTECTION, AND AN ELECTRIC SYSTEM WITH SUCH A DEVICE | 1 |
Olov Karlsson | SE | Sodertalje | 2010-12-30 / 20100325810 - VARIABLE-SIZE BED | 1 |
Pontus Karlsson | SE | Bromma | 2014-11-13 / 20140333162 - ELECTRIC DRIVE DEVICE FOR MOTOR VEHICLE | 6 |
Anders Karlsson | SE | Storvreta | 2014-03-06 / 20140065221 - COMPOSITIONS COMPRISING A FILLER PRODUCT AND AT LEAST ONE BIORESORBABLE AND BIODEGRADABLE SILICA-BASED MATERIAL | 1 |
Magnus Karlsson | SE | Malmo | 2015-12-31 / 20150374854 - HYPERPOLARIZED ESTERS AS METABOLIC MARKERS IN MR | 15 |
David Karlsson | SE | Lund | 2015-08-06 / 20150220891 - Method and Apparatus for Securely Distributing Digital Vouchers | 11 |
Roger Karlsson | SE | Molndal | 2011-06-16 / 20110143385 - PLASMA MEMBRANE VESICLES AND METHODS OF MAKING AND USING SAME | 1 |
John Karlsson | SE | Marsta | 2016-03-10 / 20160067602 - GAMING DEVICE | 29 |
Ivan Karlsson | SE | Lund | 2015-12-17 / 20150363042 - A TOUCH-SENSING APPARATUS AND A METHOD FOR ENABLING CONTROL OF A TOUCH-SENSING APPARATUS BY AN EXTERNAL DEVICE | 3 |
Pär Karlsson | SE | Karlskrona | 2016-05-19 / 20160140169 - A Method and a Network Node in a Communication Network for Correlating Information of a First Network Domain with Information of a Second Network Domain | 2 |
Hakan Karlsson | SE | Stockholm | 2014-05-15 / 20140133362 - CHARGING ID CORRELATION IN AN IMS NETWORK | 3 |
Anders Karlsson | SE | Saltsjobaden | 2009-11-12 / 20090281495 - Device for Delivering Medicament Encompassing a Pressure Release Mechanism | 1 |
Anders Karlsson | SE | Braas | 2010-02-18 / 20100037766 - METHOD OF CONTROLLING THE ORDER OF RAPPING THE COLLECTING ELECTRODE PLATES OF AN ESP | 1 |
Anders Karlsson | SE | Saltsjo-Boo | 2014-05-29 / 20140148763 - Injection Device | 5 |
Anders Karlsson | SE | Skepplanda | 2011-11-24 / 20110283502 - SEALING MEANS, KIT AND METHOD FOR SEALING OF HOLLOW HOSES OF FLEXIBLE MATERIAL | 2 |
Anders Karlsson | SE | Stockholm | 2011-01-13 / 20110008199 - SINTERING FURNACE AND METHOD OF MAKING CUTTING TOOLS | 1 |
Anders Karlsson | SE | Bollebygd | 2011-04-21 / 20110091864 - Device And Use Thereof | 1 |
Anders N.g. Karlsson | SE | Braas | 2010-12-16 / 20100313749 - METHOD AND A DEVICE FOR CONTROLLING THE RAPPING OF AN ESP | 2 |
Petter Karlsson | SE | Stockholm | 2014-10-23 / 20140317501 - SCREEN HELP WITH CONTEXTUAL SHORTCUT ON AN APPLIANCE | 3 |
Andreas Karlsson | SE | Norrkoping | 2011-02-24 / 20110041508 - Burner | 1 |
Anton Karlsson | SE | Enskede | 2015-05-28 / 20150148639 - On-Line Measuring System of Body Substances | 7 |
Arne Karlsson | SE | Motala | 2008-08-21 / 20080198524 - ABSORPTION GAS ARRESTOR SYSTEM | 1 |
Tomas M. Karlsson | SE | Uppsala | 2013-04-04 / 20130081703 - METHOD OF PREPARING LIQUID MIXTURES | 1 |
Soren Karlsson | SE | Upplands Vasby | 2010-03-04 / 20100053111 - MULTI-TOUCH CONTROL FOR TOUCH SENSITIVE DISPLAY | 2 |
Birgit Tora Gunvor Karlsson | SE | Stenungsund | 2008-08-28 / 20080207893 - Process For Grinding Cellulose Ether | 1 |
Dan Karlsson | SE | Sundsvall | 2009-12-10 / 20090301747 - Ground Electrode | 1 |
Dan Karlsson | SE | Solna | 2015-06-25 / 20150180135 - ANTENNA ARRANGEMENT | 4 |
Daniel Karlsson | SE | Malmo | 2008-08-21 / 20080197715 - Method and Device For Controlled Reclosing of a Circuit Breaker | 1 |
Roger Karlsson | SE | Norrkoping | 2013-03-28 / 20130078701 - DEVICE COMPRISING A CONDUCTIVE SURFACE AND A CONDUCTIVE POLYMER FOR ADHESION OF CELLS AND TISSUE | 1 |
Niklas Karlsson | US | 2013-03-28 / 20130080374 - SYSTEMS AND METHODS FOR GENERATING A FORECASTING MODEL AND FORECASTING FUTURE VALUES | 1 | |
David Karlsson | SE | Vargarda | 2011-02-24 / 20110042921 - Airbag With a Flexible Fastening Tab | 1 |
Fredrik Karlsson | SE | Linkoping | 2015-05-28 / 20150144869 - GROUP-III NITRIDE STRUCTURE | 2 |
Geron Karlsson | SE | Karlskoga | 2011-08-11 / 20110192313 - CARTRIDGE CASE AND A ROUND COMPRISING SUCH A CARTRIDGE CASE | 2 |
Gunnar Karlsson | SE | Jarfalla | 2009-10-29 / 20090268763 - Frequency Stabilized Laser Source | 1 |
Henrik Karlsson | SE | Uddevalla | 2009-05-07 / 20090114766 - ANNULAR TORSIONAL RIGID STATIC COMPONENT FOR AN AIRCRAFT ENGINE | 1 |
Henrik Karlsson | SE | Varnamo | 2011-04-28 / 20110095114 - GRANULATOR MILL | 3 |
Ingemar Folke Karlsson | SE | Kallered | 2010-03-25 / 20100075683 - METHOD AND APPARATUS FOR GENERATING COVERAGE IN A CELULAR NETWORK | 1 |
Jan Karlsson | SE | Vastra Frolunda | 2016-03-31 / 20160094028 - Arrangement and Method for Voltage Conversion | 2 |
Jan Karlsson | SE | Eskilstuna | 2014-01-30 / 20140026548 - METHOD AND A DEVICE FOR REDUCING VIBRATIONS IN A WORKING MACHINE | 4 |
Jimmie Karlsson | SE | Linkoping | 2011-04-28 / 20110093999 - Helmet restraint system | 1 |
Johan Karlsson | SE | Lulea | 2009-12-31 / 20090323712 - Method and arrangements for reservation of resources in a data network | 2 |
Johan Karlsson | SE | Eldsberga | 2010-06-10 / 20100141381 - ACCESS CONTROL SYSTEM, LOCK DEVICE, ADMINISTRATION DEVICE, AND ASSOCIATED METHODS AND COMPUTER PROGRAM PRODUCTS | 1 |
Johan Karlsson | SE | Skurup | 2010-08-12 / 20100204452 - Purification of Factor VIII Using a Mixed-Mode or Multimodal Resin | 1 |
Jonas B. Karlsson | SE | Sollentuna | 2015-03-19 / 20150080005 - Signaling Support for Antenna Selection Using Subset Lists and Subset Masks | 6 |
Kent-Olof Karlsson | SE | Karlstad | 2013-05-02 / 20130105098 - METHOD AND SYSTEM FOR IMPREGNATING CHIPS | 2 |
Kjell Anders Karlsson | SE | Orebro | 2010-06-24 / 20100155008 - PAPERMAKING FABRIC | 2 |
Mathias Karlsson | SE | Karlstad | 2015-05-07 / 20150127078 - Method of Determining Hypoxia | 8 |
Mikael Karlsson | SE | Savedalen | 2010-03-04 / 20100056331 - CONTROL DEVICE FOR OPERATING A GEAR BOX OF A VEHICLE, A SYSTEM FOR GEAR SHIFT AND PARKING BRAKE ACTUATION AND A VEHICLE COMPRISING A CONTROL DEVICE AND A SYSTEM | 1 |
Mikael Karlsson | SE | Tranemo | 2010-12-09 / 20100307217 - APPARATUS AND METHOD FOR COMPENSATING FOR STRESS DEFORMATION IN A PRESS | 1 |
Per H. Karlsson | SE | Ludvika | 2009-07-02 / 20090168473 - CONVERTER STATION | 1 |
Peter Karlsson | SE | Sodertalje | 2011-01-06 / 20110000735 - STEERING LINKAGE | 1 |
Ralf Karlsson | SE | Henaan | 2009-05-28 / 20090137753 - PROCESS FOR POLYMERIZING OLEFINS IN THE PRESENCE OF AN OLEFIN POLYMERIZATION CATALYST | 1 |
Roger Karlsson | SE | Stockholm | 2015-01-29 / 20150026921 - VACUUM CLEANER NOZZLE COMPRISING FLEXIBLE BELLOW ARRANGEMENT | 5 |
Roger Karlsson | SE | Bollebygd | 2011-04-21 / 20110091864 - Device And Use Thereof | 1 |
Roland Karlsson | SE | Angelholm | 2010-02-11 / 20100034918 - Dynamic Mould Tool | 1 |
Roland Karlsson | SE | Ronneby | 2010-01-07 / 20100003527 - COMPOSITION AND MANUFACTURING PROCESS OF A DECORATIVE SURFACE COVERING | 1 |
Sebastian Karlsson | SE | Sundbyberg | 2016-03-24 / 20160082190 - MEDICAMENT DELIVERY DEVICE | 18 |
Stig-Erik Se-Young Karlsson | SE | Molndal | 2011-08-25 / 20110203708 - SHOCK ABSORBING WHEEL | 2 |
Sven-Gunnar Karlsson | SE | Kristinehamn | 2011-02-24 / 20110045717 - Method For System For A Water Jet Propulsion System For A Ship | 1 |
Mattias Karlsson | SE | Onsala | 2012-02-16 / 20120040370 - SYSTEMS AND METHODS FOR RAPIDLY CHANGING THE SOLUTION ENVIRONMENT AROUND SENSORS | 7 |
Sven-Olof Karlsson | SE | Malmo | 2011-04-07 / 20110082685 - PROVISIONING TEXT SERVICES BASED ON ASSIGNMENT OF LANGUAGE ATTRIBUTES TO CONTACT ENTRY | 3 |
Thomas Karlsson | SE | Sollentuna | 2008-10-16 / 20080250906 - Method and Device for Cutting a Laminate and Laminate Product | 1 |
Tobias Karlsson | SE | Isafjordsgatan | 2010-08-19 / 20100212028 - ANTI-PIRACY SOFTWARE PROTECTION SYSTEM AND METHOD | 1 |
Tomas Karlsson | SE | Karlshamn | 2010-11-25 / 20100293746 - DEVICE FOR SECURING A SOFT ENDING OF THE OPENING MOVEMENT OF A DRAWER | 1 |
Tomas Karlsson | SE | Ranea | 2010-12-23 / 20100325176 - SYSTEM FOR HANDLING GRAPHICS | 3 |
Ulf Bengt Ingemar Karlsson | SE | Bagarmossen | 2015-11-12 / 20150326145 - BRIDGE LEG | 3 |
Sören Karlsson | FI | Solf | 2015-11-19 / 20150330572 - METHOD OF FILLING A FUEL TANK WITH LIQUEFIED GAS AND LIQUEFIED GAS SYSTEM | 5 |
Nils Karlsson | FI | Boback | 2010-01-21 / 20100014456 - Method and Apparatus for Use in a Communications Network | 1 |
Kai Karlsson | FI | Helsinki | 2015-06-25 / 20150174358 - LIQUID SEPARATOR FOR REMOVING A LIQUID FROM A SAMPLE OF A BREATHING GAS AND AIRWAY ADAPTER | 6 |
Matti Karlsson | FI | Viiala | 2011-10-20 / 20110257544 - Apparatus for Detecting Body Condition | 2 |
Markku Karlsson | FI | Helsinki | 2011-04-21 / 20110088862 - PRINTABLE PRODUCT AND A METHOD FOR MANUFACTURING A PRINTABLE PRODUCT | 2 |
Lars Karlsson | SE | Vejbystrand | 2012-06-07 / 20120137843 - TUBE CUTTING | 3 |
Martin Karlsson | SE | Stockholm | 2014-11-27 / 20140347981 - NETWORK COMMUNICATION REDUNDANCY METHOD | 2 |
Patrik Karlsson | SE | Ludvika | 2009-12-10 / 20090303758 - CONVERTER | 1 |
Magnus Karlsson | SE | Oskarshamn | 2016-03-24 / 20160084890 - METHOD FOR PREPARING A CURRENT MEASURING ARRANGEMENT, METHOD FOR MEASURING AN OUTPUT CURRENT, CONTROLLER, SWITCHED MODE POWER SUPPLY, AND BASE STATION | 27 |
Mattias Karlsson | SE | Lidkoping | 2011-09-15 / 20110221193 - WIND TURBINE AND POWER SUPPLY SYSTEM | 1 |
Gert Karlsson | DK | Copenhagen | 2010-02-18 / 20100041996 - Ultrasound Probe | 1 |
Lars Karlsson | SE | Goteborg | 2011-01-13 / 20110010060 - METHOD AND DEVICE FOR AUTOMATIC OR SEMIAUTOMATIC SELECTION OF A BETTER STARTING GEAR IN A VEHICLE | 6 |
Lars Karlsson | SE | Sandviken | 2013-11-07 / 20130294961 - LEAD FREE FREE-CUTTING STEEL AND ITS USE | 2 |
Lars Karlsson | US | Santa Clara | 2015-11-05 / 20150318612 - Method and Apparatus for Wideband, Polarimetric Reception of High Frequency Radio Signals | 6 |
Lars Karlsson | US | San Diego | 2009-03-12 / 20090069343 - Combination Histamine H1R and H4R Antagonist Therapy for Treating Pruritus | 1 |
Lars Karlsson | SE | Taby | 2012-10-25 / 20120271373 - METHOD AND DEVICE FOR DETECTING NOISE | 3 |
Karl Peter Karlsson | SE | Årsta | 2016-02-18 / 20160049817 - POWER TOOL WITH STEP-UP CONVERTER | 1 |
Martin Karlsson | SE | Goteborg | 2012-10-04 / 20120253274 - Medicament Delivery Device | 4 |
Leif Karlsson | SE | Sjuntorp | 2013-03-14 / 20130063151 - Arrangement and Method for Measurements on HV Battery | 1 |
Bo Karlsson | SE | Torslanda | 2013-12-05 / 20130320167 - Method for Hanging Signs and Mounting Device | 2 |
Kent Karlsson | US | Berkeley | 2014-11-27 / 20140351389 - MODIFICATION OF LIVE STREAMS | 19 |
Kristofer Karlsson | SE | Kungsbacka | 2010-05-13 / 20100116625 - MECHANICAL INTERFACE | 1 |
Olof Karlsson | SE | Uppsala | 2012-09-27 / 20120244638 - METHOD AND SYSTEM FOR BINDING BEHAVIOR ANALYSIS | 2 |
Ove Karlsson | SE | Emmaboda | 2016-04-14 / 20160105567 - Methods and Apparatus for Allocating Service Costs in a Telecommunications Network | 5 |
Kent Karlsson | US | Berkeley | 2014-11-27 / 20140351389 - MODIFICATION OF LIVE STREAMS | 19 |
Inge Karlsson | SE | Nol | 2016-03-31 / 20160088795 - APPARATUS FOR TREATING A CROP | 1 |
Jan Karlsson | SE | Falkenberg | 2015-12-03 / 20150344264 - Method for Removal of Material Residues from Rolls with a Material Carrying Core | 4 |
Niclas Karlsson | SE | Umea | 2013-02-28 / 20130049357 - SWIVEL DEVICE | 1 |
Gert Karlsson | DK | Copennagen | 2009-07-02 / 20090171218 - Biopsy System | 1 |
Amy Karlsson | US | Ithaca | 2013-02-21 / 20130045871 - ENGINEERING CORRECTLY FOLDED ANTIBODIES USING INNER MEMBRANE DISPLAY OF TWIN-ARGININE TRANSLOCATION INTERMEDIATES | 1 |
Jonas B. Karlsson | JP | Tokyo | 2013-09-12 / 20130235834 - Selection of Transit Mode During a Random Access Procedure | 2 |
Gert Karlsson | SE | Enskede Gard | 2009-06-11 / 20090145012 - Passively magnetic foil, notice device and use of the notice device | 1 |
Mathias Karlsson | SE | Karlstad | 2015-05-07 / 20150127078 - Method of Determining Hypoxia | 8 |
Patrik Karlsson | SE | Stockholm | 2015-10-22 / 20150305044 - SCHEDULING IN CELLULAR COMMUNICATION SYSTEMS | 10 |
Staffan Karlsson | SE | Molndal | 2010-08-26 / 20100216759 - Novel 2-Azetidinone Derivatives And Their Use As Cholesterol Absorption Inhibitors For The Treatment Of Hyperlipidaemia | 7 |
Patrik Karlsson | SE | Stockholm | 2015-10-22 / 20150305044 - SCHEDULING IN CELLULAR COMMUNICATION SYSTEMS | 10 |
Anders Karlsson | SE | Molnlycke | 2011-06-16 / 20110143385 - PLASMA MEMBRANE VESICLES AND METHODS OF MAKING AND USING SAME | 1 |
Staffan Karlsson | SE | Kista | 2010-08-12 / 20100204942 - SILICON DETECTOR ASSEMBLY FOR X-RAY IMAGING | 2 |
Niklas Karlsson | US | Mountain View | 2015-05-21 / 20150142554 - SYSTEM IDENTIFICATION, ESTIMATION, AND PREDICTION OF ADVERTISING-RELATED DATA | 11 |
Klas Karlsson | SE | Kista | 2009-09-24 / 20090235769 - Device in connection with transmission | 1 |
Johanna Karlsson | SE | Molndal | 2009-04-30 / 20090111856 - Parenteral Formulation Comprising Proton Pump Inhibitor Sterilized in its Final Container by Ionizing Radiation | 1 |
Lennart Karlsson | SE | Fagersta | 2011-05-26 / 20110123829 - Composite coating for finishing of hardened steels | 2 |
Thomas Karlsson | SE | Lund | 2013-06-20 / 20130156212 - METHOD AND ARRANGEMENT FOR NOISE REDUCTION | 4 |
Bjorn Karlsson | SE | Lund | 2014-03-13 / 20140069992 - FORMING DEVICE, MACHINE, PACKAGING LAMINATE WEB, FORMING METHOD AND PROCESS IN PRODUCING PACKAGES | 2 |
Fredrik Karlsson | SE | Lund | 2009-08-13 / 20090203572 - Method for in Vitro Molecular Evolution of Protein Function | 1 |
David Karlsson | SE | Lund | 2015-08-06 / 20150220891 - Method and Apparatus for Securely Distributing Digital Vouchers | 11 |
Ola Karlsson | SE | Lund | 2012-12-20 / 20120322906 - POLYMER AND METHODS OF PREPARING AND USING A POLYMER | 2 |
Marie Asa Ingegerd Karlsson | SE | Lund | 2013-05-23 / 20130129672 - MUTANTS OF INTERLEUKIN- 1 RECEPTOR ANTAGONIST AND USES THEREOF | 2 |
Marie Karlsson | SE | Lund | 2009-08-13 / 20090203572 - Method for in Vitro Molecular Evolution of Protein Function | 1 |
Caroline Karlsson | SE | Lund | 2010-06-10 / 20100143463 - BACTERIAL DIVERSITY | 1 |
Olov Karlsson | US | San Jose | 2016-03-31 / 20160093711 - Tantalum carbide metal gate stack for mid-gap work function applications | 18 |
Per Karlsson | SE | Tullinge | 2011-12-01 / 20110295981 - Personalized Data Distribution Based on Peer-to-Peer Content Delivery | 2 |
Gustav Karlsson | US | Palm Beach Gardens | 2010-09-30 / 20100251368 - SYSTEM AND METHOD FOR HANDLING AN EVENT IN A COMPUTER SYSTEM | 1 |
Magnus Karlsson | SE | Karlskoga | 2012-02-02 / 20120025703 - DEVICE FOR GENERATION OF MICROWAVES | 2 |
Magnus Karlsson | SE | Malmo | 2015-12-31 / 20150374854 - HYPERPOLARIZED ESTERS AS METABOLIC MARKERS IN MR | 15 |
Magnus Karlsson | SE | Kungsangen | 2011-02-03 / 20110028113 - METHOD OF IMPROVING SENSITIVITY AND INTERFERENCE REJECTION IN WIRELESS RECEIVERS | 1 |
Magnus Karlsson | SE | Goteborg | 2010-01-14 / 20100007119 - VEHICLE SEAT UNIT | 1 |
Linus Karlsson | SE | Goteborg | 2015-11-05 / 20150314509 - PROCESS FOR MAKING A CROSS-LINKED POLYETHYLENE ARTICLE | 1 |
Magnus Karlsson | SE | Malmoe | 2015-05-14 / 20150133341 - Intra-Operative Cancer Diagnosis Based on a Hyperpolarized Marker | 1 |
Håkan Karlsson | SE | Sodertalje | 2010-12-30 / 20100325810 - VARIABLE-SIZE BED | 1 |
Håkan Karlsson | SE | Saffle | 2010-09-02 / 20100221438 - Method and Device for Coating | 3 |
Jonas Karlsson | SE | Upplands Vasby | 2011-11-03 / 20110269511 - Method and Arrangement in a Wireless Communication System | 1 |
Jonas Karlsson | SE | Halmstad | 2013-10-10 / 20130264318 - Industrial Fabric for Producing Tissue and Towel Products, and Method of Making Thereof | 5 |
Jonas Karlsson | SE | Goteborg | 2015-05-28 / 20150148426 - NOVEL MODULATORS OF CORTICAL DOPAMINERGIC- AND NMDA-RECEPTOR-MEDIATED GLUTAMATERGIC NEUROTRANSMISSION | 2 |
Jonas Karlsson | SE | Sollentuna | 2011-07-07 / 20110164528 - SELECTION OF TRANSMISSION MODE | 3 |
Jonas Karlsson | US | Rochester | 2013-12-26 / 20130346225 - PERSONALIZED VIRTUAL GOODS HOLIDAY OR EVENT CALENDAR | 9 |
Bjorn C.g. Karlsson | SE | Kalmar | 2012-02-09 / 20120032095 - METHOD AND APPARATUS FOR DETECTING PHARMACEUTICALS IN A SAMPLE | 1 |
Martin R. Karlsson | US | San Francisco | 2011-11-10 / 20110276791 - HANDLING A STORE INSTRUCTION WITH AN UNKNOWN DESTINATION ADDRESS DURING SPECULATIVE EXECUTION | 8 |
Dick Karlsson | SE | Sjuntorp | 2015-12-10 / 20150352946 - ANTI-THEFT DEVICE FOR A FUEL TANK | 1 |
Jonas Karlsson | SE | Falkenberg | 2016-03-31 / 20160090693 - MULTILAYER BELT FOR CREPING AND STRUCTURING IN A TISSUE MAKING PROCESS | 8 |
Jonas Karlsson | SE | Alingsas | 2015-06-11 / 20150162945 - DOCKING SYSTEM FOR A WIRELESS COMMUNICATION DEVICE | 1 |
Kai Erik Karlsson | FI | Helsinki | 2012-06-21 / 20120151990 - HOLDER FOR A LIQUID SEPARATOR AND GAS ANALYZER FOR ANALYZING RESPIRATORY GAS SAMPLES | 1 |
Ulrik Karlsson | DK | Fredericia | 2011-01-13 / 20110008697 - FUEL CELL SENSORS AND METHODS | 1 |
Pär Karlsson | SE | Nykoping | 2013-01-03 / 20130001384 - SUSPENSION DEVICE FOR VEHICLE | 1 |
Joakim Karlsson | SE | Klågerup | 2009-12-31 / 20090322509 - Assignment of Alarms | 1 |
Daniel Karlsson | SE | Knivsta | 2013-04-18 / 20130095400 - ELECTROCHEMICALLY ACTUATED VALVE | 2 |
Benny Karlsson | SE | Eskilstuna | 2008-12-18 / 20080307606 - Hinge | 1 |
Niclas Karlsson | DE | Esslingen Am Neckar | 2010-05-06 / 20100114455 - PROCEDURE FOR CHECKING THE FUNCTIONALITY OF A METERING VALVE OF A NOx-REDUCTION SYSTEM OF A COMBUSTION ENGINE | 1 |
Mats Karlsson | SE | Goteborg | 2012-11-01 / 20120275445 - VEHICLE COMMUNICATION SYSTEM | 1 |
Jonas Karlsson | SE | Goeteborg | 2014-05-08 / 20140128360 - NOVEL MODULATORS OF CORTICAL DOPAMINERGIC- AND NMDA-RECEPTOR-MEDIATED GLUTAMATERGIC NEUROTRANSMISSION | 1 |
Erlendur Karlsson | SE | Uppsala | 2015-08-20 / 20150237086 - Local Media Rendering | 7 |
Ulrika Karlsson | SE | Uppsala | 2011-03-17 / 20110065900 - SEPARATION METHOD UTILIZING POLYALLYLAMINE LIGANDS | 1 |
Johan F. Karlsson | SE | Uppsala | 2011-03-31 / 20110077766 - AUTOMATED COLUMN PACKING METHOD | 1 |
Mikael Karlsson | SE | Uppsala | 2011-04-21 / 20110090484 - Optical Sensor Unit for Evanescence Wave Spectroscopy | 2 |
Robert Karlsson | SE | Uppsala | 2014-05-29 / 20140147937 - METHOD OF DETERMINING ACTIVE CONCENTRATION | 7 |
Evert Karlsson | SE | Uppsala | 2010-09-16 / 20100234454 - TREATMENT OF CNIDARIA INTOXICATION | 1 |
Hans T. Karlsson | SE | Lund | 2012-12-27 / 20120330060 - REMOVAL OF AMMONIA IN UREA FINISHING | 1 |
Arne Karlsson | NO | Oslo | 2015-04-16 / 20150102518 - APPARATUS AND METHOD FOR HIGH THROUGHPUT EXTRUDATE PREPARATION | 3 |
Jenny Margareta Karlsson | NO | Oslo | 2010-12-09 / 20100310463 - Anti-EpCAM Antibodies | 1 |
Rolf B. Karlsson | US | Grand Blanc | 2014-07-17 / 20140196866 - RETURN AIR DUCTS FOR VEHICLES | 3 |
Jan Olof G. Karlsson | NO | Trondheim | 2013-06-20 / 20130157983 - Compounds for Use in the Treatment of Cancer | 2 |
Martin Karlsson | DE | Mannheim | 2010-11-11 / 20100282309 - TANDEM PHOTOVOLTAIC CELL | 1 |
Andreas Karlsson | FR | Paris | 2010-08-05 / 20100197725 - 1-OXO-ISOINDOLINE-4-CARBOXAMIDE AND 1-OXO-1,2,3,4-TETRAHYDROISOQUINOLINE-5-CARBOXAMIDE DERIVATIVES, PREPARATION AND THERAPEUTIC USE THEREOF | 3 |
Per I. Karlsson | US | El Paso | 2010-04-29 / 20100105227 - Trailer Tow Connector Assembly | 1 |
Pontus Karlsson | SE | Örnsköldsvik | 2012-11-08 / 20120283061 - ELECTRIC DRIVE SYSTEM | 1 |
Karl P. Karlsson | US | Redmond | 2010-04-15 / 20100093317 - Targeted Advertisements to Social Contacts | 1 |
Maria Karlsson | GB | Uk-Dundee | 2009-12-17 / 20090312525 - ISOLATED AQUAPORIN IN ITS CLOSED CONFORMATION | 1 |
Magnus Karlsson | SE | Lund | 2016-05-19 / 20160142969 - First Network Node, Second Network Node, and Methods Therein, of Providing a Last Used Public Land Mobile Network Identifier | 4 |
Mats Karlsson | DE | Ludwigsburg | 2009-12-03 / 20090296945 - Method and device for evaluating the annoyance of squeaking noises | 2 |
Borje F.f. Karlsson | BZ | Rio De Janeiro | 2009-04-30 / 20090113323 - DATA CENTER OPERATION OPTIMIZATION | 1 |
Tobias Karlsson | US | Raleigh | 2009-04-16 / 20090100075 - SYSTEM AND METHOD OF MIRRORING A DATABASE TO A PLURALITY OF SUBSCRIBERS | 1 |
Sören Karlsson | FI | Solf | 2009-12-24 / 20090314227 - METHOD OF OPERATING A GAS ENGINE PLANT AND FUEL FEEDING SYSTEM FOR A GAS ENGINE | 1 |
Dan Karlsson | SE | Jonkoping | 2014-09-04 / 20140249781 - MODULAR SURGICAL KIT FOR CARTILAGE REPAIR | 2 |
Jonas Karlsson | SE | Falkenberg | 2016-03-31 / 20160090693 - MULTILAYER BELT FOR CREPING AND STRUCTURING IN A TISSUE MAKING PROCESS | 8 |
Sievert Karl Gunnar Karlsson | SE | Vintrosa | 2011-12-01 / 20110290763 - Device and Method for Powder Handling for Welding Apparatus | 1 |
Henrik Karlsson | SE | Molndal | 2013-09-05 / 20130227818 - HINGE MECHANISM | 2 |
Carl Anton Börje Karlsson | SE | Lund | 2013-08-08 / 20130199997 - Method of Treating Municipal Wastewater and Producing Biomass with Biopolymer Production Potential | 3 |
Samuel Karlsson | SE | Sollentuna | 2015-07-09 / 20150190920 - MODULE SYSTEM COMPRISING A CASE AND A NUMBER OF BOXES | 2 |
Elinor Karlsson | US | Cambridge | 2016-01-28 / 20160024588 - OSTEOSARCOMA-ASSOCIATED RISK MARKERS AND USES THEREOF | 2 |
Hans Karlsson | SE | Kumla | 2012-12-20 / 20120320370 - METHOD AND SYSTEM FOR WHEEL ALIGNMENT OF VEHICLES | 1 |
Kristoffer Karlsson | SE | Lund | 2015-07-30 / 20150215808 - SHARING POWER CONSUMPTION FOR MOBILE GATEWAY FUNCTIONALITY IN A WLAN MESH NETWORK | 1 |
Peter Karlsson | SE | Lund | 2015-08-06 / 20150223285 - TERMINAL-INITIATED POWER MODE SWITCHING | 8 |
Ann Karlsson | SE | Umea | 2014-10-02 / 20140298509 - VEGETABILE MATERIAL, PLANTS AND A METHOD OF PRODUCING A PLANT HAVING ALTERED LIGNIN PROPERTIES | 2 |
Andreas Karlsson | SE | Solna | 2015-12-10 / 20150352365 - METHOD AND SYSTEM FOR DETERMINING PACING SETTINGS | 8 |
Rickard Karlsson | SE | Linkoping | 2011-03-17 / 20110066322 - ESTIMATION OF THE LOAD OF A VEHICLE | 1 |
Andreas Karlsson | SE | Solna | 2015-12-10 / 20150352365 - METHOD AND SYSTEM FOR DETERMINING PACING SETTINGS | 8 |
Kent Karlsson | US | Alamo | 2011-12-08 / 20110302618 - FRAGMENTED FILE STRUCTURE FOR LIVE MEDIA STREAM DELIVERY | 2 |
Dick Karlsson | SE | Värmdö | 2016-05-19 / 20160140676 - VISUALIZATION TOOL FOR FURNITURE ARRANGEMENT IN A REAL ESTATE PROPERTY | 1 |
Carl-Johan Karlsson | SE | Goteberg | 2010-05-27 / 20100126151 - EXHAUST GAS AFTER TREATMENT SYSTEM (EATS) | 1 |
Fredrik Karlsson | SE | Landvetter | 2016-03-17 / 20160078176 - PROCESS AND ARRANGEMENT FOR COLLECTING AND STORING DATA RELATED TO A CONDITION OF AN ABSORBENT PRODUCT | 2 |
Ulric Karlsson | SE | Tullinge | 2013-01-03 / 20130001384 - SUSPENSION DEVICE FOR VEHICLE | 1 |
Peter Karlsson | SE | Lund | 2015-08-06 / 20150223285 - TERMINAL-INITIATED POWER MODE SWITCHING | 8 |
Anders Karlsson | SE | Molndal | 2015-11-05 / 20150315018 - MIXING DEVICE FOR A FUEL REFORMER FOR CONVERTING HYDROCARBON FUELS INTO HYDROGEN RICH GAS | 4 |
Sigurdur Gisli Karlsson | IS | Kopavogur | 2015-12-24 / 20150366679 - PROSTHETIC KNEE | 5 |
Kent Karlsson | US | Albany | 2014-09-04 / 20140250212 - CONTEXTUALLY AWARE CLIENT BUFFER THRESHOLDS | 2 |
Anders Karlsson | SE | Goteborg | 2008-10-23 / 20080259411 - Scanner Arrangement | 1 |
Karl-Anders Karlsson | SE | Goteborg | 2014-08-21 / 20140234318 - TUMOR SPECIFIC OLIGOSACCHARIDE EPITOPES AND USE THEREOF | 2 |
Per Karlsson | SE | Goteborg | 2009-06-11 / 20090146867 - RADAR LEVEL GAUGE SYSTEM AND METHOD PROVIDING A SIGNAL INDICATIVE OF PROCESS RELIABILITY | 1 |
Gunilla Karlsson Hedestam | SE | Stockholm | 2011-10-06 / 20110244477 - ANTIBODY-SECRETING CELL ASSAY | 1 |
Johan Holger Karlstedt | FI | Stromfors | 2013-11-28 / 20130312945 - METHOD AND APPARATUS FOR PROVIDING EFFICIENT HEAT | 1 |
Rolf Karlstedt | SE | Saltsju-Buu | 2012-04-19 / 20120091047 - OIL RESERVOIR COMPRISING AN OIL FILTER | 1 |
Dan Martin Gustav Karlstedt | SE | Torslanda | 2015-07-09 / 20150194286 - SYSTEMS AND METHODS FOR COOLING X-RAY TUBES AND DETECTORS | 3 |
Sofia Karlstrom | GB | Macclesfield | 2015-05-14 / 20150133471 - COMPOUNDS AND THEIR USE AS BACE INHIBITORS | 1 |
Sofia Karlstrom | GB | Cheshire | 2013-12-26 / 20130345272 - Cycloalkyl Ether Compounds and Their Use as Bace Inhibitors | 4 |
Sofia Karlstrom | UK | Macclesfield | 2013-08-15 / 20130210837 - Compounds and Their Use as BACE Inhibitors | 1 |
Per-Olof Karlström | ES | Mojacar | 2015-08-20 / 20150235784 - HIGH VOLTAGE CURRENT INTERRUPTER AND AN ACTUATOR SYSTEM FOR A HIGH VOLTAGE CURRENT INTERRUPTER | 1 |
Sofia Karlström | SE | Sodertalje | 2012-06-28 / 20120165347 - Compounds and their use as BACE Inhibitors | 5 |
Anders Karlström | SE | Göteborg | 2012-10-11 / 20120255691 - Procedure For Controlling The Pulp Quality From Refiners | 2 |
Sven Karlström | SE | Uppsala | 2011-06-16 / 20110139402 - COOLING SYSTEM FOR A VEHICLE DRIVEN BY A COMBUSTION ENGINE | 1 |
Anders Karlström | SE | Göteborg | 2012-10-11 / 20120255691 - Procedure For Controlling The Pulp Quality From Refiners | 2 |
Benny Karlström | FI | Torsholma | 2012-06-28 / 20120160660 - METHOD AND PLANT FOR PURIFICATION OF OIL-CONTAMINATED BILGE AND SLUDGE WATER ON A SHIP, AND SHIP EQUIPPED WITH SUCH PLANT | 1 |
Sven Karlström | SE | Uppsala | 2011-06-16 / 20110139402 - COOLING SYSTEM FOR A VEHICLE DRIVEN BY A COMBUSTION ENGINE | 1 |
Benny Karlström | FI | Torsholma | 2012-06-28 / 20120160660 - METHOD AND PLANT FOR PURIFICATION OF OIL-CONTAMINATED BILGE AND SLUDGE WATER ON A SHIP, AND SHIP EQUIPPED WITH SUCH PLANT | 1 |
Sofia Karlström | SE | Sodertalje | 2011-05-05 / 20110105537 - 5,7-DISUBSTITUTED THIAZOLO[4,5-D]PYRIMIDINES FOR THE SELECTIVE INHIBITION OF CHEMOKINE RECEPTORS | 8 |
Michael L. Karm | US | Cedar Park | 2015-10-15 / 20150293577 - INSTRUCTION LOOP BUFFER WITH TIERED POWER SAVINGS | 6 |
Michael L. Karm | US | Austin | 2015-07-23 / 20150205725 - CACHE FOR PATTERNS OF INSTRUCTIONS | 1 |
Michael Lance Karm | US | Cedar Park | 2009-12-10 / 20090307693 - SYSTEM AND METHOD TO DYNAMICALLY MANAGE APPLICATIONS ON A PROCESSING SYSTEM | 3 |
Iman Karmadi | CA | Vancouver | 2010-09-30 / 20100247447 - DRUG DELIVERY SYSTEM FOR HYDROPHOBIC DRUGS | 1 |
Nemai Karmakar | AU | Wheelers Hill, Victoria | 2015-10-29 / 20150310327 - RFID READER AND TRANSPONDERS | 1 |
Sheeladitya Karmakar | IN | Bangalore | 2014-09-18 / 20140270103 - DEVICES, METHODS, AND SYSTEMS FOR MASS NOTIFICATION | 1 |
Nemai Karmakar | AU | Wheelers Hill | 2014-12-04 / 20140354414 - RFID AND APPARATUS AND METHODS THEREFOR | 1 |
Pallab Karmakar | IN | Bangalore | 2015-06-25 / 20150175915 - SYNGAS COOLER | 1 |
Srimantee Karmakar | CA | Mississauga | 2012-11-29 / 20120303693 - APPARATUS, AND ASSOCIATED METHOD, FOR FACILITATING BACKGROUND PROCESSING OF PUSH CONTENT | 4 |
Anirvan Karmakar | IN | Bangalore | 2015-06-11 / 20150161706 - SYSTEMS AND METHODS FOR PROVIDING SHOPPING RECOMMENDATIONS | 1 |
Nemai Chandra Karmakar | AU | Clayton | 2012-06-28 / 20120161931 - RADIO FREQUENCY TRANSPONDER SYSTEM | 1 |
Sankar Karmakar | IN | West Bengal | 2010-02-18 / 20100039700 - PORTABLE MAGNIFYING INSTRUMENT USEFUL FOR COLPOSCOPY | 1 |
Pallab Karmakar | IN | Gobardanga | 2015-10-01 / 20150275110 - INTEGRATED GASIFIER AND SYNGAS COOLER | 1 |
Pallab Karmakar | IN | West Bengal | 2015-07-16 / 20150197697 - FEED INJECTOR FOR A GASIFICATION SYSTEM | 1 |
Suman Karmakar | US | Foster City | 2015-03-05 / 20150067553 - AUTO DRAW FLOW | 4 |
Subhasish Karmakar | IN | Bangalore | 2016-03-03 / 20160066235 - SYSTEM AND METHOD FOR ENABLING QUICK RECOVERY OF SERVICES FROM A FORBIDDEN PLMN | 2 |
Snehamoy Karmakar | IN | Bangalore | 2011-06-23 / 20110154292 - STRUCTURE BASED TESTING | 1 |
Nirupam Karmakar | IN | Bangalore | 2014-08-28 / 20140240148 - SYSTEM AND METHOD FOR DISPLAYING VISUAL FLIGHT REFERENCE POINTS | 2 |
Ajit Karmaker | US | Wallingford | 2011-12-08 / 20110300511 - FIBER-REINFORCED COMPOSITE POST | 3 |
Haran Karmaker | US | Round Rock | 2013-12-05 / 20130320681 - APPARATUS, SYSTEM, AND METHOD FOR MULTI-STAGE HIGH GEAR RATIO HIGH TORQUE MAGNETIC GEAR | 4 |
Rashida A. Karmali | US | Brooklyn | 2015-02-05 / 20150038349 - Methods and Molecular Pharmacodynamic Biomarkers for Multiple Signaling Pathways in Response to Carboxyamidotriazole Orotate | 9 |
Rashida A. Karmali | US | Brooklyn | 2015-02-05 / 20150038349 - Methods and Molecular Pharmacodynamic Biomarkers for Multiple Signaling Pathways in Response to Carboxyamidotriazole Orotate | 9 |
Priya Prakash Karmali | US | La Jolla | 2010-09-16 / 20100234289 - INTEGRIN BINDING RGD-LIPOPEPTIDES WITH GENE TRANSFER ACTIVITIES | 1 |
Siddhesh Karmali | US | New York | 2016-04-07 / 20160098747 - System and Method for Predicting Card Member Spending Using Collaborative Filtering | 2 |
Priya Karmali | US | San Diego | 2016-05-19 / 20160137593 - Cationic Lipids for Therapeutic Agent Delivery Formulations | 8 |
Priya Karmali | US | San Diego | 2016-05-19 / 20160137593 - Cationic Lipids for Therapeutic Agent Delivery Formulations | 8 |
Mohamed Karmali | CA | Toronto | 2011-06-30 / 20110159593 - METHOD OF REDUCING MOTILITY IN BACTERIA BY OVEREXPRESSION OF A GENE OF OI-1 GENOMIC ISLAND | 1 |
Faisal Karmali | US | Cambridge | 2015-03-05 / 20150064670 - DATA COLLECTION FOR VESTIBULOGRAM CONSTRUCTION | 2 |
Jozsef Karman | US | Bridgewater | 2014-11-27 / 20140348832 - INHIBITORS OF T-CELL ACTIVATION | 1 |
Gerwin Karman | NL | Eindhoven | 2009-12-10 / 20090303401 - DISPLAY DEVICE | 1 |
Vernon D. Karman | US | Poynette | 2013-09-05 / 20130230372 - Container Including a Magnetically Operated Scraper | 2 |
Balazs Karman | HU | Zsombo | 2016-03-17 / 20160076583 - HEAD ASSEMBLY FOR SUPPORTING AND ADJUSTING THE POSITION OF AN OPTICAL OR ELECTRONIC DEVICE | 1 |
Alexander Francis Karman | US | Annandale | 2015-10-08 / 20150286969 - SYSTEM AND METHOD FOR PROVIDING A SCALABLE SEMANTIC MECHANISM FOR POLICY-DRIVEN ASSESSMENT AND EFFECTIVE ACTION TAKING ON DYNAMICALLY CHANGING DATA | 1 |
Gerardus Petrus Karman | NL | 'S-Gravenhage | 2009-08-27 / 20090213568 - MULTI VIEW DISPLAY DEVICE | 6 |
Gerardus Petrus Karman | NL | 'S-Hertogenbosch | 2009-01-01 / 20090002484 - Method and Apparatus for Correcting Misalignment of a Lenticular in a 3-D Television Receiver | 1 |
Geardus P. Karman | NL | 'S-Gravenhage | 2008-09-04 / 20080211734 - Combined Single/Multiple View-Display | 1 |
Gerardus P. Karman | NL | 'S-Hertogenbosch | 2013-05-09 / 20130114019 - MULTI-VIEW DISPLAY | 2 |
Sergey Karmanenko | RU | St. Petersburg | 2014-11-20 / 20140342782 - NOTIFICATION METHOD | 5 |
Sergey S. Karmanenko | RU | St. Petersburg | 2013-01-17 / 20130016120 - MENU INPUT APPARATUS AND METHOD USING CAMERA OF MOBILE COMMUNICATIONS TERMINAL | 1 |
Sergey Karmanenko | RU | Moscow | 2008-12-18 / 20080311926 - NETWORK SIGNALING FOR POINT-TO-MULTIPOINT SERVICE OVER SINGLE FREQUENCY NETWORK MODE | 1 |
Georgy Karmanov Kotliarov | ES | Barcelona | 2014-09-11 / 20140250753 - ELECTRONIC SAFETY AND CONTROL DEVICE FOR FIREARMS | 1 |
Raymond A. Karmansky | US | North Olmsted | 2012-02-23 / 20120042741 - MECHANICAL ACTUATOR | 1 |
Amit Karmarkar | US | Palo Alto | 2015-07-30 / 20150213123 - METHOD AND SYSTEM OF SCORING DOCUMENTS BASED ON ATTRIBUTES OBTAINED FROM A DIGITAL DOCUMENT BY EYE-TRACKING DATA ANALYSIS | 10 |
Sharada Karmarkar | US | Palo Alto | 2013-02-28 / 20130054622 - METHOD AND SYSTEM OF SCORING DOCUMENTS BASED ON ATTRIBUTES OBTAINED FROM A DIGITAL DOCUMENT BY EYE-TRACKING DATA ANALYSIS | 5 |
Kedar K. Karmarkar | IN | Pune | 2012-09-20 / 20120236871 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR CONFIGURABLE DIAMETER ADDRESS RESOLUTION | 1 |
Kedar Kashinath Karmarkar | IN | Pune | 2013-12-26 / 20130346549 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR INTER-DIAMETER-MESSAGE PROCESSOR ROUTING | 6 |
Aditya Pradeep Karmarkar | IN | Hyderabad | 2015-07-23 / 20150205904 - PLACING TRANSISTORS IN PROXIMITY TO THROUGH-SILICON VIAS | 4 |
Sharada Kalanidhi Karmarkar | US | Palo Alto | 2014-11-06 / 20140330650 - SETTING COMPUTING DEVICE FUNCTIONALITY BASED ON TOUCH-EVENT PROPERTIES | 3 |
Prabhakar Umesh Karmarkar | IN | Maharashtra | 2012-02-02 / 20120024667 - ADJUSTABLE SYSTEM FOR TRANSFERRING AND ACCUMULATING PHARMACEUTICAL DEVICES | 1 |
Kedar Kashinath Karmarkar | US | Pune | 2011-08-18 / 20110200054 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR PROVIDING LOCAL APPLICATION ROUTING AT A DIAMETER NODE | 1 |
Sandeep Karmarkar | IN | Pune | 2015-09-24 / 20150269082 - CACHE SYSTEM USING SOLID STATE DRIVE | 4 |
Amit V. Karmarkar | US | Palo Alto | 2015-07-30 / 20150213634 - METHOD AND SYSTEM OF MODIFYING TEXT CONTENT PRESENTATION SETTINGS AS DETERMINED BY USER STATES BASED ON USER EYE METRIC DATA | 9 |
Dileep Karmarkar | US | Warren | 2008-08-21 / 20080196763 - AMMONIA VAPORIZATION SYSTEM USING NON-FLUE GAS INTERMEDIATE HEAT TRANSFER MEDIUM | 2 |
Jayant S. Karmarkar | US | Aptos | 2011-03-17 / 20110065502 - SLIM TERMINAL GAMING SYSTEM | 2 |
Parag V. Karmarkar | US | Columbia | 2015-08-13 / 20150223905 - SURGICAL IMAGE-GUIDED NAVIGATION DEVICES AND RELATED SYSTEMS | 13 |
Amit V. Karmarkar | US | Palo Alto | 2015-07-30 / 20150213634 - METHOD AND SYSTEM OF MODIFYING TEXT CONTENT PRESENTATION SETTINGS AS DETERMINED BY USER STATES BASED ON USER EYE METRIC DATA | 9 |
Kedar Karmarkar | US | Morrisville | / - | 1 |
Amit Vishram Karmarkar | US | Palo Alto | 2015-05-14 / 20150135309 - METHOD AND SYSTEM OF USER AUTHENTICATION WITH EYE-TRACKING DATA | 7 |
Amit Karmarkar | US | Palo Alo | 2011-06-23 / 20110154363 - SMART DEVICE CONFIGURED TO DETERMINE HIGHER-ORDER CONTEXT DATA | 1 |
Makarand Karmarkar | US | Salt Lake City | 2013-06-27 / 20130161614 - NANOSTRUCTURED FILMS AND RELATED METHODS | 3 |
Parag Karmarkar | US | Columbia | 2014-09-18 / 20140266207 - ELECTRICAL LEAD ASSEMBLIES FOR MRI-COMPATIBLE MEDICAL DEVICES AND MRI-COMPATIBLE MEDICAL DEVICES INCORPORATING SAME | 1 |
Nachiket Karmarkar | IN | Bangalore | 2016-03-24 / 20160082245 - APPARATUS AND METHOD FOR MAKING A STERILE CONNECTION OF FLEXIBLE TUBING | 1 |
Robert Karmazin | US | Ithaca | 2016-03-24 / 20160085898 - AUTOMATED LAYOUT FOR INTEGRATED CIRCUITS WITH NONSTANDARD CELLS | 1 |
Roman Karmazin | DE | Muenchen | 2010-03-11 / 20100062267 - LTCC LAYER STACK | 1 |
Yigal Karmazin | IL | Petah Tikva | 2015-08-06 / 20150220417 - MONITORING USER ACTIVITY AND PERFORMANCE OF COMPUTERIZED DEVICES | 1 |
Roman Karmazin | DE | Munchen | 2009-10-22 / 20090263579 - Dry Composition, Its Use, Layer System and Coating Process | 1 |
Daniel David Karmazyn | US | Boca Raton | 2015-10-22 / 20150302440 - CLOUD COMPUTING SOLUTION GENERATION SYSTEMS AND METHODS | 2 |
Elizabeth Karmazyn | CA | Toronto | 2015-10-15 / 20150294387 - METHOD AND SYSTEM OF FACILITATING SHOPPING THROUGH A HANDHELD DEVICE AND MOBILE NETWORK | 1 |
Boaz Karmazyn | US | Indianapolis | 2014-12-25 / 20140376688 - Method And Apparatus That Automates Tube Current And Voltage Selection For CT Scans | 3 |
Daniel David Karmazyn | CA | Toronto | 2009-01-01 / 20090002320 - Keyboard With Surface for Computer Mouse Operation and Moveable Numeric Keypad | 1 |
Daniel David Karmazyn | CA | Thornhill | 2010-11-18 / 20100289230 - SINGLE FOOT SKATE | 2 |
Michael J. Karmazyn | US | Sparrows Point | 2010-10-21 / 20100263444 - SENSOR SHIELD RETENTION DEVICE AND SYSTEM | 1 |
Harry John Karmazyn | GB | Loughborough | 2016-03-24 / 20160083250 - FUEL SUPPLY APPARATUS | 2 |
Clayton Karmel | US | San Diego | 2016-03-03 / 20160063843 - Security System For A Motorized Cycle | 1 |
Clayton R. Karmel | US | San Diego | 2009-08-06 / 20090198603 - Flexible time-based disablement of equipment | 1 |
A. Matthew Karmel | US | West Bloomfield | 2009-12-17 / 20090313030 - PROCESS AND SYSTEM FOR GEOGRAPHICALLY OPTIMIZING THE NET DISPOSABLE INCOME OF A USER | 1 |
Dan M. Karmel | US | Beverly Hills | 2009-12-17 / 20090313030 - PROCESS AND SYSTEM FOR GEOGRAPHICALLY OPTIMIZING THE NET DISPOSABLE INCOME OF A USER | 1 |
Roger Albert Karmes | US | North East | 2011-06-02 / 20110131009 - METHOD FOR CHARACTERIZING HIDING OF COATING COMPOSITIONS AND APPARATUS USED THEREFOR | 2 |
Robert Karmes | US | Delton | 2009-12-03 / 20090300365 - Vehicle Diagnostic System Security with Memory Card | 1 |
Robert B. Karmes | US | Delton | 2009-12-24 / 20090319463 - Color Scheme and Style Controller System | 1 |
Yair Karmi | US | Bridgewater | 2014-05-22 / 20140140441 - METHOD, SYSTEM AND APPARATUS FOR THE CONROL OF TRANSMIT DIVERSITY | 16 |
Yair Karmi | US | San Diego | 2014-12-04 / 20140359757 - USER AUTHENTICATION BIOMETRICS IN MOBILE DEVICES | 7 |
Yair Karmi | US | Bridgewater | 2014-05-22 / 20140140441 - METHOD, SYSTEM AND APPARATUS FOR THE CONROL OF TRANSMIT DIVERSITY | 16 |
Gadi Karmi | US | Chicago | 2010-09-02 / 20100220688 - DISTRIBUTED INFRASTRUCTURE FOR WIRELESS DATA COMMUNICATIONS | 1 |
Brian Andrew Karmie | US | Uniontown | 2015-10-22 / 20150299964 - IMPERMEABLE LINER, SUBSTRATE, AND ARTIFICIAL GRASS SURFACE FOR ANIMALS AND HUMAN BEINGS TO WALK THEREON WITH HIDDEN CLEANING STRUCTURE AND METHOD FOR USE THEREOF | 2 |
Dale Edwin Karmie | US | Hartville | 2015-10-22 / 20150299964 - IMPERMEABLE LINER, SUBSTRATE, AND ARTIFICIAL GRASS SURFACE FOR ANIMALS AND HUMAN BEINGS TO WALK THEREON WITH HIDDEN CLEANING STRUCTURE AND METHOD FOR USE THEREOF | 2 |
Kenneth Alan Karmie | US | Uniontown | 2015-10-22 / 20150299964 - IMPERMEABLE LINER, SUBSTRATE, AND ARTIFICIAL GRASS SURFACE FOR ANIMALS AND HUMAN BEINGS TO WALK THEREON WITH HIDDEN CLEANING STRUCTURE AND METHOD FOR USE THEREOF | 2 |
Apostolos Karmirantzos | US | Sunnyvale | 2014-12-04 / 20140359009 - PRIORITIZED CONTENT RETRIEVAL FROM SOCIAL NETWORK SERVERS | 1 |
Christos Karmis | US | Irvine | 2016-05-05 / 20160127899 - SYSTEM AND METHOD FOR DEVICE AUTHENTICATION IN A DYNAMIC NETWORK USING WIRELESS COMMUNICATION DEVICES | 12 |
Christos Karmis | US | Irvine | 2016-05-05 / 20160127899 - SYSTEM AND METHOD FOR DEVICE AUTHENTICATION IN A DYNAMIC NETWORK USING WIRELESS COMMUNICATION DEVICES | 12 |
Kfir Karmon | IL | Tel Aviv | 2014-12-18 / 20140372231 - ONLINE SELLING MECHANISM CREATION | 5 |
Yoram Karmon | IL | Petach Tikvah | 2013-07-18 / 20130184514 - PRESSURE ACTUATED SINGLE-LUMEN BLOOD PUMPING DEVICE | 1 |
Kfir Karmon | IL | Petach-Tikva | 2015-04-23 / 20150112995 - INFORMATION RETRIEVAL FOR GROUP USERS | 5 |
Yoram Karmon | IL | Petah Tikva | 2016-04-14 / 20160101034 - ENZYMATIC SYSTEM-CONTAINING COSMETIC COMPOSITIONS | 1 |
Ben-Zion Karmon | IL | Petach-Tikva | 2016-03-24 / 20160081771 - CONDENSING SKELETAL IMPLANT THAT FACILITATE INSERTIONS | 13 |
Natan Karmon | IL | Doar-Na Misgav | 2011-01-13 / 20110009748 - TRANSPERINEAL PROSTATE BIOPSY SYSTEM AND METHODS | 1 |
Nili Karmon | IL | Tel-Aviv | 2009-01-15 / 20090016617 - Sender dependent messaging viewer | 1 |
Ben-Zion Karmon | IL | Petach-Tikva | 2016-03-24 / 20160081771 - CONDENSING SKELETAL IMPLANT THAT FACILITATE INSERTIONS | 13 |
Yoram Karmon | IL | Petach Tikva | 2008-09-11 / 20080218345 - Intermediate Attachment Mechanism and Use Thereof in Rfid Transponder | 1 |
Moti Karmona | IL | Hod Hasharon | 2013-11-07 / 20130297697 - OBJECT DRIVEN NEWSFEED | 1 |
Christof Karmonik | US | Sugar Land | 2010-03-25 / 20100074494 - Automated wall motion quantification in aortic dissections | 1 |
Grigory Karmy | CA | Toronto | 2016-02-04 / 20160030409 - METHOD FOR RELIEVING CHRONIC PAIN | 1 |
Keith S. Karn | US | Avon | 2012-05-10 / 20120113515 - IMAGING SYSTEM WITH AUTOMATICALLY ENGAGING IMAGE STABILIZATION | 5 |
Keith Stoll Karn | US | Narbeth | 2015-05-07 / 20150124122 - METHOD FOR DELETING DATA FILES IN AN ELECTRONIC DEVICE | 2 |
Thomas Karn | DE | Oberursel | 2009-08-13 / 20090203533 - Methods and Kits for Predicting and Monitoring Direct Response to Cancer Therapy | 1 |
Gerald Karn | DE | Pfungstadt | 2011-06-02 / 20110129674 - GLASS-CERAMIC DISCS FOR USE IN PIGMENTS | 1 |
Keith S. Karn | US | Narberth | 2014-05-01 / 20140118566 - Automatic Engagement of Image Stabilization | 1 |
Holger Karn | DE | Aidlingen | 2012-02-09 / 20120036516 - INTEGRATED EXTENSION FRAMEWORK | 3 |
William Snyder Karn | US | Bellevue | 2012-11-15 / 20120288727 - Foamed carbon space craft | 1 |
Holger Karn | DE | Boeblingen | 2013-03-14 / 20130067327 - DETERMINING EVENT PATTERNS FOR MONITORED APPLICATIONS | 2 |
Keith Stoll Karn | US | Avon | 2015-04-09 / 20150097980 - Digital Camera Having Multiple Image Capture Systems | 11 |
Keith Stoll Karn | US | Avon | 2015-04-09 / 20150097980 - Digital Camera Having Multiple Image Capture Systems | 11 |
Jeffrey D. Karn | US | Warrington | 2013-02-07 / 20130035156 - GAME OF CHANCE ON INPUT OF CURRENCY FOR AMUSEMENT DEVICES | 3 |
Kartheek Karna | US | Fargo | 2008-10-09 / 20080247139 - ELECTRICAL CIRCUIT ASSEMBLY FOR HIGH-POWER ELECTRONICS | 1 |
Heikki Karna | FI | Vasterskog | 2012-02-16 / 20120036723 - Axe | 1 |
Prabha Karnachi | US | Hillsborough | 2010-11-04 / 20100279986 - HETEROCYCLIC DERIVED METALLOPROTEASE INHIBITORS | 1 |
Prabha Saba Karnachi | US | Hillsborough | 2015-06-04 / 20150152058 - 3-AMINO-PYRIDINES AS GPBAR1 AGONISTS | 4 |
Anees Abdulquadar Karnachi | US | Hillsborough | 2010-03-25 / 20100076084 - Method of Treating Metabolic Disorders, Especially Diabetes, or a Disease or Condition Associated with Diabetes | 2 |
Prabha S. Karnachi | US | Hillsborough | 2012-06-21 / 20120157412 - Substituted Pyrimidinyl Oxime Kinase Inhibitors | 1 |
Ravindra Karnad | IN | Bangalore | 2014-11-20 / 20140338467 - MULTI-CHANNEL FLOW SENSING | 3 |
Paul E. Karnafel | US | Foxborough | 2012-10-11 / 20120256114 - POWER INJECTABLE VALVE DESIGNS | 2 |
Dabeer Rauf Karnalkar | IN | Pune | 2012-12-13 / 20120316238 - NOVEL POLYMOMORPH OF DESVENLAFAXINE BENZOATE | 1 |
Anup D. Karnalkar | US | Richardson | 2013-03-28 / 20130078957 - System for Processing Recorded Messages | 1 |
Dabeer Karnalkar | IN | Maharashtra | 2012-05-03 / 20120108809 - PROCESS FOR PREPARATION OF EFAVIRENZ | 1 |
Anup Karnalkar | US | Allen | 2015-11-26 / 20150341944 - METHOD AND APPARATUS FOR PROVISIONING A COMMUNICATION DEVICE | 7 |
Anup D. Karnalkar | US | Allen | 2016-03-24 / 20160088138 - Method and Apparatus for Managing Communications | 37 |
Pavan Karnam | US | Seattle | 2015-05-07 / 20150127347 - DETECTING SPEECH INPUT PHRASE CONFUSION RISK | 2 |
Pramod Karnam | US | Rolling Meadows | 2012-11-01 / 20120276939 - METHOD FOR RE-ENTRY INTO A COMMUNICATION NETWORK AFTER RADIO FREQUENCY OUTAGE | 5 |
Mohan Karnam | IN | Banglore | 2013-09-19 / 20130243062 - Receive Signal Detection of Multi-Carrier Signals | 1 |
Sujai Holal Karnam | IN | Bangalore | 2013-07-18 / 20130184016 - METHOD TO SEND A SHORT MESSAGE SERVICE (SMS) CAMPAIGN TO A RELATED OBJECT BY SELECTING THE BASE OBJECT | 1 |
Sujai Holal Karnam | IN | Karnataka | 2011-08-04 / 20110191380 - METHOD TO SEND A SHORT MESSAGE SERVICE (SMS) CAMPAIGN TO A RELATED OBJECT BY SELECTING THE BASE OBJECT | 1 |
Venkatsh Karnam | US | Sunnyvale | 2010-04-22 / 20100100445 - SYSTEM AND METHOD FOR TARGETING THE DELIVERY OF INVENTORIED CONTENT OVER MOBILE NETWORKS TO UNIQUELY IDENTIFIED USERS | 1 |
Venkatesh Karnam | US | Sunnyvale | 2009-07-30 / 20090192866 - System and method for using key-value pairing to identify uniquely a communication device on a mobile network | 2 |
Mohan Ramasudha Karnam | US | Sunnyvale | 2009-01-01 / 20090003234 - Link quality prediction | 1 |
Neha Sindavala Karnam | US | San Diego | 2014-01-16 / 20140020024 - INTUITIVE IMAGE-BASED PROGRAM GUIDE FOR CONTROLLING DISPLAY DEVICE SUCH AS A TELEVISION | 1 |
Ravi Chandra Karnam | US | Plainsboro | 2015-04-30 / 20150120460 - Displaying Advertisement using Personal pictures | 1 |
Prashanth Karnamadakala | IN | Bangalore | 2010-12-30 / 20100332757 - Integrated Pipeline Write Hazard Handling Using Memory Attributes | 1 |
Supriya Karnani | IN | Pune | 2014-10-30 / 20140320148 - SYSTEM AND METHOD FOR DETECTING EXCESS VOLTAGE DROP IN THREE-PHASE AC CIRCUITS | 1 |
Sohini Kar-Narayan | GB | Cambridgeshire | 2014-02-06 / 20140036449 - Method for limiting the variation in the temperature of an electrical component | 1 |
Georgios Karnas | US | Menlo Park | 2016-03-03 / 20160063127 - METHOD AND APPARATUS FOR IDENTIFYING COMMON INTEREST BETWEEN SOCIAL NETWORK USERS | 1 |
George Karnas | US | Mountain View | 2014-04-10 / 20140101243 - METHOD AND APPARATUS FOR IDENTIFYING COMMON INTEREST BETWEEN SOCIAL NETWORK USERS | 1 |
Ryan Karnas | US | Leesburg | 2016-05-05 / 20160127569 - REAL TIME, MACHINE-BASED ROUTING TABLE CREATION AND ENHANCEMENT FOR TOLL-FREE TELECOMMUNICATIONS | 2 |
Adam J. Karnas | US | Brooklyn | 2014-05-29 / 20140145925 - DEVICE AND METHOD FOR PERFORMING A FUNCTIONALITY | 1 |
Georgios Karnas | US | Mountain View | 2014-06-19 / 20140168205 - Scrolling 3D Presentation of Images | 2 |
Dimitri Karnastamlis | US | Sugar Land | 2009-07-09 / 20090173392 - Continuous Gas Service Restoration and Meter Change-Out System | 1 |
Bjoern Karnat | DE | March | 2015-10-29 / 20150308528 - Actuator arrangement | 1 |
Surendra Karnatapu | US | Bloomington | 2015-11-05 / 20150317741 - Online Claim System for Automated Insurance Claim Monitoring | 4 |
Dirk Fried Karnath | DE | Duesseldorf | 2015-05-28 / 20150149217 - METHOD AND SYSTEM FOR REAL TIME VISUALIZATION OF INDIVIDUAL HEALTH CONDITION ON A MOBILE DEVICE | 1 |
Dayavanti G. Karnath | US | Santa Clara | 2013-04-11 / 20130088969 - NETWORK TRAFFIC DISTRIBUTION | 1 |
Apurv Ullas Karnath | US | San Diego | 2016-04-28 / 20160113558 - SYSTEM AND METHOD FOR MODE SWITCHING | 1 |
Varun Karnati | IN | Miryalaguda | 2014-09-18 / 20140278326 - SERVICE PLACEMENT TECHNIQUES FOR A CLOUD DATACENTER | 1 |
Sambasiva R. Karnati | US | Flower Mound | 2014-10-30 / 20140325537 - CONTENT PRICE & REVENUE DISTRIBUTION MODEL | 1 |
Rangarani Karnati | US | St. Charles | 2016-03-03 / 20160060454 - FUNCTIONALIZED POLYMER COMPOSITIONS | 3 |
Rangarani Karnati | US | Naperville | 2015-02-12 / 20150041091 - USE OF NANOCRYSTALINE CELLULOSE AND POLYMER GRAFTED NANOCRYSTALINE CELLULOSE FOR INCREASING RETENTION, WET STRENGTH, AND DRY STRENGTH IN PAPERMAKING PROCESS | 3 |
Vishnu Karnati | US | Sudbury | 2014-07-03 / 20140187795 - CRTH2 MODULATORS AND PREPARATION THEREOF | 1 |
Sivakumar Karnati | US | Canoga Park | 2010-05-13 / 20100121409 - SYSTEM AND METHOD FOR DETERMINING APPROPRIATE STEERING TABLES FOR DISTRIBUTING STIMULATION ENERGY AMONG MULTIPLE NEUROSTIMULATION ELECTRODES | 1 |
Venkateswarlu Karnati | IN | Guntur | 2011-07-28 / 20110182497 - CASCADE STRUCTURE FOR CLASSIFYING OBJECTS IN AN IMAGE | 1 |
Thomas Alan Karnatz, Jr. | US | Raleigh | 2012-04-19 / 20120095972 - System and Method for Configuring Business Entity Search Logic | 1 |
Daniil Karnaushenko | DE | Dresden | 2012-12-27 / 20120326714 - METHOD FOR THE PRODUCTION OF PRINTED MAGNETIC FUNCTIONAL ELEMENTS FOR RESISTIVE SENSORS AND PRINTED MAGNETIC FUNCTIONAL ELEMENTS | 1 |
Kuldeep Karnawat | US | Seattle | 2012-06-28 / 20120166985 - TECHNIQUES TO CUSTOMIZE A USER INTERFACE FOR DIFFERENT DISPLAYS | 4 |
Kuldeep Karnawat | US | Bellevue | 2013-04-25 / 20130103699 - AUTOMATICALLY FINDING CONTEXTUALLY RELATED ITEMS OF A TASK | 2 |
Wilhelm Karnbrock | DE | Bensheim | 2016-02-18 / 20160046556 - PROCESS FOR PREPARING (METH)ACRYLATES | 4 |
Ramesh K. Karne | US | Finksburg | 2009-03-26 / 20090083766 - SYSTEMS FOR PERFORMING BARE MACHINE COMPUTER APPLICATIONS | 1 |
Kaido Karner | EE | Tallinn | 2009-05-28 / 20090136016 - Transferring a communication event | 2 |
Uwe Karner | AT | Linz | 2015-01-15 / 20150017853 - LAMINATES | 1 |
Georg Karner | AT | Wien | 2012-06-07 / 20120143786 - Onboard Unit and Method for Charging Occupant Number-Dependent Tolls for Vehicles | 2 |
Thomas Karner | AT | Vienna | 2012-10-25 / 20120270859 - INDOLINE DERIVATIVES AND THEIR USE IN TREATING DISEASE-STATES SUCH AS CANCER | 4 |
Ruediger Karner | DE | Kornwestheim | 2016-05-05 / 20160121842 - Apparatus for operating a cold-gas generator for a vehicle | 9 |
Stephen Karner | US | Bangor | 2014-02-13 / 20140041732 - Process for Accessing Underground Pressurized Gas Main | 1 |
Leopold Karner | AT | Krummnussbaum | 2015-03-19 / 20150074968 - METHOD AND APPARATUS FOR CHANGING SPACERS | 3 |
Juergen Karner | DE | Nauheim | 2008-10-02 / 20080236970 - Optimized Hydraulic Brake System | 1 |
Norbert Karner | AT | Krummnussbaum | 2009-07-09 / 20090173468 - DRYER SECTION IN A PAPER MACHINE | 1 |
Jürgen Karner | DE | Frankfurt | 2013-08-15 / 20130211685 - METHOD FOR OPERATING A BRAKE SYSTEM, BRAKE SYSTEMS IN WHICH THE METHOD IS CARRIED OUT AND MOTOR VEHICLES COMPRISING SAID BRAKE SYSTEMS | 1 |
Günter Karner | AT | Saeusenstein | 2014-06-26 / 20140174141 - ROLLING SYSTEM AND ROLLING METHOD | 1 |
Donald Karner | US | Phoenix | 2009-04-09 / 20090090054 - HYDROGASIFICATION REACTOR AND METHOD OF OPERATING SAME | 1 |
Werner Karner | AT | Graz | 2015-04-09 / 20150098755 - STRUCTURAL COMPONENT | 2 |
Uwe Karner | AT | Pasching | 2015-01-29 / 20150031818 - FIBRE REINFORCED COMPOSITE MOULDING | 1 |
Lee Karner | US | Holland | 2016-03-03 / 20160059782 - MULTI-CAMERA VISION SYSTEM FOR A VEHICLE | 14 |
James E. Karner | US | Orangeville | 2015-03-12 / 20150069736 - Towing Vehicle Guidance for Trailer Hitch Connection | 1 |
Lee Karner | US | Holland | 2016-03-03 / 20160059782 - MULTI-CAMERA VISION SYSTEM FOR A VEHICLE | 14 |
James Edward Karner | US | Orangevale | 2011-09-08 / 20110216199 - TOWING VEHICLE GUIDANCE FOR TRAILER HITCH CONNECTION | 1 |
David Karner | US | Raleigh | 2009-07-09 / 20090177801 - System and Method for Detecting Free and Open Wireless Networks | 1 |
David Edward Karner | US | Raleigh | 2010-02-11 / 20100037207 - APPARATUS, SYSTEM AND METHOD FOR INTEGRATED CUSTOMIZATION OF MULTIPLE DISK IMAGES INDEPENDENT OF OPERATING SYSTEM TYPE, VERSION OR STATE | 1 |
Konrad Karner | AT | Raaba | 2016-03-10 / 20160071306 - REDUNDANT PIXEL MITIGATION | 8 |
Stephen Karner | US | Kingwood | 2013-08-29 / 20130220604 - Methods For Establishing A Subsurface Fracture Network | 1 |
Jürgen Karner | DE | Frankfurt | 2013-08-15 / 20130211685 - METHOD FOR OPERATING A BRAKE SYSTEM, BRAKE SYSTEMS IN WHICH THE METHOD IS CARRIED OUT AND MOTOR VEHICLES COMPRISING SAID BRAKE SYSTEMS | 1 |
Ruediger Karner | DE | Kornwestheim | 2016-05-05 / 20160121842 - Apparatus for operating a cold-gas generator for a vehicle | 9 |
Konrad Karner | AT | Graz | 2014-09-18 / 20140267351 - MONOCHROMATIC EDGE GEOMETRY RECONSTRUCTION THROUGH ACHROMATIC GUIDANCE | 1 |
Lee H. Karner | US | Holland | 2010-01-07 / 20100000359 - SHIFTER WITH ONE-TOUCH ASSEMBLY | 1 |
Christian Karner | AT | Ilz | 2016-03-10 / 20160068171 - CHASSIS FRAME FOR A RAIL VEHICLE | 1 |
Donald B. Karner | US | Phoenix | 2013-07-04 / 20130169220 - ELECTRICITY TRANSFER SYSTEM AND RELATED SYSTEMS AND METHODS | 10 |
Konrad Karner | AT | Raaba | 2016-03-10 / 20160071306 - REDUNDANT PIXEL MITIGATION | 8 |
Donald B. Karner | US | Phoenix | 2013-07-04 / 20130169220 - ELECTRICITY TRANSFER SYSTEM AND RELATED SYSTEMS AND METHODS | 10 |
Guenter Karner | AT | Ybbs An Der Donau | 2015-05-07 / 20150122865 - STRIP DEFLECTION DEVICE | 1 |
Gregory J. Karnes | US | Naples | 2009-09-03 / 20090222012 - CANINE ELBOW REPAIR AND INSTRUMENTATION | 1 |
Grant Allen Karnes | US | Cincinnati | 2014-02-27 / 20140058800 - METHOD AND SYSTEM FOR EVALUATING OPERATION CONTINUITY | 1 |
R. Jeffrey Karnes | US | Rochester | 2015-07-09 / 20150191789 - METHODS AND MATERIALS FOR IDENTIFYING MAMMALS HAVING PROSTATE CANCER | 2 |
Thomas H. Karnes | US | Richmond | 2011-07-14 / 20110171671 - METHOD FOR DIAGNOSING ACUTE CARDIAC ISCHEMIA | 1 |
Joshua D. Karnes | US | Cedar Park | 2016-04-21 / 20160112182 - Network Packet Timing Synchronization For Virtual Machine Host Systems | 4 |
H. Thomas Karnes | US | Richmond | 2013-04-11 / 20130089880 - Method for Diagnosing Acute Cardiac Ischemia | 1 |
William Michael Karnes | US | Naples | 2016-04-21 / 20160106544 - ANATOMIC OSTEOTOMY WEDGE | 2 |
G. Joshua Karnes | US | Naples | 2016-03-24 / 20160081700 - METHOD FOR FORMING NON-CIRCULAR CARTILAGE GRAFTS | 2 |
Gregory Joshua Karnes | US | Estero | 2012-08-09 / 20120203352 - MINIMALLY INVASIVE TOTAL HIP REPLACEMENT | 1 |
Gregory Joshua Karnes | US | Naples | 2015-05-07 / 20150127011 - TPLO PLATE WITH SUTURE HOLES FOR ROTATIONAL STABILITY | 3 |
Brian Joe Karnes | US | Fort Gibson | 2009-05-28 / 20090133616 - Truck Bed Stake Hole Assembly | 1 |
Karl Karnes | US | Spring | 2012-05-24 / 20120127466 - PVT ANALYSIS OF PRESSURIZED FLUIDS | 1 |
John Michael Karnes | US | Elizabethtown | 2009-12-31 / 20090320182 - TROUSER WITH EXPANSIBLE WAIST AND HIDDEN WAISTBAND OPENING | 1 |
Luther Mckinley "joe" Karnes | US | Fort Gibson | 2009-05-28 / 20090133616 - Truck Bed Stake Hole Assembly | 1 |
Mary Karnes | US | Boulder | 2012-08-23 / 20120216270 - Method and Apparatus for Graphical Presentation of Firewall Security Policy | 1 |
Jason Wayne Karnes | US | Chesterfield | 2011-10-06 / 20110246763 - Parallel method, machine, and computer program product for data transmission and reception over a network | 1 |
Mary E. Karnes | US | Boulder | 2015-07-09 / 20150195308 - ASSESSMENT OF NETWORK PERIMETER SECURITY | 2 |
David Karnes | US | Issaquah | 2016-01-07 / 20160004825 - ONTOLOGICAL MEDICAL CODING METHOD, SYSTEM, AND APPARATUS | 1 |
G. Joshua Karnes | US | Esterno | 2013-10-10 / 20130268082 - CANINE ELBOW REPAIR AND INSTRUMENTATION | 1 |
Donovan Karnes | US | Troy | 2009-10-01 / 20090241336 - METHOD AND APPARATUS FOR MAKING CORED WIRE | 1 |
G. Joshua Karnes | US | Estero | 2015-10-22 / 20150297351 - CANINE ELBOW REPAIR AND INSTRUMENTATION | 3 |
Ioannis Karnezis | GR | Athens | 2011-10-13 / 20110251646 - SURGICAL DEVICE FOR CORRECTION OF SPINAL DEFORMITIES | 1 |
Marcos Karnezos | US | Palo Alto | 2011-05-19 / 20110115099 - Flip-chip underfill | 8 |
Marcos Karnezos | US | Palo Alto | 2011-05-19 / 20110115099 - Flip-chip underfill | 8 |
Oded Karni | US | Sunnyvale | 2015-05-14 / 20150134871 - REDUCED HOST DATA COMMAND PROCESSING | 2 |
Zachi Karni | IL | Givat Ella | 2015-08-06 / 20150221008 - Print Product Designer | 4 |
Jacob Karni | IL | Rehovot | 2015-05-28 / 20150144498 - DEVICE AND APPARATUS FOR CARRYING OUT CHEMICAL DISSOCIATION REACTIONS AT ELEVATED TEMPERATURES | 10 |
Arnon Karni | IL | Mevaseret Zion | 2015-05-21 / 20150139983 - USE OF BLOCKING AGENTS OF BONE MORPHOGENIC PROTEIN (BMP) SIGNALLING FOR THE TREATMENT OF NEUROINFLAMMATORY AND NEURODEGENERATIVE DISEASES | 1 |
Rotem Karni | IL | Mevasseret Zion | 2015-05-21 / 20150141489 - METHODS OF TREATING AND DIAGNOSING DISEASES USING AGENTS THAT REGULATE THE ALTERNATIVE SPLICING PATHWAY | 1 |
Yoram Karni | IL | Qiryat Tivon | 2011-06-09 / 20110134942 - MODE LOCKED LASER SYSTEM | 1 |
Ziv Karni | IL | Kfar Shmaryah | 2011-09-01 / 20110213279 - APPARATUS AND METHOD FOR SELECTIVE ULTRASONIC DAMAGE OF ADIPOCYTES | 1 |
Ziv Karni | IL | Kfar Shmaryahu | 2015-03-19 / 20150080991 - RADIO FREQUENCY TREATMENT OF SWEAT GLANDS | 17 |
Yiftah Karni | IL | Rehovot | 2009-02-19 / 20090045349 - Sample enclosure for inspection and methods of use thereof | 1 |
Jacob Karni | IL | Rehovot | 2015-05-28 / 20150144498 - DEVICE AND APPARATUS FOR CARRYING OUT CHEMICAL DISSOCIATION REACTIONS AT ELEVATED TEMPERATURES | 10 |
Ziv Karni | IL | Kfar Shmaryahu | 2015-03-19 / 20150080991 - RADIO FREQUENCY TREATMENT OF SWEAT GLANDS | 17 |
Ziv Karni | IL | Caesarea | 2015-12-24 / 20150366706 - SYSTEM FOR GLAUCOMA TREATMENT | 1 |
George E. Karniadakis | US | Newton | 2011-11-24 / 20110289043 - COMPUTATIONAL METHODS AND COMPOSITIONS | 1 |
Tanay Karnick | US | Portland | 2010-09-30 / 20100244573 - HYBRID POWER DELIVERY SYSTEM AND METHOD | 1 |
Drew A. Karnick | US | Blaine | 2015-02-05 / 20150033849 - MEMS DEVICE MECHANISM ENHANCEMENT FOR ROBUST OPERATION THROUGH SEVERE SHOCK AND ACCELERATION | 4 |
Robert E Karnick, Jr. | US | Tampa | 2014-05-15 / 20140132045 - JUVENILE VEHICLE SEAT | 1 |
Shachar Karniel | US | Bakersfield | 2015-09-24 / 20150271974 - Grape Plant Named 'ARRATHIRTY' | 6 |
Shachar Karniel | US | Edison | 2013-04-25 / 20130104268 - Grape plant named 'ARRANINETEEN' | 9 |
Eddy Karnieli | IL | Kiryat-Tivon | 2012-08-16 / 20120208863 - MODULATION OF GLUT4 GENE PROMOTER ACTIVITY BY AHNAK | 3 |
Ohad Karnieli | IL | Tivo'On | 2015-05-07 / 20150125138 - METHOD AND DEVICE FOR THAWING BIOLOGICAL MATERIAL | 1 |
Ohad Karnielli | IL | Kiryat Tivon | 2012-06-28 / 20120164022 - METHODS AND DEVICES FOR PROCESSING OBJECTS BY APPLYING ELECTROMAGNETIC (EM) ENERGY | 1 |
Haim Karniely | IL | Holon | 2015-06-11 / 20150163069 - VIRTUAL PRIVATE MEETING ROOM | 5 |
Abhishek Ajay Karnik | US | Hillsboro | 2013-03-14 / 20130067579 - System and Method for Statistical Analysis of Comparative Entropy | 1 |
Neeran M. Karnik | IN | New Delhi | 2013-01-10 / 20130013533 - APPARATUS, METHODS AND COMPUTER PROGRAMS FOR METERING AND ACCOUNTING FOR SERVICES ACCESSED OVER A NETWORK | 3 |
Aditya R. Karnik | IN | Bangalore | 2014-01-02 / 20140006615 - METHOD FOR EFFICIENT MESSAGE VERIFICATION ON RESOURCE-CONSTRAINED PLATFORMS FOR REAL-TIME TRACKING APPLICATIONS | 4 |
Amey Y. Karnik | IN | Mumbai | 2014-11-20 / 20140341703 - METHOD AND SYSTEM FOR OPERATING AN ENGINE TURBOCHARGER WASTE GATE | 3 |
Kuldeep Dilip Karnik | IN | Ahmedabad | 2010-09-30 / 20100248376 - IN-VITRO METHOD FOR TESTING BIOEQUIVALENCE OF IRON-SUCROSE FORMULATION | 1 |
Ryna Karnik | US | Redmond | 2015-12-03 / 20150346024 - ULTRAVIOLET LIGHT SENSING | 3 |
Rohit Nandkumar Karnik | US | Cambridge | 2015-09-03 / 20150246354 - Cell Rolling Separation | 3 |
Mukul Vijay Karnik | US | Redmond | 2015-12-24 / 20150370883 - DATA INTEREST ESTIMATION FOR N-DIMENSIONAL CUBE COMPUTATIONS | 6 |
Amey Y. Karnik | US | Dearborn | 2013-06-20 / 20130152584 - METHOD FOR REDUCING SENSITIVITY FOR ENGINE SCAVENGING | 11 |
Sadashiva Karnik | US | Shaker Heights | 2011-04-14 / 20110086348 - METHOD FOR ASSESSING HEART DISEASE | 1 |
Rohit N. Karnik | US | Cambridge | 2015-10-08 / 20150283514 - NANOFLUIDIC SORTING SYSTEM FOR GENE SYNTHESIS AND PCR REACTION PRODUCTS | 5 |
Pratima Karnik | US | Shaker Heights | 2009-02-12 / 20090042909 - METHOD OF TREATING DERMATOLOGICAL DISORDERS | 1 |
Meghdoot Karnik | IN | Manpada | 2013-08-08 / 20130203026 - System and Method for Virtual Training Environment | 1 |
Rohit Karnik | US | Cambridge | 2015-09-24 / 20150265716 - Nanoparticles For Targeted Delivery of Multiple Therapeutic Agents and Methods of Use | 6 |
Pratima Karnik | US | Cleveland | 2014-12-11 / 20140364461 - METHOD OF TREATING DERMATOLOGICAL DISORDERS | 1 |
Tanay Karnik | US | Portland | 2016-02-04 / 20160034338 - SEQUENTIAL CIRCUIT WITH ERROR DETECTION | 31 |
Amey Y. Karnik | US | Ann Arbor | 2015-09-24 / 20150267801 - METHOD FOR PREHEATING A POWERTRAIN | 6 |
Amey Y. Karnik | US | Canton | 2016-04-28 / 20160115885 - METHOD AND SYSTEM FOR BINARY FLOW TURBINE CONTROL | 2 |
Neeran Karnik | IN | Bangalore | 2011-06-02 / 20110131279 - Managing Electronic Messages | 1 |
Neeran M. Karnik | IN | Pune | 2012-08-02 / 20120198451 - PREPROVISIONING VIRTUAL MACHINES | 2 |
Shweta S. Karnik | US | San Diego | 2013-04-18 / 20130092570 - SHOE CASES | 1 |
Siddharth Karnik | IN | Dombivli (e) | 2011-08-18 / 20110202208 - METHOD AND SYSTEM FOR PREDICTING PERFORMANCE OF AN AIRCRAFT | 1 |
Mayur Prabhakar Karnik | IN | Ahmedabad | 2012-03-22 / 20120072842 - APPARATUS FOR COOKING AND METHOD OF HELPING A USER TO COOK | 1 |
Neeran Mohan Karnik | IN | Pune | 2013-10-03 / 20130263080 - AUTOMATED BLUEPRINT ASSEMBLY FOR ASSEMBLING AN APPLICATION | 1 |
Tomas Karnik | CZ | Lanskroun | 2013-09-05 / 20130229751 - Ultrahigh Voltage Solid Electrolytic Capacitor | 9 |
Siddharth Karnik | US | Lexington | 2015-08-20 / 20150234611 - LOCAL AREA NETWORK FREE DATA MOVEMENT | 2 |
Tomas Karnik | CZ | Lanskroun | 2013-09-05 / 20130229751 - Ultrahigh Voltage Solid Electrolytic Capacitor | 9 |
Neeran Karnik | IN | Pune | 2015-07-02 / 20150188768 - SERVER PROVISIONING BASED ON JOB HISTORY ANALYSIS | 4 |
Shardool Karnik | US | Issaquah | 2013-04-04 / 20130085970 - INTELLIGENT INTENT DETECTION FROM SOCIAL NETWORK MESSAGES | 1 |
Kiran Karnik | US | Kernersville | 2011-10-13 / 20110248693 - VOLTAGE REGULATOR WITH CONTROL LOOP FOR AVOIDING HARD SATURATION | 2 |
Neeraj Karnik | US | Richardson | 2016-05-19 / 20160141991 - POWER SYSTEM SUB-SYNCHRONOUS OSCILLATION DAMPER | 1 |
Amey Y. Karnik | US | Dearborn | 2013-06-20 / 20130152584 - METHOD FOR REDUCING SENSITIVITY FOR ENGINE SCAVENGING | 11 |
Ashutosh P. Karnik | US | Andover | 2008-12-25 / 20080313873 - Highloft needlepunched nonwovens | 1 |
Satyajit Karnik | US | Fremont | 2015-12-03 / 20150342943 - METHODS OF TREATING LIVER DISEASE | 1 |
Jwala Karnik | US | Santa Barbara | 2014-11-20 / 20140343544 - Methods and Systems for Treatment of Occipital Neuralgia | 4 |
Shreyas Karnik | US | Redmond | 2014-09-18 / 20140278948 - METHODS AND SYSTEMS FOR GENERATING ELECTRONIC DEAL SUMMARY | 2 |
Zohar S. Karnin | IL | Herzelia | 2013-11-28 / 20130318172 - METHOD AND SYSTEM FOR EMAIL SEQUENCE IDENTIFICATION | 1 |
Ehud Dov Karnin | IL | Koranit | 2015-03-19 / 20150075912 - POINT OF SALE SYSTEM WITH ITEM IMAGE CAPTURE AND DEFERRED INVOICING CAPABILITY | 2 |
Ehud D Karnin | IL | Haifa | / - | 1 |
Zohar Karnin | IL | Herzelia | 2016-04-21 / 20160110646 - METHOD AND SYSTEM FOR COLD-START ITEM RECOMMENDATION | 2 |
Zohar Karnin | IL | Haifa | 2015-07-09 / 20150195224 - METHOD AND SYSTEM FOR CLASSIFYING MAN VS. MACHINE GENERATED E-MAIL | 3 |
Baruch Karniol | IL | Bat Yam | 2011-04-21 / 20110092379 - GENOTYPING METHOD AND MEANS THEREOF FOR USE IN TRACEABILITY SCHEMES | 1 |
Timothy M. Karnjate | US | Grand Blanc | 2015-12-10 / 20150354419 - OIL PUMP CONTROL SYSTEMS AND METHODS | 13 |
Kent E. Karnofski | US | Lake Forest Park | 2015-08-13 / 20150225090 - METHODS AND APPARATUS TO INCREASE A PAYLOAD CAPACITY OF AN AIRCRAFT | 1 |
Roger J. Karnopp | US | Eagan | 2013-10-24 / 20130279858 - PIGTAIL-LESS OPTICAL CONNECTOR ASSEMBLY | 4 |
Kristine M. Karnos | US | San Jose | 2015-07-02 / 20150186743 - IMAGE PROCESSING UTILIZING REFERENCE IMAGES | 1 |
Kristine Karnos | US | San Jose | 2014-01-02 / 20140006650 - SYSTEM AND METHOD FOR GENERATING A VIRTUAL PCI-TYPE CONFIGURATION SPACE FOR A DEVICE | 2 |
Maha Karnoub | US | Doylestown | 2010-04-01 / 20100081666 - SRC ACTIVATION FOR DETERMINING CANCER PROGNOSIS AND AS A TARGET FOR CANCER THERAPY | 1 |
Stamatis Karnoukos | DE | Karlsruhe | 2010-06-24 / 20100161778 - ON-DEMAND PROVISIONING OF SERVICES RUNNING ON EMBEDDED DEVICES | 1 |
Stamatis Karnouskos | DE | Karlssruhe | 2012-05-24 / 20120131561 - Model-based Programming, Configuration, and Integration of Networked Enbedded Devices | 1 |
Stamatis Karnouskos | DE | Karlsruhe | 2013-12-05 / 20130325147 - Method and System for Complex Smart Grid Infrastructure Assessment | 2 |
Mark Joseph Karnowski | US | Costa Mesa | 2013-08-01 / 20130195104 - POWER MANAGEMENT FOR INPUT/OUTPUT DEVICES | 2 |
Thomas P. Karnowski | US | Knoxville | 2012-09-20 / 20120237096 - METHOD AND SYSTEM FOR THE DIAGNOSIS OF DISEASE USING RETINAL IMAGE CONTENT AND AN ARCHIVE OF DIAGNOSED HUMAN PATIENT DATA | 4 |
Jesse Karns | US | Mission Veijo | 2014-11-06 / 20140325932 - GUSSET PLATE CONNECTION OF BEAM TO COLUMN | 1 |
Michael Karns | US | Exeter | 2009-06-04 / 20090143128 - PROVIDING CENTRALIZED SERVICES TO GAME OPERATORS | 1 |
Darren William Karns | US | Evans City | 2010-03-25 / 20100073808 - POSITION SENSING IN DISCRETE TRACK RECORDING | 1 |
Samuel L. Karns | US | Delray Beach | 2009-12-03 / 20090299749 - PRE-PROCESSED ANNOTATION OF STREET GRAMMAR IN SPEECH ENABLED NAVIGATION SYSTEMS | 1 |
Duane Clifford Karns | US | Pittsburgh | 2009-04-30 / 20090113464 - Fly Height And Slider Protrusion Measurement | 1 |
Nicole K. Karns | US | Chicago | 2015-10-01 / 20150273389 - NANOPOROUS MACROCYCLE-CONTAINING CROSS-LINKED POLYMERIC MEMBRANES FOR SEPARATIONS | 1 |
Katharina Karns | DE | Marl | 2015-12-31 / 20150376327 - CURABLE COMPOSITION WITH HIGH FRACTURE TOUGHNESS | 1 |
Darren W. Karns | US | Evans City | 2013-11-07 / 20130294207 - Light Source Power Control for Heat Assisted Magnetic Recording (HAMR) | 2 |
Nancy L. Karns | US | Meadville | 2015-12-03 / 20150343886 - Vehicle Window Screen Device | 1 |
Stephanie Karns | US | Nampa | 2014-03-20 / 20140075763 - EATING OR ORAL CARE UTENSIL | 1 |
Devin Karns | US | Seattle | 2014-04-24 / 20140114338 - INTRODUCER DEVICE | 3 |
Ryan A. Karns | US | Loves Park | 2011-11-24 / 20110284529 - Microwave Alarm Clock | 1 |
Jesse E. Karns | US | Mission Viejo | 2012-11-29 / 20120297720 - Building Metal Frame, and Method of Making, and Components Therefor Including Column Assemblies and Full-Length Beam Assemblies | 1 |
Jesse E. Karns | US | Long Beach | 2010-02-25 / 20100043348 - Building metal frame, and method of making, and components therefor including column assemblies and full-length beam assemblies | 4 |
Duane C. Karns | US | Pittsburgh | 2011-04-28 / 20110096432 - Thin Film Structure With Controlled Lateral Thermal Spreading In The Thin Film | 3 |
Jesse Karns | US | Mission Viejo | 2015-09-24 / 20150267394 - GUSSET PLATE CONNECTION OF BEAM TO COLUMN | 2 |
John Karns | US | Victorville | 2015-08-20 / 20150236490 - ADJUSTABLE PLASTER RING COVER | 6 |
Elias Karnutsch | AT | Nauders | 2015-10-01 / 20150275544 - Actuation handle | 3 |
Michael Karo | US | Seattle | 2010-05-13 / 20100122254 - BATCH AND APPLICATION SCHEDULER INTERFACE LAYER IN A MULTIPROCESSOR COMPUTING ENVIRONMENT | 2 |
David Neal Karo | US | Marysville | 2014-08-07 / 20140216399 - METHODS FOR ADJUSTING ENGINE THROTTLE ON VEHICLE WITH GENERATOR | 1 |
Anthony Karo | US | Tampa | 2009-11-19 / 20090285951 - METHOD FOR THE PREPARATION AND DISTRIBUTION OF BREADED SEAFOOD PRODUCTS AND BREADING COMPOSITION | 1 |
Michael Karo | US | Mendota Heights | 2010-05-13 / 20100122261 - APPLICATION LEVEL PLACEMENT SCHEDULER IN A MULTIPROCESSOR COMPUTING ENVIRONMENT | 2 |
Takuya Karo | JP | Nagoya-Shi | 2011-06-30 / 20110160287 - POTENTIATOR OF ACTIVITY OF ANTI-CANCER AGENT AND USE THEREOF, AND BIOMARKER FOR PREDICTION OF PROGNOSIS IN CANCER PATIENT AND USE THEREOF | 1 |
Takeshi Karo | JP | Yokkaichi-Shi | 2012-07-19 / 20120185876 - CONTROL DEVICE, CONTROL METHOD, AND COMPUTER READABLE MEDIUM | 1 |
Hiromichi Karo | JP | Kyoto-Shi | 2014-01-16 / 20140018658 - PAD TRAY | 13 |
Anthony Karo | US | Boca Raton | 2010-04-15 / 20100092630 - METHOD FOR THE PREPARATION AND DISTRIBUTION OF BREADED SEAFOOD PRODUCTS AND BREADING COMPOSITION | 2 |
Hiromichi Karo | JP | Kyoto | 2015-10-08 / 20150282748 - BIOLOGICAL INFORMATION MEASUREMENT DEVICE | 6 |
Hiromichi Karo | JP | Kyoto-Shi | 2014-01-16 / 20140018658 - PAD TRAY | 13 |
Yaron Karo | IL | Hafetz Haim | 2016-04-21 / 20160111078 - APPARATUS, SYSTEM AND METHOD OF CONTROLLING NOISE WITHIN A NOISE-CONTROLLED VOLUME | 1 |
Jeyhan Karoaguz | US | Irvine | 2010-10-14 / 20100261437 - MULTIPLE FREQUENCY BAND INFORMATION SIGNAL UNIVERSAL FRONT END | 1 |
Sandeep K. Karode | US | Boothwyn | 2016-01-28 / 20160023164 - GAS SEPARATION MEMBRANE MODULE WITH IMPROVED GAS SEAL | 2 |
Nikhil Karogal | US | Cleveland | 2014-03-06 / 20140065297 - MODIFIED FLUX SYSTEM | 3 |
Jozo Karoglan | US | Lake Worth | 2012-05-10 / 20120112471 - ENERGY PLANT POWERED BY AIR PRESSURE | 1 |
Jeyhan Karoguz | US | Irvine | 2014-02-20 / 20140050322 - AUTHENTICATION IN A ROAMING ENVIRONMENT | 2 |
Keitaro Karoishi | JP | Chiba-Shi | 2012-08-23 / 20120212557 - THERMAL HEAD AND METHOD OF MANUFACTURING THE SAME, AND PRINTER | 1 |
Kosuke Karoji | JP | Tokyo | 2016-03-03 / 20160063674 - GRAPH DISPLAY APPARATUS, GRAPH DISPLAY METHOD AND STORAGE MEDIUM | 8 |
Kosuke Karoji | JP | Tokyo | 2016-03-03 / 20160063674 - GRAPH DISPLAY APPARATUS, GRAPH DISPLAY METHOD AND STORAGE MEDIUM | 8 |
Junpei Karoji | JP | Tokyo | 2010-09-16 / 20100233469 - COATED STEEL SHEET | 1 |
Mihal Jozef Karol | GB | Halifax | 2008-12-11 / 20080305132 - Cosmetic Patch for Skin Treatment | 1 |
Dennis Karol | US | Rehoboth Beach | 2013-06-06 / 20130143175 - ILLUMINATED MICRO-MOTOR HAND PIECE IN A VETERINARY DENTAL DEVICE | 2 |
Alexander Karol | US | Orlando | 2011-04-28 / 20110097705 - Surface-assisted hemagglutination and hemagglutination inhibition assays | 1 |
Daniel S. Karol | US | Southborough | 2016-04-14 / 20160101278 - Medical Treatment System and Methods Using a Plurality of Fluid Lines | 2 |
Sohit Karol | US | Seattle | 2016-03-24 / 20160085438 - MULTI-FINGER TOUCHPAD GESTURES | 1 |
Mark Karol | US | Fair Haven | 2012-05-10 / 20120113896 - Skip Ahead Routing in Wireless Ad Hoc Networks | 2 |
Thomas J. Karol | US | Holualoa-Kona | 2008-10-23 / 20080261838 - SYNERGISTIC ORGANOBORATE COMPOSITIONS AND LUBRICATING COMPOSITIONS CONTAINING SAME | 1 |
Thomas D. Karol | US | Dallas | 2011-03-03 / 20110052901 - COMPOSITE MATERIALS | 2 |
Mark John Karol | US | Fair Haven | 2010-12-02 / 20100306526 - Staged Establishment of Secure Strings of Symbols | 8 |
Robert W. Karol | US | Easton | 2013-03-28 / 20130074315 - FASTENER WRENCHING APPARATUS AND METHOD | 1 |
Nishi Kant Karol | IN | Pune | 2015-09-03 / 20150248405 - Document Management System and Method | 1 |
Przemyslaw Karolak | PL | Jastrzebniki | 2010-08-26 / 20100215330 - Holding Device for a Cable or an Assembly for Use With a Cable | 1 |
Dale W. Karolak | US | Brighton | 2010-03-11 / 20100063674 - ENGINE TEST METHOD USING STRUCTURED TEST PROTOCOL | 1 |
Przemyslaw Karolak | PL | Glakow Duzy | 2012-10-11 / 20120257866 - DEVICE FOR RECEIVING SUBASSEMBLIES ASSIGNED TO AT LEAST ONE OPTICAL FIBER CABLE AND FOR FASTENING THE SAME TO A MOUNTING | 1 |
John Scott Karolchyk | US | Lake Hopatcong | 2015-11-26 / 20150335704 - PHARMACEUTICAL COMPOSITIONS COMPRISING GELS AND METHODS FOR FABRICATING THEREOF | 3 |
Tomislav Karoli | AU | Kenmore | 2015-03-05 / 20150065440 - SULFATED OLIGOSACCHARIDE DERIVATIVES | 1 |
Tomislav Karoli | AU | Middle Park | 2011-06-02 / 20110130354 - SULFATED OLIGOSACCHARIDE DERIVATIVES | 1 |
Pratap Karonde | US | Ronkonkoma | / - | 2 |
Pratap Karonde | US | Islandia | 2009-03-12 / 20090070626 - METHODS AND SYSTEMS FOR OPERATING SYSTEM BARE-METAL RECOVERY | 1 |
Pratap Karonde | US | Holbrook | 2015-10-01 / 20150278032 - PROVIDING SERVICES ON SYSTEM BEING RECOVERED | 5 |
Janne Karonen | FI | Kokemaeki | 2015-05-28 / 20150147248 - PROCESS AND EQUIPMENT FOR PRODUCING PURE LITHIUM-CONTAINING SOLUTION | 1 |
Aimo Karonen | FI | Vantaa | 2014-07-17 / 20140197182 - FOOT FOR A CONTAINER | 1 |
Olli Karonen | FI | Helsinki | 2009-02-19 / 20090049004 - APPARATUS, METHOD AND COMPUTER PROGRAM PRODUCT FOR TYING INFORMATION TO FEATURES ASSOCIATED WITH CAPTURED MEDIA OBJECTS | 2 |
Olli Johannes Karonen | FI | Helsinki | 2009-10-15 / 20090259710 - Content distribution | 3 |
Janne Karonen | FI | Kokemäki | 2016-04-28 / 20160115568 - METHOD OF RECOVERING COPPER AND PRECIOUS METALS | 1 |
Matti Karonen | SE | Vikmanshyttan | 2015-11-26 / 20150335211 - DISPENSING UNIT AND DISPENSER | 2 |
Nicholas Karonis | US | West Chicago | 2014-12-18 / 20140367569 - HIGH PERFORMANCE COMPUTING FOR THREE DIMENSIONAL PROTON COMPUTED TOMOGRAPHY (HPC-PCT) | 2 |
Andrew Karonis | ES | Europort | 2016-04-07 / 20160099840 - METHODS AND SYSTEMS FOR REDUCING BURST USAGE OF A NETWORKED COMPUTER SYSTEM | 2 |
Peter Karonis | US | Birmingham | 2014-10-09 / 20140298618 - HINGE WITH AN ADHESIVE | 1 |
George Peter Karonis, Iii | US | Castaic | 2013-11-21 / 20130309997 - PROVIDING LOCATION OF A MOBILE DEVICE | 1 |
Amy Karony | US | Palatine | 2011-09-22 / 20110228270 - Open-Path Near Real-Time Full Wall Emissions Monitoring Method | 1 |
Sujatha Karoor | US | Lake Bluff | 2014-03-27 / 20140083944 - WEIGHT-CONTROLLED SORBENT SYSTEM FOR HEMODIALYSIS | 8 |
Sujatha Karoor | US | Lake Bluff | 2014-03-27 / 20140083944 - WEIGHT-CONTROLLED SORBENT SYSTEM FOR HEMODIALYSIS | 8 |
Sujatha Karoor | US | Lake Forest | 2016-04-28 / 20160114094 - METHOD AND COMPOSITION FOR REMOVING UREMIC TOXINS IN DIALYSIS PROCESSES | 11 |
Sujatha Karoor | US | Lake Forest | 2016-04-28 / 20160114094 - METHOD AND COMPOSITION FOR REMOVING UREMIC TOXINS IN DIALYSIS PROCESSES | 11 |
Marvin Karos | DE | Schwetzingen | 2011-12-22 / 20110312497 - USE OF HYDROPHOBIN AS A SPREADING AGENT | 20 |
Marvin Karos | DE | Neustadt | 2009-01-22 / 20090023172 - GTP Cyclohydrolase II as a Target for Fungicides | 1 |
Aaron Michael Karotko | US | White Hall | 2016-03-03 / 20160060002 - SPOUT ASSEMBLY FOR CONVERTING PREPACKAGED BEVERAGE CONTAINERS | 1 |
Eliane Karotsch | GB | London | 2010-12-16 / 20100318456 - Network-Based Sub-Allocation Systems and Methods for Swaps | 1 |
Ki Karou | US | Irvine | 2014-09-18 / 20140272840 - METHOD AND SYSTEM FOR ENHANCING WORKING MEMORY | 1 |
Philippe Karouby | FR | Cannes La Bocca | 2011-05-26 / 20110122022 - METHOD FOR PROTECTING A RADIO NAVIGATION RECEIVER USER AGAINST ABERRANT PSEUDO-RANGE MEASUREMENTS | 1 |
Steve Karouby | FR | Antibes | 2015-07-02 / 20150186682 - LESS-SECURE PROCESSORS, INTEGRATED CIRCUITS, WIRELESS COMMUNICATIONS APPARATUS, METHODS AND PROCESSES OF MAKING | 2 |
Phillippe Karouby | FR | Balma | 2013-01-31 / 20130029593 - Method for Propagating Messages in a Communication Network to a Satellite Network | 1 |
Fathia Karoui | FR | Villeurbanne | 2015-09-17 / 20150260796 - BATTERY CELL STATE-OF-CHARGE ESTIMATION AND READJUSTMENT METHOD | 2 |
Walid Karoui | FR | Toulouse | 2010-10-28 / 20100271119 - ENVELOPE DETECTOR, LINEARIZATION CIRCUIT, AMPLIFIER CIRCUIT, METHOD FOR DETECTING A MODULATION ENVELOPE AND WIRELESS COMMUNICATION UNIT | 2 |
Hakim Karoui | FR | Marseille | 2014-07-03 / 20140186969 - DINITROXIDE-TYPE BIRADICAL COMPOUNDS OPTIMIZED FOR DYNAMIC NUCLEAR POLARIZATION (DNP) | 2 |
Fathia Karoui | FR | Le Bourget Du Lac | 2010-03-11 / 20100060240 - Method for managing charging of a rechargeable battery | 1 |
Fathia Karoui | FR | Villeubranne | 2015-07-23 / 20150204948 - METHOD OF PRODUCING AN ELECTRIC BATTERY | 1 |
Mourad Karouia | FR | Bagneux | 2010-04-15 / 20100094406 - ENDOPROSTHESIS, AND METHOD FOR PRODUCING AN ENDOPROSTHESIS | 1 |
Reda Karoum | FR | Thiais | 2015-06-04 / 20150153314 - Fast Field Mud Gas Analyzer | 2 |
Michalis Karoussis | GR | Neo Iraklio | 2014-09-25 / 20140283387 - RAZOR HEAD HAVING A LOW SHAVING ANGLE | 1 |
Michalis Karoussis | GR | Athens | 2014-08-21 / 20140230252 - RAZOR BLADE, RAZOR HEAD, AND METHOD OF MANUFACTURE | 2 |
Johnny Karout | SE | Goteborg | 2011-08-18 / 20110200337 - MODULATION SCHEME | 1 |
Johnny Karout | SE | Vastra Frolunda | 2013-08-29 / 20130223850 - MODULATION METHOD AND APPARATUS FOR AMPLITUDE- OR INTENSITY-MODULATED COMMUNICATION SYSTEMS | 1 |
Fouad Karouta | AU | Canberra | 2011-09-08 / 20110216789 - SEMICONDUCTOR LASER DEVICE | 1 |
Yael Karov | IL | Tel-Aviv | 2016-02-18 / 20160047004 - VIRAL AND VIRAL ASSOCIATED MIRNAS AND USES THEREOF | 13 |
Yael Karov | IL | Tel-Aviv | 2016-02-18 / 20160047004 - VIRAL AND VIRAL ASSOCIATED MIRNAS AND USES THEREOF | 13 |
Frank E. Karovic | US | Galloway | 2011-04-14 / 20110084523 - Towel securing apparatus | 2 |
Yael Karov Zangvil | IL | Tel-Aviv | 2015-08-06 / 20150220509 - AUTOMATIC CONTEXT SENSITIVE LANGUAGE CORRECTION USING AN INTERNET CORPUS PARTICULARLY FOR SMALL KEYBOARD DEVICES | 8 |
Yael Karov Zangvil | IL | Tel-Aviv | 2015-08-06 / 20150220509 - AUTOMATIC CONTEXT SENSITIVE LANGUAGE CORRECTION USING AN INTERNET CORPUS PARTICULARLY FOR SMALL KEYBOARD DEVICES | 8 |
Philip Karow | US | Twin Lakes | 2010-07-01 / 20100166516 - Cutting and Chamfering Router Bit for Pipe | 2 |
Margaret Karow | US | Sant Rosa Valley | 2011-12-22 / 20110311537 - METHODS OF USING IL-1 ANTAGONISTS TO TREAT FAMILIAL MEDITERRANEAN FEVER (FMF) | 1 |
Merideth Karow | US | Hatboro | 2010-08-05 / 20100193392 - BLISTER CARD PACKAGING STRUCTURE WITH A VIEWING PANEL | 1 |
Margaret Karow | US | Santa Rosa | 2014-03-13 / 20140073010 - Methods of Modifying Eukaryotic Cells | 12 |
Margaret Karow | US | Santa Rosa Valley | 2014-11-20 / 20140343252 - INTERLEUKIN-2 MUTEINS FOR THE EXPANSION OF T-REGULATORY CELLS | 9 |
Meredith Karow | US | Hatboro | 2010-04-08 / 20100084300 - CARD STRUCTURES FOR ENHANCED STACKING STRENGTH | 1 |
Margaret Karow | US | Santa Rosa | 2014-03-13 / 20140073010 - Methods of Modifying Eukaryotic Cells | 12 |
Margaret Karow | US | Camarillo | 2011-11-03 / 20110269187 - High Affinity Human Antibodies to Human IL-18 Receptor | 5 |
Margaret Karow | US | Santa Rosa Valley | 2014-11-20 / 20140343252 - INTERLEUKIN-2 MUTEINS FOR THE EXPANSION OF T-REGULATORY CELLS | 9 |
Margaret Karow | US | Putnam Valley | 2008-12-04 / 20080300181 - Methods of using IL-1 antagonists to treat neointimal hyperplasia | 1 |
Marisa Karow | US | Menlo Park | 2012-06-07 / 20120141441 - Methods and Compositions for Treatment of Muscular Dystrophy | 1 |
Mark Karow | US | Burlington | 2010-07-01 / 20100162942 - Collapsible Construction Barrier | 1 |
Margaret Karown | US | Santa Rosa | 2014-01-30 / 20140033337 - Methods of Modifying Eukaryotic Cells | 1 |
Jason Karp | US | La Jolla | 2010-02-11 / 20100032005 - SYSTEM AND METHOD FOR SOLAR ENERGY CAPTURE | 1 |
Lawrence I. Karp | US | Seaford | 2016-03-10 / 20160067535 - SYSTEM AND METHOD FOR TESTING A FIRE SUPPRESSION SYSTEM | 1 |
Alan H. Karp | US | Palo Alto | 2015-02-12 / 20150046644 - SHIFTABLE MEMORY DEFRAGMENTATION | 12 |
Richard Karp | US | Berkeley | 2011-05-05 / 20110103519 - Systems and processes for decoding chain reaction codes through inactivation | 1 |
James Karp | US | Saratoga | 2015-03-12 / 20150069577 - REMOVAL OF ELECTROSTATIC CHARGES FROM INTERPOSER FOR DIE ATTACHMENT | 16 |
Matti Karp | FI | Littoinen | 2012-06-21 / 20120151833 - Lipid Production | 1 |
Richard Karp | US | Palo Alto | 2010-03-25 / 20100075678 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR STRESS TESTING MOBILE NETWORK EQUIPMENT USING A COMMON PUBLIC RADIO INTERFACE (CPRI) | 1 |
Daniel Geoffrey Karp | US | Mountain View | 2009-04-16 / 20090100009 - System and method for displaying and acting upon email conversations across folders | 1 |
Bruce O. Karp | US | New York | / - | 1 |
Daniel Geoffrey Karp | US | Berkeley | 2009-04-16 / 20090100347 - Enhanced calendaring functionality in a collaboration suite | 1 |
Jeffrey M. Karp | US | Chestnut Hill | 2012-10-25 / 20120269761 - BIODEGRADABLE ELASTOMERS | 3 |
Jeffrey Karp | US | Chestnut Hill | 2011-01-27 / 20110021965 - ADHESIVE ARTICLES | 1 |
Jeffrey M. Karp | US | Brookline | 2016-01-28 / 20160022729 - Compounds to Modulate Intestinal Absorption of Nutrients | 23 |
Alexander Karp | US | San Diego | 2012-02-02 / 20120027468 - DEVELOPER UNIT AND METHOD THEREOF | 1 |
Seth Karp | US | Chestnut Hill | 2009-10-29 / 20090269283 - METHOD AND PRODUCT FOR LOCATING AN INTERNAL BLEEDING SITE | 1 |
Jeffrey Michael Karp | US | Brookline | 2015-09-03 / 20150246354 - Cell Rolling Separation | 2 |
Jeffrey M. Karp | US | 2016-01-21 / 20160020436 - Safely Ingestible Batteries | 1 | |
Mariusz Karp | PL | Kryg | 2011-11-17 / 20110278007 - TOOL AND METHOD FOR PROVIDING ACCESS TO A WELLHEAD ANNULUS | 1 |
Joel S. Karp | US | Glenside | 2014-12-18 / 20140369933 - FLUORINE-18 LABELED HYDROGEN ION PROBES | 3 |
Günter Karp | US | Wilmington | 2015-08-20 / 20150232305 - Connector and Combination Crane and Connecting Method Using the Same | 1 |
Tomasz Karp | PL | Zabrze | 2015-12-10 / 20150353122 - Clamp Assembly for a Steering Column Assembly | 1 |
Nelson M. Karp | US | Virginia Beach | 2011-05-05 / 20110104196 - IMMUNOGENIC COMPOSITION AND METHOD OF DEVELOPING A VACCINE BASED ON FUSION PROTEIN | 7 |
Ofer Karp | IL | Yehud | 2011-11-03 / 20110270861 - GRAPH QUERY ADAPTATION | 1 |
Christopher L. Karp | US | Cincinnati | 2014-06-19 / 20140170107 - REGULATION OF ENERGY METABOLISM AND OBESITY BY MODULATING B CELL ACTIVATING FACTOR (BAFF, BLYS) OR BAFF SIGNALING | 4 |
David Karp | US | Brooklyn | 2015-10-22 / 20150304447 - USER SPECIFIC VISUAL IDENTITY CONTROL ACROSS MULTIPLE PLATFORMS | 2 |
John Karp | US | Indialantic | 2010-05-13 / 20100118053 - GEOSPATIAL MODELING SYSTEM FOR IMAGES AND RELATED METHODS | 1 |
Shaun A. Karp | CA | Coquitlam | 2008-09-04 / 20080214372 - Methods and Apparatus for Testing Abdominal Strength and Exercising Abdominal Muscles | 1 |
Christopher L. Karp | US | Seattle | 2014-08-07 / 20140221279 - REGULATION OF ENERGY METABOLISM AND OBESITY BY MODULATING A PROLIFERATION-INDUCING LIGAND (APRIL) OR APRIL SIGNALING | 2 |
John P. Karp | US | Indialantic | 2010-06-10 / 20100142814 - IMAGE PROCESSING DEVICE FOR TONAL BALANCING OF MOSAIC IMAGES AND RELATED METHODS | 1 |
Brian G. Karp | US | Siloam Springs | / - | 1 |
Michael Karp | IL | Petah Tikva | 2015-05-07 / 20150125796 - METHOD OF PREPARING FLEXOGRAPHIC PRINTING MEMBERS | 6 |
Alan Karp | US | Pato Alto | 2012-06-07 / 20120143763 - USING A FINANCIAL INSTITUTION BASED ACCOUNT FOR ULTRA-LOW LATENCY TRANSACTIONS | 1 |
Anne-Marie T. Karp | US | New Orleans | 2015-08-13 / 20150224051 - Compositions for the Transdermal Delivery of Physiologically Active Agents | 4 |
Guenter Karp | US | Wilmington | 2014-03-13 / 20140069883 - SYSTEM FOR REARRANGING THE COUNTERWEIGHT OF A CRANE OPERATION | 1 |
Ville Samuli Karp | FI | Halikko | 2010-01-21 / 20100017741 - START OF AN APPLICATION FROM AN IDLE STATE DISPLAY MODE OF A MOBILE TERMINAL | 1 |
Baruch Karp | IL | Beer Sheva | 2010-07-01 / 20100162825 - SYSTEM AND METHOD FOR MONITORING HEALTH OF STRUCTURAL JOINTS | 1 |
Robert J. Karp | AU | Sydney | 2011-03-17 / 20110066962 - System and Methods for a Run Time Configurable User Interface Controller | 1 |
Günter Karp | DE | Herschberg | 2014-07-31 / 20140209553 - LARGE MOBILE CRANE | 4 |
Matthew Abram Karp | US | Tulsa | 2014-03-20 / 20140079206 - CENTRAL SERVICES HUB FOR A TELECOMMUNICATIONS NETWORK | 2 |
Richard A. Karp | US | Palo Alto | 2013-01-17 / 20130019213 - Method and Apparatus of Hardware Acceleration of EDA Tools for a Programmable Logic Device | 1 |
Jason Harris Karp | US | Niskayuna | 2015-05-14 / 20150133801 - SYSTEM AND METHOD FOR LOW POWER SAMPLING OF PLETHYSMOGRAPH SIGNALS | 9 |
Harvey Neil Karp | US | Los Angeles | 2015-02-12 / 20150045608 - INFANT CALMING/SLEEP-AID, SIDS PREVENTION DEVICE, AND METHOD OF USE | 2 |
James Karp | US | Saratoga | 2015-03-12 / 20150069577 - REMOVAL OF ELECTROSTATIC CHARGES FROM INTERPOSER FOR DIE ATTACHMENT | 16 |
Jeffrey Karp | US | Brookline | 2015-05-07 / 20150125391 - BONE AND METAL TARGETED POLYMERIC NANOPARTICLES | 1 |
Günter Karp | DE | Herschberg | 2010-12-23 / 20100320166 - CRANE, IN PARTICULAR MOBILE CRANE WITH A NARROW TRACK AND ENLARGED SUPPORTING BASE | 3 |
Gary Mitchell Karp | US | Princeton Junction | 2016-03-24 / 20160081988 - COMPOSITIONS OF 1,2,4-OXADIAZOLE BENZOIC ACID COMPOUNDS AND METHODS FOR THEIR USE | 19 |
James M. Karp | US | Tucson | 2015-10-01 / 20150277777 - WRITE PROCEDURE USING ESTIMATED BEST SETTING IN FIRST RUN | 9 |
James Mitchell Karp | US | Tucson | 2012-08-30 / 20120218658 - CARTRIDGE REFRESH AND VERIFY | 9 |
Seth J. Karp | US | Chestnut Hill | 2015-11-26 / 20150335647 - Methods of Increasing Liver Proliferation | 3 |
Jason Harris Karp | US | Niskayuna | 2015-05-14 / 20150133801 - SYSTEM AND METHOD FOR LOW POWER SAMPLING OF PLETHYSMOGRAPH SIGNALS | 9 |
Richard David Karp | US | Goodyear | 2010-03-25 / 20100071412 - METHOD AND APPARATUS FOR PRODUCING HIGH PURITY OXYGEN | 1 |
Ofer Karp | IL | Haifa | 2015-01-29 / 20150031978 - ADJUSTABLE THORACIC GARMENTS USEABLE BY PATIENTS HAVING DIFFERENT THORACIC DIMENSIONS FOR LOCATING EM TRANSDUCER(S) IN PROXIMITY TO PREDEFINED THORACIC ANATOMIC FEATURES | 2 |
Michael Benjamin Karp | US | Seattle | 2014-06-12 / 20140160320 - VIRTUAL DECALS FOR PRECISION ALIGNMENT AND STABILIZATION OF MOTION GRAPHICS ON MOBILE VIDEO | 1 |
Igor Karp | US | Sunnyvale | 2016-05-19 / 20160142758 - Camera Data Access based on Subscription Status | 2 |
Robert Karp | GB | London | 2011-06-30 / 20110157055 - PORTABLE ELECTRONIC DEVICE AND METHOD OF CONTROLLING A PORTABLE ELECTRONIC DEVICE | 1 |
Alexander James Karp | US | San Diego | 2014-09-18 / 20140262849 - ERGONOMIC IMPACT DAMAGE RESISTANCE PROTECTOR AND METHODS OF USE THEREOF | 2 |
Jeffrey M. Karp | US | Brookline | 2016-01-28 / 20160022729 - Compounds to Modulate Intestinal Absorption of Nutrients | 23 |
Gary M. Karp | US | Princetonjunction | 2011-02-24 / 20110046136 - METHODS FOR THE PRODUCTION OF FUNCTIONAL PROTEIN FROM DNA HAVING A NONSENSE MUTATION AND THE TREATMENT OF DISORDERS ASSOCICATED THEREWITH | 1 |
Gary Mitchell Karp | US | Princeton Junction | 2016-03-24 / 20160081988 - COMPOSITIONS OF 1,2,4-OXADIAZOLE BENZOIC ACID COMPOUNDS AND METHODS FOR THEIR USE | 19 |
James M. Karp | US | Tucson | 2015-10-01 / 20150277777 - WRITE PROCEDURE USING ESTIMATED BEST SETTING IN FIRST RUN | 9 |
Gary M. Karp | US | Princeton Junction | 2015-10-01 / 20150274674 - PYRAZOLE OR TRIAZOLE COMPOUNDS AND THEIR USE FOR THE MANUFACTURE OF A MEDICAMENT FOR TREATING SOMATIC MUTATION-RELATED DISEASES | 6 |
Cristina Karp | US | North Brunswick | 2014-05-15 / 20140134661 - THERAPEUTIC MODULATION OF AUTOPHAGY | 3 |
Gary Karp | US | Princeton Junction | 2015-03-19 / 20150080362 - ANTIBACTERIAL COMPOUNDS AND METHODS FOR USE | 3 |
Jason Harris Karp | US | La Jolla | 2011-09-22 / 20110226332 - SYSTEM AND METHOD FOR SOLAR ENERGY CAPTURE AND RELATED METHOD OF MANUFACTURING | 1 |
Joerg Karp | DE | Rommerskirchen | 2014-09-04 / 20140246377 - COMPOSITION COMPRISING A NON-IONIC SURFACTANT AND AN IONIC POLYMER | 1 |
James Mitchell Karp | US | Tucson | 2012-08-30 / 20120218658 - CARTRIDGE REFRESH AND VERIFY | 9 |
Jason Harris Karp | US | Schenectady | 2015-11-26 / 20150340744 - BATTERY CELL HEALTH MONITORING USING EDDY CURRENT SENSING | 1 |
Jessica Godin Karp | US | Niskayuna | 2014-09-04 / 20140248618 - MICROFLUIDIC FLOW CELL ASSEMBLIES AND METHOD OF USE | 2 |
Igor Karp | DE | Ismaning | 2013-05-30 / 20130139181 - Transactional Environments for Event and Data Binding Handlers | 1 |
Robyn Karp | US | New York | 2012-05-10 / 20120111241 - TABLE WITH INTERCHANGEABLE TOP PIECE | 1 |
Alan H. Karp | US | Palo Alto | 2015-02-12 / 20150046644 - SHIFTABLE MEMORY DEFRAGMENTATION | 12 |
Floyd Brian Karp | US | Seattle | 2014-02-13 / 20140041802 - BIOCOMPATIBLE ADHESIVE POLYMERS | 2 |
Igor Karp | US | Palo Alto | 2015-12-24 / 20150372834 - METHODS AND APPARATUS FOR USING SMART ENVIRONMENT DEVICES VIA APPLICATION PROGRAM INTERFACES | 3 |
Michael Karpa | US | Richmond | 2013-12-12 / 20130329767 - CARGO MONITORING SENSOR WITH HANDLE | 1 |
Wolfgang Karpa | DE | Delbrück | 2013-08-22 / 20130219398 - METHOD FOR EXECUTING A UTILITY PROGRAM, COMPUTER SYSTEM AND COMPUTER PROGRAM PRODUCT | 1 |
Wolfgang Karpa | DE | Delbrück | 2013-08-22 / 20130219398 - METHOD FOR EXECUTING A UTILITY PROGRAM, COMPUTER SYSTEM AND COMPUTER PROGRAM PRODUCT | 1 |
Adam Joseph Karpala | AU | Geelong | 2011-02-17 / 20110038834 - NOVEL AVIAN CYTOKINES AND GENETIC SEQUENCES ENCODING SAME | 1 |
Tarja Karpanen | GB | Birmingham | 2011-05-19 / 20110117223 - ANTISEPTICS | 1 |
Terhi Karpanen | FI | Helsinki | 2012-03-22 / 20120071406 - MODIFIED VEGF-A WITH IMPROVED ANGIOGENIC PROPERTIES | 1 |
Esko Karpanoja | FI | Mikkeli | 2011-01-13 / 20110006199 - METHOD FOR MEASURING GASES AND CORRESPONDING ION MOBILITY SPECTROMETER | 1 |
Zeev Karpas | IL | Omer | 2012-08-09 / 20120199733 - METHOD FOR THE DIAGNOSIS OF PATHOLOGICAL CONDITIONS IN ANIMALS | 2 |
Katerina Karpasitou | IT | Milano | 2010-06-10 / 20100143904 - METHOD FOR THE GENOMIC TYPING OF ERYTHROCYTE SYSTEMS, OLIGONUCLEOTIDE PROBES AND RELATIVE DIAGNOSTIC KITS | 1 |
Thomas Karpati | US | San Francisco | 2009-12-03 / 20090296813 - INTRA PREDICTION MODE SEARCH SCHEME | 1 |
Zoltan Karpati | HU | Pecs | 2010-04-22 / 20100097670 - Holographic storage system with improved beam overlap | 2 |
George S. Karpati | US | Quakertown | 2016-04-28 / 20160118361 - INTEGRATED CIRCUIT PACKAGE STRUCTURE AND INTERFACE AND CONDUCTIVE CONNECTOR ELEMENT FOR USE WITH SAME | 2 |
Peter Karpati | HU | Budapest | 2015-02-26 / 20150058200 - ARCHITECTURE OF SIMPLIFIED HARDWARE REQUIREMENTS FOR BANK CARD PAYMENT TRANSACTIONS IN A LARGE GROUP OF CLIENTS, TRANSACTION TERMINAL UNIT, EXTENDED FUNCTION SIM CARD, AND METHODS FOR INDIVIDUALISATION AND PERFORMING TRANSACTION | 1 |
Thomas Karpati | US | Austin | 2010-08-05 / 20100195730 - DUAL STAGE INTRA-PREDICTION VIDEO ENCODING SYSTEM AND METHOD | 1 |
Melinda-Kinga Karpati | CH | Zug | 2009-12-17 / 20090311306 - Intrauterine contraceptive device | 1 |
Zokan Karpati | HU | Pecs | 2009-10-22 / 20090262405 - Holographic storage system with reduced noise | 1 |
Anuj Karpatne | US | Minneapolis | 2016-02-04 / 20160034323 - CHARACTERIZING RELATIONSHIPS AMONG SPATIO-TEMPORAL EVENTS | 1 |
Eyal Karpel | IL | Hadera | 2015-10-01 / 20150281333 - Method and Apparatus for Delivering GUI Applications Executing on Local Computing Devices to Remote Devices | 1 |
Irina Karpel | DE | Bad Nauheim | 2010-10-28 / 20100269274 - Washing Device | 1 |
Isaac Karpel | US | Weston | 2010-05-06 / 20100110367 - SCREWLESS MOUNTING FOR EYEGLASSES | 1 |
Leonard Karpel | US | Lyons | 2014-08-07 / 20140222927 - METHOD, SYSTEM, APPARATUS AND CONTENT MODEL FOR THE CREATION, MANAGEMENT, STORAGE, AND PRESENTATION OF DYNAMIC OBJECTS | 3 |
Lenny Karpel | US | Lyons | 2014-10-30 / 20140324984 - SOCIAL NETWORK SYSTEM WITH TRACKED UNREAD MESSAGES | 3 |
Marc Karpel | US | Northford | 2012-12-27 / 20120330462 - Computer-Controlled Common Access Cabinet | 1 |
Rachelle Karpeles | US | South San Francisco | 2014-09-25 / 20140287114 - CRYSTALLIZATION RESISTANT FROZEN FOOD PRODUCTS | 1 |
Jeffrey Karpen | US | Elk Grove | 2014-01-16 / 20140018422 - DERIVATIVES OF TETRACAINE | 1 |
Thomas Karpen | US | Skaneateles | 2012-07-26 / 20120188560 - SYSTEM ASPECTS FOR A PROBE SYSTEM THAT UTILIZES STRUCTURED-LIGHT | 5 |
Eran Karpen | IL | Haifa | 2012-07-26 / 20120191770 - SYSTEM, A METHOD AND A COMPUTER PROGRAM PRODUCT FOR AUTOMATED REMOTE CONTROL | 1 |
Werner Karpen | DE | Villingen-Schwenningen | 2012-03-15 / 20120062049 - ELECTROMECHANICAL ENERGY CONVERTER | 1 |
Thomas W. Karpen | US | Skaneateles | 2009-10-01 / 20090244521 - SYSTEM AND METHOD FOR MULTI-MODE OPTICAL IMAGING | 5 |
Jacob Karpen | US | Brooklyn | 2013-12-19 / 20130338639 - EAR WAX REMOVAL SYRINGE | 1 |
Alexandre Karpenko | US | Palo Alto | 2016-05-19 / 20160139784 - SYSTEMS AND METHODS FOR PROVIDING INTERACTIVE TIME-LAPSE SELECTION FOR CAPTURED MEDIA CONTENT | 9 |
Igor Karpenko | US | Sunnyvale | 2016-04-14 / 20160103675 - METHODS AND SYSTEMS FOR PARTIAL PERSONALIZATION DURING MOBILE APPLICATION UPDATE | 12 |
Igor Karpenko | US | Sunnyvale | 2016-04-14 / 20160103675 - METHODS AND SYSTEMS FOR PARTIAL PERSONALIZATION DURING MOBILE APPLICATION UPDATE | 12 |
Oleh Karpenko | US | Perrysburg | 2012-01-26 / 20120021539 - IN-LINE METROLOGY SYSTEM | 1 |
Oleh Petro Karpenko | US | Richmond | 2014-03-06 / 20140065731 - IN-LINE METROLOGY SYSTEM | 6 |
Oleh P. Karpenko | US | Richmond | 2015-01-29 / 20150031165 - PHOTOVOLTAIC DEVICE INTERCONNECT | 2 |
Alexandre Karpenko | US | Palo Alto | 2016-05-19 / 20160139784 - SYSTEMS AND METHODS FOR PROVIDING INTERACTIVE TIME-LAPSE SELECTION FOR CAPTURED MEDIA CONTENT | 9 |
Pavel Karpenko | US | Daly City | 2013-09-05 / 20130230160 - CALL AND DATA CORRESPONDENCE IN A CALL-IN CENTER EMPLOYING VIRTUAL RESTRUCTURING FOR COMPUTER TELEPHONY INTEGRATED FUNCTIONALITY | 1 |
Victor P. Karpenko | US | Livermore | 2014-09-18 / 20140264256 - THREE DIMENSIONAL RADIOISOTOPE BATTERY AND METHODS OF MAKING THE SAME | 1 |
Alexander Karpenko | DE | Leverkusen | 2015-05-14 / 20150129805 - METHOD FOR PRODUCING CO AND/OR H2 IN AN ALTERNATING OPERATION BETWEEN TWO OPERATING MODES | 3 |
Paul Karpenko | US | Walnut Creek | 2013-05-23 / 20130129067 - PERSONAL DESKTOP ROUTER | 1 |
Yuri Anatoly Karpenko | US | Brighton | 2016-04-07 / 20160097433 - DAMPED BRAKE COMPONENTS AND METHODS OF MANUFACTURING THE SAME | 1 |
Oleh Karpenko | US | San Jose | 2016-02-25 / 20160056500 - GARNET MATERIALS FOR LI SECONDARY BATTERIES AND METHODS OF MAKING AND USING GARNET MATERIALS | 3 |
Mark Karpenko | US | Marina | 2014-12-25 / 20140379176 - METHOD AND APPARATUS FOR SPACECRAFT ATTITUDE CONTROL USING POLYNOMIAL INTERPOLATION | 1 |
Pavel Karpenko | US | Martinez | 2015-08-27 / 20150244870 - PERSONAL DESKTOP ROUTER | 1 |
Oleh P. Karpenko | US | Perrysburg | 2013-03-21 / 20130068301 - METHOD OF ETCHING A SEMICONDUCTOR LAYER OF A PHOTOVOLTAIC DEVICE | 6 |
Timothy P. Karpetsky | US | Towson | 2012-05-31 / 20120132669 - Method and Means For Precision Mixing | 1 |
David Karpey | US | Harrisburg | 2016-05-05 / 20160125884 - Utilizing Voice Biometrics | 8 |
Robert Karpf | AT | Bruck/mur | 2013-01-10 / 20130009459 - CONNECTING DEVICE FOR CONNECTING ELECTRICAL POWER SUPPLY LINES OF DRILLING AND PRODUCTION FACILITIES | 3 |
Ron Karpf | US | Corvallis | 2009-12-24 / 20090316900 - METHOD AND APPARATUS FOR USING NAVIGATION SIGNAL INFORMATION FOR GEOENCRYPTION TO ENHANCE SECURITY | 1 |
Ronald Steven Karpf | US | Corvallis | 2016-02-25 / 20160057362 - SYSTEMS, APPARATUS, AND METHODS FOR CREATING AN ETERNALISM, AN APPEARANCE OF SUSTAINED THREE DIMENSIONAL MOTION-DIRECTION OF UNLIMITED DURATION, USING A FINITE NUMBER OF IMAGES | 17 |
David Karpf | US | Hayward | 2010-06-17 / 20100152295 - METHODS OF REDUCING SMALL, DENSE LDL PARTICLES | 1 |
Ronald Steven Karpf | US | Corvallis | 2016-02-25 / 20160057362 - SYSTEMS, APPARATUS, AND METHODS FOR CREATING AN ETERNALISM, AN APPEARANCE OF SUSTAINED THREE DIMENSIONAL MOTION-DIRECTION OF UNLIMITED DURATION, USING A FINITE NUMBER OF IMAGES | 17 |
Daniel J. Karpf | US | Ventura | 2008-11-06 / 20080271455 - Hybrid/cryo power chamber | 1 |
David D. Karpf | US | Monte Sereno | 2008-10-30 / 20080269189 - Method for Avoiding Edema in the Treatment or Prevention of Ppary-Responsive Diseases, Including Cancer | 1 |
Ryan Karpf | US | San Francisco | 2015-11-12 / 20150325226 - SYSTEMS AND METHODS FOR PROVIDING IMMERSIVE AUDIO EXPERIENCES IN COMPUTER-GENERATED VIRTUAL ENVIRONMENTS | 2 |
Ditte Maria Karpf | DK | Vekso Sjaelland | 2011-12-15 / 20110306551 - Modification of Factor VIII | 1 |
Andreas Karpf | DE | Rodermark | 2009-05-28 / 20090134756 - SAFETY CABINET | 2 |
David Karpf | US | Mountain View | 2015-10-15 / 20150290154 - Treatment of NAFLD and NASH | 1 |
David B. Karpf | US | Monte Sereno | 2011-12-29 / 20110318418 - COMPOSITIONS OF 5-ETHYL-2--PYRIMIDINE | 1 |
Ditte M. Karpf | DK | Veksoe Sjaelland | 2016-05-05 / 20160120954 - Pharmaceutical Composition Suitable for Treatment of Haemophilia | 3 |
Karl-Heinz Karpf | AT | Kirchberg | 2013-03-28 / 20130076302 - System for Charging Portable Electronic Devices | 2 |
Ditte Marie Karpf | DK | Veksoe Sjaelland | 2014-01-16 / 20140018297 - COMPOUNDS SUITABLE FOR TREATMENT OF HAEMOPHILIA | 1 |
Sebastian Karpf | DE | Munich | 2016-04-28 / 20160118765 - A Coherent Dynamically Controllable Narrow Band Light Source | 2 |
Gary Karpf | US | Princeton | 2014-09-18 / 20140275717 - THERAPEUTIC BIOELECTROMAGNETIC FIELDS | 2 |
Ronald S. Karpf | US | Corvallis | 2014-12-25 / 20140380047 - SYSTEM AND METHOD FOR DELIVERING ENCRYPTED INFORMATION IN A COMMUNICATION NETWORK USING LOCATION IDENTITY AND KEY TABLES | 1 |
Jeffrey Karpf | US | Miami | 2014-09-18 / 20140272877 - Birthing Simulation Devices, Systems, and Methods | 2 |
Colin J. Karpfinger | US | San Francisco | 2014-04-03 / 20140092108 - Dynamically Changeable Decorative-Protective Accessory for a Mobile Computing Device | 1 |
Colin Karpfinger | US | Wauwatosa | 2011-06-30 / 20110157056 - TACTILE TOUCH-SENSING INTERFACE SYSTEM | 1 |
Boris Karpichev | US | Libertyville | 2015-12-10 / 20150354802 - Underwater LED Lights | 1 |
Boris Karpichev | US | Sun Valley | 2014-06-19 / 20140167627 - Lighting Display | 1 |
Boris Karpichev | US | Glendale | 2013-07-04 / 20130170212 - Underwater LED Lights | 1 |
John A. Karpiel | US | Winston-Salem | 2013-08-01 / 20130197554 - APPARATUS AND METHODS FOR ENDOSCOPIC RESECTION OF TISSUE | 10 |
Elliot Karpilovsky | US | Lawrenceville | 2010-08-19 / 20100208744 - System and method for compressing internet protocol rounting tables | 1 |
Jon Michael Karpilow | US | Boulder | 2015-12-31 / 20150374812 - CELL LINES FOR VIRUS PRODUCTION AND METHODS OF USE | 1 |
Jon Karpilow | US | Boulder | 2014-11-20 / 20140343130 - Micro-RNA Scaffolds, Non-naturally Occurring Micro-RNAs, and Methods for Optimizing Non-naturally Occurring Micro-RNAs | 9 |
Oleksandr Karpin | GB | Lviv | 2012-02-23 / 20120044150 - Touch Identification for Multi-Touch Technology | 1 |
Oleksandr Karpin | UA | Lviv | 2016-03-31 / 20160092028 - NOISE FILTERING DEVICES, SYSTEMS AND METHODS FOR CAPACITANCE SENSING DEVICES | 12 |
Oleksandr Karpin | UA | Lviv | 2016-03-31 / 20160092028 - NOISE FILTERING DEVICES, SYSTEMS AND METHODS FOR CAPACITANCE SENSING DEVICES | 12 |
Pinar Karpinar | DE | Goettingen | 2015-05-21 / 20150143556 - Mutant Alpha-Synuclein, and Methods Using Same | 3 |
Tatiana Karpinets | US | Louisville | 2011-11-24 / 20110287499 - Nucleic Acid Molecules Conferring Enhanced Ethanol Tolerance And Microorganisms Having Enhanced Tolerance To Ethanol | 1 |
Vesa Karpinnen | AU | Balmain | 2016-01-07 / 20160001562 - METHOD OF MAINTAINING PRINTHEAD USING MOVABLE CARRIAGE | 1 |
Joseph M. Karpinski | US | Stowe | 2013-12-26 / 20130345120 - PEPTIDE DEFORMYLASE INHIBITORS | 1 |
Piotr H. Karpinski | US | East Hanover | 2014-08-07 / 20140220125 - SALTS OF AZA-BICYCLIC DI-ARYL ETHERS AND METHODS TO MAKE THEM OR THEIR PRECURSORS | 1 |
Andreas Karpinski | DE | Odenthal | 2010-11-04 / 20100275980 - PHOTOVOLTAIC MODULES HAVING REFLECTIVE ADHESIVE FILMS | 5 |
Milosz Konrad Karpinski | CA | Airdrie | 2013-05-09 / 20130112392 - TEMPERATURE CONTROL IN AIR COOLED HEAT EXCHANGERS | 1 |
James Frederick Karpinski | US | Blaine | 2013-11-21 / 20130310845 - THROMBECTOMY CATHETER DEPLOYMENT SYSTEM | 1 |
Arkadiusz Michal Karpinski | FR | Nantes | 2015-01-22 / 20150024539 - FORMULATION OF COLLOIDAL TITANIUM-OXIDE SOLUTIONS COMPOSITION FOR COATING AND PRINTING METHODS, AND IMPROVEMENT OF THE OUTPUT AND LIFESPAN OF ORGANIC P-I-N/N-I-P PHOTOVOLTAIC CELLS | 1 |
Piotr H. Karpinski | US | Lincoln Park | 2015-06-25 / 20150175536 - HEMIFUMARATE SALT OF 1-[4-[1-(4-CYCLOHEXYL-3-TRIFLUOROMETHYL-BENZYLOXYIMINO)-ETHYL]-2-ETHYL-BE- NZYL]-AZETIDINE-3-CARBOXYLIC ACID | 16 |
Adam Karpinski | US | Nashville | 2015-01-15 / 20150015043 - WHEELCHAIR WITH TILT CAPABILITY | 1 |
Mariusz Grzegorz Karpinski | PL | Warsaw | 2015-10-15 / 20150292740 - METHODS OF REINFORCING COMBUSTOR APERTURE AND RELATED COMBUSTOR | 1 |
Krysztof Karpinski | AU | Donvale | 2010-05-06 / 20100108906 - RADIOPHARMACEUTICAL PURIFICATION | 1 |
Adam Paul Karpinski | US | Nashville | 2010-06-17 / 20100148466 - Power-Supplemented Manual Height-Adjusting Wheelchair | 1 |
Robert P. Karpinski | US | Nashville | 2013-02-28 / 20130049254 - Tire Molding Apparatus | 1 |
James Fredrick Karpinski | US | Blaine | 2016-02-11 / 20160038163 - THROMBECTOMY CATHETER DEPLOYMENT SYSTEM | 2 |
Michal J. Karpinski | PL | Jaslo | 2015-08-06 / 20150223008 - INTEGRATED MOBILE APPLICATION DEVELOPMENT PLATFORM | 2 |
Piotr "peter" H. Karpinski | US | Lincoln Park | 2013-06-27 / 20130165465 - Crystalline Forms of 4-Methyl-N-[3-(4-methyl-imidazol-1-yl)-5-trifluoromethyl-phenyl]-3-(4-pyr- idin-3-yl-pyrimidin-2-ylamino)-benzamide | 1 |
Peter Piotr Karpinski | SE | Stockholm | 2015-04-09 / 20150101014 - PROVISIONING AUTHORIZATION CLAIMS USING ATTRIBUTE-BASED ACCESS-CONTROL POLICIES | 2 |
Piotr Karpinski | US | Lincoln Park | 2015-08-06 / 20150218127 - CARBAMATE/UREA DERIVATIVES | 11 |
Stanislaw Karpinski | PL | Poznan | 2013-10-03 / 20130255150 - METHOD AND APPARATUS FOR PLANT PROTECTION | 1 |
Piotr H. Karpinski | US | Lincon Park | 2011-10-20 / 20110257150 - NEW SALTS | 1 |
Michal Jerzy Karpinski | PL | Jaslo | 2013-10-03 / 20130263098 - METHOD AND SYSTEM FOR TESTING OF MOBILE WEB SITES | 1 |
Piotr H. Karpinski | US | Lincoln Park | 2015-06-25 / 20150175536 - HEMIFUMARATE SALT OF 1-[4-[1-(4-CYCLOHEXYL-3-TRIFLUOROMETHYL-BENZYLOXYIMINO)-ETHYL]-2-ETHYL-BE- NZYL]-AZETIDINE-3-CARBOXYLIC ACID | 16 |
Piotr Henryk Karpinski | US | Lincoln Park | 2015-02-26 / 20150057322 - COMPOUNDS CONTAINING S-N-VALERYL-N--VALINE AND (2R,4S)-5-BIPHENYL-4-YL-4-(3-CARBOXY-PROPIONYLAMINO)-2-METHYL-PENTANOIC ACID ETHYL ESTER MOIETIES AND CATIONS | 1 |
Gennadiy Karpinskiy | RU | Desnogorsk | 2015-08-13 / 20150226589 - X-Ray Based Multiphase Flow Meter with Energy Resolving Matrix Detector | 1 |
James Karpinsky | US | Poynette | 2012-09-27 / 20120244264 - APPARATUS FOR APPLYING COATING TO PRODUCTS AND METHODS OF USE THEREOF | 5 |
Nikolaus Karpinsky | US | Ames | 2014-03-06 / 20140063024 - THREE-DIMENSIONAL RANGE DATA COMPRESSION USING COMPUTER GRAPHICS RENDERING PIPELINE | 2 |
Eugene Karpinsky | US | Farmington Hills | 2015-11-12 / 20150321551 - ELECTRONIC SHIFTER LIMP-HOME TECHNIQUES | 1 |
Eugene Karpinsky | US | Oak Park | 2014-05-08 / 20140129102 - METHOD OF ADDRESSING AND CORRECTING MISMATCHES BETWEEN E-SHIFT POSITION AND ACTUAL TRANSMISSION GEAR | 1 |
Heather Karpinsky | US | Hamilton | 2016-03-03 / 20160058221 - BABY SPOON AND BOWL | 1 |
Dmitry Karpinsky | US | Scottsdale | 2011-07-21 / 20110175754 - Dynamic dashboard display | 4 |
Bohdan Karpinskyy | KR | Suwon-Si | 2014-09-04 / 20140250160 - RANDOM NUMBER GENERATOR | 4 |
Bohdan Karpinskyy | KR | Gyeonggi-Do | 2014-08-28 / 20140244702 - RANDOM NUMBER GENERATOR | 1 |
Timothy B. Karpishin | US | Castro Valley | 2010-06-17 / 20100152438 - AMPHIPHILIC MOLECULAR MODULES AND CONSTRUCTS BASED THEREON | 2 |
Vladimir I. Karpitskiy | RU | Tomsk | 2010-06-03 / 20100136149 - METHOD FOR PRODUCING VEGETABLE CELL SAP CONCENTRATE AND MEANS FOR THE PRODUCTION THEREOF | 1 |
Erika Karplus | US | Silverthome | 2011-09-01 / 20110212782 - Method and System for Incorporating Physiologic Data in a Gaming Environment | 1 |
Paul Karplus | US | Moraga | 2012-01-19 / 20120013341 - Method and Apparatus for Electrically Cycling a Battery Cell to Simulate an Internal Short | 1 |
Paul Karplus | US | Orinda | 2012-05-03 / 20120104884 - ELECTRIC MOTOR AND METHOD OF COOLING | 1 |
Eli Karplus | DE | Heidelberg | 2015-10-15 / 20150295881 - METHOD AND SYSTEM TO ASSOCIATE A GEOGRAPHIC LOCATION INFORMATION WITH A NETWORK ADDRESS USING A COMBINATION OF AUTOMATED AND MANUAL PROCESSES | 1 |
Paul Thomas Hansen Karplus | US | Sunnyvale | 2016-05-05 / 20160121855 - PASSIVE WIPER SYSTEM | 1 |
Martin Karplus | US | Cambridge | 2016-03-17 / 20160075677 - SYNTHESIS OF RESORCYLIC ACID LACTONES USEFUL AS THERAPEUTIC AGENTS | 4 |
Erika Karplus | US | Silverthorne | 2015-05-07 / 20150127737 - SOCIAL MEDIA NETWORKING BASED ON PHYSIOLOGIC INFORMATION | 3 |
Maurice Samuel Karpman | US | Brookline | 2013-12-05 / 20130323884 - THREE DIMENSIONAL MICROELECTRONIC COMPONENTS AND FABRICATION METHODS FOR SAME | 2 |
Boris Karpman | US | Marlborough | 2016-01-07 / 20160003165 - COMPACT AERO-THERMO MODEL BASED CONTROL SYSTEM | 14 |
Maurice S. Karpman | US | Brookline | 2016-04-21 / 20160113139 - METHODS AND DEVICES FOR IMPROVED SPACE UTILIZATION IN WAFER BASED MODULES | 3 |
Boris Karpman | US | Marborough | 2016-01-07 / 20160003164 - COMPACT AERO-THERMO MODEL STABILIZATION WITH COMPRESSIBLE FLOW FUNCTION TRANSFORM | 1 |
Boris Karpman | US | Marlborough | 2016-01-07 / 20160003165 - COMPACT AERO-THERMO MODEL BASED CONTROL SYSTEM | 14 |
Arkady Karpman | IL | Hod Hasharon | 2014-09-04 / 20140250100 - SYSTEMS AND METHODS FOR INDEXING AND SEARCHING ADMINISTRATIVE DATA | 4 |
Alon Karpman | US | Brooklyn | 2011-03-31 / 20110074127 - PERSONAL VEHICLE | 2 |
Maurice Karpman | US | Cambridge | 2015-06-25 / 20150179609 - METHOD FOR INTERCONNECTING DIE AND SUBSTRATE IN AN ELECTRONIC PACKAGE | 1 |
Alexander Karpman | US | Ballwin | 2015-09-10 / 20150251512 - BALL JOINT ASSEMBLY FOR A CONTROL ARM | 2 |
Wayne Karpoff | CA | Alberta | 2009-10-29 / 20090271589 - STORAGE VIRTUALIZATION SYSTEM | 1 |
Mark C. Karpoff | US | Willowick | 2010-04-22 / 20100096374 - ROTATING WELDING GUN HANDLE TO ACHIEVE TRIGGER-UP OR TRIGGER-DOWN ORIENTATION | 1 |
Alon Karpol | IL | Rehovot | 2011-06-23 / 20110151538 - AFFINITY PURIFICATION BY COHESIN-DOCKERIN INTERACTION | 1 |
Valeri Karpov | US | Fair Lawn | 2011-01-13 / 20110010245 - LOCATION-BASED ADVERTISING METHOD AND SYSTEM | 2 |
Dmitry Karpov | US | San Jose | 2014-06-19 / 20140173512 - Field Selection Graphical User Interface | 1 |
Gergey Karpov | US | Chestnut Hill | 2010-02-25 / 20100047115 - METHOD OF AND APPARATUS FOR CLEANING AND DISINFECTION OF AIR | 1 |
Sergei Karpov | US | Concord | 2012-07-26 / 20120191536 - RECOMMENDATIONS BASED ON REAL-TIME USAGE INFORMATION | 3 |
Victor Karpov | US | Toledo | 2011-03-03 / 20110048534 - Nanodipole Photovoltaic Devices, Methods of Making and Methods of Use Thereof | 1 |
Andrey Karpov | US | Metuchen | 2015-04-16 / 20150104487 - FORMULATION AND USE THEREOF | 6 |
Iiya Karpov | US | Fremont | 2015-02-26 / 20150055408 - VERIFY OR READ PULSE FOR PHASE CHANGE MEMORY AND SWITCH | 2 |
Andrey Karpov | DE | Mannheim | 2013-07-25 / 20130189842 - CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING A SPECIFIC HETEROPOLYACID | 21 |
Elijah V. Karpov | US | Santa Clara | 2016-05-12 / 20160133829 - High Stability Spintronic Memory | 14 |
Alexei Karpov | CH | Basel | 2014-10-30 / 20140322247 - CELL PROLIFERATION INHIBITORS AND CONJUGATES THEREOF | 1 |
Victor Vladimirovich Karpov | RU | Moscow | 2016-05-05 / 20160124906 - METHODS AND SYSTEMS FOR REPRESENTING A DEGREE OF TRAFFIC CONGESTION USING A LIMITED NUMBER OF SYMBOLS | 1 |
Andrey Karpov | US | Cranford | 2015-03-19 / 20150080605 - CATALYST FOR PREPARATION OF AN UNSATURATED CARBOXYLIC ACID BY GAS PHASE OXIDATION OF AN UNSATURATED ALDEHYDE | 1 |
Eduard Karpov | US | Glenview | 2011-06-02 / 20110129742 - Nonequilibrium Chemovoltaic Fuel Cell | 1 |
Vladimir Karpov | CA | Pointe Claire | 2016-01-07 / 20160006209 - PUMP LASER ARCHITECTURE AND REMOTELY PUMPED RAMAN FIBER AMPLIFIER LASER GUIDE STAR SYSTEM FOR TELESCOPES | 1 |
Igor V. Karpov | US | Mountain View | 2015-01-29 / 20150032424 - FAMILIARITY MODELING | 1 |
Elijah I. Karpov | US | Santa Clara | 2012-01-05 / 20120002461 - NON-VOLATILE MEMORY WITH OVONIC THRESHOLD SWITCH AND RESISTIVE MEMORY ELEMENT | 1 |
Elijah V. Karpov | US | Portland | 2015-08-27 / 20150243336 - Decreased Switching Current in Spin-Transfer Torque Memory | 5 |
Sergey Karpov | US | Chestnut Hill | 2009-01-22 / 20090022340 - Method of Acoustic Wave Generation | 1 |
Leonid D. Karpov | US | Cedar Park | 2009-03-26 / 20090080614 - COMPACT RADIATION SOURCE | 1 |
Ilya V. Karpov | US | Santa Clara | 2015-07-23 / 20150206581 - Immunity of Phase Change Material to Disturb in the Amorphous Phase | 21 |
Elijah Ilya Karpov | US | Santa Clara | 2016-05-19 / 20160141031 - NON-VOLATILE REGISTER FILE INCLUDING MEMORY CELLS HAVING CONDUCTIVE OXIDE MEMORY ELEMENT | 2 |
Elijah Karpov | US | Santa Clara | 2011-06-09 / 20110134685 - Energy-efficient set write of phase change memory with switch | 1 |
Inna Karpov | US | Lake Worth | 2009-04-16 / 20090098070 - SUNSCREEN COMPOSITIONS WITH LOW EYE-STING AND HIGH SPF | 1 |
Andrey Karpov | DE | Mannheim | 2013-07-25 / 20130189842 - CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING A SPECIFIC HETEROPOLYACID | 21 |
Ilya Karpov | US | Santa Clara | 2010-07-01 / 20100163817 - SELF-HEATING PHASE CHANGE MEMORY CELL ARCHITECTURE | 3 |
Elijah V. Karpov | US | Santa Clara | 2016-05-12 / 20160133829 - High Stability Spintronic Memory | 14 |
Victor G. Karpov | US | Toledo | 2010-12-02 / 20100304512 - System for Diagnosis and Treatment of Photovoltaic and Other Semiconductor Devices | 1 |
Mikhail Aleksandrovich Karpov | RU | Saint-Petersburg | 2015-09-17 / 20150264160 - MANAGING SEARCH SESSION DATA | 1 |
Tatjana Karpova | FI | Espoo | 2015-02-05 / 20150034566 - Water Treatment | 1 |
Olga Karpova | US | West Lafayette | 2014-06-19 / 20140173780 - SOYBEAN TRANSFORMATION FOR EFFICIENT AND HIGH-THROUGHPUT TRANSGENIC EVENT PRODUCTION | 1 |
Olga Viacheslavovna Karpova | RU | Moscow | 2013-09-12 / 20130236491 - SPHERICAL NANO AND MICROPARTICLES DERIVED FROM PLANT VIRUSES FOR THE DISPLAY OF FOREIGN PROTEINS OR EPITOPES | 1 |
Olga Karpova | US | Indianapolis | 2014-06-19 / 20140173774 - SOYBEAN TRANSFORMATION FOR EFFICIENT AND HIGH-THROUGHPUT TRANSGENIC EVENT PRODUCTION | 1 |
Jon Karpovich | US | 2012-10-11 / 20120255244 - Modular Mounting Apparatus | 1 | |
Jon Karpovich | US | Pinckney | 2012-10-18 / 20120262040 - SOLAR PANEL HOUSING | 1 |
David S. Karpovich | US | Gagetown | 2014-10-30 / 20140323713 - BIO-DEGRADABLE MATERIAL AND METHOD | 1 |
Derek J. Karpovich | US | Davidson | 2015-05-28 / 20150144308 - BAFFLE ASSEMBLY FOR HEAT EXCHANGER | 1 |
Ronald Joseph Karpovich | GB | London | 2014-12-04 / 20140358756 - CURRENCY PRICING AND SETTLEMENT | 1 |
Volodymyr Karpovych | AT | Graz | 2014-12-25 / 20140376196 - METHOD FOR PRODUCING A PRINTED CIRCUIT BOARD CONSISTING OF AT LEAST TWO PRINTED CIRCUIT BOARD REGIONS, AND PRINTED CIRCUIT BOARD | 2 |
Richard Karpowicz | US | Philadelphia | 2015-02-26 / 20150056699 - SMALL MOLECULE INDUCERS OF GDNF AS POTENTIAL NEW THERAPEUTICS FOR NEUROPSYCHIATRIC DISORDERS | 1 |
Edward Karpowicz | US | Sewell | 2016-05-19 / 20160135855 - PERCUTANEOUS VERTEBRAL STABILIZATION SYSTEM | 17 |
Edward Karpowicz | US | Sewell | 2016-05-19 / 20160135855 - PERCUTANEOUS VERTEBRAL STABILIZATION SYSTEM | 17 |
Nicholas Karpowicz | US | Schenectady | 2009-03-12 / 20090066948 - Compact Terahertz Spectrometer Using Optical Beam Recycling and Heterodyne Detection | 1 |
John Karpowicz | US | Chester Springs | 2015-03-26 / 20150088068 - SUCTION REGULATOR HAVING FOUR MODES OF OPERATION | 23 |
Edward Karpowicz | US | Swedesboro | 2015-07-30 / 20150209154 - EXPANDABLE VERTEBRAL PROSTHESIS | 10 |
Pasi Karppanen | FI | Kajaani | 2009-11-12 / 20090279240 - ELECTRONIC INFORMATION BOARD | 1 |
Arto Olavi Karppanen | FI | Helsinki | 2010-12-30 / 20100330988 - METHOD AND APPARATUS | 1 |
Arto Karppanen | FI | Helsinki | 2015-11-12 / 20150326483 - TRANSMISSION OF DELAY TOLERANT DATA | 5 |
Matti Karppanen | FI | Espoo | 2012-03-22 / 20120069602 - METHOD AND ARRANGEMENT FOR TRACKING THE MAXIMUM POWER POINT OF A PHOTOVOLTAIC MODULE | 1 |
Asko Karppi | FI | Turku | 2015-03-12 / 20150068697 - METHOD FOR DISSOLVING CATIONIC STARCH, PAPERMAKING AGENT AND ITS USE | 6 |
Timo Karppinen | FI | Helsinki | 2014-08-28 / 20140243666 - Skeletal Method and Arrangment Utilizing Electromagnetic Waves | 1 |
Jukka Karppinen | FI | Hernejarvi | 2015-03-26 / 20150083504 - Arrangement in a Forestry Machine and a Forestry Machine Equipped with a Corresponding Arrangement | 1 |
Vesa Karppinen | AU | Balmain | 2013-09-05 / 20130229467 - NON-CONTACT METHOD OF REMOVING FLOODED INK FROM PRINTHEAD PAGE | 38 |
Juha Karppinen | FI | Oulu | 2013-01-03 / 20130001908 - CONTAINER | 1 |
Peppi Leena Elina Karppinen | FI | Oulu | 2015-05-07 / 20150126620 - METHODS FOR TREATING ANEMIA | 1 |
Rodney Karppinen | US | Orting | 2015-10-08 / 20150283677 - GRINDER SYSTEM WITH REPLACEABLE CLAY EMBEDDED DISC | 1 |
Jaro I. Karppinen | FI | Oulu | 2016-02-11 / 20160038517 - Osteoclast Inhibitors Such As Zoledronic Acid For Low Back Pain Treatment | 1 |
Tiina Maarit Karppinen | FI | Tampere | 2012-12-27 / 20120327798 - CONNECTION ANALYSIS IN COMMUNICATION SYSTEMS | 1 |
Janne Henrik Karppinen | SE | Lund | 2010-11-25 / 20100299745 - LOCKING AND RESETTING LOCK KEY OF COMMUNICATION DEVICE | 1 |
Jouni Karppinen | FI | Tampere | 2010-01-28 / 20100021062 - Apparatus,method and computer program providing image processing using software and hardware processing | 1 |
Maarit Karppinen | JP | Kanagawa | 2009-08-20 / 20090206297 - OXYGEN EXCESS TYPE METAL OXIDE, CERAMIC FOR OXYGEN STORAGE AND/OR AN OXYGEN SELECTIVE MEMBRANE, AND METHODS AND APPARATUSES USING SAID METAL OXIDE | 1 |
Vesa Karppinen | AT | Balmain | 2009-08-13 / 20090201335 - Printhead Maintenance Assembly Comprising Pair Of Transfer Rollers | 1 |
Janne Karppinen | SE | Lund | 2008-12-11 / 20080307229 - Method And Apparatus For Certificate Roll-Over | 1 |
Anssi Karppinen | FI | Hikia | 2008-09-04 / 20080210494 - ELEVATOR SYSTEM | 1 |
Mikko Karppinen | FI | Rauma | 2015-11-19 / 20150329181 - METHOD FOR DISASSEMBLING AND/OR ASSEMBLING AN UNDERWATER SECTION OF A RETRACTABLE THRUSTER UNIT | 1 |
Pasi Karppinen | FI | Helsinki | 2014-08-28 / 20140243666 - Skeletal Method and Arrangment Utilizing Electromagnetic Waves | 1 |
Marcela Karpuj | US | San Francisco | 2011-12-22 / 20110311533 - REGULATION OF PROTEIN LEVEL | 1 |
Michael Edward Karpuk | US | Boulder | 2014-12-11 / 20140360500 - BREATHING APPARATUS, AND METHOD FOR CONTROLLING TEMPERATURE FLUCTUATIONS | 1 |
Prasanna Karpur | US | Chandler | 2012-07-26 / 20120187583 - METHODS AND APPARATUSES TO STIFFEN INTEGRATED CIRCUIT PACKAGE | 2 |
Venkata Ramana Karpuram | US | Fremont | 2016-03-31 / 20160094422 - STATISTICAL PATTERN CORRELATION OF EVENTS IN CLOUD DEPLOYMENTS USING CODEBOOK APPROACH | 3 |
Venkata Ramana Karpuram | US | Sunnyvale | 2011-09-29 / 20110238687 - METRIC CORRELATION AND ANALYSIS | 3 |
Olexiy Karpus | US | Redmond | 2015-05-28 / 20150149609 - PERFORMANCE MONITORING TO PROVIDE REAL OR NEAR REAL TIME REMEDIATION FEEDBACK | 2 |
Michael E. Karpus | US | Macomb Township | 2010-12-16 / 20100315732 - REARVIEW MIRROR ASSEMBLY HAVING A COMPOSITE REFLECTIVE SURFACE | 2 |
Michael E. Karpus | US | Macomb | 2010-08-05 / 20100195228 - FUNCTIONAL FIELD OF VIEW FOR BLIND SPOT MIRRORS | 1 |
Amy Karpus | US | Ladson | 2009-06-18 / 20090156367 - HAND EXERCISER | 1 |
Amy Lynn Karpus | US | Ladson | 2011-11-17 / 20110281688 - Pediatric Hand Therapy Device | 2 |
Thomas Joseph Karpus | US | Cary | 2012-04-05 / 20120081036 - AUTOMATIC DROPOUT PREVENTION IN LED DRIVERS | 2 |
Daniel P. Karpy | US | Odessa | 2010-05-13 / 20100115861 - Sanitary Platform Utilizing A Web Bracket | 1 |
Stephen Karr | US | Camarillo | 2010-07-01 / 20100170006 - METHODS FOR SCREENING OF NOVEL FUNCTIONS OF RECEPTOR LIKE KINASES | 3 |
David E. Karr | US | Travelers Rest | 2010-02-25 / 20100043148 - AIR MATTRESS INTERNAL SUPPORT STRUCTURE | 1 |
Lawrence Karr | US | Santa Monica | 2008-11-06 / 20080273165 - METHOD AND APPARATUS FOR REDUCING EXPOSURE TO RF ENERGY PRODUCED BY PORTABLE TRANSMITTERS | 1 |
Shawn E. Karr | US | Melbourne | 2013-11-14 / 20130302037 - DEVICE FOR SENDING AND RECEIVING SATA SIGNALS OVER AN OPTICAL FIBER LINK | 1 |
Alan Karr | US | Lake Barrington | 2016-03-17 / 20160077124 - DETECTION OF SHOCK IN DETECTOR ELECTRONICS | 1 |
Laura Lee Karr | US | Lebanon | 2009-12-24 / 20090318466 - COMPOUNDS USEFUL AS PESTICIDES | 1 |
Stephen L. Karr | US | Davis | 2010-05-27 / 20100129382 - COMBINATION THERAPY FOR THE TREATMENT OF TUMORS | 2 |
Ronald Karr | US | Palo Alto | 2015-09-24 / 20150269067 - SYSTEMS AND METHODS FOR IDENTIFYING ACCESS RATE BOUNDARIES OF WORKLOADS | 2 |
Lawrence J. Karr | US | Santa Monica | 2016-03-31 / 20160091601 - HOLONOMICALLY CONSTRAINED (TETHERED) SPIN-AROUND LOCATOR | 20 |
Leslie Ann Karr | US | Oak View | 2010-02-11 / 20100035216 - Alternative Steel and Concrete Target | 1 |
Ronald S. Karr | US | Palo Alto | 2009-04-02 / 20090089612 - SYSTEM AND METHOD OF REDUNDANTLY STORING AND RETRIEVING DATA WITH COOPERATING STORAGE DEVICES | 2 |
Richard J. Karr | US | Rowlett | 2009-10-01 / 20090244870 - APPARATUS AND METHOD FOR A CLIP DEVICE FOR COUPLING A HEAT SINK PLATE SYSTEM WITH A BURN-IN BOARD SYSTEM | 1 |
Waltraud Karr | AU | Indooroopilly | 2012-05-03 / 20120107869 - ORGANIC COMPOUNDS | 1 |
George Karr | US | Lago Vista | 2008-08-28 / 20080208475 - DRILLING COLLABORATION INFRASTRUCTURE | 1 |
Ronald J. Karr | US | North Chelmsford | 2008-12-25 / 20080320302 - METHOD, APPARATUS, AND MANUFACTURE FOR DECRYPTION OF NETWORK TRAFFIC IN A SECURE SESSION | 1 |
Bryan Karr | US | Fort Collins | 2016-03-10 / 20160070535 - METHOD AND SYSTEM FOR QUEUING DATA FOR MULTIPLE READERS AND WRITERS | 1 |
Greg Karr | US | St. George | 2009-03-12 / 20090068727 - CLOSED SYSTEM, SHALLOW CHANNEL PHOTOBIOREACTOR | 1 |
Jeffrey C. Karr | US | Lakeland | 2012-05-24 / 20120129761 - PREPARATION OF BONE CEMENT COMPOSITIONS | 1 |
Brian Karr | US | Savage | 2012-02-02 / 20120026625 - LAMINATED CONTACT PAD | 1 |
Jessica Karr | US | San Francisco | 2015-12-10 / 20150351435 - METHODS AND COMPOSITIONS FOR AFFECTING THE FLAVOR AND AROMA PROFILE OF CONSUMABLES | 2 |
Brian William Karr | US | Savage | 2015-06-11 / 20150162038 - ELECTRICALLY REMOVABLE HEATER FOR A THERMALLY ACTUATABLE THERMAL ASPERITY SENSOR | 4 |
Christy Karr | US | Imperial | 2014-10-16 / 20140306038 - POWDER FORMED FROM MINERAL OR ROCK MATERIAL WITH CONTROLLED PARTICLE SIZE DISTRIBUTION FOR THERMAL FILMS | 1 |
Nancy Karr | US | Lindenhurst | 2015-03-19 / 20150075437 - PET TOILET | 2 |
Matthew Karr | US | Bellevue | 2011-12-15 / 20110304641 - AUTOMATED CONTRAST VERIFICATIONS | 1 |
Brian W. Karr | US | Savage | 2012-05-17 / 20120120982 - Resistive Temperature Sensors for Improved Asperity, Head-Media Spacing, and/or Head-Media Contact Detection | 4 |
Michael Karr | US | Brookline | 2009-04-02 / 20090089762 - FUNCTION CALL TRANSLATION | 1 |
Christopher P. Karr | US | 2011-05-26 / 20110125977 - ALIGNING DATA STORAGE DEVICE PARTITION TO BOUNDARY OF PHYSICAL DATA SECTOR | 1 | |
Darren Eugene Karr | US | West Linn | 2011-03-31 / 20110072683 - Suction Sole | 1 |
Michael E. Karr | US | Brookline | 2013-06-06 / 20130145347 - AUTOMATIC MODULARIZATION OF SOURCE CODE | 4 |
Ted Douglas Karr | US | Sherwood | 2013-08-15 / 20130212478 - AUDIO NAVIGATION OF AN ELECTRONIC INTERFACE | 1 |
Christopher P. Karr | US | Lake Forest | 2015-08-20 / 20150234775 - ENABLING FILE ORIENTED ACCESS ON STORAGE DEVICES | 2 |
Michael Charles Karr | US | Monte Vista | 2008-09-11 / 20080216534 - Method for Extracting Fulvic Acid Molecules | 1 |
Jennifer Anne Karr | US | Edmonds | 2015-08-06 / 20150220712 - RESTRICTED EXECUTION MODES | 3 |
Nick Karr | US | Dallas | 2013-11-07 / 20130297523 - SYSTEM AND METHOD FOR USING ELECTRONIC CONTACT IDENTIFIER FOR COMPLETING A SALES TRANSACTION | 1 |
Monette Karr | US | San Diego | 2015-12-03 / 20150346076 - NANOPARTICLE ANALYZER | 1 |
Natalie Karr | US | San Francisco | 2013-10-17 / 20130274352 - Oral Drug Devices and Drug Formulations | 2 |
Matthew B. Karr | US | Seattle | 2013-03-14 / 20130067397 - CONTROL AREA FOR A TOUCH SCREEN | 1 |
Jennifer A. Karr | US | Edmonds | 2013-04-04 / 20130083008 - ENRICHED EXPERIENCE USING PERSONAL A/V SYSTEM | 3 |
Alan Karr | US | Port Barrington | 2015-04-30 / 20150117591 - SYNCHRONIZED VIEW DATA ACQUISITION BETWEEN STATIONARY SPECTRAL DETECTORS AND ROTATING ENERGY INTEGRATING DETECTORS FOR SPECTRAL COMPUTER-AIDED TOMOGRAPHY | 1 |
Charles L. Karr | US | Tuscaloosa | 2016-05-19 / 20160139242 - MOBILE UNIT LOCATION USING MOBILE UNITS IN PROXIMITY | 6 |
Gerald S. Karr | US | Venice | 2015-12-17 / 20150365657 - Text and graphics interactive display | 1 |
Randy J. Karr | US | Maple Valley | 2014-08-21 / 20140233440 - Spectrum Allocation System and Method for Multi-Band Wireless RF Data Communications | 2 |
Lawrence J. Karr | US | Santa Monica | 2016-03-31 / 20160091601 - HOLONOMICALLY CONSTRAINED (TETHERED) SPIN-AROUND LOCATOR | 20 |
Damodar Karra | IN | Bangalore | 2015-06-11 / 20150161355 - System and Methods for Generating a Diet Plan | 2 |
Ramlal Karra | IN | Hyderabad | 2015-11-19 / 20150334641 - ENHANCED CALL RECORDING FOR DUAL-SIM DUAL-ACTIVE WIRELESS COMMUNICATION DEVICES | 1 |
Nour Karra | IL | Tel Aviv | 2013-10-10 / 20130266625 - NANOPARTICLES BASED ON POLY (LACTIC GLYCOLIC) ACID FOR COSMETIC APPLICATIONS | 2 |
Sreenivasu Karra | IN | Andhra Pradesh | 2008-10-30 / 20080268503 - Method for Achieving High-Level Expression of Recombinant Human Interleukin-2 Upon Destabilization of the Rna Secondary Structure | 1 |
Gianna Karra | GR | Athens | 2016-03-31 / 20160093156 - METHODS AND SYSTEMS FOR CONDUCTING LOTTERY GAMES WITH PORTABLE DEVICES ENABLED FOR NEAR FIELD COMMUNICATION (NFC) | 4 |
Nour Karra | IL | Jaffa Tel Aviv Zip | 2011-03-17 / 20110064652 - NANOPARTICLES FOR TARGETED DELIVERY OF ACTIVE AGENTS TO THE LUNG | 1 |
Suresh Karra | IN | Bangalore | 2015-01-15 / 20150019722 - DETERMINING, MANAGING AND DEPLOYING AN APPLICATION TOPOLOGY IN A VIRTUAL ENVIRONMENT | 1 |
Janakikodandaram Karra | US | Novi | 2014-04-03 / 20140091497 - PROCESS FOR CURING A POROUS MUFFLER PREFORM | 4 |
Navin K. Karra | GB | Backnell | 2013-06-13 / 20130150036 - Periodic Registration Updates For Multiple SIM User Equipment | 1 |
Navin K. Karra | GB | Bracknell | 2013-06-13 / 20130150126 - VIRTUAL MODEM SUSPENSION HANDLER IN MULTIPLE SIM USER EQUIPMENT | 3 |
Rajani Karra | IN | Hyderabad | 2014-05-01 / 20140117995 - METHODS AND APPARATUS FOR TESTING AN ELECTRONIC TRIP DEVICE | 2 |
Tarun Karra | US | San Diego | 2015-08-20 / 20150235338 - DEVICE HANG DETECTION AND RECOVERY | 2 |
Srinivas R. Karra | US | Harsdale | 2009-04-16 / 20090098860 - METHOD AND SYSTEM OF PROVIDING NAVIGATION SERVICE WITH DIRECTORY ASSISTANCE | 1 |
Srinivasa R. Karra | US | Pembroke | 2016-03-03 / 20160058763 - NOVEL HETEROCYCLIC CARBOXAMIDES AS MODULATORS OF KINASE ACTIVITY | 14 |
Srinivasa R. Karra | US | Pembroke | 2016-03-03 / 20160058763 - NOVEL HETEROCYCLIC CARBOXAMIDES AS MODULATORS OF KINASE ACTIVITY | 14 |
Laila Karra | IL | Jaffa | 2016-04-28 / 20160115231 - TREATMENT OF MAST CELL RELATED PATHOLOGIES | 1 |
Nour Karra | IL | Jaffa Tel Aviv | 2015-12-31 / 20150374627 - NANOPARTICLES FOR DERMAL AND SYSTEMIC DELIVERY OF DRUGS | 2 |
Navin Kumar Karra | GB | Berkshire | 2015-05-14 / 20150133130 - MULTI-SIM SMALL CELL FINGERPRINTING | 1 |
Appala Narasimha Sarma Karra | IN | Chennai | 2014-09-25 / 20140285399 - INTERACTIVE RENDERING ON A MULTI-DISPLAY DEVICE | 1 |
Navin Karra | GB | Bracknell | 2014-10-02 / 20140295831 - Background Paging Monitoring in Dual SIM Wireless Communication Device | 1 |
Khaled Karrai | DE | Munich | 2015-01-15 / 20150019160 - ABSOLUTE DISTANCE LASER INTERFEROMETER | 3 |
Douglas Karraker | US | Muskego | 2009-07-02 / 20090167229 - AC Motor Bypass With Fault Annunication, Serial Communication And Fault Tolerant Coil Control | 1 |
Douglas W. Karraker | US | Muskego | 2011-12-08 / 20110299312 - INVERTER FOR SOLAR CELL ARRAY | 2 |
Daniel O. Karraker | US | Cupertino | 2014-02-27 / 20140055600 - IN-LINE PARTICLE DISCRIMINATION FOR COSMETIC INSPECTION | 1 |
Daniel Owen Karraker | US | Dongola | 2009-12-31 / 20090320196 - CONNECTION DEVICE BETWEEN TOILET AND DRAINPIPE | 1 |
Daniel Karraker | US | Cupertino | 2014-03-20 / 20140078658 - DETECTION OF OLEOPHOBIC COATING | 2 |
Douglas Karraker | US | New Berlin | 2015-09-10 / 20150253359 - DC BUS VOLTAGE MEASUREMENT CIRCUIT | 1 |
Mickey M. Karram | US | Cincinnatti | 2009-01-22 / 20090023982 - Apparatus and method for incision-free vaginal prolapse repair | 1 |
Mickey Karram | US | Cincinnati | 2015-01-22 / 20150025309 - TRANSPERITONEAL PROLAPSE REPAIR SYSTEM AND METHOD | 1 |
Michael A. Karram | US | Flint | 2011-07-14 / 20110169228 - SEALING GASKET | 3 |
Mickey Karram | US | 2015-01-22 / 20150025309 - TRANSPERITONEAL PROLAPSE REPAIR SYSTEM AND METHOD | 1 | |
Mylene Tania Karramkam | GP | Dupuy | 2008-11-27 / 20080292548 - COMPOUNDS AND METHODS FOR 18F LABELED AGENTS | 1 |
Carel Karrar | DE | Stuttgart | 2016-04-21 / 20160107326 - HANDHELD WORK APPARATUS | 6 |
Adil Karrar | US | Santa Clara | 2013-08-22 / 20130219191 - PLATFORM FIRMWARE ARMORING TECHNOLOGY | 3 |
Adil Karrar | US | San Francisco | 2015-02-26 / 20150058510 - VIRTUALIZING INTERRUPT PRIORITY AND DELIVERY | 4 |
Thomas W. Karras | US | Berwyn | 2015-05-14 / 20150132005 - HIGH PERFORMANCE COMPACT RF RECEIVER FOR SPACE FLIGHT APPLICATIONS | 2 |
Nick J. Karras | US | Macomb | 2010-03-04 / 20100052233 - DOUBLE ACTING WORK SUPPORT WITH INTERNAL SEQUENCE CONTROL | 2 |
James G. Karras | US | San Marcos | 2012-12-13 / 20120316221 - COMPOSITIONS AND THEIR USES DIRECTED TO IL-4R ALPHA | 8 |
Timo Karras | FI | Espoo | 2009-08-13 / 20090202079 - Method, apparatus and computer program product for providing mobile broadcast service protection | 1 |
James Karras | US | San Marcos | 2010-12-09 / 20100311814 - MODULATION OF STAT5 EXPRESSION | 4 |
James G. Karras | US | San Marco | 2009-12-17 / 20090312398 - COMPOSITIONS AND THEIR USES DIRECTED TO IL-4R ALPHA | 1 |
Tero Tapani Karras | FI | Helsinki | 2016-03-10 / 20160071313 - RELATIVE ENCODING FOR A BLOCK-BASED BOUNDING VOLUME HIERARCHY | 15 |
Tero Tapani Karras | FI | Helsinki | 2016-03-10 / 20160071313 - RELATIVE ENCODING FOR A BLOCK-BASED BOUNDING VOLUME HIERARCHY | 15 |
Kimon Karras | IE | Dublin | 2015-10-29 / 20150311899 - VIRTUALIZATION OF PROGRAMMABLE INTEGRATED CIRCUITS | 1 |
Panayiotis J. Karras | US | Ferndale | 2014-07-17 / 20140197730 - VEHICLE EXTERIOR DOOR HANDLE LIGHTING | 1 |
James G. Karras | US | San Marcos | 2012-12-13 / 20120316221 - COMPOSITIONS AND THEIR USES DIRECTED TO IL-4R ALPHA | 8 |
James Gerard Karras | US | Hudson | 2015-03-26 / 20150086772 - METHODS OF FILLING WOOD VOIDS AND REDUCING WASTE IN PRODUCTION OF COATED WOOD PRODUCTS | 1 |
Tero Karras | FI | Helsinki | 2014-03-13 / 20140071129 - METHOD AND SYSTEM FOR GRAPHICS RENDERING EMPLOYING GRADIENT DOMAIN METROPOLIS LIGHT TRANSPORT | 7 |
Thanos Karras | US | Mount Prospect | / - | 1 |
James C. Karras | US | San Marcos | 2009-01-29 / 20090029931 - Topical administrations of antisense compounds to vla-4 for the treatment of respiratory conditions | 1 |
Ivo Karrasch | DE | Berlin | 2010-10-21 / 20100264121 - Machine arrangement for machining bar-like workpieces having a device for workpiece support | 1 |
Christopher John Karrasch | US | Boiling Springs | 2015-12-24 / 20150372437 - TERMINAL CRIMPING MACHINE HAVING A WIRE CLAMP | 3 |
Thorsten Karrasch | DE | Herford | 2009-07-23 / 20090183656 - CONNECTING DEVICE FOR FURNITURE | 1 |
Matthias Karrasch | DE | Erlangen | 2016-03-24 / 20160081929 - Method of Administering a Cationic Liposomal Preparation | 3 |
Gregor Karrasch | DE | Einhausen | 2015-04-02 / 20150093934 - ELECTRICAL CONNECTOR HAVING AN INTEGRATED IMPEDANCE EQUALISATION ELEMENT | 2 |
Andreas K. Karrasch | US | Agawam | 2014-04-24 / 20140114873 - COMPREHENSIVE EMPLOYEE LEAVE MANAGEMENT SYSTEM | 1 |
Christopher J. Karrasch | US | Boiling Springs | 2014-10-30 / 20140317922 - METHOD AND APPARATUS FOR CRIMPING AN ELECTRICAL TERMINAL TO AN ELECTRICAL WIRE | 1 |
Andreas Karrasch | DE | Berlin | 2013-05-02 / 20130110103 - HIGH-FREQUENCY SURGICAL DEVICE | 1 |
Wally Karrat | US | Danbury | 2014-02-27 / 20140053588 - HIGH-EFFICIENCY DATA CENTER COOLING | 5 |
Joseph M. Karratt | US | Redwood City | 2014-03-13 / 20140074019 - DOSAGE SENSING UNIT WITH TACTILE FEEDBACK | 1 |
Joseph M. Karratt | US | Mountain View | 2010-05-06 / 20100114026 - DOSAGE SENSING UNIT WITH TACTILE FEEDBACK | 1 |
Joseph Karratt | US | Millbrae | 2014-07-03 / 20140188205 - DEVICES AND METHODS FOR CONTROLLING AND INDICATING THE LENGTH OF AN INTERVENTIONAL ELEMENT | 2 |
Joseph Karratt | US | Santa Clara | 2009-10-15 / 20090259316 - Spacer Devices and Systems for the Treatment of Spinal Stenosis and Methods for Using the Same | 1 |
Klas Karre | SE | Stockholm | 2012-11-29 / 20120302462 - System and Method for Detecting and Quantifying Active T-cells or Natural Killer Cells | 1 |
Roland Karrelmeyer | DE | Bietigheim-Bissingen | 2013-12-19 / 20130338905 - METHOD AND DEVICE FOR CONTROLLING A SPARK IGNITION ENGINE IN THE AUTO-IGNITION OPERATING MODE | 15 |
Taco Karremans | NL | Eindhoven | 2010-10-21 / 20100264705 - CHILD VEHICLE SEAT | 2 |
Taco Karremans | BE | Overpelt | 2011-09-15 / 20110221169 - STROLLER | 1 |
Paulus Karremans | NL | Tilburg | 2015-12-03 / 20150350053 - METHOD AND SYSTEM FOR POLICY-BASED CONTROL IN A DISTRIBUTED NETWORK | 3 |
Arjan Karremans | NL | Roosendaal | 2010-11-04 / 20100280160 - FLAME RETARDANT THERMOPLASTIC COMPOSITION AND ARTICLES FORMED THEREFROM | 1 |
Kyle Karren | US | Fairport | 2011-06-30 / 20110156497 - SYSTEM AND METHOD FOR ACTIVATING AN ISOLATED DEVICE | 1 |
Andreas Karrenbauer | DE | St. Wendel | 2013-10-24 / 20130278641 - METHOD, SYSTEM AND APPARATUS FOR POWER SAVING BACKLIGHT | 3 |
Andreas Karrenbauer | DE | Saarbrucken | 2009-08-06 / 20090195563 - METHOD FOR DRIVING MATRIX DISPLAYS | 1 |
Andreas Karrenbauer | DE | Konstanz | 2011-07-28 / 20110181632 - METHOD AND DRIVER FOR ACTUATING A PASSIVE-MATRIX OLED DISPLAY | 1 |
Jill M. Karrenbrock | US | Studio City | 2009-02-26 / 20090053967 - SET OF BUILDING COMPONENTS FOR BUILDING A PLURALITY OF PREDEFINED STRUCTURES | 1 |
Brian Karrer | US | San Francisco | 2016-04-28 / 20160117721 - Network-aware Product Rollout in Online Social Networks | 2 |
Helmut Karrer | DE | Fuerth | 2013-11-28 / 20130312514 - Sensor Assembly | 2 |
Erik E. Karrer | US | Mountain View | 2011-07-28 / 20110182898 - IMMUNOSUPPRESSIVE POLYPEPTIDES AND NUCLEIC ACIDS | 8 |
Reto Karrer | CH | Stafa | 2015-10-01 / 20150279582 - Electrical Switching Device With A Triple Motion Contact Arrangement | 4 |
Erik Karrer | US | Mountain View | 2012-05-31 / 20120135912 - POLYPEPTIDE INHIBITORS OF VLA4 | 1 |
Erik Karrer | US | Fremont | 2008-08-28 / 20080207459 - ANTIBODY DIVERSITY GENERATION | 1 |
Karin Karrer | CH | Pfeffingen | 2011-05-19 / 20110118384 - PEARLESCENT PIGMENTS COATED WITH A METAL OXIDE/HYDROXIDE LAYER AND AN ACRYLIC COPOLYMER | 2 |
Volker Karrer | DE | Regensburg | 2015-01-15 / 20150014130 - TAP SWITCH | 4 |
Friedrich Karrer | DE | Horb | 2009-07-09 / 20090173176 - MECHANICAL ADJUSTING DEVICE FOR ADJUSTING AN ADJUSTING ELEMENT | 1 |
Andreas Karrer | DE | Satteldorf | 2009-02-05 / 20090033716 - METHOD AND DEVICE FOR INK-JET PRINTING A MOVING WEB | 1 |
Lothar Karrer | DE | Pfungstadt | 2014-06-05 / 20140155255 - PROCESS FOR THE REGENERATION OF A COPPER, ZINC AND ZIRCONIUM OXIDE-COMPRISING ADSORPTION COMPOSITION | 6 |
Randolf Karrer | DE | Schwetzingen | 2009-12-10 / 20090301006 - Bonded Window | 1 |
Helmut Karrer | DE | Fürth | 2010-08-05 / 20100198465 - CONTROL DEVICE FOR A MOTOR VEHICLE | 5 |
Beat Karrer | CH | Zurich | 2015-12-31 / 20150375419 - PROCESS FOR PRODUCING A MOULDING USING A WATER-SOLUBLE CASTING MOULD AND MATERIAL SYSTEM FOR THE PRODUCTION THEREOF | 2 |
Ulrich Karrer | DE | Mainz | 2010-04-29 / 20100101374 - Device for Opening and Closing a Valve of a Valve Assembly of a Combustion Engine as Well as for Adjusting the Stroke of the Valve | 1 |
Helmut Karrer | DE | Fürth | 2010-08-05 / 20100198465 - CONTROL DEVICE FOR A MOTOR VEHICLE | 1 |
Ben Karrer | US | Kalamazoo | 2009-07-30 / 20090192019 - FOUNDATION BRAKE HILL START AIDE SYSTEM | 1 |
Heinrich Karrer | AT | Regau | 2009-10-29 / 20090266593 - SURFACE-MOUNTABLE ELECTRONIC DEVICE | 1 |
Erik E. Karrer | US | Mountain View | 2011-07-28 / 20110182898 - IMMUNOSUPPRESSIVE POLYPEPTIDES AND NUCLEIC ACIDS | 8 |
Reto Karrer Walker | CH | Unterageri | 2015-12-24 / 20150371445 - Method for Inspecting a Security Document | 1 |
Ramesh Karri | US | New York | 2016-04-07 / 20160098565 - SYSTEM, METHOD AND COMPUTER-ACCESSIBLE MEDIUM FOR SECURITY VERIFICATION OF THIRD PARTY INTELLECTUAL PROPERTY CORES | 7 |
Suresh Kumar Karri | IN | Bangalore | 2016-05-12 / 20160129054 - Process for the therapeutic management of Diarrhea predominant irritable bowel syndrome using Bacillus coagulans SBC-37-01, MTCC 5856 | 1 |
Jyothi Karri | US | San Jose | 2015-04-23 / 20150109675 - EMBEDDED SURFACE DIFFUSER | 1 |
Sriram Karri | IN | Hyderabad | 2014-07-31 / 20140214510 - SOCIAL REWARDS | 3 |
Sriram Karri | IN | Hayderabad | 2013-08-08 / 20130204780 - SYSTEM AND METHOD FOR PURCHASING SOCIALLY | 1 |
Sai Sravan Bharadwaj Karri | US | Cupertino | 2015-12-03 / 20150351049 - Device and Method for Setting a Target Power | 1 |
Hemanth Kashyap Karri | IN | Hyderabad | 2011-08-18 / 20110202393 - INTEGRATED SYSTEM AND METHOD FOR CAR POOLING USING SMART CARDS, GPS, GPRS, ACTIVE POSTER AND NEAR FIELD COMMUNICATION DEVICES | 1 |
Madhav Karri | US | Austin | 2014-03-20 / 20140082236 - SYSTEMS AND METHODS FOR INTELLIGENT SYSTEM PROFILE UNIQUE DATA MANAGEMENT | 2 |
Kartik Karri | IN | Secunderabad | 2013-03-28 / 20130079605 - TRANSDERMAL ANALYTE EXTRACTION & DETECTION SYSTEM AND THE METHOD THEREOF | 1 |
Naveen Reddy Karri | US | Redmond | 2014-12-18 / 20140373146 - DOS DETECTION AND MITIGATION IN A LOAD BALANCER | 1 |
Satyanarayana Reddy Karri | IN | Konkuduru | 2009-02-05 / 20090033428 - VOLTAGE CONTROLLED OSCILLATOR | 1 |
Naveen R. Karri | US | Redmond | 2010-11-25 / 20100299653 - SERVICEABILITY AND CONFIGURATION MANAGEMENT | 1 |
Sai Sravan Bharadwaj Karri | US | Santa Clara | 2016-01-07 / 20160007241 - Fast Reselection from a Current Cell to a Higher-Priority Cell in Wireless Communication | 11 |
Aditya Panini Karri | IN | Hyderabad | 2014-03-27 / 20140089041 - TWO SIGMA INTELLIGENCE | 1 |
Kartik Karri | IN | Seccunderabad | 2011-10-27 / 20110264028 - Active transdermal drug delivery system and the method thereof | 1 |
Suresh Karri | IN | Bangalore | 2015-08-27 / 20150238438 - COMPOSITION COMPRISING SCIRPUSIN A AND SCIRPUSIN B AND ANTI-OBESITY POTENTIAL THEREOF | 1 |
Ramya Karri | US | San Ramon | 2012-01-05 / 20120005540 - SEARCH SUGGESTIONS FOR STATIC CODE ANALYSIS | 1 |
Vijaya Kumar Karri | IN | Kakinada | 2014-12-04 / 20140357863 - PROCESS FOR PREPARATION OF PURE LINAGLIPTIN | 1 |
Surya B.r. Karri | US | Naperville | 2014-02-06 / 20140034134 - CIRCULATING FLUIDIZED BED WITH MOVING BED DOWNCOMERS AND GAS SEALING BETWEEN REACTORS | 1 |
Satyaprakash Karri | CA | Victoria | 2015-05-14 / 20150128684 - HEART VALVE TESTING APPARATUS AND METHODS | 1 |
Krishnamurthy Karri | US | New Orleans | 2015-08-06 / 20150217836 - METHODS FOR ASSEMBLING A MODULAR FLOATING PRODUCTION STORAGE AND OFFLOADING VESSEL | 1 |
S.b. Reddy Karri | US | Naperville | 2015-08-06 / 20150217252 - TAPER FLUIDIZED BED REACTOR AND PROCESS FOR ITS USE | 1 |
Madhav A. Karri | US | Berkeley | 2012-10-04 / 20120247527 - ELECTRODE STRUCTURES FOR ARRAYS OF NANOSTRUCTURES AND METHODS THEREOF | 1 |
Sai Sravan Bharadwaj Karri | US | Santa Clara | 2016-01-07 / 20160007241 - Fast Reselection from a Current Cell to a Higher-Priority Cell in Wireless Communication | 11 |
Christy Karr, Jr. | US | Imperial | 2012-07-05 / 20120171491 - POWDER FORMED FROM MINERAL OR ROCK MATERIAL WITH CONTROLLED PARTICLE SIZE DISTRIBUTION FOR THERMAL FILMS | 2 |
Elin Karrman | SE | Malmo | 2010-09-23 / 20100240345 - EVENT NOTIFIER DEVICE AND HEADSET | 1 |
Elin Karrman | SE | Umea | 2010-01-21 / 20100014686 - HEARING PROTECTION | 1 |
Ove Karrnas | SE | Svanesund | 2013-02-07 / 20130031885 - POCKET FILTER ASSEMBLY | 1 |
Torben Karrock | DE | Bosau | 2015-06-11 / 20150157453 - DEVICE FOR OPTICALLY REPRESENTING INTRAOCULAR PRESSURE, AND A METHOD FOR SAME | 1 |
Mohamed Karroumi | FR | Rennes | 2015-08-06 / 20150222628 - DEVICE AND METHOD CERTIFICATE GENERATION | 15 |
Arjun Kar-Roy | US | Irvine | 2016-04-28 / 20160118339 - Structure Having Isolated Deep Substrate Vias with Decreased Pitch and Increased Aspect Ratio and Related Method | 8 |
Arjun Kar-Roy | US | Irvine | 2016-04-28 / 20160118339 - Structure Having Isolated Deep Substrate Vias with Decreased Pitch and Increased Aspect Ratio and Related Method | 8 |
Mark S. Karrs | US | Lincoln Park | 2009-12-10 / 20090301699 - VERTICAL COMBINED FEED/EFFLUENT HEAT EXCHANGER WITH VARIABLE BAFFLE ANGLE | 1 |
Peter John Karrys | CA | Oakville | 2014-09-18 / 20140282883 - SYSTEM AND METHOD FOR DISTRIBUTING, MONITORING AND CONTROLLING INFORMATION | 1 |
Herman P. Kars | NL | Delft | 2013-10-10 / 20130264345 - Closure Plug | 1 |
Herman P. Kars | US | 2011-02-03 / 20110024424 - Closure Plug | 1 | |
Johan K. Kars | US | Cincinnati | 2011-03-03 / 20110052471 - CATALYTIC ABATEMENT SYSTEM FOR ORGANIC SOLVENT EMISSIONS | 1 |
A. Rifat Kars | TR | Cigli-Izmir | / - | 1 |
A. Rifat Kars | TR | Cigil-Izmir | 2012-02-23 / 20120043732 - DOLLY | 1 |
Frederick Karsa | US | North Brunswick | 2009-05-28 / 20090133488 - Test to measure curling tendency of paper in laser printers | 1 |
Ozcan Karsak | DE | Herzogenaurach | 2008-09-11 / 20080221625 - Medical Clamp, in Particular Spinal Clamp, Device for Providing a Reference Position and Method for Determining a Reference Position | 1 |
Oezcan Karsak | DE | Herzogenaurach | 2012-07-05 / 20120168587 - MOUNT TO ACCOMMODATE AN OBLONG MEDICAL INSTRUMENT | 1 |
Michael Karsch | US | New York | 2015-12-03 / 20150342243 - Method of Reducing E. coli or Salmonella Contamination of Agricultural Products | 2 |
Kevin Robert Karsch | US | Urbana | 2013-06-13 / 20130147911 - AUTOMATIC 2D-TO-STEREOSCOPIC VIDEO CONVERSION | 1 |
Kevin Karsch | US | Champaign | 2015-10-29 / 20150310135 - 4D VIZUALIZATION OF BUILDING DESIGN AND CONSTRUCTION MODELING WITH PHOTOGRAPHS | 2 |
Kevin Karsch | US | Urbana | 2015-10-22 / 20150302563 - Relighting Fragments for Insertion into Content | 3 |
Beáta Karsch | HU | Erd | 2011-03-17 / 20110061264 - FOOTWEAR WITH UNSTABLE SOLE STRUCTURE | 1 |
Ulrich Karsch | DE | Niederkassel | 2016-03-03 / 20160059477 - METHOD FOR MANUFACTURING A FUEL TANK AND FUEL TANK | 11 |
Hans-Ullrich Karsch | DE | Grub Am Frost | 2010-08-05 / 20100192328 - LOCKING DEVICE OF A MOTOR VEHICLE FOR SECURING A DISPLACEABLE MOTOR VEHICLE COMPONENT | 1 |
Hans-Ulrich Karsch | DE | Grub Am Forst | 2011-04-28 / 20110094370 - SYNTHETIC FIBER ROPE WITH COUPLING ELEMENT | 3 |
Ulrich Karsch | DE | Niederkassel | 2016-03-03 / 20160059477 - METHOD FOR MANUFACTURING A FUEL TANK AND FUEL TANK | 11 |
Michael Adam Karsch | US | New York | 2013-09-26 / 20130249218 - Animal Collision Avoidance System | 1 |
Mario Karsch | DE | Dohna | 2015-03-05 / 20150063077 - TIMEPIECE | 1 |
Beáta Karsch | HU | Erd | 2011-03-17 / 20110061264 - FOOTWEAR WITH UNSTABLE SOLE STRUCTURE | 1 |
Tasso Karsch | DE | Waiblingen | 2015-12-31 / 20150375263 - UV IRRADIATION DEVICE FOR CLOCKED OPERATION | 1 |
Jan Karsch | DE | Berlin | 2014-02-06 / 20140037141 - METHOD FOR EVALUATING A PLURALITY OF TIME-OFFSET PICTURES, DEVICE FOR EVALUATING PICTURES, AND MONITORING SYSTEM | 1 |
John Karsch | CA | Vancouver | 2013-09-05 / 20130232338 - NETWORK SECURITY APPLIANCE | 2 |
Boris Karsch | AU | Beaconsfield | 2015-11-05 / 20150317841 - FARE EVASION DETECTION USING VIDEO ANALYTICS | 3 |
Robert John Karschnia | US | Chaska | 2014-09-18 / 20140269334 - CHANNEL GREY LISTING | 2 |
Kody Karschnik | US | Maple Grove | 2014-05-22 / 20140137332 - MULTI-ZONE FLUID CHAMBER AND MATTRESS SYSTEM | 1 |
Kody Karschnik | US | Plymouth | 2014-07-03 / 20140182061 - DISTRIBUTION PAD FOR A TEMPERATURE CONTROL SYSTEM | 1 |
Morten A. Karsdal | DK | Copenhagen | 2012-02-23 / 20120046224 - TREATMENT OF DIABETES AND METABOLIC SYNDROME | 6 |
Morten Asser Karsdal | DK | Kobenhavn | 2015-07-16 / 20150196617 - Peptide Analogs for Treating Diseases and Disorders | 2 |
Morten Karsdal | DK | Kobenhavn K | 2010-09-02 / 20100220907 - Pathology indicating measure related to cartilage structure and automatic quantification thereof | 1 |
Morten Karsdal | DK | Kobenhavn O | 2016-05-05 / 20160123993 - Collagen Type X Alpha-1 Assay | 7 |
Morten A. Karsdal | DK | Copenhagen O | 2012-02-23 / 20120045781 - PATHOLOGY BIOMARKER ASSAY | 1 |
Morten A. Karsdal | DK | Kobenhavn | 2013-03-28 / 20130079295 - TREATMENT OF CARTILAGE RESORPTION | 1 |
Morten A. Karsdal | DK | O Copenhagen | 2011-06-16 / 20110142307 - VERTEBRAL FRACTURE PREDICTION | 1 |
Morten Karsdal | DK | Copenhagen | 2010-12-23 / 20100323377 - BIOCHEMICAL MARKERS FOR CVD RISK ASSESSMENT | 3 |
Morten A. Karsdal | DK | Kobenhavn O | 2016-03-31 / 20160091502 - TEXT NOT AVAILABLE | 1 |
Steven G. Karseboom | US | Baton Rouge | 2015-05-28 / 20150147265 - Removal of Bromine From Gaseous Hydrogen Bromide | 2 |
Gerard Karsenty | US | New York | 2016-02-18 / 20160045571 - OSTEOCALCIN AS A TREATMENT FOR COGNITIVE DISORDERS | 14 |
Bruce D. Karsh | US | Half Moon Bay | 2015-10-15 / 20150294003 - METHODS OF AND SYSTEMS FOR SEARCHING BY INCORPORATING USER-ENTERED INFORMATION | 1 |
William Karsh | US | Belmont | 2009-06-04 / 20090142198 - APPARATUS AND METHOD FOR HANDLING FLUIDS AT NANO-SCALE RATES | 1 |
William Karsh | US | Plainsboro | 2011-10-20 / 20110255756 - METHOD AND APPARATUS FOR SCREENING CHEMICAL COMPOUNDS | 2 |
Araf Karsh | US | Santa Clara | / - | 1 |
Raffi Karshafian | CA | Scarborough | 2008-09-11 / 20080221382 - Method and system of radiotherapy enhancement through cellular perturbation using ultrasound and microbubbles | 1 |
Raffi Karshafian | CA | Richmond Hill | 2015-07-16 / 20150196638 - SYSTEM AND METHOD FOR USING ULTRASOUND-STIMULATED MICROBUBBLE EXPOSURES TO INDUCE CERAMIDE ACCUMULATION IN ENDOTHELIAL AND TUMOR CELLS | 1 |
Soheil Karshenas Najafabadi | US | Kew Gardens | 2016-03-03 / 20160066365 - SYSTEMS AND METHODS FOR TERMINATING TELEPHONY COMMUNICATIONS TO MOBILE TELEPHONY DEVICES | 4 |
Attila Karsi | US | Starkville | 2013-11-07 / 20130295136 - LIVE ATTENUATED CATFISH VACCINE AND METHOD OF MAKING | 2 |
Murat Karsi | US | San Diego | 2016-01-07 / 20160007215 - CROSS CORRELATION NULLING FOR INTERFERENCE RESOLUTION | 6 |
Tomi Karsikas | FI | Raahe | 2016-03-03 / 20160059582 - COLOUR MARKING METAL SURFACES | 1 |
Mari Karsikas | FI | Oulu | 2012-05-17 / 20120123283 - Apparatus, Method, And Computer Program For Predicting Risk For Cardiac Death | 1 |
Niko Karsikas | FI | Raahe | 2016-03-03 / 20160059582 - COLOUR MARKING METAL SURFACES | 1 |
Murat Karslioglu | US | Santa Clara | 2012-11-29 / 20120303854 - MODULAR INTERFACE-INDEPENDENT STORAGE SOLUTION SYSTEM | 1 |
Ton Karsmakers | NL | Oss | 2011-10-13 / 20110250782 - TERMINAL BLOCK FOR A CABLE CONNECTOR | 3 |
Ton Karsmakers | NL | Zd Oss | 2012-06-07 / 20120138356 - Shielding Shell for a Connector | 1 |
Steven R. Karson | US | Smyrna | 2010-06-24 / 20100161408 - COMPUTER BASED SYSTEMS AND METHODS FOR MANAGING ONLINE DISPLAY ADVERTISING INVENTORY | 1 |
Nico Karssemeijer | NL | Ubbergen | 2016-05-12 / 20160133033 - A Method of Reconstruction of an Object from Projection Views | 3 |
Nico Karssemeijer | NL | Beek-Ubbergen | 2014-02-06 / 20140039318 - Automated detection of suspected abnormalities in ultrasound breast images | 3 |
Nico Karssemeijier | NL | Beek | 2009-12-17 / 20090312640 - ADJUNCTIVE ULTRASOUND PROCESSING AND DISPLAY FOR BREAST CANCER SCREENING | 1 |
Nico Karssemeijier | US | Sunnyvale | 2013-10-31 / 20130289405 - ADJUNCTIVE ULTRASOUND PROCESSING AND DISPLAY FOR BREAST CANCER SCREENING | 1 |
Gerrit Karssen | NL | Bennekom | 2010-04-01 / 20100081133 - Methods of Detecting Root Knot Nematodes | 2 |
Daniel L. Karst | US | Beaver Dam | 2016-02-18 / 20160049233 - DOOR CHIME ASSEMBLY | 11 |
Greg Anthony Karst | US | Columbus | 2016-04-07 / 20160096351 - DUAL COATED FILM FOR BONDING DISSIMILAR MATERIALS | 1 |
Greg Karst | US | Columbus | 2012-05-17 / 20120123060 - HIGH TEMPERATURE SHAPE MEMORY POLYMER VIA REACTIVE EXTRUSION | 1 |
Edward Karst | US | S. Pasadena | 2011-02-17 / 20110040345 - ELECTROMECHANICAL DELAY (EMD) MONITORING DEVICES, SYSTEMS AND METHODS | 6 |
Wolf-Peter Karst | DE | Dresden | 2013-12-26 / 20130341403 - METHOD AND APPARATUS FOR RECORDING STATUS OF SHIPPABLE GOODS | 1 |
Johanna Karst | FR | Thiais | 2011-03-17 / 20110065782 - METHODS OF IDENTIFYING COMPOUNDS THAT INHIBIT THE ACTIVATION OF A BIOMOLECULE AND METHODS OF TREATMENT USING THE COMPOUNDS | 1 |
Edward F. Karst | US | South Pasadena | 2009-10-01 / 20090241956 - METHOD FOR CONTROLLING DELIVERY OF BREATHING GAS TO A PATIENT USING MULTIPLE VENTILATION PARAMETERS | 1 |
Edward Karst | US | South Pasadena | 2014-04-17 / 20140107723 - SINGLE-CHAMBER LEADLESS INTRA-CARDIAC MEDICAL DEVICE WITH DUAL-CHAMBER FUNCTIONALITY | 18 |
Pete Karst | US | Woodstock | 2013-03-14 / 20130064636 - CARTON FEEDING SYSTEM | 1 |
Nicolas Karst | FR | Folkling | 2016-03-24 / 20160082272 - Photovoltaic Generation Device and System and Application Thereof to an Implantable Medical Device | 12 |
Edward Karst | US | South Pasadena | 2014-04-17 / 20140107723 - SINGLE-CHAMBER LEADLESS INTRA-CARDIAC MEDICAL DEVICE WITH DUAL-CHAMBER FUNCTIONALITY | 18 |
Dieter Karst | CH | Stein Am Rhein | 2014-07-24 / 20140201968 - METHOD FOR CONNECTING A DIAPHRAGM TO A SENSOR HOUSING | 2 |
Karl A. Karst | US | Woodbury | 2013-02-07 / 20130032288 - TRANSFER LAMINATION | 1 |
Christian Karst | FR | Biot | 2014-11-13 / 20140335180 - PRODUCTS FOR ORAL ADMINISTRATION COMPRISING EXTRACTS OF PUNICA GRANATUM (POMEGRANATE), INTENDED FOR A PET, AND APPLICATIONS OF SAME | 1 |
Edward Karst | US | S.pasadena | 2011-05-26 / 20110125208 - METHODS AND SYSTEMS TO MONITOR CARDIAC CONTRACTILITY | 1 |
Edward Karst | US | Los Angeles | 2016-05-05 / 20160121110 - SYSTEMS AND METHODS FOR CORRELATING MEASUREMENTS IN NEUROSTIMULATION SYSTEMS | 17 |
Jeremy Karst | US | Bellingham | 2011-08-18 / 20110198474 - Weapon Wall Mount and Locking Method and Apparatus | 1 |
Daninel L. Karst | US | Beaver Darn | 2015-02-05 / 20150038070 - VENTILATION SYSTEM AND METHOD | 1 |
Edward Karst | US | Los Angeles | 2016-05-05 / 20160121110 - SYSTEMS AND METHODS FOR CORRELATING MEASUREMENTS IN NEUROSTIMULATION SYSTEMS | 17 |
Daniel L. Karst | US | Beaver Dam | 2016-02-18 / 20160049233 - DOOR CHIME ASSEMBLY | 11 |
Nathaniel Joseph Karst | US | Somerville | 2014-08-21 / 20140233534 - Message Rearrangement for Improved Wireless Code Performance | 1 |
Stephanie Karst | US | Shreveport | 2008-10-16 / 20080254443 - Norovirus detection, methods and compositions therefor | 1 |
Uwe Karst | DE | Muenster | 2016-01-07 / 20160005578 - PARALLEL ELEMENTAL AND MOLECULAR MASS SPECTROMETRY ANALYSIS WITH LASER ABLATION SAMPLING | 2 |
Nicolas Karst | FR | Folkling | 2016-03-24 / 20160082272 - Photovoltaic Generation Device and System and Application Thereof to an Implantable Medical Device | 12 |
Natalie Karst | FR | Paris | 2011-12-15 / 20110306553 - METHODS AND USES INVOLVING HEME BINDING PROTEIN 1 | 1 |
Vemund Karstad | NO | Oslo | / - | 1 |
Ketil Karstad | NO | Drammen | 2013-10-24 / 20130280506 - AUTHENTICATION OF ARTICLES | 2 |
Petrus Karsten | NL | Grootebroen | 2009-12-03 / 20090297745 - RETORTABLE COMPOSITION | 1 |
Toby Lee Karsten | US | Woodland Hills | 2012-09-13 / 20120227213 - POOL COVER COUPLING SYSTEMS | 1 |
Uwe Karsten | DE | Panketal | 2014-04-10 / 20140099259 - TUMOR-SPECIFIC RECOGNITION MOLECULES | 5 |
Stanislav L. Karsten | US | Signal Hill | 2015-09-03 / 20150247844 - Method for Obtaining Cell and Tissue Specific Biomolecules | 2 |
Gary Karsten | US | Wyoming | 2016-01-28 / 20160022513 - Support Arrangement with Activation Mechanism | 1 |
Lerius Karsten | DE | Munkbrarup | 2010-08-05 / 20100197493 - THERMOSENSITIVE RECORDING MATERIAL COMPRISING A BACK COATING | 1 |
Gary Lee Karsten | US | Wyoming | 2015-06-11 / 20150157128 - Chair Back Mechanism and Control Assembly | 9 |
Stanislav L. Karsten | US | Los Angles | 2010-07-15 / 20100178650 - CAPILLARY-BASED CELL AND TISSUE ACQUISITION SYSTEM (CTAS) | 1 |
Dale Karsten | US | Waseca | 2015-11-19 / 20150330037 - Method of grinding a two-lane roadway in two passes | 2 |
Petrus Karsten | NL | Dl Enkhuizen | 2010-07-08 / 20100174265 - SYNDIOTATIC POLYPROPYLENE COMPOSITION COMPRISING A THERMOPLASTIC ELASTOMER | 1 |
Joern Ralph Karsten | US | Mason | / - | 3 |
Stanislav L. Karsten | US | Rancho Palos Verdes | 2014-05-01 / 20140120631 - METHOD OF DETECTING PROTEIN AND DETECTING DEVICE | 1 |
Sharon Karsten | US | Chicago | 2014-10-09 / 20140304136 - Global Investment Grade for Natural and Synthetic Gems used in Financial Investments and Commercial Trading and Method of Creating Standardized Baskets of Gems to be Used in Financial and Commerical Products | 3 |
Sebastian Karsten | DE | Xanten-Luttingen | 2016-03-24 / 20160081261 - Soil Cultivation Implement for Attachment to a Towing Vehicle | 2 |
Johannes Franciscus Karsten | NL | Middelie | 2015-07-09 / 20150192221 - METHOD FOR LAYING A PIPELINE | 4 |
Lisa Mccue Karsten | US | Annapolis | 2014-11-27 / 20140349549 - Posable Toy and Method of Interconnection | 3 |
Stefan Karsten | DE | Gummersbach | 2015-12-31 / 20150376551 - CLEANERS FOR HARD SURFACES COMPRISING PHOSPHORIC ACID ESTERS OF A POLYETHER-MODIFIED ALKYL ALCOHOL | 4 |
Utz Karsten | DE | Mudersbach | 2015-10-08 / 20150283590 - Method for Producing a Flat Steel Product with High Reflectivity, Flat Steel Product and Mirror Element for Solar Concentrators | 1 |
Colin Karsten | US | Avon | 2011-05-12 / 20110112991 - METHOD OF IDENTIFYING CO2 REDUCTION AND OBTAINING CARBON CREDITS | 1 |
Leon Gerhard Karsten | BR | Rio De Janeiro | 2015-08-20 / 20150234360 - Construction arrangement applied to electromagnetic or magnetic watch | 1 |
Peter Olof Karsten | GB | Greater London | 2010-11-04 / 20100279733 - NETWORKING APPLICATION | 1 |
Peter Olof Karsten | GB | London | 2012-08-02 / 20120196688 - ONLINE GAMING SYSTEM | 4 |
Peter Karsten | GB | Old Windsor | 2011-04-14 / 20110087975 - METHOD AND ARRANGEMENT IN A DATA | 1 |
Wilhelm Karsten | DE | Regensburg | 2015-12-03 / 20150349222 - Reflector Trough for an Optoelectronic Semiconductor Component | 1 |
Bram Karsten | US | Somerville | 2014-11-27 / 20140346407 - Conjugated Polymers | 1 |
Gary L. Karsten | US | Wyoming | 2015-09-24 / 20150265053 - CONTROL ASSEMBLY FOR CHAIR | 1 |
Waldemar Karsten | DE | Wirges | 2013-09-19 / 20130240411 - DEVICE AND METHOD FOR SEPARATING MIXTURES WHICH CONTAIN OIL OR BITUMEN AND ADDITIVES | 2 |
Gary Lee Karsten | US | Wayland | 2008-12-04 / 20080296958 - CHAIR BACK ATTACHMENT AND METHOD OF ASSEMBLY | 2 |
Kenneth Stephen Karsten, Jr. | US | Annapolis | 2014-11-27 / 20140349549 - Posable Toy and Method of Interconnection | 3 |
Karsten Manufacturing Corporation | US | Phoenix | 2013-07-11 / 20130178301 - GOLF HEAD WITH CLUB HEAD ALIGNMENT AID AND RELATED METHOD | 10 |
Hauke Karstens | DE | Hamburg | 2009-01-01 / 20090005909 - METHOD FOR RUNNING IN A DRIVE TRAIN COMPONENT OF A WIND ENERGY PLANT AND WIND ENERGY PLANT FOR EXECUTING THIS METHOD | 2 |
Willem Frederik Karstens | NL | Oss | 2008-12-04 / 20080300270 - 4-Phenyl-5-Oxo-1,4,5,6,7,8,- Hexahydroquinoline Derivatives as Medicaments for the Treatment of Infertility | 1 |
Martin Karstens | DE | Gottingen | 2011-09-22 / 20110230976 - METHOD FOR PRODUCING AN ARTIFICIAL FOOT | 1 |
Hauke Karstens | GB | London | 2013-03-21 / 20130067900 - POWER GENERATING APPARATUS OF RENEWABLE ENERGY TYPE | 5 |
Rich Karstens | US | Lake Forest Park | 2010-12-23 / 20100323680 - METHOD AND SYSTEM FOR SINGLE-STEP ENABLEMENT OF TELEPHONY FUNCTIONALITY FOR A PORTABLE COMPUTER SYSTEM | 1 |
Ties Karstens | DE | Botzingen | 2011-05-12 / 20110111474 - METHOD FOR PRODUCING ETHANOL BY FERMENTATION FROM LIGNOCELLULOSIC BIOMASS | 1 |
Rich Karstens | US | Lake Forest Prak | 2009-08-20 / 20090209244 - METHOD AND SYSTEM FOR SINGLE-STEP ENABLEMENT OF TELEPHONY FUNCTIONALITY FOR A PORTABLE COMPUTER SYSTEM | 1 |
Christoper K. Karstens | US | Apex | 2015-01-22 / 20150026284 - Instant Message User Management | 1 |
Christopher Kent Karstens | US | Apex | 2011-08-11 / 20110194444 - Controlling and Calibrating Wireless Range | 8 |
Jan Karstens | DE | Mennhelm | 2009-06-04 / 20090144252 - System and method for translating and executing update requests | 1 |
Christopher Kent Karstens | US | Apex | 2011-08-11 / 20110194444 - Controlling and Calibrating Wireless Range | 8 |
Christopher K. Karstens | US | Apex | 2012-08-23 / 20120216136 - APPLICATION-INDEPENDENT GRAPHIC MANIPULATION | 23 |
Willem Frederik Johan Karstens | NL | Berghem | 2015-10-22 / 20150297566 - RORgammaT INHIBITORS | 2 |
Nathan L. Karstens | US | Overland Park | 2010-02-04 / 20100026688 - GRAPHICAL WIND GUAGE | 1 |
Jan Karstens | DE | Mannheim | 2012-07-05 / 20120174117 - MEMORY-AWARE SCHEDULING FOR NUMA ARCHITECTURES | 2 |
Kimberley K. Karstens | US | Reno | 2009-01-15 / 20090017891 - GAMING DEVICE HAVING A WHEEL WITH MULTIPLE INDICATORS | 1 |
Momme Karstens | DE | Stuttgart | 2011-10-06 / 20110246011 - OPERATING METHOD FOR A HYBRID VEHICLE WHICH IS DRIVEN ON A CIRCUIT | 1 |
Willem Frederik Johan Karstens | NL | Oss | 2011-07-14 / 20110172267 - TSH receptor antagonizing tetrahydroquinoline compounds | 4 |
Nils Uwe Karstensen | DK | Snedsted | / - | 1 |
Holger Karstensen | CH | Rorschach | 2013-06-06 / 20130143430 - COAXIAL RADIOFREQUENCY CONNECTOR | 1 |
Holger Karstensen | CH | Volketswil | 2010-11-25 / 20100296214 - PROTECTIVE CIRCUIT FOR THE INPUT-SIDE PROTECTION OF AN ELECTRONIC DEVICE OPERATING IN THE MAXIMUM FREQUENCY RANGE | 1 |
Holger Karsunky | US | Redwood City | 2015-12-31 / 20150376290 - ANTIBODIES SPECIFIC FOR CLL-1 | 10 |
William Karszes | US | Rosewell | 2011-02-17 / 20110037997 - SYSTEM AND METHOD OF PRESENTING REMOTELY SENSED VISUAL DATA IN MULTI-SPECTRAL, FUSION, AND THREE-SPATIAL DIMENSION IMAGES | 3 |
William M Karszes | US | Norcross | 2014-04-10 / 20140098170 - SYSTEMS AND METHODS FOR CREATING MULTI-DIMENSIONAL IMAGES ON DEMAND FOR THE CREATION OF CUSTOMIZED PRODUCTS | 1 |
William M. Karszes | US | Rosewell | 2009-08-27 / 20090212457 - System and method for making sheets, films, and objects directly from polymerization processes | 1 |
William Karszes | US | Hilton Head | 2010-11-25 / 20100295915 - SYSTEM AND METHOD FOR PRINTING ON LENTICULAR SHEETS | 1 |
Karen M. Kart | US | Del Mar | 2015-08-20 / 20150230637 - FOOD RECEPTACLE | 1 |
Firat Kart | US | San Jose | 2013-05-30 / 20130138679 - Database query optimization and cost estimation | 1 |
Tjandra Winata Karta | TW | Chu-Pei | 2013-05-23 / 20130127049 - Method for Stacking Devices and Structure Thereof | 5 |
Yaniv Karta | IL | Ramat Gan | 2014-06-26 / 20140181972 - PREVENTIVE INTRUSION DEVICE AND METHOD FOR MOBILE DEVICES | 3 |
Tjandra Winata Karta | TW | Hsin-Chu County | 2012-11-15 / 20120288998 - WAFER LEVEL IC ASSEMBLY METHOD | 2 |
Tjandra Winata Karta | TW | Hsin-Chu | 2008-11-06 / 20080274592 - Process and apparatus for wafer-level flip-chip assembly | 2 |
Tjandra Winata Karta | TW | Hsinchu | 2012-08-09 / 20120199974 - Silicon-Based Thin Substrate and Packaging Schemes | 5 |
Güldem Kartal | TR | Istanbul | 2013-03-07 / 20130056363 - ULTRA-FAST BORIDING OF METAL SURFACES FOR IMPROVED PROPERTIES | 3 |
Güldem Kartal | TR | Istanbul | 2010-09-09 / 20100224498 - METHOD FOR BORIDING OF COATINGS USING HIGH SPEED ELECTROLYTIC PROCESS | 1 |
Ahmet Kartal | US | Villa Rica | 2010-04-22 / 20100098521 - Skid Steer Loaders with Variable Isolation on Vibratory Roller | 1 |
Veli Kartal | DE | Muenchen | 2015-01-29 / 20150028337 - Monitoring and Controlling Temperatures in a Semiconductor Structure | 1 |
Suayib Kartal | US | Sternenfels | 2015-10-15 / 20150293453 - BOTTLE SUPPLY SYSTEM AND BOTTLE CAP ADAPTER | 1 |
Veli Kartal | DE | Munich | 2015-10-08 / 20150288349 - SWITCH DEVICE | 4 |
Veli Kartal | DE | Munchen | 2012-07-12 / 20120176164 - Circuit Arrangement Having a Load Transistor and a Voltage Limiting Circuit and Method for Driving a Load Transistor | 1 |
Emil Kartalov | US | Pasadena | 2015-02-26 / 20150057178 - SIGNAL ENCODING AND DECODING IN MULTIPLEXED BIOCHEMICAL ASSAYS | 7 |
Emil P. Kartalov | US | Pasadena | 2013-10-10 / 20130267005 - MICROFLUIDIC FLUID SEPARATOR AND RELATED METHODS | 10 |
Levent Kartaltepe | US | Bellevue | 2013-04-04 / 20130086461 - TECHNIQUES FOR SELECTION AND MANIPULATION OF TABLE BOARDERS | 1 |
Igor Kartashev | AT | Deutschlandsberg | 2014-01-23 / 20140022043 - Piezoelectric Multi-Layer Component | 11 |
Vladimir Kartashov | NO | Horten | 2012-09-13 / 20120229877 - OPTICAL POWER SWITCH (OPS) | 8 |
Iwan Kartawira | US | Cary | 2012-05-03 / 20120106948 - OPTICAL NETWORK IN-BAND CONTROL PLANE SIGNALING, VIRTUALIZED CHANNELS, AND TANDEM CONNECTION MONITORING SYSTEMS AND METHODS | 2 |
Carlin M. Kartchner | US | Durham | 2013-07-11 / 20130179415 - Reducing Duplicate Information when Reporting System Incidents | 1 |
Kyle Kartchner | US | Bluffdale | 2014-10-09 / 20140304115 - APPARATUS, SYSTEM, AND METHOD FOR PROVIDING LOCATION CONTEXT DATA | 1 |
Kyle Kartchner | US | Riverton | 2013-11-07 / 20130297467 - METHOD AND SYSTEM FOR ACCOUNTING FOR DOWNLOAD TRANSACTIONS AND SOCIAL NETWORK INTERACTION | 1 |
Gene B. Kartchner | US | Carl Junction | 2016-02-25 / 20160051045 - ADJUSTABLE SHELVING SYSTEM | 3 |
Stuart Karten | US | Venice | 2015-06-11 / 20150157487 - TRACTION APPARATUS AND METHODS | 4 |
Stuart Karten | US | Venice Beach | 2008-10-30 / 20080268971 - Apparatus and method for water sliding | 1 |
Stuart Allan Karten | US | Venice | 2013-01-10 / 20130008450 - REPLACEABLE NASAL INTERFACE SYSTEM | 2 |
Espen D. Karteraas | US | Tuxedo | 2012-09-06 / 20120226220 - SHIELDABLE UNIT DOSE MEDICAL NEEDLE ASSEMBLIES | 1 |
Terry Lee Kartes | US | Fremont | 2011-06-02 / 20110130031 - CORD ORGANIZER DEVICE AND METHOD OF USE | 1 |
Kristin L. Kartes | US | Kalamazoo | 2011-07-21 / 20110174871 - FOOD CONTAINER | 1 |
Beat Karth | CH | Oberoenz | 2015-10-08 / 20150284530 - PROCESS OF MANUFACTURE OF PARTICLES WITH A NATURAL CALCIUM CARBONATE AND ETHYLENE ACRYLIC ACID SALTS BASE, SUSPENSIONS AND DRY PIGMENTS OBTAINED, THEIR USES | 5 |
Beat Karth | CH | Erlenweg | 2009-06-11 / 20090145987 - Weakly ionic aqueous suspensions of ground mineral matter and their uses | 1 |
G. Neelakantan Kartha | US | Coppell | / - | 1 |
Anoop V. Kartha | US | Sunnyvale | 2012-01-26 / 20120023546 - DOMAIN-BASED SECURITY POLICIES | 1 |
Ranjith Kartha | IN | Karnataka | 2011-02-03 / 20110029886 - Chassis Button To Activate Graphical User Interface To Enable User To Select Diagnostic And/or Recovery | 1 |
Rupesh Kartha | US | San Jose | 2009-02-19 / 20090049106 - Method To Improve Collaboration Within An Organization | 1 |
Jyotsna S. Kartha | US | Austin | 2014-04-10 / 20140101387 - OPPORTUNISTIC CACHE REPLACEMENT POLICY | 1 |
Vinay Krishna Kartha | US | Boston | 2015-07-16 / 20150197809 - METHODS AND ASSAYS RELATING TO HUNTINGTONS DISEASE AND PARKINSON'S DISEASE | 1 |
Anoop V. Kartha | US | San Jose | 2014-10-30 / 20140321278 - SYSTEMS AND METHODS FOR SAMPLING PACKETS IN A NETWORK FLOW | 1 |
Nalini K. Kartha | US | San Jose | 2013-09-26 / 20130254336 - SYSTEM AND METHOD FOR ABSTRACTION OF OBJECTS FOR CROSS VIRTUAL UNIVERSE DEPLOYMENT | 3 |
Jürgen Karthaus | DE | Solingen | 2010-11-25 / 20100298586 - METHOD FOR PRODUCING FATTY ACID ALKYL ESTERS | 1 |
Ulrich Karthaus | DE | Remscheid | 2014-10-30 / 20140319894 - ADJUSTING DEVICE FOR A MOTOR VEHICLE SEAT, COMPRISING AT LEAST ONE STOP | 4 |
Udo Karthaus | DE | Ulm | 2010-03-25 / 20100073208 - APPARATUS AND METHOD FOR THE CALIBRATION OF DELTA-SIGMA MODULATORS | 2 |
Dagmar Karthaus | DE | Solingen | 2015-02-12 / 20150045344 - 4-(4-CYANO-2-THIOARYL)DIHYDROPYRIMIDINONES AND USE THEREOF | 16 |
Jürgen Karthaus | DE | Solingen | 2010-11-25 / 20100298586 - METHOD FOR PRODUCING FATTY ACID ALKYL ESTERS | 1 |
Udo Karthaus | DE | Neu-Ulm | 2014-11-13 / 20140333378 - Circuit arrangement for generating a radio frequency signal | 9 |
Dagmar Karthaus | DE | Solingen | 2015-02-12 / 20150045344 - 4-(4-CYANO-2-THIOARYL)DIHYDROPYRIMIDINONES AND USE THEREOF | 16 |
Udo Karthaus | DE | Neu-Ulm | 2014-11-13 / 20140333378 - Circuit arrangement for generating a radio frequency signal | 9 |
Silvia Karthauser | DE | Koln | 2008-09-11 / 20080220229 - Method for Structured Application of Molecules to a Strip Conductor and Molecular Memory Matrix | 1 |
Joachim Karthäuser | SE | Sollentuna | 2015-03-26 / 20150083863 - DEICING OF A SURFACE OF STRUCTURES IN GENERAL SUCH AS WIND TURBINE BLADES, AIRCRAFT WINGS USING INDUCTION OR RADIATION | 6 |
Joachim Karthäuser | SE | Sollentuna | 2013-02-14 / 20130038055 - METHOD FOR CONVERSION OF LOW TEMPERATURE HEAT TO ELECTRICITY AND COOLING, AND SYSTEM THEREFORE | 3 |
Madasamy Kartheepan | US | San Jose | 2013-11-28 / 20130316666 - DYNAMICALLY RECONFIGURABLE UNIVERSAL TRANSMITTER SYSTEM | 1 |
Gurram Karthik | IN | Khammam | 2012-06-28 / 20120166409 - SYSTEM AND A METHOD FOR GENERATING CHALLENGES DYNAMICALLY FOR ASSURANCE OF HUMAN INTERACTION | 1 |
Venkateswaran Subramanian Karthik | US | Santa Clara | 2015-06-25 / 20150178301 - SYSTEMS AND METHODS TO GENERATE A SEARCH QUERY | 3 |
Bhagi Sri Karthik | IN | Andra Pradesh | 2008-08-21 / 20080197569 - Inverse Chess | 1 |
Raju Karthik | US | Novi | 2014-09-25 / 20140283952 - MAGNETIC AND ELECTRICAL PROCESSING OF METALS, METAL ALLOYS, METAL MATRIX COMPOSITE PARTS AND COMPONENTS | 1 |
Muralidhar Karthik | IN | Robertsonpet | 2010-04-08 / 20100086085 - Method and system for estimating the speed of a mobile device in a network | 1 |
Kumar Karthik | US | Jersey City | 2010-09-02 / 20100220334 - FORWARD-IMAGING OPTICAL COHERENCE TOMOGRAPHY (OCT) SYSTEMS AND PROBES | 1 |
Vijay Karthik | US | Plainfield | 2014-02-20 / 20140053243 - SYSTEM FOR PROVIDING TEMPORARY INTERNET ACCESS FROM A RESTRICTED LOCAL AREA NETWORK ENVIRONMENT | 1 |
Raju (nmn) Karthik | US | Farmington Hills | 2009-11-05 / 20090272609 - Venturi Nozzle Aerodynamic Vent Design | 1 |
Mani Karthik | ES | Minano | 2015-10-08 / 20150284252 - PROCESS FOR THE PREPARATION OF HIERARCHICALLY MESO AND MACROPOROUS STRUCTURED MATERIALS | 1 |
Jay Karthik | US | Rutherford | 2014-09-04 / 20140247710 - PROACTIVE REDIRECTION OF TRAFFIC DURING LOW VOLTAGE (BROWNOUT) CONDITION AND PREFERENTIAL TREATMENT OF HIGH PRIORITY TRAFFIC | 1 |
Vignesh M. Karthik | IN | Tamilnadu | 2015-09-10 / 20150257103 - METHOD AND DEVICE WITH AN AUGMENTED RULES ENGINE | 1 |
Chittur Ravichander Karthik | IN | Bangalore | 2015-11-26 / 20150339046 - DISPLAY DEVICE AND METHOD FOR CONTROLLING THE SAME | 1 |
K.j. Karthik | IN | Trivandrum | 2015-04-23 / 20150110624 - METHOD OF CONTROLLING A WIND TURBINE, AND A WIND TURBINE | 1 |
Augustus Karthik | IN | Tamil Nadu | 2012-02-09 / 20120036497 - INTEGRITY CHECK WHILE COMMITTING CHANGES FROM AN INTEGRATED DEVELOPMENT ENVIRONMENT TO A SOURCE CODE CONTROL SYSTEM | 1 |
Muralidhar Karthik | SG | Singapore | 2013-07-04 / 20130170666 - ADAPTIVE SELF-CALIBRATION OF SMALL MICROPHONE ARRAY BY SOUNDFIELD APPROXIMATION AND FREQUENCY DOMAIN MAGNITUDE EQUALIZATION | 9 |
Muralidhar Karthik | SG | Singapore | 2013-07-04 / 20130170666 - ADAPTIVE SELF-CALIBRATION OF SMALL MICROPHONE ARRAY BY SOUNDFIELD APPROXIMATION AND FREQUENCY DOMAIN MAGNITUDE EQUALIZATION | 9 |
Ramasamy Karthikeshwaran | IN | Tanjore | 2014-12-18 / 20140369875 - PROGRESSIVE CAVITY PUMP | 1 |
Sailatha Karthikeyan | IN | Karnataka | 2015-05-14 / 20150134381 - Data Collection Framework | 2 |
Tharangini Karthikeyan | IN | Coimbatore | 2011-08-18 / 20110202206 - SYSTEM AND METHOD FOR INFORMING AN AIRCRAFT OPERATOR ABOUT A TEMPORARY FLIGHT RESTRICTION IN PERSPECTIVE VIEW | 1 |
Deepak Kumaar Karthikeyan | US | Newark | 2013-08-22 / 20130216701 - POSITIVE ELECTRODE MATERIALS FOR HIGH DISCHARGE CAPACITY LITHIUM ION BATTERIES | 1 |
Deepak Kumaar Kandasamy Karthikeyan | US | Newark | 2014-08-21 / 20140234716 - LAYER-LAYER LITHIUM RICH COMPLEX METAL OXIDES WITH HIGH SPECIFIC CAPACITY AND EXCELLENT CYCLING | 2 |
Pinku Prakash Karthikeyan | IN | Chennai | 2016-05-12 / 20160132314 - REMOTE CONFIGURATION MANAGEMENT OF APPLICATIONS | 1 |
Muthukumarasamy Karthikeyan | IN | Pune | 2014-10-09 / 20140301608 - CHEMICAL STRUCTURE RECOGNITION TOOL | 1 |
Laxmi Karthikeyan | IN | Bangalore | 2014-05-08 / 20140126260 - Low Drop Diode Equivalent Circuit | 1 |
Jaganathan Karthikeyan | TW | Hsinchu | 2012-06-07 / 20120142934 - METHOD FOR SYNTHESIS OF SECONDARY ALCOHOLS | 1 |
Prithivi Karthikeyan | US | Morristown | 2015-06-25 / 20150176593 - TURBOCHARGER WITH LUBRICANT DEFLECTOR | 1 |
Mani Karthikeyan | IN | Faridabad, Haryana | 2015-12-24 / 20150367332 - HYDROPROCESSING CATALYST COMPOSITION AND PROCESS THEREOF | 1 |
Subbulakshmi Karthikeyan | IN | Bangalore | 2014-05-29 / 20140148360 - VITRO METHOD FOR HIGH THROUGHPUT SCREENING OF GENOTOXIC AGENTS IN EUKARYOTIC CELLS | 1 |
Vidhyalakshmi Karthikeyan | GB | Ipswich | 2013-01-10 / 20130010610 - NETWORK ROUTING ADAPTATION BASED ON FAILURE PREDICTION | 1 |
Deepak Kumaar Kandasamy Karthikeyan | US | Foster City | 2012-03-22 / 20120070725 - METAL HALIDE COATINGS ON LITHIUM ION BATTERY POSITIVE ELECTRODE MATERIALS AND CORRESPONDING BATTERIES | 6 |
Sundarraj Karthikeyan | US | San Diego | 2015-02-12 / 20150045973 - Method and Apparatus for Programming a Decoder-based Irrigation Controller | 2 |
Muthukumarasamy Karthikeyan | US | Hopewell Junction | 2011-04-07 / 20110080189 - YIELD ENHANCEMENT FOR STACKED CHIPS THROUGH ROTATIONALLY-CONNECTING-INTERPOSER | 1 |
Muthukumaraamy Karthikeyan | US | Fishkill | 2013-11-14 / 20130299828 - VIA CHAINS FOR DEFECT LOCALIZATION | 1 |
Annamalai Karthikeyan | US | Oshkosh | 2014-06-12 / 20140161709 - Rapid, Non-Pyrolytic Method of Making Activated Carbon | 4 |
Annamalai Karthikeyan | US | Quincy | 2010-12-16 / 20100316918 - NANO-SCALE GAS SEPARATION DEVICE UTILIZING THIN FILM STRUCTURES FOR HYDROGEN PRODUCTION | 2 |
Balaji Dhanapal Karthikeyan | IN | Bangalore | 2009-12-10 / 20090307531 - SYSTEM AND METHOD FOR TESTING AN APPLICATION INSTALLED ON A WIRELESS COMMUNICATION DEVICE | 1 |
Krishnamoorthy Karthikeyan | IN | Chennai | 2015-04-30 / 20150120909 - DNS-ASSISTED APPLICATION IDENTIFICATION | 2 |
Arvind S. Karthikeyan | US | Temple | 2015-10-22 / 20150304456 - METHOD AND SYSTEM FOR THE MANUFACTURE AND CREATION OF SURFACING MATERIALS | 1 |
Mythreye Karthikeyan | US | Durham | 2013-04-11 / 20130089869 - Methods For and Uses of Mechanical Stiffness Profiling of Cancer Cells | 1 |
Muthukumarasamy Karthikeyan | IN | Pune, Maharashtra | 2016-05-19 / 20160140326 - SIMULATED CARBON AND PROTON NMR CHEMICAL SHIFTS BASED BINARY FINGERPRINTS FOR VIRTUAL SCREENING | 1 |
Deepak Kumaar Karthikeyan | US | Foster City | 2014-02-20 / 20140050972 - LITHIUM ION BATTERIES WITH HIGH ENERGY DENSITY, EXCELLENT CYCLING CAPABILITY AND LOW INTERNAL IMPEDANCE | 1 |
Sailatha Karthikeyan | IN | Bangalore | 2014-01-30 / 20140032642 - CONTROLLING SERVER RESPONSE BASED ON CLIENT PERFORMANCE | 1 |
Venkata Narayanan Karthikeyan | IN | Hyderabad, Andhra Pradesh | 2015-12-17 / 20150361473 - Method of Production of Monosaccharides | 1 |
Deepak Kumaar Karthikeyan | US | San Mateo | 2010-06-17 / 20100151332 - POSITIVE ELECTRODE MATERIALS FOR HIGH DISCHARGE CAPACITY LITHIUM ION BATTERIES | 1 |
Vidhyalakshmi Karthikeyan | GB | London | 2016-05-19 / 20160142789 - CONTENT DISTRIBUTION SYSTEM AND METHOD | 13 |
Vidhyalakshmi Karthikeyan | GB | London | 2016-05-19 / 20160142789 - CONTENT DISTRIBUTION SYSTEM AND METHOD | 13 |
Jeganathan Karthikeyan | US | Akron | 2012-08-02 / 20120193450 - SPRAY NOZZLE ASSEMBLY FOR GAS DYNAMIC COLD SPRAY AND METHOD OF COATING A SUBSTRATE WITH A HIGH TEMPERATURE COATING | 2 |
Ravishankar Karthikeyan | IN | Karnataka | 2013-09-19 / 20130241597 - INTEGRATED CIRCUIT WITH TIMING AWARE CLOCK-TREE AND METHOD FOR DESIGNING SUCH AN INTEGRATED CIRCUIT | 1 |
Deepak Karthikeyan | US | Foster City | 2010-04-08 / 20100086854 - FLUORINE DOPED LITHIUM RICH METAL OXIDE POSITIVE ELECTRODE BATTERY MATERIALS WITH HIGH SPECIFIC CAPACITY AND CORRESPONDING BATTERIES | 1 |
Lakshmi Preethi Karthikeyan | IN | Colmbatore | 2010-03-04 / 20100057733 - METHOD, COMPUTER PROGRAM PRODUCT, AND APPARATUS FOR ENABLING ACCESS TO ENTERPRISE INFORMATION | 1 |
Muthukumarasamy Karthikeyan | US | Fishkill | 2013-04-04 / 20130082257 - VIA CHAINS FOR DEFECT LOCALIZATION | 4 |
Manju Karthikeyan | US | Salt Lake City | 2016-03-03 / 20160058065 - FOOD PREPARATION DEVICES, SYSTEMS, AND METHODS | 1 |
P. Karthikeyan | IN | Bangalore | 2013-03-21 / 20130072336 - POWERTRAIN WITH TWO PLANETARY GEAR SETS AND TWO MOTOR/GENERATORS FOR HYBRID VEHICLE | 1 |
Krishnan Karthikeyan | US | Federal Way | 2014-07-10 / 20140195783 - DOT PRODUCT PROCESSORS, METHODS, SYSTEMS, AND INSTRUCTIONS | 1 |
Maharajapuram S. Karthikeyan | DE | Burscheid | 2010-06-10 / 20100141007 - VEHICLE SEAT WITH A TUMBLING RECLINER | 1 |
Manivanna Karthikeyan | IN | Tamil Nadu | 2008-10-16 / 20080256432 - System and Method of Defining a Hierarchical Datamodel and Related Computation and Instruction Rules Using Spreadsheet Like User Interface | 1 |
Arumugam Velayutham Karthikeyani | IN | Faridabad | 2016-03-17 / 20160074842 - FLUID CATALYTIC CRACKING ADDITIVE COMPOSITION AND PROCESS FOR PREPARAING THEREOF | 8 |
Karthikeyan Karthikeyan Venkatanarayanan | IN | Hyderabad | 2011-06-23 / 20110152511 - GENETICALLY TRANSFORMED MICROORGANISMS WITH SIMULTANEOUS ENHANCEMENT OF REDUCTION POTENTIAL AND REDUCTIVE ENZYME ACTIVITIES FOR BIOMASS FERMENTATION | 1 |
Sherwin Kartick | CA | Toronto | 2014-08-21 / 20140236720 - System And Method For Annotating Images | 1 |
Ramaswami Kartik | IN | Uttar Pradesh | 2008-11-20 / 20080286394 - NOVEL HERBAL FORMULATION AS BRAIN TONIC | 1 |
Venkataraman Kartik | IN | Mumbai | 2013-10-24 / 20130278363 - DEVICE COMPRISING A CANTILEVER AND SCANNING SYSTEM | 1 |
Venkataraman Kartik | CH | Zurich | 2011-12-29 / 20110321202 - DYNAMIC MODE NANO-SCALE IMAGING AND POSITION CONTROL USING DEFLECTION SIGNAL DIRECT SAMPLING OF HIGHER MODE-ACTUATED MICROCANTILEVERS | 4 |
S. Kartik | US | Moorestown | 2014-01-30 / 20140031972 - METHOD AND APPARATUS FOR SORTING OR RETREIVING ITEMS | 1 |
Scott J. Kartinen | US | New York | 2013-04-11 / 20130091072 - ALGORITHM FOR POST-TRADE ANALYSIS AND FORMULATION OF OPTIMIZED STRATEGY FOR SUBSEQUENT TRADES | 3 |
René Kartmann | DE | Nurnberg | 2015-02-12 / 20150045652 - Magnetic Resonance Apparatus with Optimized Arrangement of Local Coils | 1 |
Bénédicte Chochois Kartmann | FR | Montrouge/cedex | 2010-02-25 / 20100049830 - High Availability Network System | 1 |
Bénédicte Chochois Kartmann | FR | Montrouge/cedex | 2010-02-25 / 20100049830 - High Availability Network System | 1 |
René Kartmann | DE | Nuremberg | 2014-08-07 / 20140221817 - METHOD FOR GENERATING ATTENUATION CORRECTION FOR A COMBINED MAGNETIC RESONANCE-POSITRON EMISSION TOMOGRAPHY DEVICE | 2 |
Sabrina Kartmann | DE | Freiburg | 2015-02-19 / 20150050186 - VALVE FOR DISPENSING A FLUID | 1 |
René Kartmann | DE | Nuernberg | 2016-03-03 / 20160061922 - METHOD AND APPARATUS FOR MAGNETIC RESONANCE EXAMINATION OF AN EXAMINATION OBJECT | 2 |
Franz X. Kartner | US | Newton | 2011-03-31 / 20110073784 - APPARATUS AND METHOD FOR GENERATING HIGH-INTENSITY OPTICAL PULSES WITH AN ENHANCEMENT CAVITY | 1 |
Yishai Karton | IL | Ness Ziona | 2008-12-11 / 20080306103 - METHODS AND COMPOSITIONS FOR TREATMENT OF CENTRAL AND PERIPHERAL NERVOUS SYSTEM DISORDERS AND NOVEL COMPOUNDS USEFUL THEREFOR | 1 |
David Kartoun | IL | Ramat-Hasharon | 2015-08-06 / 20150221038 - METHODS AND SYSTEM FOR FINANCIAL INSTRUMENT CLASSIFICATION | 2 |
Uri Kartoun | US | Cambridge | 2015-08-06 / 20150221038 - METHODS AND SYSTEM FOR FINANCIAL INSTRUMENT CLASSIFICATION | 1 |
Uri Kartoun | IL | Ramat-Hasharon | 2009-05-28 / 20090137921 - DEVICE AND METHOD FOR DETECTING AN EPILEPTIC EVENT | 1 |
Uri Kartoun | US | Washington | 2013-08-08 / 20130204857 - ASYNCHRONOUS CACHING TO IMPROVE USER EXPERIENCE | 5 |
Elli Kartsaki | ES | Castelldefels (barcelona) | 2012-04-05 / 20120082200 - METHOD AND APPARATUS FOR MEDIUM ACCESS CONTROL IN A WIRELESS BROADBAND SYSTEM WITH MULTIPLE-INPUT MULTIPLE-OUTPUT OR MULTIPLE-INPUT SINGLE-OUTPUT TECHNOLOGY WITH MULTIUSER CAPABILITIES | 1 |
Vesa Kartsalo | FI | Kokkola | 2008-08-21 / 20080199821 - Method And Apparatus For Cooling A Material To Be Removed From The Grate Of A Fluidized Bed Furnace | 1 |
Paul D. Kartschoke | US | Williston | 2014-03-27 / 20140088948 - REDUCING REPEATER POWER | 11 |
Paul David Kartschoke | US | Williston | 2011-12-08 / 20110302542 - DOUBLE-SIDED INTEGRATED CIRCUIT CHIPS | 7 |
Paul D. Kartschoke | US | Williston | 2014-03-27 / 20140088948 - REDUCING REPEATER POWER | 11 |
Jussi Karttunen | FI | Lappenranta | 2015-08-13 / 20150229261 - METHOD AND AN APPARATUS FOR CONTROLLING AN ELECTRICAL MACHINE WITH TWO OR MORE MULTIPHASE STATOR WINDINGS | 1 |
Lauri J. Karttunen | US | Emerald Hills | 2015-08-20 / 20150234847 - Computer-Implemented System And Method For Augmenting Search Queries Using Glossaries | 4 |
Juha Jorma Sakari Karttunen | FI | Oulu | 2016-03-24 / 20160088214 - COMPENSATING ANGULAR MISALIGNMENT IN OPTICAL IMAGE STABILIZATION (OIS) SYSTEMS | 2 |
Mikko Karttunen | FI | Vtt | 2012-04-12 / 20120088883 - BONDING BASE FOR ELECTRONIC COMPONENTS, AND METHOD | 1 |
Juha Karttunen | FI | Vyska | 2009-02-19 / 20090049392 - VISUAL NAVIGATION | 1 |
Jack M. Kartush | US | Farmington Hills | 2010-03-11 / 20100063376 - NERVE MONITORING DEVICE | 1 |
Jack M. Kartush | US | Bloomfield Hills | 2015-10-01 / 20150272728 - Ossicular prosthesis having a longitudinally perforated bight | 3 |
Kevin D. Karty | US | Newton | 2008-12-11 / 20080306895 - Method and System for Predicting Personal Preferences | 1 |
Kevin D. Karty | US | Lincoln | 2014-11-20 / 20140344013 - METHOD AND APPARATUS FOR INTERACTIVE EVOLUTIONARY OPTIMIZATION OF CONCEPTS | 3 |
Yoshiharu Karube | JP | Fukuoka | 2010-08-26 / 20100217006 - Inhibitor Of Ischemic Disorders | 1 |
Daisuke Karube | JP | Osaka | 2016-02-25 / 20160052841 - METHOD FOR PRODUCING FLUORINE-CONTAINING OLEFIN | 7 |
Toshikazu Karube | JP | Saitama | 2014-04-24 / 20140109478 - CONTROL DEVICE AT OPENING/CLOSING SECTION OF VEHICLE AND METHOD FOR CONTROLLING OPENING/CLOSING SECTION OF VEHICLE | 2 |
Takuya Karube | JP | Osaka | 2015-07-02 / 20150185465 - Magnifying Observation Apparatus, Magnified Image Observing Method And Computer-Readable Recording Medium | 4 |
Katsumi Karube | JP | Kanuma-Shi | 2011-10-13 / 20110247856 - SHIELDED CABLE | 2 |
Kenta Karube | JP | Fukuyama | 2013-11-14 / 20130298626 - DESCALING NOZZLE FOR REMOVING SCALE FROM STEEL SHEET, DESCALING APPARATUS FOR REMOVING SCALE FROM STEEL SHEET, AND DESCALING METHOD FOR REMOVING SCALE FROM STEEL SHEET | 2 |
Yusuke Karube | JP | Tokyo | 2012-08-02 / 20120194841 - COMPUTER READABLE INFORMATION RECORDING MEDIUM STORING PRINTING CONTROL PROGRAM, PRINTING CONTROL APPARATUS, PRINTING CONTROL METHOD AND PRINTING SYSTEM | 1 |
Toshikazu Karube | JP | Saitama Prefecture | 2014-05-22 / 20140142723 - AUTOMATIC CONTROL SYSTEM | 1 |
Yuki Karube | JP | Osaka | 2014-09-11 / 20140254849 - WATERPROOF SOUND TRANSMITTING MEMBER | 4 |
Keiji Karube | JP | Toride-Shi | 2014-12-25 / 20140377695 - MEMBER FOR ELECTROPHOTOGRAPHY, PROCESS CARTRIDGE, AND ELECTROPHOTOGRAPHIC APPARATUS | 2 |
Isao Karube | JP | Kanagawa | 2010-04-29 / 20100101953 - Methods for producing microchannel chips, microchannel chips, methods for separating biomolecules using the microchannel chips, and electrophoretic apparatus having the microchannel chips | 2 |
Isao Karube | JP | Fujisawa | 2012-11-29 / 20120301012 - IMAGE SIGNAL PROCESSING DEVICE AND IMAGE SIGNAL PROCESSING METHOD | 3 |
Nakaba Karube | JP | Osaka | 2012-11-15 / 20120289356 - GRIP STRUCTURE WITH WEIGHT AND GOLF CLUB | 2 |
Nakaba Karube | JP | Minoh-Shi | 2011-05-26 / 20110124431 - Grip structure with weight and golf club | 2 |
Shinichi Karube | JP | Saitama | 2011-03-03 / 20110049855 - VEHICLE FRAME STRUCTURE AND VEHICLE INCORPORATING SAME | 1 |
Michiaki Karube | JP | Saitama | 2011-11-24 / 20110288753 - DEVICE AND METHOD FOR CONTROLLING TIMING AT WHICH IGNITION IS STOPPED WHEN INTERNAL COMBUSTION ENGINE BECOMES STOPPED | 1 |
Isao Karube | JP | Ibaraki | 2010-09-09 / 20100228149 - SENSOR DEVICE | 13 |
Daisuke Karube | JP | Settsu-Shi | 2012-12-27 / 20120330073 - PROCESS FOR PRODUCING FLUORINE-CONTAINING ALKENE COMPOUND | 2 |
Shinichi Karube | JP | Wako-Shi | 2015-10-01 / 20150274215 - FLOOR SUPPORT STRUCTURE OF VEHICLE | 6 |
Yoshiharu Karube | JP | Fukuoka-Shi | 2011-06-16 / 20110144376 - DERMATOLOGICAL AGENT | 1 |
Yoshifumi Karube | JP | Tokyo | 2015-04-02 / 20150090697 - ELECTRIC RESISTANCE WELDING OPERATION MANAGEMENT DEVICE, ELECTRIC RESISTANCE WELDING OPERATION MANAGEMENT METHOD, AND COMPUTER PROGRAM | 1 |
Ralph K. Karubian | US | Los Angeles | 2015-04-09 / 20150099442 - DEHIDING TOOL | 1 |
Shusaku Karuishi | JP | Kanagawa | 2011-02-03 / 20110027542 - EXPOSURE APPARATUS AND EXPOSURE METHOD | 1 |
Minna Karukka | FI | Oulu | 2014-07-31 / 20140215338 - Method for Editing A Media Clip In A Mobile Terminal Device, A Terminal Device Utilizing The Method And Program Means For Implementing The Method | 4 |
Cuneyt Karul | CA | Toronto | 2010-02-11 / 20100037050 - METHOD AND APPARATUS FOR AN ENCRYPTED MESSAGE EXCHANGE | 3 |
Kadir Karul | US | Racine | 2014-01-02 / 20140001250 - RECLOSABLE FLEXIBLE PACKAGES FOR FOOD PRODUCTS | 4 |
Alexey Y. Karulin | US | Mayfield Heights | 2010-03-18 / 20100068734 - NANOPARTICLE AND MICROPARTICLE BASED DETECTION OF CELLULAR PRODUCTS | 2 |
Mohan Karulkar | US | Dearborn | 2013-08-29 / 20130224610 - Electrolyte Replenishing System And Method | 3 |
S. Ananth Karumanchi | US | Chestnut Hill | 2016-03-17 / 20160077115 - ASSAYS AND METHODS OF TREATMENT RELATING TO VITAMIN D INSUFFICIENCY | 16 |
Ananth Karumanchi | US | Chestnut Hill | 2014-12-25 / 20140378507 - VITAMIN D RECEPTOR AGONISTS AND USES THEREOF | 3 |
S. Ananth Karumanchi | US | Chestnut Hill | 2016-03-17 / 20160077115 - ASSAYS AND METHODS OF TREATMENT RELATING TO VITAMIN D INSUFFICIENCY | 16 |
Ananth S. Karumanchi | US | Chestnut Hill | 2009-11-19 / 20090286271 - Methods of Diagnosing and Treating Complications of Pregnancy | 1 |
Santosh Karumathil | IN | Maharastra | 2009-01-01 / 20090001778 - Reduced power heat mat | 1 |
Santosh Karumathil | IN | Thane (w) | 2009-01-01 / 20090000778 - Control scheme for an occupant environment conditioning system of a vehicle | 1 |
Santosh Karumathil | IN | Thane, Mh | 2009-12-10 / 20090301116 - CLIMATE CONTROLLING SYSTEM | 1 |
Santosh Karumathil | IN | Thane | 2011-01-06 / 20110001616 - HAPTIC ALERT WAVEFORM GENERATION METHOD AND SYSTEM | 3 |
Santhosh Karumuri | US | Inkster | 2010-05-13 / 20100115847 - FLUSH TYPE WINDOW DRIVE MECHANISM | 1 |
Santhosh Kumar Karumuri | US | Inkster | 2009-02-26 / 20090051193 - WINDOW REGULATOR SYSTEM FOR A VEHICLE DOOR ASSEMBLY | 1 |
Srividya Karumuri | IN | Bangalore | 2012-04-26 / 20120102348 - FINE GRAINED POWER MANAGEMENT IN VIRTUALIZED MOBILE PLATFORMS | 1 |
Hemamala Indivari Karunadasa | US | Palo Alto | 2015-12-10 / 20150357591 - Solar Cells Comprising 2d-Perovskites | 1 |
Himansu Karunadasa | US | Dallas | 2012-05-03 / 20120109980 - METHOD FOR RETRIEVING, ORGANIZING AND DELIVERING INFORMATION AND CONTENT BASED ON COMMUNITY CONSUMPTION OF INFORMATION AND CONTENT. | 1 |
Hemamala I. Karunadasa | US | Palo Alto | 2012-09-13 / 20120228152 - Molecular Metal-Oxo Catalysts for Generating Hydrogen from Water | 2 |
Manjunatha Karunakar | IN | Bangalore | 2016-05-12 / 20160132193 - CABIN MANAGEMENT SYSTEM USING WEARABLE DISPLAY DEVICE | 2 |
Anuradha Karunakaramoorthy | MY | Selangor Darul Ehsan | 2013-05-02 / 20130108600 - METABOLITES IN ANIMAL FEED | 1 |
Dipu Karunakaran | US | Kansas City | 2015-11-19 / 20150328314 - PHOTOCLEAVABLE DRUG CONJUGATES | 1 |
Ganesh Babu Karunakaran | US | 2015-09-24 / 20150266895 - NOVEL COMPOUNDS THAT ARE ERK INHIBITORS | 1 | |
Rajeev Karunakaran | US | Redmond | 2014-09-18 / 20140280054 - Visual Post Builder | 5 |
Kumar Das Karunakaran | US | San Jose | 2010-11-18 / 20100290446 - METHOD FOR ENABLING MOBILITY OF CLIENT DEVICES IN LARGE SCALE UNIFIED NETWORKS | 4 |
Daniel Karunakaran | NO | Tananger | 2015-09-03 / 20150247366 - Relating to Buoyancy-Supported Risers | 1 |
Jothish Karunakaran | US | Sunnyvale | 2013-10-03 / 20130262598 - SYSTEMS AND METHODS FOR CUSTOMER RELATIONSHIP MANAGEMENT | 3 |
Radhakrishnan Karunakaran | US | Lake Jackson | 2014-11-20 / 20140343234 - CURABLE COMPOSITIONS | 6 |
Deenadayalan Karunakaran | IN | Bangalore | 2016-05-05 / 20160123614 - VARIABLE AIRFLOW VOLUME BALANCING USING A VARIABLE AIRFLOW VOLUME CONTROLLER | 4 |
Shirkesh Karunakaran | US | Los Angeles | 2015-12-24 / 20150370898 - TEXT AND GRAPHIC BASED SEARCH QUERRY FORMULATION | 1 |
Ganesh Babu Karunakaran | IN | Bangalore | 2015-09-24 / 20150266895 - NOVEL COMPOUNDS THAT ARE ERK INHIBITORS | 2 |
Sanal Kumar Karunakaran | US | San Diego | 2016-02-04 / 20160034245 - DIRECT STREAMING FOR WIRELESS DISPLAY | 3 |
Remya Karunakaran | IN | Thiruvananthapuram, Kerala | 2016-03-03 / 20160059228 - SEMICONDUCTOR-OXIDES NANOTUBES-BASED COMPOSITE PARTICLES USEFUL FOR DYE-REMOVAL AND PROCESS THEREOF | 1 |
Karuna P. Karunakaran | CA | Richmond | 2016-02-18 / 20160045588 - CHLAMYDIA ANTIGEN COMPOSITIONS AND USES THEREOF | 1 |
Rejeev V. Karunakaran | US | Redmond | 2014-04-17 / 20140108960 - Creating Threaded Multimedia Conversations | 1 |
Bhagath Singh Karunakaran | IN | Coimbatore | 2014-03-13 / 20140074559 - COST EFFECTIVE SYSTEM AND METHOD TO COLLECT AND ANALYSE PLANT & INFRASTRUCTURE MONITORING INFORMATION WITHOUT COMPROMISING ON THE AMOUNT OF INFORMATION COLLECTED OR ITS QUALITY | 1 |
Usha Karunakaran | IN | Navi Mumbai | 2009-07-16 / 20090182143 - NOVEL HETEROCYCLIC COMPOUNDS USEFUL FOR THE TREATMENT OF INFLAMMATORY AND ALLERGIC DISORDERS: PROCESS FOR THEIR PREPARATION AND PHARMACEUTICAL COMPOSITIONS CONTAINING THEM | 1 |
Palanisami Karunakaran | AU | New South Wales | 2014-05-08 / 20140123431 - ROLLER CLEANING ASSEMBLY | 1 |
Prasanth Karunakaran | IN | Chennai | 2015-07-30 / 20150215063 - PILOT AIDED DATA TRANSMISSION AND RECEPTION WITH INTERFERENCE MITIGATION IN WIRELESS SYSTEMS | 2 |
Rajeev V. Karunakaran | US | Redmond | 2016-03-17 / 20160078075 - SCALABLE SELECTION MANAGEMENT | 4 |
Kumara Das Karunakaran | US | Santa Clara | 2014-01-16 / 20140016465 - METHOD AND ARCHITECTURE FOR A SCALABLE APPLICATION AND SECURITY SWITCH USING MULTI-LEVEL LOAD BALANCING | 5 |
Kumara Das Karunakaran | US | San Jose | 2010-11-18 / 20100293272 - TOLERANT DEVICE LICENSING IN A DISTRIBUTED ENVIRONMENT | 5 |
Siva Sakthi Ganesan Karunakaran | IN | Chennai | 2015-03-12 / 20150074736 - SYSTEM AND METHOD FOR MULTI-STANDARD BROWSER FOR DIGITAL DEVICES | 2 |
Suneesh C. Karunakaran | IN | Thiruvananthapuram | 2012-12-06 / 20120308485 - PROCESS FOR THE PREPARATON OF NOVEL PORPHYRIN DERIVATIVES AND THEIR USE AS PDT AGENTS AND FLUORESCENCE PROBES | 1 |
Karuna Ponniah Karunakaran | CA | Vancouver | 2010-12-23 / 20100323408 - Mutant Strains of Pseudomonas Fluorescens And Variants Thereof, Methods For Their Production, And Uses Thereof In Alginate Production | 2 |
Krishnan Karunakaran | US | Lake Jackson | 2016-01-28 / 20160024295 - AMINIC HARDENERS WITH IMPROVED CHEMICAL RESISTANCE | 3 |
Roshan Karunamuni | US | Philadelphia | 2016-02-11 / 20160038111 - RADIOGRAPHIC CONTRAST AGENTS FOR TEMPORAL SUBTRACTION AND DUAL-ENERGY X-RAY IMAGING | 1 |
Chanaka G. Karunamuni | US | San Jose | 2016-05-19 / 20160139779 - DEVICE, METHOD, AND GRAPHICAL USER INTERFACE FOR RESIZING CONTENT VIEWING AND TEXT ENTRY INTERFACES | 33 |
Chanaka Karunamuni | US | Cupertino | 2012-12-06 / 20120307005 - GENERATING A SIMULATED THREE DIMENSIONAL SCENE BY PRODUCING REFLECTIONS IN A TWO DIMENSIONAL SCENE | 1 |
Chanaka G. Karunamuni | US | San Jose | 2016-05-19 / 20160139779 - DEVICE, METHOD, AND GRAPHICAL USER INTERFACE FOR RESIZING CONTENT VIEWING AND TEXT ENTRY INTERFACES | 33 |
Chanaka Karunamuni | US | San Jose | 2013-09-12 / 20130235044 - MULTI-PURPOSE PROGRESS BAR | 1 |
Chan Karunamuni | US | San Jose | 2014-12-11 / 20140365855 - CONTENT LIST MANAGEMENT | 1 |
Vijay Karunamurthy | US | San Francisco | 2015-06-04 / 20150156563 - Site Directed Management of Audio Components of Uploaded Video Files | 7 |
Balasulojini Karunanandaa | US | St. Louis | 2010-02-11 / 20100037354 - TRANSGENIC PLANTS CONTAINING ALTERED LEVELS OF STEROID COMPOUNDS | 1 |
Balasulojini Karunanandaa | US | Creve Coeur | 2015-08-20 / 20150232870 - TRANSGENIC PLANTS WITH ENHANCED AGRONOMIC TRAITS | 12 |
Bala Karunanandaa | US | Creve Couer | 2015-05-28 / 20150143745 - Methods for Manipulating Yield of Plants and Identifying Yield Genes | 1 |
Bala Karunanandaa | US | Creve Coeur | 2011-08-25 / 20110207615 - METHODS FOR MANIPULATING YIELD OF PLANTS AND IDENTIFYING YIELD GENES | 1 |
Balasulojini Karunanandaa | US | Creve Coeur | 2015-08-20 / 20150232870 - TRANSGENIC PLANTS WITH ENHANCED AGRONOMIC TRAITS | 12 |
Senthil Kumar Kelambakkam Karunanidhi | IN | Tamil Nadu | 2012-06-14 / 20120144649 - Pin Installation Assembly And Associated Machine And Method | 1 |
Sivabharathi Karunanidhi | IN | Chennai | 2015-04-30 / 20150120615 - UNIFIED CATALOG MANAGEMENT OF BUSINESS PRODUCTS AND SERVICES | 1 |
Arun Arun Karunanidhi | US | 2012-11-01 / 20120276199 - TASTE MASKED PHARMACEUTICAL FORMULATIONS | 1 | |
Mohan Karunanithi | AU | Queensland | 2011-09-22 / 20110231101 - BODY MOVEMENT ANALYSIS METHOD AND APPARATUS | 1 |
Srinivasan Karunanithi | NO | Stavanger | 2015-06-04 / 20150154522 - METHOD OF ESTIMATING RISKS CAUSED BY ACCIDENTAL DROPPED OBJECT LOADS TO SUBSEA PIPELINES OR OTHER SUBSEA ASSETS ASSOCIATED WITH OFFSHORE OIL & GAS AND MARINE OPERATIONS | 1 |
Veranja Karunaratne | LK | Walgama | 2011-12-08 / 20110296887 - CELLULOSE BASED SUSTAINED RELEASE MACRONUTRIENT COMPOSITION FOR FERTILIZER APPLICATION | 1 |
Arjuna Karunaratne | US | Fremont | 2012-02-23 / 20120044480 - Detector Arrangement for a Flow Cytometry System | 2 |
Veranja Karunaratne | LK | Kandy | 2013-03-14 / 20130062195 - PROCESS FOR PREPARATION OF CARBON NANOTUBES FROM VEIN GRAPHITE | 8 |
Baththanamudiyanselage Samarakoon Karunaratne | LK | Dangolla | 2009-12-24 / 20090314982 - SIALON HAVING MAGNETIC PROPERTIES AND METHOD FOR MANUFACTURING THE SAME | 1 |
Milan Palinda Karunaratne | US | Anaheim | 2016-03-10 / 20160069301 - METHOD AND SYSTEMS FOR EXHAUST GAS RECIRCULATION SYSTEM DIAGNOSIS | 2 |
D. Nedra Karunaratne | LK | Kandy | 2011-07-28 / 20110184006 - METHOD AND COMPOSITION FOR THERMALLY STABILIZING VITAMIN C WITHIN NANO LAYERS OF MONTMORILLONITE CLAY | 1 |
Veranja Karunaratne | LK | Malwana | 2014-06-19 / 20140165683 - COMPOSITIONS AND METHODS FOR SUSTAINED RELEASE OF AGRICULTURAL MACRONUTRIENTS | 2 |
Nedra Karunaratne | LK | Kandy | 2014-11-27 / 20140350082 - PEPTIDE SEQUENCE DESIGN AND USE THEREOF FOR PEPTIDE-MEDIATED siRNA DELIVERY | 1 |
Milan Karunaratne | US | Lawrence Park | 2013-12-19 / 20130338899 - SYSTEMS AND METHODS FOR DIAGNOSING AN ENGINE | 14 |
Milan Karunaratne | US | Lawrence Park | 2013-12-19 / 20130338899 - SYSTEMS AND METHODS FOR DIAGNOSING AN ENGINE | 14 |
Arjuna R. Karunaratne | US | Fremont | 2009-08-20 / 20090210072 - Detection systems for power equipment | 1 |
Veranja Karunaratne | LK | Kandy | 2013-03-14 / 20130062195 - PROCESS FOR PREPARATION OF CARBON NANOTUBES FROM VEIN GRAPHITE | 8 |
Arjuna Ragunath Karunaratne | US | Fremont | 2016-03-10 / 20160067626 - BASEPLATE ASSEMBLY FOR USE WITH TOY PIECES | 4 |
Passant Karunaratne | US | Palo Alto | 2014-11-13 / 20140334545 - HYBRID VIDEO ENCODER APPARATUS AND METHODS | 1 |
Nirupama Karunaratne | CA | Alliston | 2013-03-14 / 20130062533 - PHOTOACTIVATABLE PAINT CURING DEVICE AND METHOD | 5 |
Rankiri T. Karunasiri | US | Castaic | 2014-09-04 / 20140249603 - Battery Charger Circuit for Battery Powered Implantable Neurostimulation Systems | 1 |
Tissa Karunasiri | US | Castaic | 2009-11-12 / 20090279723 - Processing Signals Representative of Sound Based on the Identity of an Input Element | 1 |
Gamani Karunasiri | US | Pacific Grove | 2011-12-08 / 20110299701 - MINIATURE MICRO-ELECTROMECHANICAL SYSTEM (MEMS) BASED DIRECTIONAL SOUND SENSOR | 1 |
Rankiri Tissa Karunasiri | US | Valencia | 2016-05-19 / 20160142835 - SPEECH PROCESSOR CASES | 3 |
R. Tissa Karunasiri | US | Valencia | 2015-12-24 / 20150373463 - SOUND PROCESSOR APPARATUSES THAT FACILITATE BATTERY TYPE DETECTION AND COMMUNICATION WITH A PROGRAMMING SYSTEM | 7 |
Rankiri Tissa Karunasiri | US | Castaic | 2012-02-16 / 20120041517 - SPEECH PROCESSOR CASES | 1 |
Juha Karunen | FI | Littoinen | 2010-08-12 / 20100203573 - AUTOMATED INSTRUMENTATION AND METHOD FOR MEASUREMENTS OF SAMPLES | 1 |
Senthil Kumar Karupanan Subramanian | IN | Chennai | 2015-10-15 / 20150294379 - METHOD AND APPARATUS TO INTRODUCE BILLING ARCHITECTURE FOR DIFFERENT UTILITY EVENTS AND TO GRANT CROSS DOMAIN PROMOTIONS | 1 |
Balasingam Karupaya | MY | Negeri Sembilan | 2010-05-27 / 20100129176 - SCREW HEAD RECESS DRIVE AND CORRESPONDING DRIVER TOOL AND RECESS PUNCH | 1 |
Balasingam Karupaya | SG | Malaysia | 2012-02-09 / 20120034048 - SELF-TAPPING THREAD FORMING SCREW AND CORRESPONDING THREAD ROLL DIE | 1 |
Balasingam Karupaya | MY | Seremban Negeri Sembilan | 2010-08-05 / 20100196121 - THREAD FORMING SCREW THREAD AND CORRESPONDING THREAD ROLL DIE | 1 |
Chockkalingam Karuppaiah | US | Cupertino | 2016-02-11 / 20160043415 - FUEL CELL INTERCONNECT | 10 |
Chockkalingam Karuppaiah | US | Cupertino | 2016-02-11 / 20160043415 - FUEL CELL INTERCONNECT | 10 |
Chockkalingam Karuppaiah | US | Fremont | 2011-04-14 / 20110086247 - REDOX FLOW CELL REBALANCING | 2 |
Palaniappan Karuppan | US | Cupertino | 2008-10-02 / 20080239978 - Automatic data rate and power adjustment on communications uplink based on communications activity on communications downlink | 1 |
Venkateswaran Karuppanan | US | Morristown | 2012-06-14 / 20120151031 - REAL-TIME, SELF-DIRECTING UPDATING OF ASSET STATE | 2 |
Venkateswaran Karuppanan | US | Morris Plains | 2008-10-16 / 20080252428 - Association of Refrigerated Shipping Containers with Dispatch Orders | 2 |
Ettikan Kandasamy Karuppiah | MY | Cyberjaya | 2010-09-23 / 20100238925 - MULTICAST COMMUNICATION APPARATUS AND METHOD FOR RECEIVING AND FORWARDING DATA VIA A NETWORK AMONG A PLURALITY OF NODES | 2 |
Ashokkumar Karuppiah | IN | Tamil Nadu | 2009-09-03 / 20090219125 - BOBBIN FOR SECONDARY WINDING OF IGNITION COIL | 1 |
Anuradha Karuppiah | US | Santa Clara | 2009-11-26 / 20090290590 - FORWARDING PACKETS IN A GATEWAY PERFORMING NETWORK ADDRESS TRANSLATION (NAT) | 1 |
Ettikan Kandasamy Karuppiah | MY | Petaling Jaya | 2013-02-07 / 20130034039 - CONTROL DEVICE, RELAY METHOD, AND PROGRAM THEREFOR | 2 |
Kavitha Karuppiah | US | Atlanta | 2015-09-17 / 20150263908 - Scheduled Workload Assessor | 1 |
Rajkumar Karuppiah | US | Troy | 2014-11-27 / 20140351218 - SYSTEM, METHOD AND PROGRAM PRODUCT FOR BACKING UP DATA | 2 |
Arul Mozhi Selvan Subbiah Karuppiah | IN | Bangalore | 2015-05-14 / 20150133428 - NOVEL SUBSTITUTED PYRAZOLO-PIPERAZINES AS CASEIN KINASE 1 D/E INHIBITORS | 1 |
Ettikan Kandasamy Karuppiah | MY | Salengor | 2012-08-02 / 20120198060 - NETWORK DELAY ESTIMATION APPARATUS AND A NETWORK DELAY ESTIMATION METHOD | 1 |
Ettikan Kandasamy Karuppiah | MY | Selangor | 2013-08-22 / 20130215789 - COMMUNICATION TERMINAL AND CLUSTER MONITORING METHOD | 3 |
Ettikan Kandasamy Karuppiah | MY | Kuala Lumpur | 2011-03-17 / 20110064079 - COMMUNICATION CHANNEL BUILDING DEVICE AND N-TREE BUILDING METHOD | 1 |
Lakshmanan Karuppiah | US | San Jose | 2014-12-11 / 20140360976 - APPARATUS AND METHODS FOR BRUSH AND PAD CONDITIONING | 37 |
Anuradha Karuppiah | US | San Jose | 2011-10-06 / 20110242968 - Method and Apparatus for Improving Network Communication Using BFD and VRRP Tracking System | 1 |
Laksh Karuppiah | US | San Jose | 2015-07-09 / 20150194356 - FEEDBACK OF LAYER THICKNESS TIMING AND CLEARANCE TIMING FOR POLISHING CONTROL | 2 |
Prabakaran Karuppiah | IN | Bangalore | 2015-04-16 / 20150106791 - SYSTEM AND METHOD FOR AUTOMATING BUILD DEPLOYMENT AND TESTING PROCESSES | 1 |
Saravanan Karuppiah | IN | Chennai | 2013-08-15 / 20130210365 - METHOD AND SYSTEM FOR ENABLING A MOBILE DEVICE TO INTERFACE WITH A MEDICAL DEVICE | 1 |
Nagarajan Karuppiah | IN | Velacheri | 2014-09-18 / 20140280340 - METHODS AND APPARATUS TO SEARCH DATASETS | 1 |
Ganesha Karuppiaya | MY | Petaling Jaya | 2010-05-06 / 20100114688 - SYSTEM AND METHOD OF IMPLEMENTING A CARDLESS REWARDS PROGRAM | 1 |
Srinand S. Karuppoor | US | Sugar Land | 2014-09-11 / 20140253130 - Feedthrough Assembly For Well-Logging Tool | 3 |
Srinand Sreedharan Karuppoor | US | Sugar Land | 2015-11-26 / 20150340803 - Multi-Contact Connector Assembly | 1 |
Srinand Karuppoor | US | Sugar Land | 2012-01-19 / 20120016589 - SYSTEM AND METHOD FOR FATIGUE ANALYSIS OF A BOTTOM HOLE ASSEMBLY | 4 |
Ram Karuppusamy | US | Herndon | 2014-09-18 / 20140278631 - SYSTEM AND METHOD FOR MANAGING BUSINESS FUNCTIONS FOR ONE OR MORE COMPANIES | 1 |
Subramanian Karur | US | Medford | 2010-08-12 / 20100204159 - ORGANIC COMPOUNDS AND THEIR USES | 1 |
Subramanian Karur | US | Dublin | 2015-10-29 / 20150306172 - CYCLIC PEPTIDES AND USE AS MEDICINES | 2 |
Subramanian Karur | US | Arlington | 2009-05-28 / 20090137495 - Organic Compounds and Their Uses | 1 |
Subramanian Karur | US | Cambridge | 2011-11-10 / 20110275819 - ORGANIC COMPOUNDS AND THEIR USES | 1 |
Rangan Karur | CA | Thornhill | 2010-07-15 / 20100180324 - Method for protecting passwords using patterns | 1 |
Manikandan B. Karur | US | Naperville | 2008-11-27 / 20080294989 - Alarm correlation system | 2 |
Ramkumar Karur-Shanmugam | US | Austin | 2015-08-27 / 20150241790 - System and Method for Field-By-Field Overlay Process Control Using Measured and Estimated Field Parameters | 1 |
Mallikarjuna Reddy Karuru | IN | Andhra Pradesh | 2009-02-12 / 20090043131 - Process for the Preparation of Florfenicol | 1 |
Nageswara Rao Karusala | IN | Hyderabad | 2011-03-17 / 20110065917 - PROCESS FOR THE PREPARATION OF OXCARBAZEPINE | 3 |
Arto Karusalmi | FI | Korpilahti | 2014-03-27 / 20140083354 - ROD-BED ASSEMBLY | 2 |
Dipu Karuthedathu | IN | Bangalore | 2014-04-17 / 20140106790 - Paging Optimization in Small Cell Networks Using Gateways | 1 |
Sridhar Karuturi | US | Raleigh | 2012-09-20 / 20120236871 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR CONFIGURABLE DIAMETER ADDRESS RESOLUTION | 3 |
Krishna Murthy Karuturi | SG | Singapore | 2012-05-10 / 20120117018 - METHOD FOR THE SYSTEMATIC EVALUATION OF THE PROGNOSTIC PROPERTIES OF GENE PAIRS OF MEDICAL CONDITIONS, AND CERTAIN GENE PAIRS IDENTIFIED | 1 |
Frank-Oliver Karutz | DE | Stuttgart | 2009-05-14 / 20090121971 - DISPLAY APPARATUS | 1 |
Shlomi Karvat | IL | Moshav Ramat Zvi | 2015-12-24 / 20150366433 - SUPPORT AND POSITIONER FOR AN ENDOSCOPE MANEUVERING SYSTEM | 2 |
Shrirang Karve | US | Waltham | 2015-12-31 / 20150376144 - STEREOCHEMICALLY ENRICHED COMPOSITIONS FOR DELIVERY OF NUCLEIC ACIDS | 1 |
Shrikant V. Karve | IN | Pune | 2015-10-22 / 20150302071 - Cloud Based Data Migration and Replication | 3 |
Gauri V. Karve | US | Austin | 2013-09-26 / 20130249015 - SEMICONDUCTOR DEVICES WITH DIFFERENT DIELECTRIC THICKNESSES | 3 |
Alexei Karve | US | Yorktown Heights | 2014-03-13 / 20140074562 - CONFIGURABLE RATING AND METERING | 2 |
Alexei Karve | US | Mohegan Lake | 2016-05-19 / 20160142265 - SYSTEMS AND METHODS FOR SCALING A CLOUD INFRASTRUCTURE | 11 |
Alexei A. Karve | US | Mohegan Lake | 2016-03-17 / 20160077863 - RELIABLE AND SCALABLE IMAGE TRANSFER FOR DATA CENTERS WITH LOW CONNECTIVITY USING REDUNDANCY DETECTION | 17 |
Alexei A. Karve | US | Mohegan Lake | 2016-03-17 / 20160077863 - RELIABLE AND SCALABLE IMAGE TRANSFER FOR DATA CENTERS WITH LOW CONNECTIVITY USING REDUNDANCY DETECTION | 17 |
Shrirang Karve | US | Chapel Hill | 2014-01-16 / 20140017165 - DNA REPAIR ENZYME INHIBITOR NANOPARTICLES AND USES THEREOF | 1 |
Alexei Arun Karve | US | Mohegan Lake | 2009-10-29 / 20090271607 - Method and Apparatus for Dynamic Provisioning in Data Processing Environment | 1 |
Shrirang Shrikant Karve | US | Chapel Hill | 2012-10-11 / 20120259153 - DELIVERY SYSTEMS FOR BRACHYTHERAPY, AND ASSOCIATED METHODS | 1 |
Gauri V. Karve | US | Fishkill | 2010-07-22 / 20100184260 - DUAL HIGH-K OXIDES WITH SIGE CHANNEL | 4 |
Amit A. Karve | IN | Thane | 2013-07-04 / 20130173437 - Liquidity Assessment System | 1 |
Atul A. Karve | US | Wilmington | 2013-12-26 / 20130343502 - NUCLEAR REACTOR CORE LOADING AND OPERATION STRATEGIES | 2 |
Alexei Karve | US | Mohegan Lake | 2016-05-19 / 20160142265 - SYSTEMS AND METHODS FOR SCALING A CLOUD INFRASTRUCTURE | 11 |
Shrirang Karve | US | Lexington | 2016-02-11 / 20160040154 - METHODS FOR PURIFICATION OF MESSENGER RNA | 4 |
Amod Karve | US | Clifton | 2015-07-16 / 20150199328 - SYSTEMS AND METHODS FOR MUTATIONS AND OPERATIONAL TRANSFORMS IN A COLLABORATIVE SPREADSHEET ENVIRONMENT | 6 |
Jayant Sitaram Karve | IN | Nasik | 2014-06-05 / 20140155792 - A CARDIO PULMONARY RESUSCITATION DEVICE AND AN INTEGRATED RESUSCITATION SYSTEM THEREOF | 1 |
Reino Tauno Karvinen | AU | Forrestfield | 2012-04-19 / 20120092132 - TRACKING SYSTEM AND METHOD | 1 |
Saila Karvinen | FI | Helsinki | 2009-07-30 / 20090188407 - Method for treating surfaces | 1 |
Jarkko Karvinen | FI | Turku | 2012-02-23 / 20120045784 - BIOTINIDASE ASSAY | 2 |
Sonja Karvinen | FI | Tampere | 2010-11-11 / 20100285749 - Hiding A Device | 1 |
Juha Karvinen | FI | Siltakyla | 2014-08-21 / 20140231038 - METHOD AND APPARATUS FOR REDUCING THE WATER AND ENERGY CONSUMPTION OF A PAPER MACHINE WITH THE HELP OF A VACUUM SYSTEM AND OPTIMIZATION OF SOLIDS CONTENT AS WELL AS USE OF THE SAME | 3 |
Esko Karvinen | FI | Helsinki | 2008-11-13 / 20080281128 - Hydroformylation Process | 1 |
Reijo Karvinen | FI | Tampere | 2012-03-15 / 20120060536 - METHOD AND APPARATUS FOR TEMPERING MATERIAL | 1 |
Saila Karvinen | FI | Pori | 2009-08-27 / 20090211720 - Composite Materials, Method for Their Preparation, and Use in Paper and Board Manufacturing | 1 |
Hrishikesh Karvir | US | Hamilton | 2016-03-17 / 20160078172 - SYSTEMS AND METHODS FOR DETERMINING THE PROBABILITY OF A PREGNANCY AT A SELECTED POINT IN TIME | 3 |
Gennady Karvitsky | US | Palo Alto | 2008-10-30 / 20080267524 - AUTOMATIC IMAGE ENHANCEMENT | 1 |
Howard S. Karvitz | US | Wayne | 2014-12-25 / 20140377545 - BARRIER COATINGS FOR FILM AND STRUCTURES | 1 |
Toni Karvonen | FI | Tampere | 2010-04-01 / 20100077885 - Arrangemmmment In Control Device of Work Machine | 2 |
Esa Karvonen | FI | Oulu | 2013-04-04 / 20130084383 - METHOD AND ARRANGEMENT OF CROSSLINKING OR VULCANISING AN ELONGATE ELEMENT | 1 |
Matti Karvonen | FI | Turku | 2009-06-25 / 20090162349 - METHOD FOR PREVENTION OR TREATMENT OF DISEASES OR DISORDERS RELATED TO EXCESSIVE FORMATION OF VASCULAR TISSUE OR BLOOD VESSELS | 1 |
Sami Karvonen | CH | Travers | 2015-08-06 / 20150216427 - SYSTEM FOR PROCESSING EXERCISE-RELATED DATA | 4 |
Sami Karvonon | FI | Oulu | 2011-02-24 / 20110043256 - SAMPLING FILTER USING MULTIPLE CLOCKS | 1 |
Evangelos Karvounis | DE | Aachen | 2009-06-18 / 20090151333 - CONTROL METHOD FOR TEMPORARILY INCREASING THE EXHAUST GAS TEMPERATURE | 1 |
John George Karvounis | US | Bowie | 2015-10-22 / 20150304634 - MAPPING AND TRACKING SYSTEM | 1 |
John Karvounis | US | Bowie | 2015-12-10 / 20150354965 - Irregular Feature Mapping | 6 |
Dimitrios Karvounis | CH | Zurich | 2012-06-21 / 20120158380 - System And Method For Simulating Fluid Flow In A Fractured Reservoir | 1 |
Manoj Karwa | US | Northville | 2011-07-14 / 20110169447 - ELECTRIC VEHICLE SUPPLY EQUIPMENT | 1 |
Amolkumar Karwa | US | Paoli | 2012-03-15 / 20120065106 - Methods and Compositions for Enhanced Protein Expression and Purification | 2 |
Amolkumar Karwa | US | St. Charles | 2012-05-24 / 20120129879 - Compounds and Compositions for Use in Phototherapy and in Treatment of Ocular Neovascular Disease and Cancers | 1 |
Amolkumar Karwa | US | Hazelwood | 2014-12-18 / 20140370006 - COMPOUNDS AND COMPOSITIONS FOR USE IN PHOTOTHERAPY AND IN TREATMENT OF OCULAR NEOVASCULAR DISEASE AND CANCERS | 2 |
Shweta M. Karwa | IN | Jaina | 2015-05-07 / 20150127591 - IDENTIFYING SUGGESTIVE INTENT IN SOCIAL POSTS | 1 |
Anupama Karwa | US | San Francisco | 2012-08-09 / 20120203264 - Graft Systems Having Semi-Permeable Filling Structures and Methods for Their Use | 2 |
Shweta M. Karwa | IN | Jalna | 2015-04-16 / 20150106304 - Identifying Purchase Intent in Social Posts | 1 |
Eugene Joseph Karwacki | US | Orefield | 2008-08-28 / 20080207007 - Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films | 1 |
Henry A. Karwacki | US | Salem | 2012-11-22 / 20120293380 - WIDE BAND EMBEDDED ARMOR ANTENNA | 1 |
Eugene Joseph Karwacki, Jr. | US | Orefield | 2014-12-11 / 20140363950 - Materials and Methods of Forming Controlled Void | 17 |
Eugene Joseph Karwacki, Jr. | US | Orefield | 2014-12-11 / 20140363950 - Materials and Methods of Forming Controlled Void | 17 |
Edward J. Karwacki, Jr. | US | Garner | 2011-08-04 / 20110186716 - METHODS AND APPARATUS FOR DISPENSING SOLID ARTICLES | 4 |
Eugene Karwacki, Jr. | US | Orefield | 2012-09-27 / 20120244715 - HIGH-SELECTIVITY ETCHING SYSTEM AND METHOD | 1 |
Edward Joseph Karwacki, Jr. | US | Garner | 2013-09-26 / 20130248550 - Apparatus for Dispensing Solid Pharmaceutical Articles | 7 |
Krzysztof W. Karwaczynski | US | Sterling Heights | 2012-11-08 / 20120283914 - VEHICLE STEERING WHEEL CONTROL SYSTEM HAVING INTEGRATED ELECTRONIC CONTROL UNIT | 2 |
Arno Karwath | DE | Deisslingen | 2014-02-13 / 20140042949 - ROTATION-SPEED-CONTROLLED FAN WITH CONTROL OF THE POWER CONSUMPTION OF THE ELECTRIC MOTOR | 7 |
Chester Karwatowski | US | West Shokan | 2014-01-16 / 20140019295 - Automated Technique For Generating Recommendations Of Potential Supplier Candidates | 2 |
Aleksander Karwinski | PL | Krakow | 2015-09-03 / 20150246389 - COMPOSITION OF A CERAMIC LAYER FOR MANUFACTURING A CASTING MOULD AND OTHER PRODUCTS | 2 |
Theodore Karworski | US | Hollis | 2011-05-19 / 20110118703 - EXPANDABLE FLUOROPOLYMER DEVICE FOR DELIVERY OF THERAPEUTIC AGENTS AND METHOD OF MAKING | 1 |
Ted Karwoski | US | Hollis | 2014-10-30 / 20140323906 - FLUID ANALYZER AND ASSOCIATED METHODS | 1 |
Stanley G. Karwoski | US | Oakdale | 2015-12-24 / 20150367360 - TWO COMPONENT MIXING MODULE | 1 |
Ronald A. Karwoski | US | Rochester | 2014-07-03 / 20140184608 - SYSTEMS AND METHODS FOR ANALYZING IN VIVO TISSUE VOLUMES USING MEDICAL IMAGING DATA | 1 |
Theodore Karwoski | US | Hollis | 2016-03-17 / 20160074148 - HERNIA PATCH | 27 |
Theodore Karwoski | US | Hudson | 2012-12-13 / 20120315219 - Drug Delivery Coating For Use With A Stent | 2 |
Julie Ann Karwowicz | US | Macomb | 2012-10-18 / 20120261302 - DEVICE AND KIT FOR DECORATING BARRETTES | 1 |
Jan Karwowski | US | Midland Park | 2012-06-28 / 20120164294 - PRODUCTION OF EXTRUDED CHEESE CRACKERS AND SNACKS | 3 |
Jan Karwowski | US | Franklin Lakes | 2014-10-30 / 20140322392 - SNACKS WITH MARBLED-LIKE APPEARANCE AND METHODS FOR STEAM TREATING DOUGH-BASED SNACKS | 9 |
Jan Karwowski | US | East Hanover | 2015-09-10 / 20150250190 - CRISPY FOODSTUFF WITH SOFT PORTION | 2 |
Jan Karwowski | US | Franklin Lakes | 2014-10-30 / 20140322392 - SNACKS WITH MARBLED-LIKE APPEARANCE AND METHODS FOR STEAM TREATING DOUGH-BASED SNACKS | 9 |
Jason R. Kary | US | Center Moriches | 2014-09-18 / 20140279313 - SYSTEM FOR AND METHOD FOR COMPREHENSIVE SALES AND SERVICE METRIC REPORTING | 1 |
Erwan Karyadi | NL | Leiden | 2014-10-02 / 20140290033 - PIPELINE UNIT | 1 |
Erwan Karyadi | NL | Delft | 2011-09-22 / 20110226373 - IMPROVED PIPELINE SUPPORT | 1 |
Mikhail Karymov | US | San Grabiel | 2016-04-28 / 20160114322 - PARALLELIZED SAMPLE HANDLING | 1 |
Mikhail Karymov | US | San Gabriel | 2015-09-03 / 20150247190 - METHODS AND SYSTEMS FOR MICROFLUIDICS IMAGING AND ANALYSIS | 2 |
Mikhail Karymov | US | Azusa | 2016-04-28 / 20160114322 - PARALLELIZED SAMPLE HANDLING | 1 |
Paraskevi Karypidou | GR | Thessaloniki | 2011-10-13 / 20110251790 - METHODOLOGY AND SYSTEM FOR ROUTING OPTIMIZATION IN GPS-BASED NAVIGATION, COMBINING DYNAMIC TRAFFIC DATA | 1 |
Paul Christian Karzel | DE | Wiehl | 2014-01-02 / 20140004008 - GASIFICATION REACTOR | 1 |
Willem Karzijn | NL | Amsterdam | 2013-05-23 / 20130131205 - PROCESS FOR PREPARING POLYMER POLYOLS | 2 |
Basel Karzoun | US | Boston | 2016-02-04 / 20160030343 - PREPARATION AND CHARACTERIZATION OF BONE-TARGETED VANCOMYCIN-LOADED LIPOSOMES FOR OSTEOMYELITIS TREATMENT | 1 |
Koen Kas | BE | Schilde | 2016-02-25 / 20160054330 - BIOMARKERS FOR HYPERTENSIVE DISORDERS OF PREGNANCY | 26 |
Koen Kas | BE | Schilde | 2016-02-25 / 20160054330 - BIOMARKERS FOR HYPERTENSIVE DISORDERS OF PREGNANCY | 26 |
Onur Y. Kas | US | Billerica | 2015-10-22 / 20150298070 - Ultraporous Nanofiber Mats And Uses Thereof | 1 |
Koen Kas | BE | Schide | 2011-03-10 / 20110059858 - NEW BIOMARKER FOR DIAGNOSIS, PREDICTION AND/OR PROGNOSIS OF SEPSIS AND USES THEREOF | 1 |
Martin Kas | NL | Nijmegen | 2010-05-27 / 20100130746 - Process for Making Zoledronic Acid | 1 |
Onur Y. Kas | US | Boston | 2013-04-18 / 20130092622 - NANOFIBER CONTAINING COMPOSITE MEMBRANE STRUCTURES | 2 |
Martien Kas | NL | Sint Willebrord | 2016-02-11 / 20160044407 - DELEGATE UNIT AND CONFERENCE SYSTEM WITH THE DELEGATE UNIT | 1 |
Martien Kas | NL | St. Willebrord | 2008-10-02 / 20080240390 - Discussion Unit with Removable Rim | 1 |
Onur Y. Kas | US | Waltham | 2014-05-01 / 20140116945 - NANOFIBER CONTAINING COMPOSITE STRUCTURES | 1 |
Srinivasu Kasa | IN | Hyderabad | 2013-09-19 / 20130245259 - PROCESS FOR THE PREPARATION OF BOSENTAN MONOHYDRATE | 3 |
Navin Kasa | IN | Andhra Pradesh | 2010-05-27 / 20100131797 - METHOD AND SYSTEM FOR ASSESSING AND REMEDYING ACCESSIBILITY OF WEBSITES | 1 |
Venkata Subbareddy Kasa | IN | Bangalore | 2010-06-03 / 20100132345 - MIXING DEVICES FOR SELECTIVE CATALYTIC REDUCTION SYSTEMS | 1 |
Navin Kasa | IN | Rajahmundry | 2013-09-26 / 20130254667 - METHOD AND SYSTEM FOR ASSESSING USABILITY OF A WEBSITE | 2 |
Zoltan Kasa | US | Dublin | 2014-11-27 / 20140345508 - NEEDLE BAR DRIVING SYSTEM FOR SEWING MACHINES | 2 |
Neelima Kasa | US | Portland | 2015-10-15 / 20150294423 - SYSTEMS AND METHODS FOR FLEXIBLE FIELD MAPPING | 1 |
Yasuhisa Kasa | JP | Wakayama | 2011-06-23 / 20110146095 - DIMENSION MEASURING DEVICE FOR LONG MATERIAL | 1 |
Shunichi Kasa | JP | Kanagawa | 2012-10-11 / 20120260151 - Information Processing Apparatus, Information Processing Method, Program, Server, and Information Processing System | 1 |
Yasuhisa Kasa | JP | Osaka | 2011-07-07 / 20110164244 - Apparatus, System, and Method for Measuring Thread Features on Pipe or Tube End | 1 |
Kentaro Kasa | JP | Kawasaki-Shi | 2012-04-19 / 20120090489 - NANOIMPRINT METHOD | 2 |
Masato Kasa | JP | Mobara-Shi | 2012-11-22 / 20120292623 - Thin-Film Semiconductor Device And Display Equipped With Same | 1 |
Joseph R. Kasa | US | Tempe | 2010-05-27 / 20100129595 - Deceptive Security Screen Cover | 1 |
Yasushi Kasa | JP | Hyogo | 2014-09-18 / 20140281581 - Storage Device | 1 |
Yasushi Kasa | JP | Tokyo | 2013-10-10 / 20130268823 - ERROR CORRECTION SCHEME FOR NON-VOLATILE MEMORY | 3 |
Kentaro Kasa | JP | Kanagawa | 2014-03-06 / 20140065528 - EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Kentaro Kasa | JP | Kawasaki | 2016-02-11 / 20160043037 - MARK, SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR WAFER | 2 |
Masanori Kasa | JP | Kanagawa | 2009-10-01 / 20090245058 - INFORMATION PROCESSING APPARATUS AND METHOD, PROGRAM, RECORDING MEDIUM, AND INFORMATION PROCESSING SYSTEM | 2 |
Kentaro Kasa | JP | Kanagawa-Ken | 2014-11-20 / 20140340660 - PATTERN FORMATION METHOD AND PATTERN FORMATION APPARATUS | 4 |
Masamichi Kasa | JP | Fukuoka | 2011-03-10 / 20110058546 - Transmission Apparatus, Transmission Method and Non-Transitory Computer-Readable Recording Medium Thereof | 5 |
Yasuhisa Kasa | JP | Kinokawa-Shi | 2009-12-31 / 20090321068 - Plug member and mounting and dismounting device therefor | 1 |
Joy Kasaaian | US | Arlington | 2012-11-08 / 20120283871 - SYSTEM AND METHOD FOR USER ACCESS OF DISPENSING UNIT | 1 |
Yusuke Kasaba | JP | Shizuoka | 2015-10-29 / 20150308645 - TILT SENSOR HOUSING CASE AND VEHICLE LAMP SYSTEM | 13 |
Michael Edward Kasaba | US | Topanga | 2009-10-15 / 20090255747 - 3-Wheeled stand-up personal mobility vehicle and components therein | 1 |
Mike Kasaba | US | Malibu | 2015-03-26 / 20150086825 - Module Backbone System | 1 |
Yusuke Kasaba | JP | Shizuoka-Shi | 2014-06-05 / 20140156150 - CONTROL APPARATUS OF VEHICULAR LAMP, VEHICULAR LAMP SYSTEM, AND CONTROL METHOD FOR VEHICULAR LAMP | 2 |
Hideto Kasaba | JP | Kyoto-Shi | 2016-02-04 / 20160030299 - DENTAL CURING COMPOSITION | 3 |
Christopher Kasabach | US | New York | 2014-09-18 / 20140276192 - WEARABLE BODY MONITOR DEVICE WITH A PROCESSING UNIT OPERABLE TO TELL TIME | 8 |
Christopher D. Kasabach | US | New York | 2014-08-21 / 20140232516 - CONTEXTUAL AND PRESENCE SENSING TO OPERATE ELECTRONIC DEVICES | 5 |
Christopher Kasabach | US | New York | 2014-09-18 / 20140276192 - WEARABLE BODY MONITOR DEVICE WITH A PROCESSING UNIT OPERABLE TO TELL TIME | 8 |
Christopher D. Kasabach | US | Pittsburgh | 2015-12-31 / 20150374301 - WIRELESS COMMUNICATIONS DEVICE AND PERSONAL MONITOR | 8 |
Christopher Kasabach | US | Pittsburgh | 2014-10-09 / 20140301668 - METHOD AND APPARATUS FOR RECOGNITION OF WRITING, FOR REMOTE COMMUNICATION, AND FOR USER DEFINED INPUT TEMPLATES | 10 |
Chris Dominick Kasabach | US | Pittsburgh | 2011-12-29 / 20110317939 - METHOD AND APPARATUS FOR RECOGNITION OF WRITING, FOR REMOTE COMMUNICATION, AND FOR USER DEFINED INPUT TEMPLATES | 1 |
Christopher D. Kasabach | US | Pittsburgh | 2015-12-31 / 20150374301 - WIRELESS COMMUNICATIONS DEVICE AND PERSONAL MONITOR | 8 |
Christopher Kasabach | US | Pittsburgh | 2014-10-09 / 20140301668 - METHOD AND APPARATUS FOR RECOGNITION OF WRITING, FOR REMOTE COMMUNICATION, AND FOR USER DEFINED INPUT TEMPLATES | 10 |
Farhad Kasad | US | Bothell | 2016-02-11 / 20160044461 - Aggregate Location Dynometer (ALD) | 13 |
Farhad Kasad | US | Bothell | 2016-02-11 / 20160044461 - Aggregate Location Dynometer (ALD) | 13 |
Norihito Kasada | JP | Minami-Ashigara-Shi | 2016-03-31 / 20160093322 - MAGNETIC TAPE | 7 |
Norihito Kasada | JP | Kanagawa | 2015-05-07 / 20150123026 - MAGNETIC POWDER FOR MAGNETIC RECORDING MEDIUM | 1 |
Norihito Kasada | JP | Odawara-Shi | 2010-09-30 / 20100247968 - MAGNETIC TAPE CARTRIDGE | 1 |
Fredrick Kasadha | GB | London | 2011-03-03 / 20110054846 - Monitoring the Performance of a Computer | 1 |
Nobuhide Kasae | JP | Anan-Shi | 2015-11-26 / 20150340572 - MOLDED PACKAGE FOR LIGHT EMITTING DEVICE | 2 |
Vimal Kumar Kasagani | US | Taylorsville | 2015-12-31 / 20150375260 - MATERIALS FOR MASKING SUBSTRATES AND ASSOCIATED METHODS | 4 |
Shouhei Kasagi | JP | Saitama | 2008-08-28 / 20080202447 - Engine-Driven Generator | 1 |
Nobuo Kasagi | JP | Toyama | 2009-03-12 / 20090067145 - CIRCUIT PATTERN DESIGNING METHOD, WHEREIN AN ELECTROCONDUCTIVE COATING MATERIAL IS USED, AND A PRINTED CIRCUIT BOARD | 3 |
Tsukasa Kasagi | JP | Tokyo | 2012-08-02 / 20120192581 - AIR CONDITIONER | 1 |
Tomoyuki Kasagi | JP | Ibaraki-Shi, Osaka | 2015-12-03 / 20150344662 - POLYETHERIMIDE POROUS BODY AND METHOD FOR PRODUCING SAME | 2 |
Noriyuki Kasagi | JP | Ashigarakami-Gun | 2016-03-10 / 20160069909 - REAGENT KIT, MEASUREMENT KIT, AND METHOD OF MEASURING TEST SUBSTANCE | 8 |
Yoshinari Kasagi | JP | Tokyo | 2013-09-26 / 20130248743 - SOLENOID VALVE | 5 |
Tomoyuki Kasagi | JP | Ibaraki-Shi | 2014-10-02 / 20140295168 - ELECTRICALLY INSULATING RESIN SHEET | 3 |
Fumihito Kasagi | JP | Uozu-Shi | 2013-12-05 / 20130320049 - POWDER SUPPLY APPARATUS AND POWDER SUPPLY METHOD | 1 |
Noriyuki Kasagi | JP | Kanagawa | 2011-03-17 / 20110065213 - METHOD FOR IMMUNOASSAY USING LATEX PARTICLES | 2 |
Fumihito Kasagi | JP | Toyama | 2015-01-22 / 20150021358 - POWDER SUPPLY DEVICE AND POWDER SUPPLY METHOD | 3 |
Minako Kasagi | JP | Kanagawa | 2014-08-21 / 20140234426 - COSMETIC | 1 |
Minako Kasagi | JP | Ashigarakami-Gun | 2013-09-12 / 20130232701 - HAIR DYE | 1 |
Noriyuki Kasagi | JP | Ashigarakami-Gun | 2016-03-10 / 20160069909 - REAGENT KIT, MEASUREMENT KIT, AND METHOD OF MEASURING TEST SUBSTANCE | 8 |
Tomoyuki Kasagi | JP | Osaka | 2013-08-15 / 20130209741 - POROUS RESIN SHEET AND METHOD FOR PRODUCING THE SAME | 2 |
Nobuhide Kasagi | JP | Tokyo | 2011-04-28 / 20110097793 - CELL SEPARATION DEVICE, CELL SEPARATION SYSTEM AND CELL SEPARATION METHOD | 3 |
Kosuke Kasagi | JP | Hagagun | 2011-11-03 / 20110267781 - CIRCUIT BOARD | 1 |
Ryuichi Kasahara | JP | Miyagi | 2011-08-18 / 20110197435 - STACK-TYPE LITHIUM-ION POLYMER BATTERY | 1 |
Hideyuki Kasahara | JP | Musashino-Shi | 2009-01-22 / 20090024121 - TREATMENT DEVICE FOR CUTTING LIVING TISSUE | 1 |
Hirokazu Kasahara | JP | Okaya-Shi | 2015-08-06 / 20150216484 - BIOLOGICAL INFORMATION PROCESSING APPARATUS, AND BIOLOGICAL INFORMATION PROCESSING METHOD | 23 |
Yasuhiko Kasahara | JP | Hokkaido | 2013-07-25 / 20130189231 - COMPOSITION FOR TREATMENT OF CARTILAGE DISEASE | 3 |
Nagatoshi Kasahara | JP | Matsumoto | 2014-03-27 / 20140085365 - PRINTING APPARATUS AND PRINTING METHOD | 5 |
Kenji Kasahara | JP | Sayama | 2014-09-25 / 20140285275 - OSCILLATOR | 5 |
Akira Kasahara | JP | Chuo-Ku | 2010-06-17 / 20100147411 - REINFORCING BAR BINDING MACHINE | 1 |
Shinya Kasahara | JP | Tokyo | 2014-12-18 / 20140370985 - GAME PROVIDING DEVICE, SYSTEM AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM STORING GAME PROGRAM | 1 |
Ryuichi Kasahara | JP | Sendai-Shi | 2011-12-29 / 20110318637 - LITHIUM SECONDARY BATTERY | 3 |
Koji Kasahara | JP | Toyota-Shi | 2015-10-15 / 20150290584 - ELECTRICALLY HEATED CATALYST DEVICE AND ITS MANUFACTURING METHOD | 2 |
Hideyuki Kasahara | JP | Hamura-Shi | 2016-02-04 / 20160030071 - TREATMENT DEVICE AND TREATMENT SYSTEM | 7 |
Minoru Kasahara | JP | Chiyoda-Ku | 2013-11-28 / 20130315545 - OPTICAL FIBER | 1 |
Hideyuki Kasahara | JP | Tokyo | 2013-08-15 / 20130211433 - Endoscopic Vessel Dissector With Side Entry | 8 |
Hideki Kasahara | JP | Ikeda-Shi | 2009-03-12 / 20090068549 - Nickel-metal hydride secondary battery module and secondary battery module manufacturing method | 1 |
Yoshikatsu Kasahara | JP | Ninomiya | 2013-03-28 / 20130077243 - CONTROLLER | 3 |
Satoshi Kasahara | JP | Tokyo | 2011-06-09 / 20110132827 - COMPOSITE FILTRATION AND DEMINERALIZATION APPARATUS | 2 |
Saori Kasahara | JP | Tokyo | 2012-09-27 / 20120244176 - PROTEIN HAVING IMMUNOGENICITY OF CEDAR POLLEN, POLYNUCLEOTIDE ENCODING THE PROTEIN, AND USE THEREOF | 3 |
Toshihide Kasahara | JP | Tokyo | 2009-09-03 / 20090219399 - DIGITAL CAMERA DOCK | 2 |
Shinichi Kasahara | JP | Odawara | 2010-08-19 / 20100211703 - Storage Apparatus and Data Integrity Assurance Method | 1 |
Takeshi Kasahara | JP | Chibaken | 2014-11-27 / 20140345463 - ELECTROSTATIC PRECIPITATION APPARATUS FOR ROOM VENTILATION AND VENTILATION SYSTEM INCORPORATING SAME | 1 |
Akihiro Kasahara | JP | Sanbu | 2016-05-19 / 20160139084 - SIGNAL PROCESSING APPARATUS, SERVER, DETECTION SYSTEM, AND SIGNAL PROCESSING METHOD | 1 |
Shigeo Kasahara | JP | Hyogo | 2015-11-19 / 20150331531 - INPUT DEVICE | 16 |
Yoichi Kasahara | JP | Tokyo | 2013-09-19 / 20130242094 - APPARATUS AND METHOD FOR INFORMATION PROCESSING AND PROGRAM | 2 |
Shigeo Kasahara | JP | Miyazaki | 2010-08-26 / 20100213414 - GREEN PHOSPHOR AND PLASMA DISPLAY PANEL | 2 |
Tetsushi Kasahara | JP | Osaka | 2010-12-16 / 20100318723 - MEMORY CONTROLLER, NONVOLATILE MEMORY DEVICE, AND NONVOLATILE MEMORY SYSTEM | 7 |
Kazunori Kasahara | JP | Gunma | 2008-10-30 / 20080269184 - Niosome Having Metal Porphyrin Complex Embedded Therein, Process For Producing The Same And Drug With The Use Thereof | 1 |
Hiroshi Kasahara | JP | Tokyo | 2009-12-17 / 20090313315 - N-digit subtraction unit, N-digit subtraction module, N-digit addition unit and N-digit addition module | 1 |
Yoichi Kasahara | JP | Osaka | 2015-09-10 / 20150250217 - SALTY TASTE-ENHANCING AGENT AND MANUFACTURING METHOD THEREFOR, AND SALTY TASTE-ENHANCING METHOD | 1 |
Masatoshi Kasahara | JP | Koshi-Shi | 2013-08-29 / 20130220478 - PROCESS LIQUID CHANGING METHOD AND SUBSTRATE PROCESSING APPARATUS | 1 |
Tsuyoshi Kasahara | JP | Ibaraki-Shi | 2011-05-26 / 20110124833 - MEDICAL PRESSURE-SENSITIVE ADHESIVE COMPOSITION | 3 |
Ryuichi Kasahara | JP | Sagamihara-Shi | 2013-04-25 / 20130101899 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Hideaki Kasahara | JP | Anjo | 2012-08-16 / 20120208648 - DAMPER DEVICE | 1 |
Ryosuke Kasahara | JP | Kanagawa | 2016-05-05 / 20160127405 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, AND INFORMATION PROCESSING METHOD | 29 |
Fumiyoshi Kasahara | JP | Tokyo | 2013-07-25 / 20130189231 - COMPOSITION FOR TREATMENT OF CARTILAGE DISEASE | 2 |
Chiyoshi Kasahara | JP | Tokyo | 2010-03-11 / 20100063098 - PYRIDONE DERIVATIVES AS P38A MAPK INHIBITORS | 2 |
Hirofumi Kasahara | JP | Tokyo | 2010-06-17 / 20100148411 - ELECTROMAGNETIC AGITATOR | 1 |
Hirokiyo Kasahara | JP | Tokyo | 2016-03-17 / 20160081086 - INFORMATION OUTPUT DEVICE AND COMPUTER-READABLE MEDIUM | 7 |
Hironori Kasahara | JP | Tokyo | 2015-12-17 / 20150363230 - PARALLELISM EXTRACTION METHOD AND METHOD FOR MAKING PROGRAM | 7 |
Masato Kasahara | JP | Hamamatsu-Shi | 2010-01-07 / 20100002932 - PHOTOVOLTAIC DEVICES INSPECTION APPARATUS AND METHOD OF DETERMINING DEFECTS IN PHOTOVOLTAIC DEVICE | 1 |
Hideaki Kasahara | JP | Matsumoto | 2010-02-18 / 20100039468 - ADJUSTMENT METHOD | 2 |
Kazuyuki Kasahara | JP | Osaka | 2010-10-21 / 20100263829 - HEATING AND COOLING UNIT, AND HEATING AND COOLING APPARATUS | 1 |
Akira Kasahara | JP | Tokyo | 2015-09-24 / 20150267423 - REINFORCING BAR BINDING MACHINE | 5 |
Nori Kasahara | US | Los Angeles | 2014-06-19 / 20140170169 - BLOCKING ANTIBODY FOR TRANSPLANTATION | 1 |
Miho Kasahara | JP | Nagoya-Shi | 2015-07-09 / 20150194706 - SODIUM-SULFUR BATTERY | 2 |
Ken-Ichi Kasahara | JP | Shizuoka | 2012-01-19 / 20120015925 - NOVEL BENZOTHIAZEPINE AND BENZOTHIEPINE COMPOUNDS | 1 |
Kenzo Kasahara | JP | Tokyo | 2014-11-13 / 20140335289 - METHOD FOR PRODUCING OPTICAL FILM, OPTICAL FILM, POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Taisuke Kasahara | JP | Osaka | 2010-03-04 / 20100053847 - ELECTROLYTIC CAPACITOR | 1 |
Aya Kasahara | JP | Yokohama-Shi | 2016-01-28 / 20160028902 - IMAGE READING APPARATUS, METHOD FOR CONTROLLING IMAGE READING APPARATUS, AND STORAGE MEDIUM | 4 |
Eiji Kasahara | JP | Tokyo | 2011-12-22 / 20110311114 - ULTRASOUND DIAGNOSTIC APPARATUS | 3 |
Shinsei Kasahara | JP | Sagamihara-Shi | 2008-09-18 / 20080225675 - Optical disk apparatus | 1 |
Daisuke Kasahara | JP | Shiojiri-Shi | 2015-09-03 / 20150249804 - DISPLAY APPARATUS AND METHOD FOR CONTROLLING DISPLAY APPARATUS | 2 |
Kiyoshi Kasahara | JP | Chiyoda-Ku | 2016-05-05 / 20160122482 - FIBER-REINFORCED RESIN SHEET AND PROCESS FOR PRODUCING SAME | 3 |
Kimito Kasahara | JP | Kawasaki-Shi | 2011-09-08 / 20110216339 - IMAGE PROCESSING APPARATUS, METHOD FOR CONTROLLING THE SAME, AND RECORDING MEDIUM | 4 |
Satoshi Kasahara | JP | Koshigaya-Shi | 2008-10-09 / 20080245712 - CONDENSATE FILTERING DEVICE | 1 |
Takashi Kasahara | JP | Tokyo | 2015-04-23 / 20150109682 - IMMERSION MICROSCOPE OBJECTIVE AND MICROSCOPE USING THE SAME | 10 |
Noboru Kasahara | JP | Tokyo | 2010-12-30 / 20100330871 - TRANSFORMABLE TOY | 5 |
Mitsuhiro Kasahara | JP | Osaka | 2015-07-30 / 20150216037 - ELECTRONIC DEVICE AND MANUFACTURING METHOD FOR SAME | 2 |
Hiroshi Kasahara | JP | Osaka | 2011-12-29 / 20110316700 - DEVICE FOR DETECTING ENTRY AND/OR EXIT MONITORING DEVICE, AND METHOD FOR DETECTING ENTRY AND/OR EXIT | 1 |
Shoki Kasahara | JP | Mobara | 2015-09-24 / 20150267066 - INK COMPOSITION, RECORDING APPARATUS, AND RECORDING METHOD | 6 |
Kazuki Kasahara | JP | Tokyo | 2014-07-31 / 20140212813 - RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYMER AND POLYMERIZABLE COMPOUND | 13 |
Kazuhito Kasahara | JP | Komaki-Shi | 2012-01-19 / 20120012222 - FUEL HOSE AND METHOD FOR PRODUCING THE SAME | 3 |
Shohei Kasahara | JP | Kobe-Shi | 2014-12-25 / 20140377156 - Selectively CO2-Permeable Membrane, Method for Separating CO2 from Mixed Gas, and Membrane Separation Equipment | 1 |
Hidetoshi Kasahara | JP | Tokyo | 2011-02-10 / 20110031706 - SEAL PART | 2 |
Masato Kasahara | JP | Okazaki-Shi | 2012-03-15 / 20120061019 - LAY-UP APPARATUS | 5 |
Shinichi Kasahara | JP | Osaka | 2011-01-20 / 20110011125 - REFRIGERATION APPARATUS | 26 |
Daisuke Kasahara | JP | Nagoya-Shi | 2010-10-14 / 20100259172 - Plasma jet ignition plug | 1 |
Masaki Kasahara | JP | Tokyo | 2009-07-02 / 20090166756 - MOS Transistor and Semiconductor Integrated Circuit | 2 |
Takeshi Kasahara | JP | Kadoma-Shi | 2010-10-28 / 20100271756 - SOLID ELECTROLYTIC CAPACITOR, AND METHOD FOR MANUFACTURING THE SAME | 1 |
Mitsuharu Kasahara | JP | Kawasaki-Shi | 2016-01-28 / 20160028664 - CHAT SYSTEM, KEY TELEPHONE UNIT, AND RECORDING MEDIUM | 1 |
Atsushi Kasahara | JP | Hokkaido | 2010-12-16 / 20100316445 - METHOD FOR CONTINUOUS ON-SITE REPAVING OF AN ASPHALT MIXTURE LAYER OF PAVED ROAD PAVEMENT AND A MOTOR-DRIVEN VEHICLE SYSTEM THEREFOR | 1 |
Jiro Kasahara | JP | Hyogo | 2010-12-30 / 20100329410 - BASKET AND pH ADJUSTING DEVICE | 1 |
Akihiro Kasahara | JP | Chiba | 2015-08-20 / 20150234752 - MEMORY CHIP | 8 |
Hirokazu Kasahara | JP | Okay-Ashi | 2010-02-04 / 20100026747 - PRINTING APPARATUS AND METHOD OF ADJUSTING NOZZLE ARRAY | 1 |
Nagatoshi Kasahara | JP | Nagano-Ken | 2014-08-07 / 20140221536 - Aqueous Ink, Aqueous Ink Set and Production Method of Dispersion | 2 |
Tatsumi Kasahara | JP | Fukaya-Shi | 2009-04-23 / 20090101560 - HYDROFLUORIC ACID TREATMENT APPARATUS | 1 |
Ryuichi Kasahara | JP | Kanagawa | 2013-10-24 / 20130280594 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 4 |
Hideaki Kasahara | JP | Matsumoto-Shi | 2012-03-08 / 20120056895 - IMAGE PROCESSING DEVICE, COLOR CORRECTION TABLE GENERATION DEVICE, DISPLAY DEVICE, IMAGE PROCESSING METHOD, COLOR CORRECTION TABLE GENERATION METHOD, COLOR ADJUSTMENT METHOD FOR DISPLAY DEVICE, AND IMAGE PROCESSING PROGRAM | 3 |
Naoko Kasahara | JP | Hiratsuka-Shi | 2012-12-06 / 20120305862 - WATER-BASED PRIMER COMPOSITIONS AND COATING METHODS USING THE SAME | 1 |
Akihiro Kasahara | JP | Chiba | 2015-08-20 / 20150234752 - MEMORY CHIP | 8 |
Akihiro Kasahara | JP | Tokyo | 2010-03-04 / 20100053653 - METHOD FOR GENERATING A COLOR CHART | 1 |
Nobuyuki Kasahara | JP | Yokohama-Shi | 2011-04-21 / 20110091791 - ELECTROLYTE POLYMER FOR POLYMER ELECTROLYTE FUEL CELLS, PROCESS FOR ITS PRODUCTION AND MEMBRANE-ELECTRODE ASSEMBLY | 2 |
Akihiro Kasahara | JP | Sambu-Gun | 2013-05-09 / 20130117633 - RECORDING APPARATUS, WRITING APPARATUS, AND READING APPARATUS | 4 |
Shinsei Kasahara | JP | Ota-City | 2012-02-02 / 20120026858 - OPTICAL PICKUP DEVICE | 3 |
Tadashi Kasahara | JP | Kanagawa | 2010-09-30 / 20100244762 - Method and Device for Preparing Error Map and Numerically Controlled Machine Tool Having Error Map Preparation Function | 2 |
Mika Kasahara | JP | Yokohama | 2011-01-20 / 20110016517 - INFORMATION PROCESSING METHOD AND INFORMATION PROCESSING SYSTEM | 1 |
Jiro Kasahara | JP | Takasago | 2009-11-26 / 20090288418 - MOISTURE SEPARATOR | 2 |
Fumiaki Kasahara | JP | Osaka | 2009-11-19 / 20090283353 - Lubricant Composition, Speed Reduction Gear Employing the Composition, and Electric Power Steering Apparatus Employing the Speed Reduction Gear | 1 |
Akihiro Kasahara | JP | Sanbu-Gun | 2015-10-29 / 20150312043 - DIGITAL SIGNATURE SERVER AND USER TERMINAL | 15 |
Ryosuke Kasahara | JP | Yokohama-Shi | 2014-09-18 / 20140270532 - ATTACHED MATTER DETECTOR AND VEHICLE EQUIPMENT CONTROL APPARATUS | 4 |
Shinjiro Kasahara | JP | Aichi | 2011-01-27 / 20110022181 - ARTICLE WITH FOAMED SURFACE, IMPLANT AND METHOD OF PRODUCING THE SAME | 1 |
Keisuke Kasahara | JP | Nagoya-Shi | 2014-12-11 / 20140361005 - GLOW PLUG | 1 |
Akihiro Kasahara | JP | Chiba-Ken | 2010-08-26 / 20100218026 - INTERFACE CONTROL DEVICE | 2 |
Shoji Kasahara | JP | Nara | 2011-02-24 / 20110044170 - MULTI-HOP WIRELESS TERMINAL AND TRAFFIC CONTROL METHOD THEREOF | 2 |
Akihiro Kasahara | JP | Sanbu-Gun | 2015-10-29 / 20150312043 - DIGITAL SIGNATURE SERVER AND USER TERMINAL | 15 |
Osamu Kasahara | JP | Toyama-Shi (toyama) | 2012-03-15 / 20120064733 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS | 1 |
Nagatoshi Kasahara | JP | Matsumoto-Shi | 2013-09-26 / 20130249998 - MAINTENANCE DEVICE AND LIQUID EJECTING APPARATUS | 3 |
Shunichi Kasahara | JP | Kanagawa | 2016-03-10 / 20160070420 - PROJECTION DEVICE, PROJECTION METHOD, AND PROJECTION PROGRAM | 85 |
Mitsuharu Kasahara | JP | Kanagawa | 2015-10-08 / 20150288628 - CHAT SYSTEM | 1 |
Tsuyoshi Kasahara | JP | Osaka | 2010-10-14 / 20100260826 - Patch and patch preparation | 10 |
Akira Kasahara | JP | Tsukuba-Shi | 2013-08-15 / 20130206038 - LOW-FRICTION ZnO COATING AND METHOD FOR PREPARING THE SAME | 3 |
Hideki Kasahara | JP | Osaka | 2009-10-01 / 20090242072 - POSITIVE ELECTRODE PLATE FOR ALKALINE STORAGE BATTERY AND METHOD FOR PRODUCING THE SAME | 1 |
Seiji Kasahara | JP | Kanagawa | 2010-04-01 / 20100079893 - METHOD FOR PRODUCING CONCAVO-CONVEX MEMBER, CONCAVO-CONVEX MEMBER, MAGNETIC TRANSFER METHOD, AND PERPENDICULAR MAGNETIC RECORDING MEDIUM | 1 |
Minoru Kasahara | JP | Tokyo | 2015-10-15 / 20150293325 - COATED OPTICAL FIBER, OPTICAL FIBER RIBBON, AND OPTICAL CABLE | 6 |
Daisuke Kasahara | JP | Toyoake-Shi | 2013-04-11 / 20130088140 - PLASMA JET IGNITION PLUG | 8 |
Yusuke Kasahara | JP | Kanagawa-Ken | 2013-03-07 / 20130059437 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Takenori Kasahara | JP | Tokai | 2010-09-16 / 20100235699 - FAULT DIAGNOSIS APPARATUS AND FAULT DIAGNOSIS METHOD OF MULTI-CHANNEL ANALOG INPUT/OUTPUT CIRCUIT | 1 |
Ryo Kasahara | JP | Kanagawa | 2016-04-07 / 20160096369 - LIQUID-EJECTION HEAD AND IMAGE FORMING APPARATUS | 2 |
Ryoichi Kasahara | JP | Tokyo | 2015-07-02 / 20150183060 - METHOD OF MANUFACTURING AN OPTICAL MODULE | 1 |
Hiroyuki Kasahara | JP | Osaka | 2011-10-13 / 20110250408 - ADHESIVE PRODUCT, AND TRANSFER TOOL | 5 |
Kenji Kasahara | JP | Tsukuba-Shi | 2011-01-27 / 20110018007 - ELECTRONIC DEVICE, DISPLAY APPARATUS, AND ELECTRONIC DEVICE MANUFACTURING METHOD | 1 |
Kenji Kasahara | JP | Niihama-Shi | 2013-02-28 / 20130049184 - ELECTRIC DEVICE AND PRODUCTION METHOD THEREFOR | 7 |
Ryuichi Kasahara | JP | Sendai | 2013-03-28 / 20130078512 - LITHIUM SECONDARY BATTERY | 2 |
Kazuo Kasahara | JP | Kanazawa | 2008-11-20 / 20080286785 - Method to predict or monitor the response of a patient to an erbb receptor drug | 1 |
Yoshiki Kasahara | JP | Hamamatsu-Shi | 2011-03-17 / 20110061514 - STORAGE SYSTEM AND STORAGE DEVICE OF MUSIC FILES | 1 |
Hideko Kasahara | US | Gainesville | 2010-05-27 / 20100129815 - IDENTIFICATION OF CARDIAC SPECIFIC MYOSIN LIGHT CHAIN KINASE | 1 |
Hidemitsu Kasahara | JP | Hyogo | 2015-08-27 / 20150240040 - CALCIUM CARBONATE FILLER FOR RESIN, AND RESIN COMPOSITION CONTAINING SAID FILLER | 4 |
Jiro Kasahara | JP | Takasago-Shi | 2009-01-29 / 20090025391 - Moisture Separator Heater | 1 |
Seiji Kasahara | JP | Ashigarakami-Gun | 2015-08-27 / 20150238434 - TRANSDERMAL ABSORPTION SHEET, AND MANUFACTURING METHOD FOR THE SAME | 2 |
Shoki Kasahara | JP | Shiojiri | 2014-06-05 / 20140152739 - INK-JET RECORDING APPARATUS | 10 |
Yoshikazu Kasahara | JP | Neyagawa-Shi | 2015-10-01 / 20150275037 - INTERMEDIATE COATING COMPOSITION, MULTILAYERED COATING FILM, AND METHOD FOR FORMING THE MULTILAYERED COATING FILM | 2 |
Takehiro Kasahara | JP | Minami-Ashigara-Shi | 2009-03-05 / 20090057659 - PHOTOELECTRIC CONVERSION ELEMENT, SOLID-STATE IMAGE PICKUP DEVICE, AND MANUFACTURING METHOD OF THE PHOTOELECTRIC CONVERSION ELEMENT | 1 |
Keiko Kasahara | JP | Osaka-Shi | 2013-09-26 / 20130247268 - WOVEN FABRIC FOR SWIMSUITS, AND SWIMSUIT | 1 |
Shigeo Kasahara | JP | Hyogo | 2015-11-19 / 20150331531 - INPUT DEVICE | 16 |
Seiji Kasahara | JP | Ibaraki-Ken | 2009-03-05 / 20090056924 - CERAMICS HEAT EXCHANGER | 1 |
Shoki Kasahara | JP | Shiojiri | 2014-06-05 / 20140152739 - INK-JET RECORDING APPARATUS | 10 |
Yoshihito Kasahara | JP | Higashiosaka | 2012-11-15 / 20120288463 - COSMETIC BASE MATERIAL AND COSMETIC CONTAINING THIS COSMETIC BASE MATERIAL | 1 |
Ryoichi Kasahara | JP | Atsugi-Shi | 2015-07-23 / 20150205042 - PLANAR LIGHTWAVE CIRCUIT | 10 |
Kimito Kasahara | JP | Yokohama-Shi | 2015-06-25 / 20150178030 - NETWORK DEVICE, CONTROL METHOD FOR NETWORK DEVICE, AND STORAGE MEDIUM THEREOF | 3 |
Kenji Kasahara | JP | Ibaraki | 2010-06-24 / 20100155754 - Group III Nitride Semiconductor Light Emitting Device and Method for Producing the Same | 4 |
Jiro Kasahara | JP | Tokyo | 2014-04-03 / 20140090434 - PIPE DIAMETER EXPANSION APPARATUS AND PIPE DIAMETER EXPANSION METHOD | 5 |
Hiroaki Kasahara | JP | Tokyo | 2014-07-03 / 20140185439 - WIRELESS TERMINAL, CONTROL SERVER, AND WIRELESS COMMUNICATION SYSTEM USING SAME | 1 |
Takashi Kasahara | JP | Osaka | 2013-01-31 / 20130026996 - CHARGE/DISCHARGE CONTROL METHOD FOR ALKALINE STORAGE BATTERY, AND POWER SUPPLY SYSTEM | 2 |
Eiji Kasahara | JP | Mitaka-Shi | 2015-01-29 / 20150032001 - DIAGNOSTIC ULTRASOUND APPARATUS | 2 |
Takashi Kasahara | JP | Shizuoka | 2011-06-30 / 20110157585 - METHOD FOR MANUFACTURING SPECTRAL MODULE AND SPECTRAL MODULE | 2 |
Akira Kasahara | JP | Ibaraki | 2015-04-16 / 20150104662 - NANOPARTICLE-CONTAINING POLYMER NANOWIRE AND METHOD FOR PRODUCING THE SAME | 1 |
Noriyuki Kasahara | US | Los Angeles | 2015-10-01 / 20150273029 - RETROVIRAL VECTOR WITH MINI-PROMOTER CASSETTE | 5 |
Satoshi Kasahara | JP | Fukushima City | 2012-10-11 / 20120258971 - THERAPEUTIC AGENT FOR CHRONIC PAIN | 1 |
Tadashi Kasahara | JP | Aiko-Gun | 2015-03-12 / 20150068272 - INTERFERENCE DETERMINATION METHOD AND INTERFERENCE DETERMINATION DEVICE FOR MACHINE TOOL | 4 |
Shigeru Kasahara | JP | Tokyo | 2012-07-26 / 20120189334 - ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS | 2 |
Taisuke Kasahara | JP | Yokohama City | 2014-08-07 / 20140217322 - LITHIUM ION CAPACITOR | 1 |
Yoshi Kasahara | US | Chasaka | 2010-06-10 / 20100142420 - MULTIPLEXING APPARATUS IN A TRANSCEIVER SYSTEM | 1 |
Rikio Kasahara | JP | Kanagawa | 2009-10-08 / 20090249858 - Impact detecting apparatus and packaging system | 1 |
Yasuhiro Kasahara | JP | Itabashi-Ku | 2009-04-09 / 20090093732 - BIOELECTRICAL IMPEDANCE MEASURING APPARATUS AND BODY COMPOSITION DETERMINING APPARATUS | 1 |
Kenji Kasahara | JP | Tsukuba | 2009-01-08 / 20090008745 - Nitride Compound Semiconductor and Process for Producing the Same | 1 |
Tetsuo Kasahara | JP | Kumagaya-Shi | 2014-12-04 / 20140356119 - CHUTE SWING RESTRICTING MECHANISM | 2 |
Hajime Kasahara | JP | Tokyo | 2015-10-08 / 20150287502 - SUPERCONDUCTING OXIDE THIN FILM | 5 |
Hiroyuki Kasahara | JP | Toshima-Ku | 2008-10-30 / 20080266374 - PRINTER AND INK SHEET | 1 |
Takahiro Kasahara | JP | Isehara | 2014-10-09 / 20140302670 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hideyuki Kasahara | JP | Tokyo | 2013-08-15 / 20130211433 - Endoscopic Vessel Dissector With Side Entry | 8 |
Akira Kasahara | US | Chuo-Ku | 2014-09-04 / 20140246114 - REINFORCING BAR BINDING MACHINE | 1 |
Masumi Kasahara | JP | Takasaki | 2011-04-21 / 20110092175 - MOBILE COMMUNICATION APPARATUS | 1 |
Yoshi Kasahara | US | Chaska | 2009-05-21 / 20090129299 - MULTIPLEXING APPARATUS IN A TRANSCEIVER SYSTEM | 1 |
Nagatoshi Kasahara | JP | Shiojiri | 2012-12-13 / 20120314009 - LIQUID EJECTION APPARATUS | 1 |
Hajime Kasahara | JP | Chiyoda-Ku | 2015-04-09 / 20150099640 - COOLING CONTAINER | 1 |
Ryoichi Kasahara | JP | Kanagawa-Ken | 2011-05-05 / 20110101208 - PHOTOCURRENT MONITORING CIRCUIT | 1 |
Shinichi Kasahara | JP | Tokyo | 2015-11-26 / 20150339184 - STORAGE APPARATUS AND STORAGE APPARATUS CONTROL METHOD | 1 |
Hisayoshi Kasahara | JP | Yokohama-Shi | 2013-11-28 / 20130313234 - METHOD FOR MANUFACTURING INSPECTION PROBE | 1 |
Ryuichi Kasahara | JP | Minato-Ku | 2012-09-27 / 20120244420 - SECONDARY BATTERY | 1 |
Shoki Kasahara | JP | Shiojiri-Shi | 2014-10-02 / 20140292911 - INK JET RECORDING APPARATUS | 3 |
Hirokazu Kasahara | JP | Okaya | 2016-04-07 / 20160098834 - BIOLOGICAL INFORMATION ACQUIRING DEVICE | 6 |
Daisuke Kasahara | JP | Saitama | 2012-09-27 / 20120240424 - REFLOW FURNACE | 1 |
Shinobu Kasahara | JP | Tokyo | 2011-12-22 / 20110310573 - HIGH FREQUENCY DEVICE AND A PRINTED BOARD HOLDING STRUCTURE | 1 |
Daisuke Kasahara | JP | Aichi | 2011-12-08 / 20110297131 - IGNITION APPARATUS OF PLASMA JET IGNITION PLUG | 2 |
Kunihiko Kasahara | JP | Tokyo | 2012-08-02 / 20120195485 - MEDICAL IMAGE DISPLAY PROCESSING METHOD, DEVICE, AND PROGRAM | 1 |
Hideaki Kasahara | JP | Moriguchi-Shi | 2012-08-09 / 20120200757 - IMAGING DEVICE AND CONTROL METHOD THEREOF | 1 |
Kazuki Kasahara | JP | Tokyo | 2014-07-31 / 20140212813 - RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYMER AND POLYMERIZABLE COMPOUND | 13 |
Takashi Kasahara | JP | Kanagawa | 2011-05-05 / 20110101659 - CURTAIN AIRBAG DEVICE FOR VEHICLE | 1 |
Rumiko Kasahara | JP | Tochigi | 2010-01-28 / 20100022978 - Absorbent Member and Method of Producing the Same | 1 |
Seiji Kasahara | JP | Odawara-Shi | 2008-10-02 / 20080241525 - STAMPER FOR OPTICAL INFORMATION RECORDING MEDIUM, MASTER FOR MAGNETIC TRANSFER, AND MANUFACTURING METHODS THEREOF | 2 |
Takahiro Kasahara | JP | Tokyo | 2012-08-23 / 20120214002 - ONE-PACK TYPE LIQUID EPOXY RESIN COMPOSITION AND ADHESION METHOD USING SAME | 1 |
Kiyoshi Kasahara | JP | Tokyo | 2014-03-27 / 20140088248 - FLUORINE-CONTAINING COPOLYMER COMPOSITION AND METHOD FOR PRODUCING SAME | 2 |
Shigeo Kasahara | JP | Kobe | 2011-09-15 / 20110220384 - PLASMA DISPLAY PANEL AND CHAMBER FOR MANUFACTURING PLASMA DISPLAY PANEL | 1 |
Hironori Kasahara | JP | Nara-Shi | 2011-09-15 / 20110222655 - X-RAY INSPECTION APPARATUS, X-RAY INSPECTION METHOD, X-RAY INSPECTION PROGRAM, AND X-RAY INSPECTION SYSTEM | 1 |
Masumi Kasahara | JP | Kawasaki-Shi | 2013-09-26 / 20130249720 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Hideo Kasahara | JP | Osaka | 2013-06-27 / 20130164640 - OPERATION METHOD OF POLYMER ELECTROLYTE FUEL CELL SYSTEM AND POLYMER ELECTROLYTE FUEL CELL SYSTEM | 3 |
Masayasu Kasahara | JP | Tokyo | 2013-05-23 / 20130130915 - SUBSTRATE FOR SUPERCONDUCTING WIRE ROD, SUPERCONDUCTING WIRE ROD, AND METHOD FOR PRODUCING SUPERCONDUCTING WIRE ROD | 1 |
Akihiro Kasahara | JP | Sammu | 2016-03-24 / 20160084803 - DETECTION SYSTEM AND DETECTION METHOD | 1 |
Daisuke Kasahara | JP | Toyoake-Shi | 2013-04-11 / 20130088140 - PLASMA JET IGNITION PLUG | 8 |
Hiroyuki Kasahara | JP | Saitama | 2011-05-12 / 20110107812 - RATIONALITY DIAGNOSIS METHOD AND RATIONALITY DIAGNOSIS APPARATUS FOR SENSOR INSIDE TANK | 4 |
Iwao Kasahara | JP | Kanagawa | 2014-11-13 / 20140331397 - Neck Supporter | 1 |
Akihiko Kasahara | JP | Tokyo | 2014-10-02 / 20140292190 - ELECTRON TUBE | 1 |
Hisashi Kasahara | JP | Shinjuku-Ku | 2009-12-31 / 20090325083 - PHOTOMASK MANUFACTURING METHOD | 2 |
Jun Kasahara | JP | Kanagawa | 2008-09-11 / 20080221816 - DATA VERIFICATION METHOD, CHARGED PARTICLE BEAM WRITING APPARATUS, AND COMPUTER-READABLE STORAGE MEDIUM WITH PROGRAM | 1 |
Akira Kasahara | JP | Fukuoka | 2012-02-02 / 20120024986 - Hand-Held Type Harmful Insect Exterminator | 1 |
Teruhiko Kasahara | JP | Ehime | 2016-03-17 / 20160076173 - SPUN YARN AND WOVEN OR KNITTED FABRIC | 2 |
Kazunori Kasahara | JP | Gunma-Gun | 2012-02-16 / 20120039987 - NIOSOME HAVING METAL PORPHYRIN COMPLEX EMBEDDED THEREIN, PROCESS FOR PRODUCING THE SAME AND DRUG WITH THE USE THEREOF | 1 |
Mutsumi Kasahara | JP | Tokyo | 2014-11-13 / 20140335289 - METHOD FOR PRODUCING OPTICAL FILM, OPTICAL FILM, POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Shunichi Kasahara | JP | Tokyo | 2015-05-07 / 20150123927 - INFORMATION PROCESSOR, INFORMATION PROCESSING METHOD, AND COMPUTER PROGRAM | 2 |
Ryoichi Kasahara | JP | Atsugi-Shi | 2015-07-23 / 20150205042 - PLANAR LIGHTWAVE CIRCUIT | 10 |
Toshiharu Kasahara | JP | Sagamihara | 2012-06-07 / 20120144223 - COMPUTER SYSTEM | 1 |
Nobuo Kasahara | JP | Kanagawa | 2009-12-31 / 20090324298 - IMAGE FORMING APPARATUS, POWDER SUPPLYING UNIT, TONER CONTAINER, POWDER CONTAINER, AND METHOD OF RECYCLING THE POWDER CONTAINER | 4 |
Yasushi Kasahara | JP | Inagi | 2009-08-13 / 20090199448 - NON-CONTACT STORAGE MEDIUM FIXING STRUCTURE AND NON-CONTACT STORAGE MEDIUM HOLDER FIXING STRUCTURE | 1 |
Kyosuke Kasahara | JP | Fukuyama | 2015-10-29 / 20150306649 - STEEL-SHEET SNAKING PREVENTING DEVICE AND STEEL-SHEET SNAKING PREVENTING METHOD FOR VERTICAL LOOPER | 1 |
Yuki Kasahara | JP | Ishikawa | 2015-12-31 / 20150381847 - IMAGE READING APPARATUS | 12 |
Kenya Kasahara | JP | Yokohama | 2014-10-02 / 20140293068 - IMAGE DISPLAY APPARATUS, MONITOR APPARATUS AND IMAGE PROCESSING APPARATUS | 2 |
Katsunori Kasahara | JP | Kanagawa | 2010-06-24 / 20100159724 - Card connector with double cam | 1 |
Tomomi Kasahara | JP | Kawasaki | 2008-11-27 / 20080294450 - MARKETING SUPPORT PROCESSING METHOD, SYSTEM AND PROGRAM | 1 |
Ryosuke Kasahara | JP | Yokohamai-Shi | / - | 1 |
Masahiro Kasahara | JP | Gunma-Ken | 2010-04-01 / 20100078085 - Relief valve structure | 1 |
Hajime Kasahara | JP | Yokohama | 2011-07-21 / 20110179273 - Application Server, Control Method Thereof, Program, and Computer-Readable Storage Medium | 4 |
Ryoichi Kasahara | JP | Kobe-Shi | 2012-06-14 / 20120149806 - RUBBER COMPOSITION AND PNEUMATIC TIRE | 1 |
Mitsuyoshi Kasahara | JP | Yokohama-Shi | 2012-11-22 / 20120294409 - TRANSIENT MITIGATION SYSTEM FOR REACTOR | 1 |
Shinichi Kasahara | JP | Sakai-Shi | 2014-08-21 / 20140230476 - REFRIGERATION DEVICE | 4 |
Nobuyuki Kasahara | JP | Kyoto | 2015-05-21 / 20150137314 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MODULE | 1 |
Masahiro Kasahara | JP | Tochigi-Shi | 2009-07-23 / 20090186737 - Power transmission apparatus | 1 |
Hirofumi Kasahara | JP | Kanagawa | 2009-08-13 / 20090203768 - NUCLEIC ACID-CONTAINING COMPLEX | 1 |
Sayako Kasahara | JP | Kanagawa | 2010-09-30 / 20100245623 - STILL IMAGE MEMORY DEVICE AND LIGHTING APPARATUS | 1 |
Shunichi Kasahara | JP | Kanagawa | 2016-03-10 / 20160070420 - PROJECTION DEVICE, PROJECTION METHOD, AND PROJECTION PROGRAM | 85 |
Shigeo Kasahara | JP | Kanagawa | 2008-12-25 / 20080315750 - Display Device and Green Phosphor | 1 |
Hideya Kasahara | JP | Kariya-Shi | 2008-10-02 / 20080241300 - INJECTION MOLD NOZZLE STRUCTURE | 1 |
Nobuyuki Kasahara | JP | Chiyoda-Ku | 2015-03-12 / 20150072386 - METHOD FOR PRODUCING ORGANIC ACID | 3 |
Takashi Kasahara | JP | Hamamatsu-Shi | 2016-04-21 / 20160109291 - METHOD FOR MAKING SURFACE ENHANCED RAMAN SCATTERING DEVICE | 11 |
Osamu Kasahara | JP | Toyama-Shi | 2013-03-28 / 20130078823 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS | 3 |
Syunji Kasahara | JP | Satosho-Cho | 2015-06-04 / 20150152251 - SINTERED SPHERICAL BN PARTICLES, METHOD OF PRODUCING THE SAME, AND POLYMER MATERIAL | 1 |
Takashi Kasahara | JP | Tokyo | 2015-04-23 / 20150109682 - IMMERSION MICROSCOPE OBJECTIVE AND MICROSCOPE USING THE SAME | 10 |
Nobuyuki Kasahara | JP | Tokyo | 2015-12-03 / 20150344915 - PROCESS AND APPARATUS FOR PRODUSING CHEMICAL PRODUCT | 3 |
Noriaki Kasahara | JP | Tokyo | 2010-04-08 / 20100084262 - MANUFACTURING METHOD OF PERPENDICULAR MAGNETIC RECORDING HEAD | 11 |
Takahiro Kasahara | JP | Atsugi | 2015-12-17 / 20150362806 - DISPLAY DEVICE | 22 |
Yuki Kasahara | JP | Ishikawa | 2015-12-31 / 20150381847 - IMAGE READING APPARATUS | 12 |
Aya Kasahara | JP | Kawasaki-Shi | 2011-06-30 / 20110162037 - IMAGE PROCESSING APPARATUS AND METHOD OF CONTROLLING THE SAME | 2 |
Masayuki Kasahara | JP | Tokyo | 2014-10-16 / 20140308148 - Water Lubricated Screw Compressor | 2 |
Kenji Kasahara | JP | Kanagawa | 2011-10-20 / 20110254068 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Kenji Kasahara | JP | Saitama | 2011-08-11 / 20110193637 - Surface mounted oven controlled type crystal oscillator | 4 |
Shunsuke Kasahara | JP | Kanagawa | 2015-02-12 / 20150043024 - PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 5 |
Yoshiaki Kasahara | JP | Tokyo | 2015-06-04 / 20150155845 - STRUCTURAL BODY AND WIRING BOARD | 2 |
Tatsuya Kasahara | JP | Kawasaki-Shi | 2010-04-15 / 20100093973 - POLY(AMINO ACID) COMPOUND HAVING INHIBITORY ACTIVITY ON ABSORPTION OF PHOSPHORUS AND PHOSPHORUS ABSORPTION INHIBITOR | 1 |
Ryosuke Kasahara | JP | Yokohama | 2011-03-03 / 20110050714 - Image processing device and imaging apparatus | 1 |
Ryuichi Kasahara | JP | Tokyo | 2015-07-16 / 20150200425 - LITHIUM SECONDARY BATTERY AND CONTROL SYSTEM THEREFOR, AND METHOD FOR DETECTING STATE OF LITHIUM SECONDARY BATTERY | 5 |
Yusuke Kasahara | JP | Kanagawa | 2014-07-17 / 20140199847 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 2 |
Osamu Kasahara | JP | Tokyo | 2014-03-27 / 20140087567 - SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Takashi Kasahara | JP | Hamamatsu-Shi | 2016-04-21 / 20160109291 - METHOD FOR MAKING SURFACE ENHANCED RAMAN SCATTERING DEVICE | 11 |
Yuki Kasahara | JP | Kahoku-Shi | 2013-04-04 / 20130083176 - OVERHEAD SCANNER DEVICE, IMAGE PROCESSING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Yasuhiro Kasahara | JP | Tokyo | 2016-04-07 / 20160097762 - GAS MEASUREMENT APPARATUS | 7 |
Akihiro Kasahara | JP | Oamishirasato-Machi | 2012-12-06 / 20120311319 - CONTENT DATA DELIVERY SYSTEM, AND METHOD FOR DELIVERING AN ENCRYPTED CONTENT DATA | 1 |
Noriyuki Kasahara | US | Oakland | 2013-05-30 / 20130137104 - METHODS AND COMPOSITIONS FOR MODIFICATION OF THE HPRT LOCUS | 2 |
Kensuke Kasahara | JP | Tokyo | 2009-09-17 / 20090230430 - Field effect transistor | 2 |
Hisashi Kasahara | JP | Hokuto-Shi | 2011-03-10 / 20110059390 - MASK BLANK GLASS SUBSTRATE MANUFACTURING METHOD, MASK BLANK MANUFACTURING METHOD, MASK MANUFACTURING METHOD, MASK BLANK GLASS SUBSTRATE, MASK BLANK, AND MASK | 1 |
Yasuhiro Kasahara | JP | Asaka-Shi | 2012-01-19 / 20120016268 - ABDOMINAL OBESITY INDEX MEASURING APPARATUS | 2 |
Takeshi Kasahara | JP | Tokushima-Shi | 2015-06-11 / 20150156992 - METHOD FOR FEEDING POULTRY | 1 |
Taisuke Kasahara | JP | Suita-Shi | 2013-11-07 / 20130295449 - IONIC COMPOUND AND PROCESS FOR PRODUCTION THEREOF, AND ELECTROLYTIC SOLUTION AND ELECTRICAL STORAGE DEVICE EACH UTILIZING THE IONIC COMPOUND | 2 |
Yusuke Kasahara | JP | Yokohama-Shi | 2015-06-04 / 20150151329 - Pattern Forming Method | 3 |
Osamu Kasahara | JP | Toyama | 2013-10-24 / 20130276983 - INJECTION MEMBER FOR MANUFACTURING SEMICONDUCTOR DEVICE AND PLASMA PROCESSING APPARATUS HAVING THE SAME | 3 |
Ryota Kasahara | JP | Kawachinagano-Shi | 2013-10-10 / 20130267564 - BENZYLOXYPYRIMIDINE DERIVATIVE, AGRICULTURAL/ HORTICULTURAL INSECTICIDE COMPRISING DERIVATIVE AND METHOD FOR USING SAME | 1 |
Cindy Kasahara-Foster | US | Davidson | 2013-07-18 / 20130185180 - DETERMINING THE INVESTIGATION PRIORITY OF POTENTIAL SUSPICIOUS EVENTS WITHIN A FINANCIAL INSTITUTION | 1 |
Atsuhiko Kasahi | JP | Tokyo | 2010-04-29 / 20100106080 - METHOD AND APPARATUS FOR SUPPLYING PREDETERMINED GAS INTO BODY CAVITIES OF A PATIENT | 1 |
Shuki Kasahishi | JP | Hyogo | 2010-07-29 / 20100187993 - Extra high pressure mercury lamp | 1 |
Atsushi Kasai | JP | Mie-Ken | 2009-12-31 / 20090326110 - RESIN COMPOSITION AND RESIN MOLDED PRODUCT | 1 |
Jun Kasai | JP | Tokyo | 2014-12-04 / 20140356795 - OIL-FIRED BURNER, SOLID FUEL-FIRED BURNER UNIT, AND SOLID FUEL-FIRED BOILER | 4 |
Toshihiro Kasai | JP | Aichi | 2014-10-02 / 20140296437 - Vinyl Polymer Powder, Curable Resin Composition and Cured Product | 1 |
Hiroyuki Kasai | JP | Choufu-Shi | 2012-06-14 / 20120147954 - TRANSMITTING APPARATUS, RECEIVING APPARATUS, TRANSMITTING METHOD, RECEIVING METHOD AND TRANSPORT SYSTEM | 1 |
Kenichiro Kasai | JP | Gifu | 2009-03-26 / 20090079111 - Drying jig, drying method of honeycomb molded body, and manufacturing method of honeycomb structured body | 1 |
Toshihiro Kasai | JP | Sagamihara-Shi | 2014-11-20 / 20140340103 - Automated Attaching And Detaching Of An Interchangeable Probe Head | 1 |
Masayuki Kasai | JP | Kanagawa | 2012-06-28 / 20120166994 - Information Processing Device and Information Processing System | 1 |
Takahito Kasai | JP | Nirasaki-Shi | 2009-04-30 / 20090110824 - Substrate processing apparatus and method of controlling substrate processing apparatus | 1 |
Shizuo Kasai | JP | Fujisawa-Shi, Kanagawa | 2016-03-03 / 20160060273 - HETEROCYCLIC COMPOUND | 1 |
Soko Kasai | JP | Shunan-Shi | 2012-06-07 / 20120138876 - CHROMENE COMPOUND | 1 |
Toshihiro Kasai | JP | Toyohashi-Shi | 2016-04-07 / 20160096955 - Polymer Powder, Curable Resin Composition and Cured Material Thereof | 3 |
Hirotsugu Kasai | JP | Yamanashi | 2015-10-01 / 20150273601 - WIRE ELECTRIC DISCHARGE MACHINE | 3 |
Moritsugu Kasai | JP | Ichihara-Shi | 2016-01-07 / 20160002563 - LUBRICANT OIL COMPOSITION | 4 |
Takamitsu Kasai | JP | Nagano | 2012-08-02 / 20120194987 - INFORMATION PROCESSING APPARATUS | 2 |
Naruhiro Kasai | JP | Yokohama | 2010-10-14 / 20100259563 - Organic electroluminescent display device | 1 |
Shigeru Kasai | JP | Nirasaki City | 2015-03-12 / 20150072533 - Etching Method, Etching Apparatus, and Storage Medium | 9 |
Seishi Kasai | JP | Ashigarakami-Gun | 2014-09-04 / 20140248475 - BIOCOMPATIBLE MEMBER AND METHOD FOR FORMING BIOCOMPATIBLE MEMBER | 5 |
Tadashi Kasai | JP | Kanagawa | 2016-05-19 / 20160139537 - IMAGE FORMING APPARATUS | 22 |
Shigehiro Kasai | JP | Takasago-Shi | 2012-08-02 / 20120195155 - KNEADING SEGMENT AND KNEADING EQUIPMENT | 3 |
Syuichi Kasai | JP | Osaka | 2012-07-26 / 20120189135 - ELECTRONIC DEVICE, RELAY SERVER, DATA TRANSMITTING/RECEIVING SYSTEM, AND DATA TRANSMITTING/RECEIVING METHOD | 1 |
Naruhiko Kasai | JP | Yokohama | 2015-10-22 / 20150303729 - Energy Storage System Controller | 34 |
Yoshiyuki Kasai | JP | Nagoya-City | 2015-01-29 / 20150030510 - HONEYCOMB STRUCTURE | 7 |
Takao Kasai | JP | Nagoya-City | 2013-09-19 / 20130245856 - COLLISION DETERMINATION APPARATUS FOR VEHICLE | 1 |
Akihito Kasai | JP | Wako-Shi | 2014-10-09 / 20140299095 - CONTROL APPARATUS FOR GENERAL-PURPOSE INTERNAL COMBUSTION ENGINE | 3 |
Akihito Kasai | JP | Saitama | 2016-05-12 / 20160131008 - EXHAUST PURIFYING DEVICE FOR INTERNAL COMBUSTION ENGINE | 3 |
Hitoshi Kasai | JP | Tokyo | 2016-03-10 / 20160071850 - Semiconductor Integrated Circuit Device and Method for Producing the Same | 1 |
Takeshi Kasai | JP | Tokyo | 2012-05-03 / 20120108732 - DISPERSION LIQUID OF CHEMICAL FOR RUBBERS, METHOD FOR PRODUCING SAME, RUBBER WET MASTER BATCH CONTAINING CHEMICAL FOR RUBBERS, RUBBER COMPOSITION, AND TIRE | 1 |
Kazushige Kasai | US | Minnetonka | 2015-02-26 / 20150053378 - HEAT EXCHANGER | 8 |
Takehiko Kasai | JP | Tokyo | 2012-08-23 / 20120213712 - COSMETIC COMPOSITION IN THE FOAM FORM | 1 |
Masayoshi Kasai | JP | Osaka | 2016-03-17 / 20160076811 - DROPLET REMOVAL APPARATUS FOR OPTICAL FILM | 1 |
Tatsuaki Kasai | JP | Osaka-Shi | 2015-08-06 / 20150219811 - OPTICALLY ANISOTROPIC SHEET FOR TRANSFER | 3 |
Jun Kasai | JP | Kyoto | 2016-02-25 / 20160054373 - INSULATION INSPECTION APPARATUS AND INSULATION INSPECTION METHOD | 2 |
Shigeharu Kasai | JP | Yasu-Shi | 2011-02-17 / 20110037351 - Multilayer Piezoelectric Actuator | 2 |
Shigeru Kasai | JP | Nirasaki-Shi | 2012-11-08 / 20120279944 - ANNEALING APPARATUS | 13 |
Wataru Kasai | JP | Tokyo | 2016-03-17 / 20160078979 - INSULATING TAPE FOR COVERING, AND METHOD FOR PRODUCING STRUCTURE | 3 |
Seiya Kasai | JP | Hokkaido | 2011-03-03 / 20110050332 - SIGNAL REPRODUCING DEVICE | 1 |
Hirotsugu Kasai | JP | Minamitsuru-Gun | 2013-06-27 / 20130161242 - WORKING FLUID FILTERING DEVICE FOR ELECTRICAL DISCHARGE MACHINE | 2 |
Kei Kasai | JP | Tokyo | 2013-03-14 / 20130063121 - SHORT-CIRCUIT PROTECTION CIRCUIT AND DC-DC CONVERTER | 5 |
Shinta Kasai | JP | Yokohama-Shi | 2014-07-24 / 20140205277 - OPTICAL TRANSMITTER | 1 |
Nozomi Kasai | JP | Yokohama-Shi | 2012-03-22 / 20120072645 - NONVOLATILE SEMICONDUCTOR MEMORY | 1 |
Shizuo Kasai | JP | Kanagawa | 2015-04-30 / 20150119412 - HETEROCYCLIC COMPOUND | 6 |
Teruaki Kasai | JP | Osaka | 2014-07-31 / 20140211819 - SEMICONDUCTOR LASER APPARATUS AND METHOD FOR MANUFACTURING SAME | 1 |
Chihiro Kasai | JP | Bunkyo-Ku | 2015-04-09 / 20150097119 - PHOTOVOLTAIC POWER GENERATION SYSTEM | 5 |
Tokuo Kasai | JP | Kyoto | 2012-11-15 / 20120288851 - Measurement System, Measurement Method, Program for Implementing the Method, and Recording Medium for the Program | 4 |
Hirokazu Kasai | JP | Kyoto | 2010-10-28 / 20100271210 - TAG COMMUNICATION DEVICE, TAG COMMUNICATION SYSTEM, AND TAG COMMUNICATION METHOD | 2 |
Masaki Kasai | JP | Kyoto | 2015-10-29 / 20150311165 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 11 |
Masayasu Kasai | JP | Kyoto | 2010-01-28 / 20100022582 - Tetrahydroisoquinoline Compound and Medicinal Use Thereof | 1 |
Shigeru Kasai | JP | Tokyo | 2016-02-11 / 20160040460 - LOCKING/UNLOCKING DETECTION DEVICE | 9 |
Kokichi Kasai | JP | Yokohama-Shi | 2015-04-23 / 20150108711 - SEALING MEMBER, STORAGE MEMBER, AND IMAGE FORMING APPARATUS | 1 |
Shigeru Kasai | JP | Nirasaki City | 2015-03-12 / 20150072533 - Etching Method, Etching Apparatus, and Storage Medium | 9 |
Shingo Kasai | JP | Kanagawa-Ken | 2013-11-28 / 20130316386 - TEST ELEMENT, TEST KIT, TEST DEVICE, AND TEST METHOD | 4 |
Teruaki Kasai | JP | Fukuoka | 2009-11-12 / 20090279995 - CHIP PICKUP APPARATUS, CHIP PICKUP METHOD, CHIP RELEASING DEVICE AND CHIP RELEASING METHOD | 4 |
Shigeru Kasai | JP | Nirasaki | 2012-10-04 / 20120247675 - PLASMA PROCESSING APPARATUS AND PLASMA GENERATION ANTENNA | 1 |
Toshiaki Kasai | JP | Ogaki-Shi | 2012-10-04 / 20120247823 - PACKAGE-SUBSTRATE-MOUNTING PRINTED WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 1 |
Ryo Kasai | JP | Tokyo | 2014-12-04 / 20140354720 - ELEMENT SUBSTRATE, PRINTHEAD, AND PRINTING APPARATUS | 31 |
Haruo Kasai | JP | Tokyo | 2012-03-08 / 20120058931 - Drug target that promotes secretory granule-granule fusion | 1 |
Yoshiyuki Kasai | JP | Osaka | 2016-04-07 / 20160096493 - BICYCLE POWER CONTROL APPARATUS | 5 |
Hisashi Kasai | JP | Anan-Shi | 2013-02-28 / 20130049053 - SEMICONDUCTOR LIGHT EMITTING DEVICE INCLUDING METAL REFLECTING LAYER | 2 |
Kazuhiko Kasai | JP | Yamanashi | 2013-04-04 / 20130084928 - ELECTRONIC GAME MACHINE AND ITS PROGRAM | 2 |
Junichi Kasai | JP | Kanagawa | 2014-03-20 / 20140077347 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THEREOF | 11 |
Minoru Kasai | JP | Kanagawa | 2015-05-07 / 20150126103 - SAUSAGE SUSPENSION MEMBER AND METHOD AND APPARATUS FOR SUSPENDING SAUSAGE ON SUSPENSION MEMBERS FROM STICK | 1 |
Rie Kasai | JP | Osaka-Shi | 2016-02-11 / 20160042171 - OPERATION DEVICE AND OPERATION METHOD | 3 |
Shigeru Kasai | JP | Yamanashi | 2014-11-20 / 20140339981 - ANTENNA FOR PLASMA GENERATION, PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 14 |
Kazuki Kasai | JP | Kyoto | 2014-07-17 / 20140201369 - INFORMATION MANAGEMENT DEVICE, INFORMATION MANAGEMENT PROGRAM, AND INFORMATION MANAGEMENT METHOD | 1 |
Takashi Kasai | JP | Aichi-Ken | 2012-02-09 / 20120035899 - PIGMENT ORIENTATION ESTIMATING METHOD | 1 |
Masaji Kasai | JP | Takaoka-Shi | 2014-04-10 / 20140099681 - PROCESS FOR PRODUCING OPTICALLY ACTIVE SUCCINIMIDE DERIVATIVES AND INTERMEDIATES THEREOF | 2 |
Eiji Kasai | JP | Toyota-Shi, Aichi | 2015-12-10 / 20150353134 - COLLISION AVOIDANCE ASSISTANCE DEVICE AND COLLISION AVOIDANCE ASSISTANCE METHOD | 1 |
Daisuke Kasai | JP | Kata | 2015-01-22 / 20150020924 - COMPOSITE STEEL PART AND MANUFACTURING METHOD FOR THE SAME | 2 |
Takashi Kasai | JP | Shiga | 2015-08-13 / 20150230011 - ACOUSTIC TRANSDUCER | 9 |
Toshihiro Kasai | JP | Numazu-Shi | 2015-06-11 / 20150158222 - NOZZLE TOUCH MECHANISM AND INJECTION MOLDING MACHINE | 1 |
Daisuke Kasai | JP | Tokyo | 2015-06-25 / 20150181096 - CONTROL DEVICE, CONTROL METHOD, AND CONTROL SYSTEM | 9 |
Shigetaka Kasai | JP | Urayasu-Shi | 2014-06-19 / 20140170943 - POLISHING PAD | 2 |
Kouki Kasai | JP | Matsumoto City | 2009-07-30 / 20090189516 - ORGANIC EL LIGHT EMITTING DISPLAY | 1 |
Takenori Kasai | JP | Nagano-Pref. | 2009-02-26 / 20090051460 - MODULE AND PASSIVE PART | 1 |
Masaaki Kasai | JP | Nara | 2012-01-26 / 20120020609 - OPTICAL WIRING ARRANGEMENT AND OPTICAL TRANSMISSION MODULE | 1 |
Kenta Kasai | JP | Tokyo | 2012-11-08 / 20120284582 - DECODING APPARATUS AND DECODING METHOD | 1 |
Seiya Kasai | JP | Sapporo-Shi | 2015-07-23 / 20150207557 - SIGNAL REPRODUCTION APPARATUS AND SIGNAL REPRODUCTION METHOD | 1 |
Takayuki Kasai | JP | Yamanashi | 2013-08-15 / 20130206534 - LOAD SENSITIVE MAGNETIC CLUTCH DEVICE | 3 |
Kenji Kasai | JP | Kanagawa | 2012-11-15 / 20120287458 - IMAGE FORMING SYSTEM, MANAGEMENT APPARATUS, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Ryu Kasai | JP | Fujisawa-Shi | 2013-06-27 / 20130161303 - FLUX-CORED WELDING WIRE FOR CARBON STEEL AND PROCESS FOR ARC WELDING | 2 |
Masanori Kasai | JP | Nagaokakyo-Shi | 2012-11-22 / 20120293374 - Dielectric Antenna and Material for the Same | 1 |
Haruo Kasai | JP | Kobe-Shi | 2012-11-22 / 20120295134 - PERFLUOROPOLYETHER COMPOUND, MANUFACTURING METHOD THEREFOR, LUBRICANT CONTAINING SAID COMPOUND, AND MAGNETIC DISC | 1 |
Yoshimi Kasai | JP | Nagareyama | 2015-09-24 / 20150269789 - OPERATION MANAGEMENT DEVICE OF SELF-PROPELLING MINING EQUIPMENT | 8 |
Yoshimi Kasai | JP | Kokubunji | 2014-05-08 / 20140126783 - Finger Indentification Apparatus | 5 |
Yoshinobu Kasai | JP | Osaka | 2013-12-05 / 20130320852 - PHASE DIFFERENCE DETECTOR, PHASE DIFFERENCE DETECTION PROGRAM, AND PLASMA PROCESSING SYSTEM USING THE PHASE DIFFERENCE DETECTOR | 1 |
Hitoshi Kasai | JP | Itami-Shi | 2013-09-19 / 20130244406 - FABRICATION METHOD AND FABRICATION APPARATUS OF GROUP III NITRIDE CRYSTAL SUBSTANCE | 13 |
Mika Kasai | JP | Utsunomiya-Shi | 2011-12-29 / 20110317273 - HEAD-UP DISPLAY DEVICE | 1 |
Kazunaga Kasai | JP | Utsunomiya-Shi | 2013-01-10 / 20130008766 - SWITCH UNIT | 3 |
Takashi Kasai | JP | Kustasu-Shi | 2014-07-10 / 20140191343 - SOUND TRANSDUCER AND MICROPHONE USING SAME | 3 |
Hisanori Kasai | JP | Yokohama | 2014-01-16 / 20140016113 - DISTANCE SENSOR USING STRUCTURED LIGHT | 1 |
Masakatsu Kasai | JP | Nagano-Ken | 2012-12-06 / 20120308873 - SECONDARY BATTERY APPARATUS AND METHOD OF MANUFACTURING SECONDARY BATTERY APPARATUS | 1 |
Akio Kasai | JP | Tokyo | 2011-12-22 / 20110308321 - DUAL PHYSICAL QUANTITY SENSOR | 1 |
Masayuki Kasai | JP | Tokyo | 2012-12-06 / 20120311041 - Information Processing Device, Server, and Information Processing System. | 1 |
Hirofumi Kasai | JP | Kanagawa | 2015-09-10 / 20150256846 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD AND IMAGE PROCESSING SYSTEM | 1 |
Yoshimi Kasai | JP | Nagareyama | 2015-09-24 / 20150269789 - OPERATION MANAGEMENT DEVICE OF SELF-PROPELLING MINING EQUIPMENT | 8 |
Hitoshi Kasai | JP | Kanagawa | 2015-09-24 / 20150270271 - Semiconductor Integrated Circuit Device and Method For Producing The Same | 1 |
Remi Kasai | JP | Kanagawa | 2012-07-19 / 20120183796 - PROCESS FOR FORMATION OF MULTI-LAYERED COATING FILM | 2 |
Kei Kasai | JP | Kanagawa | 2014-08-28 / 20140241017 - INPUT CIRCUIT AND POWER SUPPLY CIRCUIT | 2 |
Masanori Kasai | JP | Kyoto-Fu | 2012-12-20 / 20120321831 - HIGH-FREQUENCY DIELECTRIC ATTACHMENT | 1 |
Takamichi Kasai | JP | Yokohama-Shi | 2012-09-27 / 20120243328 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND DATA ERASE METHOD OF THE SAME | 4 |
Yuuki Kasai | CN | Beijing | 2012-01-05 / 20120004739 - DEVICE MANAGEMENT SYSTEM | 3 |
Yuuki Kasai | JP | Hirakata-Shi | 2009-04-23 / 20090104565 - METHOD FOR FORMING PHOTOELECTRIC COMPOSITE BOARD | 1 |
Nahoko Kasai | JP | Tokyo | 2011-04-28 / 20110099673 - STAGE FOR SCANNING PROBE MICROSCOPY AND SAMPLE OBSERVATION METHOD | 1 |
Masanori Kasai | JP | Tokyo | 2014-02-27 / 20140057167 - SECONDARY BATTERY AND CARBON INK FOR CONDUCTIVE AUXILIARY LAYER OF THE SAME | 7 |
Kiyoto Kasai | JP | Tokyo | 2011-04-07 / 20110082677 - STIMULATING TASK PRESENTATION DEVICE AND STIMULATING TASK PRESENTATION METHOD FOR LIVING BODY OPTICAL MEASUREMENT APPARATUS | 1 |
Shigetami Kasai | JP | Tokyo | 2014-12-11 / 20140361182 - RADIOGRAPHIC IMAGE CONVERSION PANEL | 6 |
Kazuyoshi Kasai | JP | Tokyo | 2010-03-18 / 20100066704 - POSITION INPUT DEVICE | 1 |
Yoichiro Kasai | JP | Tokyo | 2013-04-04 / 20130085336 - ENDOSCOPE APPARATUS AND BENDING CONTROL METHOD OF ENDOSCOPE APPARATUS | 3 |
Kiyoto Kasai | JP | Soraku-Gun | 2015-10-01 / 20150272461 - BRAIN ACTIVITY ANALYZING APPARATUS, BRAIN ACTIVITY ANALYZING METHOD AND BIOMARKER APPARATUS | 1 |
Yasushi Kasai | JP | Tokyo | 2013-08-01 / 20130194439 - INFORMATION RECORDING APPARATUS, AND CONTROL METHOD THEREFOR | 2 |
Yuji Kasai | JP | Tokyo | 2015-10-01 / 20150279609 - Method for Removing Foreign Substances in Charged Particle Beam Device, and Charged Particle Beam Device | 3 |
Juichi Kasai | JP | Tokyo | 2015-05-14 / 20150131927 - PLASTIC BAG BODY HAVING A PLASTIC ZIPPER WITH A SLIDER EQUIPPED THEREWITH AND ADDED THERETO A PREVENTIVE FUNCTION AGAINST UNFAIRLY UNSEALING, AND METHOD FOR MANUFACTURING THE SAME | 6 |
Tomohiko Kasai | JP | Tokyo | 2011-05-19 / 20110113802 - AIR CONDITIONER | 5 |
Hiroto Kasai | JP | Tokyo | 2011-10-20 / 20110253345 - HEAT TRANSPORTATION DEVICE PRODUCTION METHOD AND HEAT TRANSPORTATION DEVICE | 5 |
Daisuke Kasai | JP | Tokyo | 2015-06-25 / 20150181096 - CONTROL DEVICE, CONTROL METHOD, AND CONTROL SYSTEM | 9 |
Kanae Kasai | JP | Tokyo | 2010-07-08 / 20100173415 - Carrier for cell cultivation | 1 |
Naoki Kasai | JP | Tokyo | 2009-10-08 / 20090251955 - MRAM AND DATA READ/WRITE METHOD FOR MRAM | 1 |
Nobuyuki Kasai | JP | Tokyo | 2009-04-09 / 20090093076 - METHOD FOR MANUFACTURING MONOLITHIC SEMICONDUCTOR LASER | 1 |
Hiroaki Kasai | JP | Tokyo | 2016-05-12 / 20160131473 - Shape Measuring Method and Device | 4 |
Hiroyuki Kasai | JP | Tokyo | 2015-05-07 / 20150127846 - Encoding System and Encoding Method for Video Signals | 5 |
Akihiro Kasai | JP | Tokyo | 2010-09-30 / 20100249413 - STABILIZED PHARMACEUTICAL COMPOSITION | 1 |
Shinya Kasai | JP | Tokyo | 2010-09-23 / 20100240552 - METHOD FOR EVALUATION OF DRUG SENSITIVITY BY ANALYSIS OF POMC GENE | 1 |
Hideo Kasai | JP | Tokyo | 2014-07-24 / 20140203345 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE | 3 |
Junpei Kasai | JP | Tokyo | 2010-02-11 / 20100035082 - INTERNAL GEAR PUMP | 1 |
Nobutoshi Kasai | JP | Tokyo | 2009-10-15 / 20090257258 - POWER SUPPLY APPARATUS AND SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Satoshi Kasai | JP | Tokyo | 2009-04-16 / 20090097730 - ABNORMAL SHADOW CANDIDATE DISPLAY METHOD AND MEDICAL IMAGE PROCESSING SYSTEM | 1 |
Hideo Kasai | JP | Kanagawa | 2014-04-03 / 20140092688 - Non-Volatile Semiconductor Storage Device | 4 |
Masanori Kasai | JP | Kanagawa | 2014-11-20 / 20140341483 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 14 |
Keiji Kasai | JP | Sagamihara | 2011-11-17 / 20110278413 - DISPLAY PANEL DRIVING MECHANISM FOR ELECTRONIC DEVICE | 1 |
Yoshiaki Kasai | JP | Inagi | 2011-11-24 / 20110284636 - MAGNETIC CARD READER | 2 |
Tooru Kasai | JP | Tokyo | 2016-05-19 / 20160139398 - Specimen Holder, Specimen Preparation Device, and Positioning Method | 1 |
Toshiyuki Kasai | JP | Okaya-Shi | 2016-03-03 / 20160064635 - PIXEL CIRCUIT, ELECTRO-OPTICAL DEVICE, AND ELECTRONIC APPARATUS | 31 |
Akira Kasai | JP | Tokyo | 2016-05-19 / 20160140213 - SEARCH DEVICE, SEARCH METHOD, PROGRAM, AND STORAGE MEDIUM | 1 |
Shigeru Kasai | JP | Tokyo | 2016-02-11 / 20160040460 - LOCKING/UNLOCKING DETECTION DEVICE | 9 |
Takashi Kasai | JP | Kusatsu | 2015-10-15 / 20150293160 - CAPACITIVE SENSOR | 1 |
Kiyoto Kasai | JP | Kyoto | 2015-10-15 / 20150294074 - BRAIN ACTIVITY TRAINING APPARATUS AND BRAIN ACTIVITY TRAINING SYSTEM | 1 |
Yousuke Kasai | JP | Yokohama | 2010-12-16 / 20100318756 - SYSTEM AND METHOD FOR CONTROLLING TIMING OF COPY START | 1 |
Junpei Kasai | JP | Aichi-Ken | 2010-03-11 / 20100062558 - Method for producing transparent conductive layer comprising TIO2 and method for producing semiconductor light-emitting element utilizing said method for producing transparent conductive layer | 1 |
Jun Kasai | JP | Nagasaki | 2011-11-03 / 20110265696 - SLAG-MELTING BURNER APPARATUS | 1 |
Kenta Kasai | FR | Gergy-Pontoise | 2011-01-06 / 20110004811 - Encoding/decoding apparatus and method | 1 |
Kazushige Kasai | US | Minnetonka | 2015-02-26 / 20150053378 - HEAT EXCHANGER | 8 |
Mitsuhiro Kasai | JP | Tokyo | 2016-05-05 / 20160125486 - SETTLEMENT OPERATIONS SUPPORT SYSTEM AND SETTLEMENT OPERATIONS SUPPORT METHOD | 1 |
Yuji Kasai | JP | Ibaraki | 2013-04-11 / 20130089120 - SPECTRUM SPREAD COMMUNICATION SYSTEM | 2 |
Haruo Kasai | JP | Hyogo | 2011-10-20 / 20110256425 - LUBRICATING FILM, MAGNETIC DISK AND MAGNETIC HEAD | 1 |
Soko Kasai | JP | Yamaguchi | 2011-03-17 / 20110062396 - CHROMENE COMPOUND | 1 |
Nobuhiro Kasai | JP | Osaka-Shi | 2015-06-25 / 20150177446 - DISPLAY DEVICE AND TELEVISION DEVICE | 25 |
Takashi Kasai | JP | Tokyo | 2009-12-10 / 20090306592 - INFUSION PUMP | 4 |
Takashi Kasai | JP | Kyoto | 2015-08-27 / 20150245123 - CAPACITANCE TYPE SENSOR, ACOUSTIC SENSOR, AND MICROPHONE | 4 |
Takashi Kasai | JP | Shiga | 2015-08-13 / 20150230011 - ACOUSTIC TRANSDUCER | 9 |
Takashi Kasai | JP | Kusatsu-Shi | 2016-03-31 / 20160094918 - ACOUSTIC TRANSDUCER AND MICROPHONE | 10 |
Kenichi Kasai | JP | Kanagawa | 2009-05-14 / 20090124299 - MOBILE PHONE TERMINAL AND CHARGING SYSTEM | 2 |
Kenichi Kasai | JP | Tokyo | 2015-10-01 / 20150276517 - Mechanical Quantity Measuring Device | 5 |
Kenichi Kasai | JP | Nara | 2010-08-26 / 20100214560 - CARRYING DEVICE AND APPEARANCE INSPECTION DEVICE FOR TEST OBJECTS | 3 |
Toshihiro Kasai | JP | Otake-Shi | 2016-01-28 / 20160024355 - (METH)ACRYLATE POLYMER, A RESIN COMPOSITION AND A SHAPED ARTICLE | 10 |
Toshihiro Kasai | JP | Hiroshima | 2014-04-17 / 20140107295 - EPOXY RESIN COMPOSITION, CURED OBJECT AND OPTICAL SEMICONDUCTOR SEALING MATERIAL | 7 |
Toshihiro Kasai | JP | Kanagawa | 2014-08-21 / 20140233102 - Retroreflective Elements | 3 |
Shuichi Kasai | JP | Kagoshima-Shi | 2013-04-25 / 20130099226 - PHOTOELECTRIC CONVERSION DEVICE | 3 |
Toshihiro Kasai | JP | Kanagawa Pref | 2009-04-16 / 20090098997 - PRODUCTION OF A SELF-SUPPORTING GLASS FILM | 2 |
Nobutoshi Kasai | JP | Kanagawa | 2014-10-30 / 20140321174 - POWER SUPPLY DEVICE AND SEMICONDUCTOR DEVICE | 3 |
Hajime Kasai | JP | Atsugi-Shi | 2013-06-06 / 20130144492 - PARKING MODE SELECTION APPARATUS AND METHOD | 2 |
Kazuyoshi Kasai | JP | Kobe-Shi | 2014-12-04 / 20140358325 - VEHICULAR SYSTEM | 2 |
Masanori Kasai | JP | Nerima-Ku | 2013-03-07 / 20130056687 - CONDUCTIVE PASTE FOR SCREEN PRINTING | 2 |
Chizuru Kasai | JP | Ibi-Gun | 2010-09-30 / 20100247398 - HONEYCOMB STRUCTURE | 4 |
Tokuo Kasai | JP | Kyoto-Shi | 2015-02-12 / 20150044773 - ANALYSIS DEVICE, SPECIMEN SAMPLING IMPLEMENT AND ANALYSIS PROCESS | 6 |
Masaki Kasai | JP | Kyoto | 2015-10-29 / 20150311165 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 11 |
Shunji Kasai | JP | Settsu-Shi | 2015-03-26 / 20150082757 - COMPOSITION HAVING PTFE AS MAIN COMPONENT, MIXED POWDER, MATERIAL FOR MOLDING, FILTERING MEDIUM FOR FILTER, AIR FILTER UNIT, AND A METHOD FOR MANUFACTURING A POROUS MEMBRANE | 7 |
Toshihiro Kasai | JP | Toyohashi-Shi, Aichi | 2016-02-11 / 20160039963 - Impact strength modifier for chlorine-containing resin, chlorine-containing resin composition and molded body of chlorine-containing resin composition | 1 |
Tsuyoshi Kasai | JP | Tokyo | 2013-03-14 / 20130063533 - AQUEOUS INK, INK CARTRIDGE, AND INK JET RECORDING METHOD | 1 |
Takuma Kasai | JP | Kanagawa | 2016-03-17 / 20160077475 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND NON-TRANSITORY RECORDING MEDIUM | 6 |
Yoshikazu Kasai | JP | Kanagawa | 2013-10-17 / 20130270296 - LIQUID SPOUTING NOZZLE, PACKAGING BAG USING THE NOZZLE, BOX FOR PACKAGING BAG AND PACKAGING STRUCTURE | 5 |
Anzu Kasai | JP | Kanagawa | 2016-03-31 / 20160090345 - FATTY ACID METAL SALT FOR FORMING ULTRAFINE METAL PARTICLES | 4 |
Kokichi Kasai | JP | Kanagawa | 2016-03-03 / 20160059173 - GAS PURIFICATION DEVICE AND IMAGE FORMING APPARATUS | 3 |
Masahito Kasai | JP | Kanagawa | 2010-10-07 / 20100254684 - DISTRIBUTION SYSTEM OF CONTENTS | 1 |
Tadashi Kasai | JP | Kanagawa | 2016-05-19 / 20160139537 - IMAGE FORMING APPARATUS | 22 |
Gen Kasai | JP | Kanagawa | 2015-08-13 / 20150229856 - SOLID-STATE IMAGING DEVICE, IMAGING APPARATUS, ELECTRONIC APPLIANCE, AND METHOD OF DRIVING THE SOLID-STATE IMAGING DEVICE | 6 |
Michio Kasai | JP | Kanagawa | 2010-09-30 / 20100247735 - EDIBLE FAT AND OIL COMPOSITIONS | 3 |
Hiroyuki Kasai | JP | Kanagawa | 2009-12-03 / 20090299892 - TRADE SUPPORT PROCESS SYSTEM, TRADE SUPPORT PROCESS METHOD, AND RECORDING MEDIUM | 1 |
Shigeo Kasai | JP | Kanagawa | 2009-06-18 / 20090152106 - HIGH TEMPERATURE STEAM ELECTROLYZING DEVICE | 1 |
Masanori Kasai | JP | Kanagawa | 2014-11-20 / 20140341483 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 14 |
Shingo Kasai | JP | Kanagawa | 2011-01-27 / 20110020852 - METHOD OF ANALYZING BIOLOGICAL COMPONENT, BIOLOGICAL COMPONENT ANALYZER AND EXTRACTION CARTRIDGE | 1 |
Takashi Kasai | JP | Kusatsu-Shi | 2016-03-31 / 20160094918 - ACOUSTIC TRANSDUCER AND MICROPHONE | 10 |
Atsushi Kasai | JP | Kanagawa | 2010-02-04 / 20100030325 - BLOOD ANTICOAGULANT MATERIAL, COATING MATERIAL AND INDWELLING DEVICE COMPRISING THE SAME, AND TREATMENT USING BLOOD ANTICOAGULANT MATERIAL | 1 |
Osami Kasai | JP | Kanagawa | 2009-02-26 / 20090052898 - Optical transceiver with a plurality of optical subassemblies electrically connected by integrated FPC board with a substrate | 1 |
Tsuneo Kasai | JP | Azumino-Shi | 2014-10-02 / 20140292870 - METHOD FOR CALCULATING COMPENSATION VALUE | 22 |
Hiroaki Kasai | JP | Kamaishi-Shi | 2011-09-08 / 20110217768 - NOVEL LIPASE | 1 |
Shintaro Kasai | JP | Yokohama-Shi | 2011-11-03 / 20110267600 - EXAMINING APPARATUS | 3 |
Shintaro Kasai | JP | Tokyo | 2010-07-08 / 20100171835 - IMAGING METHOD AND APPARATUS | 6 |
Shintaro Kasai | JP | Kanagawa-Ken | 2009-05-28 / 20090134329 - Detection apparatus for detecting electromagnetic wave passed through object | 2 |
Toshihiro Kasai | JP | Otake-Shi | 2016-01-28 / 20160024355 - (METH)ACRYLATE POLYMER, A RESIN COMPOSITION AND A SHAPED ARTICLE | 10 |
Tetsuji Kasai | JP | Shunan-Shi | 2014-03-27 / 20140088214 - PROPYLENE-BASED COPOLYMER, PROPYLENE-BASED COPOLYMER COMPOSITION, MOLDED PRODUCT THEREOF AND FOAMED PRODUCT THEREOF, AND PRODUCTION PROCESS THEREFOR | 1 |
Tsuneharu Kasai | JP | Tokorozawa-Shi | 2014-03-27 / 20140086023 - ELECTRONIC WATCH | 1 |
Yoshishige Kasai | JP | Tokushima-Shi | 2009-09-03 / 20090220182 - Bearing apparatus for axle | 1 |
Hiroshi Kasai | JP | Oita-Shi | 2016-02-18 / 20160046466 - METHOD FOR CONTROLLING PORT LOADING AND UNLOADING APPARATUS AND PORT LOADING AND UNLOADING APPARATUS | 4 |
Shigeo Kasai | JP | Tokyo | 2016-01-07 / 20160006952 - CAMERA | 1 |
Kazushige Kasai | JP | Sakai-Shi | 2009-12-24 / 20090314022 - Refrigerant flow divider | 1 |
Nobutaka Kasai | JP | Hokkaido | 2009-10-08 / 20090252829 - BREWING VINEGAR AND IT'S MANUFACTURING METHOD | 1 |
Kokichi Kasai | JP | Ebina | 2010-06-24 / 20100158557 - COOLING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Hitoshi Kasai | JP | Sendai-Shi | 2013-04-18 / 20130096097 - PHARMACEUTICAL MULTIMERIC PARTICLES, AND MANUFACTURING METHOD FOR SAME | 1 |
Rei Kasai | US | Palo Alto | 2015-12-03 / 20150348051 - Providing Recommendations Through Predictive Analytics | 3 |
Ichiro Kasai | US | Solvang | 2010-12-30 / 20100327387 - Avalanche Photodiode | 1 |
Masahiro Kasai | CN | Shanghai | 2010-08-12 / 20100202994 - HAIR TREATMENT COMPOSITIONS | 1 |
Satoshi Kasai | US | Willowbrook | 2009-07-02 / 20090169087 - METHOD FOR DETECTION OF VERTEBRAL FRACTURES ON LATERAL CHEST RADIOGRAPHS | 1 |
Tadashi Kasai | KR | Yamato-Shi | 2009-03-19 / 20090074439 - IMAGE FORMING APPARATUS AND IMAGE DEVELOPER USED THEREIN | 1 |
Tadashi Kasai | JP | Tokyo | 2015-07-23 / 20150205237 - IMAGE FORMING APPARATUS AND METHOD CAPABLE OF IMPROVING FIXING QUALITY | 2 |
Eiji Kasai | JP | Matsumoto-Shi | 2015-11-19 / 20150334109 - Communication Device, Control Method of a Communication Device, and Printer | 3 |
Eiji Kasai | JP | Toyota-Shi | 2014-05-22 / 20140139158 - MOTOR CONTROL DEVICE AND STEERING DEVICE FOR VEHICLE | 4 |
Eiji Kasai | JP | Aichi-Ken | 2011-11-10 / 20110272205 - ELECTRIC POWER STEERING DEVICE | 5 |
Rie Kasai | JP | Osaka | 2016-03-03 / 20160065775 - INFORMATION PROCESSING APPARATUS, IMAGE FORMING SYSTEM, AND METHOD FOR CONTROLLING AN INFORMATION PROCESSING APPARATUS | 6 |
Tomohiko Kasai | US | 2014-02-06 / 20140033749 - MULTI AIR-CONDITIONING APPARATUS | 1 | |
Nahoko Kasai | JP | Atsugi-Shi | 2010-05-27 / 20100130382 - Liposome, Proteoliposome, Biochip, and Method for Producing Liposome and Proteoliposome | 2 |
Taiki Kasai | JP | Fukaya-Shi | 2014-01-16 / 20140015873 - ELECTRONIC DISPLAY DEVICE AND METHOD FOR CONTROLLING THE ELECTRONIC DISPLAY DEVICE | 1 |
Shingo Kasai | JP | Kobe-Shi | 2015-01-22 / 20150022049 - ROTOR FOR INDUCTION TORQUE MOTOR AND INDUCTION TORQUE MOTOR | 2 |
Maxim Kasai | DE | Berlin | 2013-05-23 / 20130130092 - SEPARATOR WITH INCREASED PUNCTURE RESISTANCE | 1 |
Naruhiko Kasai | JP | Yokohama-Shi | 2010-12-23 / 20100321423 - LIQUID CRYSTAL DISPLAY CONTROL DEVICE | 1 |
Kiyoshi Kasai | JP | Kawasaki-Shi | 2013-06-27 / 20130164761 - CARRIER POLYMER PARTICLE, PROCESS FOR PRODUCING THE SAME, MAGNETIC PARTICLE FOR SPECIFIC TRAPPING, AND PROCESS FOR PRODUCING THE SAME | 2 |
Takehiko Kasai | JP | Kawasaki-Shi | 2014-02-20 / 20140050770 - POWDERY COSMETIC COMPOSITION | 3 |
Shizuo Kasai | JP | Osaka | 2014-03-06 / 20140066420 - HETEROCYCLIC COMPOUND | 4 |
Hideaki Kasai | JP | Osaka | 2010-09-30 / 20100248086 - Method of Evaluating the Performance of Fuel Cell Cathode Catalysts, Corresponding Cathode Catalysts and Fuel Cell | 2 |
Yoko Kasai | JP | Osaka | 2010-09-16 / 20100230147 - LAYERED ELECTRONIC CIRCUIT DEVICE | 1 |
Kazushige Kasai | JP | Osaka | 2010-07-15 / 20100175864 - FIN TUBE HEAT EXCHANGER | 5 |
Shunji Kasai | JP | Osaka | 2014-11-13 / 20140336315 - DRIPPING INHIBITOR AND RESIN COMPOUND | 3 |
Toshio Kasai | JP | Osaka | 2010-06-03 / 20100136705 - METHOD FOR MEASURING CONCENTRATION OF PEROXYCARBOXYLIC ACID AND APPARATUS THEREFOR | 1 |
Syozo Kasai | JP | Ibaraki | 2008-12-11 / 20080302665 - CAPILLARY ARRAY APPARATUS, METHOD OF MANUFACTURING THE SAME, AND ELECTROPHORESIS ANALYSIS METHOD | 1 |
Atsushi Kasai | JP | Yokkaichi-Shi | 2009-08-27 / 20090215933 - Alicyclic Polyester and Process for Producing the Same, and Resin Composition Using the Same | 1 |
Hideo Kasai | JP | Kawasaki | 2012-03-22 / 20120072434 - INFORMATION RETRIEVAL METHOD, INFORMATION RETRIEVAL APPARATUS, AND COMPUTER PRODUCT | 3 |
Hideo Kasai | JP | Kokubunji | 2009-11-05 / 20090273014 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 2 |
Hiroaki Kasai | JP | Iwate | 2010-03-25 / 20100075380 - Novel Lipase | 1 |
Hirokazu Kasai | JP | Kyoto-Shi | 2013-01-24 / 20130021142 - RFID TAG AND RFID SYSTEM | 6 |
Hiroki Kasai | JP | Miyagi | 2015-05-07 / 20150126002 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 4 |
Hiroto Kasai | JP | Higashimatsuyama | 2014-11-13 / 20140332684 - Electron Beam Interference Device and Electron Beam Interferometry | 3 |
Hiroyuki Kasai | JP | Chiyoda-Ku | 2008-11-20 / 20080288606 - Information Notification System and Information Notification Method | 1 |
Hisashi Kasai | JP | Yokohama-Shi | 2010-04-22 / 20100095708 - EQUIPMENT AND METHOD FOR MANUFACTURING AN OPTICAL FIBER | 1 |
Hitoshi Kasai | JP | Sendai-Si | 2009-03-05 / 20090061228 - METHOD OF PREPARING SOLID PARTICULATES AND SOLID PARTICULATES PREPARED USING SAME | 1 |
Hitoshi Kasai | JP | Miyagi | 2009-05-21 / 20090130329 - METHOD FOR FABRICATING METAL-COATED ORGANIC CRYSTAL | 2 |
Junichi Kasai | JP | Kawasaki | 2008-11-06 / 20080274591 - CARRIER FOR STACKED TYPE SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING STACKED TYPE SEMICONDUCTOR DEVICES | 1 |
Junichi Kasai | JP | Miyamae-Ku | 2009-04-09 / 20090093085 - Carrier Structure for stacked-type semiconductor device, method of producing the same, and method of fabricating stacked-type semiconductor device | 1 |
Katsumi Kasai | JP | Kobe-Shi | 2011-06-09 / 20110132509 - MOTORCYCLE TIRE | 2 |
Ken Kasai | JP | Shibuya-Ku | 2010-01-21 / 20100014161 - Rigid endoscope | 1 |
Kentaro Kasai | JP | Kagoshima | 2012-03-08 / 20120056273 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Kiyoshi Kasai | JP | Kanagawa-Ken | 2009-01-15 / 20090014682 - Carrier Polymer Particle, Process for Producing the Same, Magnetic Particle for Specific Trapping, and Process for Producing the Same | 1 |
Kiyoshi Kasai | JP | Niigata | 2009-03-05 / 20090062518 - PROCESS FOR PRODUCTION OF GLUCOPYRANOSYLOXYPYRAZOLE DERIVATIVE | 2 |
Kiyoshi Kasai | JP | Joetsu-Shi | 2011-08-18 / 20110201793 - PROCESS FOR PRODUCTION OF GLUCOPYRANOSYLOXYPYRAZOLE DERIVATIVE | 2 |
Kohei Kasai | JP | Kawasaki-Shi | 2010-07-22 / 20100183974 - COMPOUND, METHOD FOR PRODUCING SAME, POSITIVE RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN | 1 |
Koichi Kasai | JP | Saitama | 2008-10-09 / 20080250100 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND COMPUTER PROGRAM | 1 |
Koichi Kasai | JP | Kawasaki | 2014-12-18 / 20140368389 - ANTENNA MODULE AND TERMINAL APPARATUS | 3 |
Kouichi Kasai | JP | Saitama | 2010-03-04 / 20100057731 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING PROGRAM, REPRODUCTION DEVICE, AND INFORMATION PROCESSING SYSTEM | 1 |
Makoto Kasai | JP | Chuo-Ku | 2010-04-15 / 20100094025 - METHOD FOR PRODUCING C-GLYCOSIDE DERIVATIVE AND INTERMEDIATE FOR SYNTHESIS THEREOF | 1 |
Masaaki Kasai | JP | Nakakoma-Gun | 2014-08-21 / 20140236102 - METHOD FOR MANUFACTURING NEEDLE-EQUIPPED OUTER TUBE, AND NEEDLE-EQUIPPED OUTER TUBE | 3 |
Masahiro Kasai | JP | Toyota-Shi | 2009-06-18 / 20090153235 - LOAD CONTROLLER | 2 |
Masahiro Kasai | JP | Kawasaki | 2011-05-26 / 20110124293 - STANDING WAVE RATIO MEASURING CIRCUIT AND COMMUNICATION APPARATUS | 2 |
Masanori Kasai | JP | Omihachiman-Shi | 2009-07-30 / 20090189729 - ANTENNA COIL AND ANTENNA DEVICE | 1 |
Mikio Kasai | JP | Chiba | 2009-01-29 / 20090030176 - Aminoquinoxaline Compound, Polyaminoquinoxaline Compound, and Use Thereof | 1 |
Minoru Kasai | JP | Ebina-Shi | 2010-09-30 / 20100243409 - SUSPENSION DEVICE FOR LINKED PRODUCTS | 2 |
Mitsuru Kasai | JP | Suwa | 2009-05-28 / 20090133714 - METHOD FOR SURFACE TREATING SUBSTRATE AND PLASMA TREATMENT APPARATUS | 1 |
Moritsugu Kasai | JP | Chiba | 2012-07-12 / 20120177915 - LUBRICANT COMPOSITION AND SLIDING MECHANISM USING THE LUBRICANT COMPOSITION | 4 |
Naoya Kasai | JP | Sennan-Gun | 2010-09-09 / 20100227366 - METHOD OF PRODUCING POLYSACCHARIDES FROM COFFEE BEANS OR/AND COFFEE EXTRACTION RESIDUE | 1 |
Nobuhiro Kasai | JP | Osaka-Shi | 2015-06-25 / 20150177446 - DISPLAY DEVICE AND TELEVISION DEVICE | 25 |
Norio Kasai | JP | Wako-Shi | 2011-02-24 / 20110041510 - FUEL CONTROL APPARATUS FOR GAS TURBINE ENGINE | 1 |
Ryo Kasai | JP | Utsunomiya-Shi | 2015-06-11 / 20150160570 - LITHOGRAPHY APPARATUS AND ARTICLE MANUFACTURING METHOD | 2 |
Ryo Kasai | JP | Setagaya-Ku | 2009-10-22 / 20090262167 - INKJET RECORDING HEAD SUBSTRATE AND DRIVE CONTROL METHOD, INKJET RECORDING HEAD, INKJET RECORDING HEAD CARTRIDGE AND INKJET RECORDING APPARATUS | 1 |
Masakatsu Kasai | JP | Kanagawa | 2011-05-19 / 20110115437 - BATTERY PACK | 1 |
Satoshi Kasai | JP | Kawasaki | 2008-10-02 / 20080239362 - COMPUTER-READABLE RECORDING MEDIUM STORING PRINT IMAGE GENERATING PROGRAM AND PRINT IMAGE GENERATING METHOD | 1 |
Satoshi Kasai | JP | Aomori | 2009-01-15 / 20090019563 - NON-BROWNING APPLE, METHOD FOR PRODUCING THE SAME, AND DRINK AND FOOD USING THE SAME | 1 |
Shigeo Kasai | JP | Kamakura-Shi | 2013-12-26 / 20130344411 - ELECTRICAL POWER STORAGE SYSTEM USING HYDROGEN AND METHOD FOR STORING ELECTRICAL POWER USING HYDROGEN | 3 |
Shigeo Kasai | JP | Nagoya-Shi | 2009-11-26 / 20090291002 - INTEGRATED ELECTRIC COMPRESSOR | 1 |
Shigeru Kasai | JP | Minato-Ku | 2008-09-18 / 20080226272 - HEATING APPARATUS, HEAT TREATMENT APPARATUS, COMPUTER PROGRAM AND STORAGE MEDIUM | 1 |
Shigeru Kasai | JP | Nagano | 2016-05-12 / 20160134166 - MOTOR | 4 |
Shigeru Kasai | JP | Yokohama-Shi | 2009-02-05 / 20090032650 - DEVICE AND METHOD FOR FIXING A REACTOR METERING PIPE | 1 |
Shigeru Kasai | JP | Yamanashi-Ken | 2011-07-21 / 20110174790 - ANNEALING APPARATUS | 3 |
Shingo Kasai | JP | Yokohama-Shi | 2014-04-17 / 20140105789 - OPTICAL-WAVEGUIDE SENSOR CHIP, METHOD OF MANUFACTURING THE SAME, METHOD OF MEASURING SUBSTANCE, SUBSTANCE-MEASURING KIT AND OPTICAL-WAVEGUIDE SENSOR | 7 |
Shingo Kasai | JP | Yokahama-Shi | 2009-05-14 / 20090124024 - OPTICAL-WAVEGUIDE SENSOR CHIP, METHOD OF MANUFACTURING THE SAME, METHOD OF MEASURING SUBSTANCE, SUBSTANCE-MEASURING KIT AND OPTICAL-WAVEGUIDE SENSOR | 1 |
Shinya Kasai | JP | Uji-Shi | / - | 1 |
Shuichi Kasai | JP | Kagoshima | 2010-02-11 / 20100033074 - PHOSPHOR, METHOD FOR PRODUCTION THEREOF, WAVELENGTH CONVERTER, LIGHT EMITTING DEVICE AND LUMINAIRE | 1 |
Tadashi Kasai | JP | Yamato City | 2010-02-04 / 20100028053 - DEVELOPMENT DEVICE AND IMAGE FORMING APPARATUS CAPABLE OF REDUCING STRESS APPLIED TO DEVELOPER | 1 |
Takao Kasai | JP | Nagano | 2009-05-14 / 20090120684 - Sealing Board and Method for Producing the Same | 1 |
Takao Kasai | JP | Tochigi | 2010-01-28 / 20100022978 - Absorbent Member and Method of Producing the Same | 2 |
Toshiharu Kasai | JP | Sendai | 2010-01-21 / 20100017709 - List display method and list display apparatus | 1 |
Toshihiko Kasai | JP | Kasugai | 2010-11-18 / 20100289471 - POWER CONTROL DEVICE, POWER SUPPLY DEVICE, AND POWER CONTROL METHOD | 3 |
Tsuneharu Kasai | JP | Saitama | 2011-05-19 / 20110118870 - ROBOT CONTROL SYSTEM, ROBOT, PROGRAM, AND INFORMATION STORAGE MEDIUM | 4 |
Tsutomu Kasai | JP | Suwa-Shi | 2010-10-07 / 20100253976 - IMAGE PROCESSING APPARATUS AND METHOD OF SETTING IMAGE PROCESSING APPARATUS | 1 |
Yasuaki Kasai | JP | Saitama-Shi | 2016-01-07 / 20160004072 - FIBER-OPTIC SCANNER, ILLUMINATING DEVICE, AND OBSERVATION INSTRUMENT | 7 |
Yoshio Kasai | JP | Oita-Shi | 2008-11-06 / 20080271989 - APPARATUS FOR PLATING AND METHOD FOR CONTROLLING PLATING | 1 |
Yoshio Kasai | JP | Oita | 2015-09-10 / 20150255665 - LASER HEATING TREATMENT METHOD AND METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE | 2 |
Yoshiyuki Kasai | JP | Kasugai-City | 2008-12-18 / 20080311340 - HONEYCOMB STRUCTURE AND PRODUCTION METHOD THEREOF | 1 |
Yoshiyuki Kasai | JP | Kasugai-Shi | 2014-01-02 / 20140004014 - STRUCTURAL BODY FOR SUPPORTING A CATALYST, AND CATALYTIC CONVERTER | 3 |
Masumi Kasai | JP | Kyoto | 2014-10-30 / 20140322624 - FUEL CELL SYSTEM AND METHOD FOR OPERATING THE SAME | 2 |
Yosuke Kasai | JP | Yokohama | / - | 1 |
Yuichiro Kasai | JP | Suwa-Shi | 2011-05-12 / 20110109941 - RECORDING CONTROL DEVICE, RECORDING APPARATUS, METHOD OF CONTROLLING RECORDING APPARATUS, AND RECORDING MEDIUM | 1 |
Yuji Kasai | JP | Hitachinaka | 2009-12-03 / 20090294697 - Charged particle beam apparatus | 1 |
Nobuhiro Kasai | JP | Osaka | 2012-04-26 / 20120099050 - ILLUMINATION DEVICE, DISPLAY DEVICE, AND TELEVISION RECEIVER | 2 |
Tsubasa Kasai | JP | Tokyo | 2014-12-18 / 20140368616 - IMAGE PICKUP APPARATUS | 3 |
Mikio Kasai | JP | Chiyoda-Ku | 2013-07-04 / 20130172522 - EPOXY COMPOUND WITH NITROGEN-CONTAINING RING | 1 |
Rei Kasai | US | San Mateo | 2013-10-03 / 20130262598 - SYSTEMS AND METHODS FOR CUSTOMER RELATIONSHIP MANAGEMENT | 3 |
Junko Kasai | JP | Kyoto | 2011-06-30 / 20110159781 - CURVED TAPE AND WOMEN'S CLOTHING WITH CUP EMPLOYING CURVED TAPE | 1 |
Masahiro Kasai | JP | Mito | 2011-06-02 / 20110127463 - POSITIVE ELECTRODE MATERIAL, ITS MANUFACTURING METHOD AND LITHIUM SECONDARY BATTERY | 1 |
Atsushi Kasai | JP | Hirosaki-Shi | 2013-09-26 / 20130254939 - PLANT TRANSFORMATION METHOD PERFORMED VIA GRAFTING OF ROOTSTOCK AND SCION | 1 |
Mai Kasai | JP | Osaka-Shi | 2011-06-09 / 20110136801 - Novel Compounds | 1 |
Jun Kasai | JP | Minato-Ku | 2013-09-12 / 20130233212 - BURNER, REACTION FURNACE SUCH AS GASIFICATION FURNACE INCLUDING THE BURNER, AND POWER PLANT INCLUDING THE REACTION FURNACE | 1 |
Tomohiko Kasai | US | Cypress | 2014-08-28 / 20140238060 - AIR CONDITIONING APPARATUS | 4 |
Marion T. Kasaian | US | Cambridge | 2011-10-27 / 20110262435 - IL-13 BINDING AGENTS | 4 |
Marion Kasaian | US | Charlestown | 2010-09-02 / 20100223685 - IL-21 RECEPTOR KNOCKOUT ANIMAL AND METHODS OF USE THEREOF | 1 |
Marion T. Kasaian | US | Charlestown | 2008-10-02 / 20080241098 - ANTAGONIZING INTERLEUKIN-21 RECEPTOR ACTIVITY | 1 |
Takugo Kasaishi | JP | Kawasaki-Shi | 2015-11-19 / 20150329292 - BELT TRANSPORTING DEVICE AND IMAGE FORMING APPARATUS | 2 |
Takugo Kasaishi | JP | Tokyo | 2016-05-19 / 20160137444 - SHEET CONVEYANCE APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Shuki Kasaishi | JP | Himeji-Shi | 2010-08-12 / 20100201266 - HIGH PRESSURE DISCHARGE LAMP | 1 |
Larisa Kasaitskaya | CA | Toronto | 2013-05-30 / 20130136855 - ASPHALT COMPOSITIONS HAVING IMPROVED PROPERTIES AND RELATED COATINGS AND METHODS | 1 |
Yasushi Kasajima | JP | Kyoto-Shi | 2011-11-10 / 20110276291 - ELECTROSTATIC CAPACITANCE TYPE INPUT DEVICE AND CALCULATION METHOD FOR CALCULATING APPROACH POSITION OF CONDUCTOR | 3 |
Tomoyuki Kasajima | JP | Tokyo | 2015-03-12 / 20150068785 - ELECTRICAL WIRE PROTECTIVE COVER | 1 |
Naoki Kasajima | JP | Mishima-Gun | 2012-08-23 / 20120214244 - METHOD FOR QUANTIFICATION OF CAROTENOID | 1 |
Yasushi Kasajima | JP | Kyoto | 2009-10-15 / 20090257015 - IMAGE DISPLAY | 1 |
Takeo Kasajima | JP | Machida | 2016-03-03 / 20160061668 - TEMPERATURE DISTRIBUTION PREDICTION METHOD AND AIR CONDITIONING MANAGEMENT SYSTEM | 9 |
Tomoyuki Kasajima | JP | Ohta-Ku | 2014-10-23 / 20140315417 - TERMINAL STRUCTURE | 1 |
Tamon Kasajima | JP | Tokyo | 2015-11-19 / 20150331072 - MAGNETIC FIELD DETECTING SENSOR AND MAGNETIC FIELD DETECTING APPARATUS USING THE SAME | 2 |
Takeo Kasajima | JP | Machida | 2016-03-03 / 20160061668 - TEMPERATURE DISTRIBUTION PREDICTION METHOD AND AIR CONDITIONING MANAGEMENT SYSTEM | 9 |
Takeo Kasajima | JP | Kawasaki | 2012-12-06 / 20120310535 - ENVIRONMENTAL MEASUREMENT SYSTEM AND ENVIRONMENTAL MEASUREMENT METHOD | 4 |
Masashi Kasajima | JP | Tokyo | 2015-07-23 / 20150202854 - LAMINATED GLASS PRODUCTION METHOD | 1 |
Tamon Kasajima | CN | Hong Kong | 2013-03-28 / 20130075143 - ELECTRONIC COMPONENT MODULE AND ITS MANUFACTURING METHOD | 5 |
Tatsuya Kasajima | JP | Kyoto | 2011-03-31 / 20110073755 - Selective molecular excitation method and isotope separation method using the same, isotope analysis method, selective molecular excitation apparatus and isotope separation apparatus | 1 |
Masashi Kasajima | JP | Chiyoda-Ku | 2014-03-06 / 20140065374 - LAMINATED GLASS AND ITS PRODUCTION PROCESS | 1 |
Yuya Kasajima | JP | Utsunomiya-Shi | 2013-05-30 / 20130133598 - AUXILIARY DEVICE MOUNTING STRUCTURE FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Naohisa Kasako | JP | Odawara | 2011-10-27 / 20110264855 - STORAGE APPARATUS AND METHOD FOR CONTROLLING STORAGE APPARATUS | 7 |
Andrew K. Kasako | US | Springdale | 2011-06-09 / 20110137161 - Power Injector with Keep Vein Open Functionality | 1 |
Yayoi Kasakura | JP | Tokyo | 2014-08-07 / 20140216486 - CIGARETTE MAINSTREAM SMOKE COMPONENT ADSORBENT AND CIGARETTE FILTER | 2 |
Akeo Kasakura | JP | Chiyoda-Ku | 2014-07-10 / 20140191655 - CIRCUIT BOARD FOR SUPPORTING SEMICONDUCTOR LIGHT-EMITTING DEVICE MOUNTED THEREON, LIGHT-EMITTING MODULE, LIGHTING APPARATUS, AND LIGHTING SYSTEM | 2 |
Akeo Kasakura | JP | Tokyo | 2015-05-21 / 20150138780 - ILLUMINATION DEVICE | 7 |
Akeo Kasakura | JP | Minato-Ku | 2012-11-01 / 20120275135 - ILLUMINATING DEVICE | 2 |
Kiyoshi Kasal | JP | Kawasaki-Shi | 2013-06-13 / 20130149538 - CARRIER POLYMER PARTICLE, PROCESS FOR PRODUCING THE SAME, MAGNETIC PARTICLE FOR SPECIFIC TRAPPING, AND PROCESS FOR PRODUCING THE SAME | 1 |
Yathiraj Kasal | IN | Bangalore | 2014-08-07 / 20140215998 - GAS TURBINE ENGINES WITH IMPROVED COMPRESSOR BLADES | 1 |
Kenichi Kasal | JP | Tokyo | 2014-07-03 / 20140184235 - CIRCUIT AND METHOD FOR DETERMINING INTERNAL SHORT-CIRCUIT, BATTERY PACK, AND PORTABLE DEVICE | 1 |
Darshan N. Kasal | US | Fort Worth | 2016-02-25 / 20160054230 - ENZYMATIC METHOD FOR DETECTING POLYAROMATIC HYDROCARBONS | 1 |
Richard H. Kasal | US | Manteno | 2014-08-28 / 20140239131 - Ladder Rung Bracket Assembly | 1 |
Andrew J. Kasal | US | Westfield | 2011-01-06 / 20110000756 - BI-DIRECTIONAL IMPACT ABSORPTION DEVICE FOR A CLUTCH REACTION PLATE | 1 |
Bohumil Kasal | DE | Vordorf | 2014-04-24 / 20140112707 - System for connecting a first component and a second component to form a flexurally rigid frame corner | 1 |
Radek Kasal | CZ | Dobrichovice | 2013-12-12 / 20130332996 - SYSTEM AND PROCESS FOR MANAGING NETWORK COMMUNICATIONS | 1 |
Hisashi Kasal | JP | Tokushima-Shi | 2014-10-30 / 20140319567 - LIGHT EMITTING DEVICE | 1 |
Noritoshi Kasama | JP | Kanagawa-Ken | 2012-01-26 / 20120021289 - LITHIUM SECONDARY BATTERY | 1 |
Kouichirou Kasama | JP | Kawasaki-Shi | 2012-11-15 / 20120289867 - STATE DETERMINING DEVICE AND STATE DETERMINATION METHOD | 1 |
Nobuyuki Kasama | JP | Chiba | 2013-05-09 / 20130114389 - NEAR-FIELD OPTICAL HEAD AND METHOD FOR MANUFACTURING SAME | 2 |
Koichiro Kasama | JP | Kawasaki-Shi | 2013-04-25 / 20130102911 - ELECTRONIC DEVICE AND PULSE RATE CALCULATING METHOD | 1 |
Nobuyuki Kasama | JP | Chiba-Shi | 2010-05-27 / 20100128578 - Near-field optical head having tapered hole for guiding light beam | 2 |
Nobuyuki Kasama | JP | Tokyo | 2011-02-24 / 20110042266 - WAFER CONTAINER WITH CUSHION SHEETS | 3 |
Kenji Kasama | JP | Ishikawa-Gun | 2011-05-19 / 20110114552 - Solid-liquid separator using roller system | 2 |
Yasuhiko Kasama | JP | Miyagi | 2015-11-26 / 20150340168 - ELECTROLYTIC SOLUTION FOR ELECTRIC DOUBLE-LAYER CAPACITOR, AND ELECTRIC DOUBLE-LAYER CAPACITOR | 6 |
Shuji Kasama | JP | Fuchu-Cho | 2012-11-15 / 20120286555 - VEHICLE SEAT | 1 |
Ichiro Kasama | JP | Yokohama | 2016-03-31 / 20160092213 - COMPUTER SYSTEM INCLUDING RECONFIGURABLE ARITHMETIC DEVICE WITH NETWORK OF PROCESSOR ELEMENTS | 4 |
Yasuhiro Kasama | JP | Tokyo | 2008-08-28 / 20080205111 - Semiconductor memory device and defect remedying method thereof | 1 |
Kenichi Kasama | JP | Osaka | 2015-06-25 / 20150177658 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Ichiro Kasama | JP | Kawasaki | 2009-12-31 / 20090327461 - COMPUTING APPARATUS | 1 |
Kohichi Kasama | JP | Shizuoka | 2015-08-13 / 20150227605 - INFORMATION PROCESSING TERMINAL, SYNCHRONIZATION CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 2 |
Yasuji Kasama | JP | Cyosei-Gun | 2009-12-31 / 20090325185 - Method of analyzing expression of gene | 1 |
Kouichirou Kasama | JP | Kawasaki | 2014-11-27 / 20140350428 - FLUCTUATION DETECTION DEVICE AND FLUCTUATION DETECTION METHOD | 20 |
Kenichi Kasama | JP | Osaka-Shi | 2016-05-12 / 20160132003 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 7 |
Shuji Kasama | JP | Hiroshima-Shi | 2015-07-23 / 20150203011 - VEHICLE SEAT | 1 |
Kouichirou Kasama | JP | Kawasaki | 2014-11-27 / 20140350428 - FLUCTUATION DETECTION DEVICE AND FLUCTUATION DETECTION METHOD | 20 |
Takehiro Kasama | JP | Oshu-Shi | 2015-11-19 / 20150329964 - Film Forming Apparatus | 1 |
Yasuhiko Kasama | JP | Sendai-Shi | 2013-03-14 / 20130061660 - Gas Sensor, Gas Measuring System Using the Gas Sensor, and Gas Detection Module for the Gas Sensor | 7 |
Koichiro Kasama | JP | Kawasaki | 2011-12-08 / 20110300835 - Mobile terminal and step length-calculating method | 12 |
Yoshiko Kasama | JP | Kanagawa | 2010-12-30 / 20100330799 - SEMICONDUCTOR DEVICE AND PROCESS FOR PRODUCING THE SAME | 2 |
Keiko Kasamatsu | JP | Tokyo | 2013-04-18 / 20130096397 - SENSITIVITY EVALUATION SYSTEM, SENSITIVITY EVALUATION METHOD, AND PROGRAM | 1 |
Eiji Kasamatsu | JP | Sakai-Shi | 2010-12-30 / 20100331414 - CRYSTAL COMPRISING (2R)-2-PROPYLOCTANOIC ACID AND AMINE | 1 |
Shinji Kasamatsu | JP | Osaka | 2012-03-15 / 20120064415 - NEGATIVE ELECTRODE FOR LITHIUM ION SECONDARY BATTERY AND LITHIUM ION SECONDARY BATTERY INCLUDING THE SAME | 20 |
Toshiyuki Kasamatsu | JP | Tokyo | 2016-05-05 / 20160121282 - MEANS AND METHOD FOR STIRRING LIQUIDS IN LONG THIN CONTAINERS | 3 |
Takeshi Kasamatsu | JP | Osaka | 2010-11-11 / 20100285353 - ELECTRODE STRUCTURE FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY, METHOD FOR PRODUCING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 3 |
Daisuke Kasamatsu | JP | Aichi-Ken | 2014-04-03 / 20140092433 - IMAGE READING APPARATUS AND IMAGE DATA TRANSMISSION METHOD | 4 |
Shinya Kasamatsu | JP | Kanagawa-Ken | 2010-08-12 / 20100204041 - VISIBLE-LIGHT-RESPONSIVE PHOTOCATALYST POWDER, AND VISIBLE-LIGHT-RESPONSIVE PHOTOCATALYTIC MATERIAL, PHOTOCATALYTIC COATING MATERIAL AND PHOTOCATALYTIC PRODUCT EACH USING THE SAME | 1 |
Ryusuke Kasamatsu | JP | Saga | 2010-04-08 / 20100084743 - METHOD FOR REDUCING CRYSTAL DEFECT OF SIMOX WAFER AND SIMOX WAFER | 2 |
Tetsuya Kasamatsu | JP | Tokyo | 2009-04-30 / 20090107445 - Recoil Starter | 1 |
Yuji Kasamatsu | JP | Hamamatsu-Shi | 2014-02-20 / 20140048721 - ULTRAVIOLET LIGHT GENERATING TARGET, ELECTRON-BEAM-EXCITED ULTRAVIOLET LIGHT SOURCE, AND METHOD FOR PRODUCING ULTRAVIOLET LIGHT GENERATING TARGET | 1 |
Shigehiro Kasamatsu | JP | Kyoto | 2009-04-09 / 20090094442 - Storage medium storing load detecting program and load detecting apparatus | 2 |
Shinya Kasamatsu | JP | Tochigi | 2008-12-11 / 20080306409 - Animal model for hyperpigmentation | 1 |
Yoshiharu Kasamatsu | JP | Kawasaki | 2008-10-23 / 20080259497 - Magnetic recording medium, head slider and manufacturing methods therefore | 1 |
Katsunori Kasamatsu | JP | Hyogo | 2008-09-04 / 20080211654 - Image display control apparatus | 1 |
Atsushi Kasamatsu | JP | Yokkaichi-City | 2013-01-10 / 20130008713 - CONNECTOR SUPPORTING TOOL, WIRING TOOL AND WIRING HARNESS | 2 |
Toru Kasamatsu | JP | Toyokawa-Shi | 2016-03-24 / 20160085199 - ELECTRIC POWER SUPPLY CONTROL DEVICE WHICH CAN INCREASE POWER CONVERTING EFFICIENCY | 4 |
Shinya Kasamatsu | JP | Haga-Gun | 2012-04-26 / 20120100088 - APIGENIN-CONTAINING COMPOSITION | 1 |
Tadashi Kasamatsu | JP | Ashigarakami-Gun | 2015-11-12 / 20150325971 - PHOTOACOUSTIC MEASUREMENT DEVICE AND LASER LIGHT SOURCE | 12 |
Morio Kasamatsu | JP | Sapporo | 2015-07-02 / 20150182880 - BUBBLE EXTINCTION MACHINE | 1 |
Toru Kasamatsu | JP | Aichi | 2013-11-14 / 20130302058 - Image Forming Apparatus Provided With Fixing Unit for Fixing Images Formed on Paper and Method of Controlling Heaters of the Apparatus | 3 |
Toshiyuki Kasamatsu | JP | Higashimurayama-Shi | 2016-02-11 / 20160038943 - RECEPTACLE CONTAINING TEST REAGENT | 3 |
Shinya Kasamatsu | JP | Yokohama-Shi | 2014-11-06 / 20140329029 - HYDROPHILIC MEMBER AND HYDROPHILIC PRODUCT USING THE SAME | 9 |
Shinji Kasamatsu | JP | Hyogo | 2014-10-16 / 20140308582 - NEGATIVE ELECTRODE FOR LITHIUM ION SECONDARY BATTERIES AND METHOD FOR PRODUCING THE NEGATIVE ELECTRODE, AND LITHIUM ION SECONDARY BATTERY | 2 |
Daisuke Kasamatsu | JP | Chita-Gun | 2015-12-03 / 20150348499 - FUNCTION EXECUTION APPARATUS, AND METHOD AND COMPUTER-READABLE MEDIUM FOR THE SAME | 3 |
Tadashi Kasamatsu | JP | Kanagawa-Ken | 2012-12-06 / 20120310047 - LIGHT SOURCE APPARATUS | 3 |
Yoshiharu Kasamatsu | JP | Ome-Shi | 2010-09-30 / 20100246066 - HEAD SLIDER, STORAGE DEVICE, AND METHOD OF MANUFACTURING HEAD SLIDER | 1 |
Tadashi Kasamatsu | JP | Ashigarakami-Gun | 2015-11-12 / 20150325971 - PHOTOACOUSTIC MEASUREMENT DEVICE AND LASER LIGHT SOURCE | 12 |
Tadashi Kasamatsu | JP | Tokyo | 2008-10-16 / 20080251211 - Apparatus for producing corrugated cardboard | 1 |
Tadashi Kasamatsu | JP | Kanagawa | 2014-05-29 / 20140148682 - Photoacoustic image generation apparatus and acoustic wave unit | 6 |
Atsushi Kasamatsu | JP | Mie | 2013-07-18 / 20130180777 - WIRE HARNESS AND WIRE FITTING | 1 |
Atsushi Kasamatsu | JP | Chiba | 2013-10-31 / 20130288914 - Method Of Determining Administration Effect In Cancer Chemotherapy With S-1 | 1 |
Eitaroh Kasamatsu | JP | Kanagawa-Ken | 2013-07-18 / 20130185789 - METHOD AND APPARATUS FOR PROTECTING A PASSWORD OF A COMPUTER HAVING A NON-VOLATILE MEMORY | 1 |
Takehiko Kasamatsu | JP | Fujisawa-Shi | 2014-03-13 / 20140071085 - ELECTRONIC DEVICE INCLUDING TOUCH PANEL AND CONTROL METHOD OF ELECTRONIC DEVICE INCLUDING TOUCH PANEL | 1 |
Arata Kasamatsu | JP | Tokyo | 2015-08-13 / 20150229842 - POSITION DETECTION APPARATUS | 2 |
Eitaroh Kasamatsu | JP | Kawasaki-Shi | 2016-01-28 / 20160026810 - METHOD FOR PROTECTING DATA STORED WITHIN A DISK DRIVE OF A PORTABLE COMPUTER | 4 |
Toru Kasamatsu | JP | Tokyo | 2012-08-02 / 20120195606 - IMAGE FORMING APPARATUS | 3 |
Shinya Kasamatsu | JP | Yokohama-Shi | 2014-11-06 / 20140329029 - HYDROPHILIC MEMBER AND HYDROPHILIC PRODUCT USING THE SAME | 9 |
Chinatsu Kasamatsu | JP | Kawasaki-Shi | 2014-10-02 / 20140295037 - METHOD, PROGRAM AND DEVICE FOR EVALUATING FOOD PREFERENCE OF PETS | 1 |
Masashi Kasami | JP | Chiba | 2014-06-19 / 20140167033 - OXIDE SINTERED BODY AND SPUTTERING TARGET | 13 |
Hideo Kasami | JP | Yokohama-Shi | 2016-05-05 / 20160128002 - WIRELESS COMMUNICATION APPARATUS | 9 |
Masashi Kasami | JP | Sodegaura-Shi | 2014-05-29 / 20140145124 - IN-GA-ZN OXIDE SPUTTERING TARGET AND METHOD FOR PRODUCING SAME | 8 |
Hideo Kasami | JP | Yokohama-Shi | 2016-05-05 / 20160128002 - WIRELESS COMMUNICATION APPARATUS | 9 |
Hideo Kasami | JP | Kanagawa | 2015-12-03 / 20150350909 - CARD DEVICE | 6 |
Masashi Kasami | JP | Sodegaura-Shi | 2014-05-29 / 20140145124 - IN-GA-ZN OXIDE SPUTTERING TARGET AND METHOD FOR PRODUCING SAME | 8 |
Masashi Kasami | JP | Chiba | 2014-06-19 / 20140167033 - OXIDE SINTERED BODY AND SPUTTERING TARGET | 13 |
Yutaka Kasami | JP | Kanagawa | 2016-05-05 / 20160125324 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 1 |
Masashi Kasami | JP | Sodeguara-Shi | 2013-09-12 / 20130234134 - THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING SAME | 1 |
Hideo Kasami | JP | Minato | 2015-09-10 / 20150253404 - MAGNETIC RESONANCE IMAGING APPARATUS | 2 |
Hideo Kasami | JP | Kawasaki-Shi | 2009-06-11 / 20090147761 - WIRELESS COMMUNICATION SYSTEM AND WIRELESS STATION | 1 |
Tadashi Kasamoto | JP | Okayama | 2012-09-06 / 20120223484 - Sealing Device | 4 |
Tadashi Kasamoto | JP | Kurashiki-Shi | 2013-10-24 / 20130277916 - Seal Member Having Excellent Wear Resistance, and Seal Structure Using Same | 1 |
Hidamisu Kasamoto | JP | Susono-Shi | 2014-05-01 / 20140116325 - PRODUCTION APPARATUS OF SiC SINGLE CRYSTAL BY SOLUTION GROWTH METHOD, METHOD FOR PRODUCING SiC SINGLE CRYSTAL USING THE PRODUCTION APPARATUS, AND CRUCIBLE USED IN THE PRODUCTION APPARATUS | 1 |
Tadashi Kasamoto | JP | Kurashiki-Shi, Okayama | 2016-05-12 / 20160133366 - MAGNETIC RUBBER COMPOSITION, MAGNETIC RUBBER MOLDED ARTICLE OBTAINED BY CROSSLINKING THE SAME, AND MAGNETIC ENCODER | 1 |
Kishore Kasamsetty | US | Cupertino | 2013-12-19 / 20130339631 - CROSS-THREADED MEMORY SYSTEM | 5 |
Ravikanth Kasamsetty | US | Union City | 2008-11-06 / 20080275896 - PRESERVING USER CODE IN OTT-GENERATED CLASSES | 1 |
Kishore V. Kasamsetty | US | Cupertino | 2015-10-29 / 20150310903 - Supporting Calibration For Sub-Rate Operation In Clocked Memory Systems | 2 |
Kittipong Kasamsook | SG | Singapore | 2016-03-10 / 20160066863 - METHOD AND APPARATUS FOR DETERMINING SpO2 OF A SUBJECT FROM AN OPTICAL MEASUREMENT | 3 |
Suresh Kasamuthu | IN | Chennai | 2012-09-20 / 20120239752 - MANAGEMENT OF USER PROFILES IN A CLOUD BASED MANAGED UTILITY COMPUTING ENVIRONMENT | 1 |
Ilan Kasan | US | Sunnyvale | 2010-04-08 / 20100088414 - SELECTIVELY JOINING CLIENTS TO MEETING SERVERS | 1 |
Katsuhisa Kasanami | JP | Tokyo | 2009-11-12 / 20090277588 - Semiconductor producing device and semiconductor device producing method | 2 |
Erkki Kasanen | FI | Helsinki | 2014-02-20 / 20140050535 - METHOD FOR INSTALLING AND SERVICING AN APPARATUS RECOVERING THE KINETIC ENERGY OF WATER, AND AN APPARATUS RECEOVERING THE KINETIC ENERGY OF WATER | 4 |
Manabu Kasano | JP | Takasago-Shi | 2011-02-10 / 20110030185 - PRODUCTION FACILITY | 1 |
Yukihiro Kasano | JP | Osaka | 2011-02-17 / 20110038065 - IMAGING LENS UNIT | 1 |
Masahiro Kasano | JP | Takatsuki-Shi | 2008-12-11 / 20080303108 - SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD FOR THE SAME | 1 |
Masahiro Kasano | JP | Osaka | 2016-02-25 / 20160054573 - IMAGE DISPLAY APPARATUS | 21 |
Koji Kasano | JP | Ome-Shi | 2009-12-31 / 20090322943 - TELOP COLLECTING APPARATUS AND TELOP COLLECTING METHOD | 1 |
Fumihiro Kasano | JP | Osaka | 2014-08-28 / 20140241125 - MOVING BODY DETECTOR | 1 |
Miki Kasano | JP | Ibaraki | 2012-04-26 / 20120101280 - COMPOUND HAVING SPIRO-BONDED CYCLIC GROUP AND USE THEREOF | 1 |
Manabu Kasano | JP | Minato-Ku | 2013-10-10 / 20130268320 - DEMAND PREDICTION SYSTEM | 1 |
Manabu Kasano | JP | Tokyo | 2015-12-31 / 20150378338 - OPERATION PLAN CREATION DEVICE, OPERATION PLAN CREATION METHOD, AND OPERATION PLAN CREATION PROGRAM | 1 |
Fumihiro Kasano | JP | Katano-Shi | 2012-04-12 / 20120087210 - MOVING OBJECT DETECTING APPARATUS | 4 |
Rie Kasano | JP | Miyagi | 2009-04-09 / 20090094709 - Use of protein phosphatase 2Ce (PP2Ce) having dephosphorylating action on AMPK | 1 |
Masahiro Kasano | JP | Osaka | 2016-02-25 / 20160054573 - IMAGE DISPLAY APPARATUS | 21 |
Koji Kasano | JP | Tokyo | 2010-03-04 / 20100057721 - Information Providing Server, Information Providing Method, and Information Providing System | 1 |
Yuuji Kasanuki | JP | Isehara-Shi | 2010-04-15 / 20100090584 - IMAGE DISPLAY DEVICE | 1 |
Sunil Kasanyal | IN | Pithoragarh | 2012-09-13 / 20120229214 - Amplifier Circuit and Method | 1 |
Tatsuhiko Kasaoka | JP | Tsukuba-Shi | 2008-11-06 / 20080275127 - Arylsulfonamido-substituted hydroxamic acid derivatives | 1 |
Yuuki Kasaoka | JP | Osaka | 2011-03-03 / 20110047963 - EXHAUST PROCESSING DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Yuuki Kasaoka | JP | Hirakata-Shi | 2013-04-25 / 20130097978 - Exhaust Gas Purifying Device | 1 |
Makoto Kasaoka | JP | Osaka-Shi | 2013-08-08 / 20130204827 - INTERNAL ABNORMALITY DIAGNOSIS METHOD, INTERNAL ABNORMALITY DIAGNOSIS SYSTEM, AND DECISION TREE GENERATION METHOD FOR INTERNAL ABNORMALITY DIAGNOSIS OF OIL-FILLED ELECTRIC APPARATUS UTILIZING GAS CONCENTRATION IN OIL | 1 |
Kota Kasaoka | JP | Saitama | 2012-08-09 / 20120203415 - HYBRID VEHICLE | 5 |
Tatsuo Kasaoka | JP | Tokyo | 2014-09-11 / 20140252441 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 6 |
Keisuke Kasaoka | JP | Oyama-Shi | 2011-08-25 / 20110209251 - METHOD FOR PROMOTING EFFICIENCY OF GENE INTRODUCTION INTO PLANT CELLS | 2 |
Kota Kasaoka | JP | Saitama-Ken | 2012-08-09 / 20120202645 - POWER PLANT | 8 |
Hayato Kasaoka | JP | Nasushiobara | 2015-12-31 / 20150374326 - X-RAY DIAGNOSTIC APPARATUS | 1 |
Hayato Kasaoka | JP | Nasushiobara-Shi | 2014-08-07 / 20140219420 - X-RAY DIAGNOSTIC APPARATUS AND METHOD FOR CONTROLLING THE SAME | 1 |
Kota Kasaoka | JP | Saitama-Ken | 2012-08-09 / 20120202645 - POWER PLANT | 8 |
Seisuke Kasaoki | JP | Tokyo | 2015-04-02 / 20150092989 - VEHICLE EXTERNAL ENVIRONMENT RECOGNITION DEVICE | 5 |
Peter W. Kasap | US | Earlham | 2014-11-20 / 20140343800 - METHOD FOR CONTROLLING AN IMPLEMENT ASSOCIATED WITH A VEHICLE | 2 |
Athanasios A. Kasapi | US | San Francisco | 2011-12-01 / 20110294554 - REMOTE UNIT FOR PROVIDING MULTIPLE-MODE SPATIAL PROCESSING | 5 |
Carole Kasapi | CH | La Chaux-De-Fonds | 2014-06-05 / 20140153372 - OSCILLATING MASS PIVOTED ON THE EXTERIOR OF A TIMEPIECE MOVEMENT, TIMEPIECE MOVEMENT FITTED WITH SUCH AN OSCILLATING MASS AND TIMEPIECE COMPRISING SUCH AN OSCILLATING MASS | 4 |
Steven Kasapi | CA | San Francisco | 2010-02-18 / 20100039131 - SYSTEM AND METHOD FOR MODULATION MAPPING | 1 |
Steven Kasapi | US | San Francisco | 2016-05-12 / 20160131703 - SYSTEM AND METHOD FOR MODULATION MAPPING | 3 |
Athos Kasapi | US | San Francisco | 2014-06-26 / 20140177766 - CHANNEL TRACKING IN AN ORTHOGONAL FREQUENCY-DIVISION MULTIPLEXING SYSTEM | 1 |
Makis Kasapidis | GB | Edinburgh | 2009-07-02 / 20090172391 - COMMUNICATION HANDOVER METHOD, COMMUNICATION MESSAGE PROCESSING METHOD, AND COMMUNICATION CONTROL METHOD | 3 |
Makis Kasapidis | JP | Yokahama-Shi | 2011-10-27 / 20110261804 - Method for home agent location | 1 |
Makis Kasapidis | GB | Berkshire | 2008-12-18 / 20080310375 - Return Routability Optimisation | 1 |
Makis Kasapidis | GB | Markinche Fife | 2008-10-02 / 20080244727 - Privacy protection for mobile internet protocol sessions | 1 |
Serkan Kasapoglu | TR | Istanbul | 2016-02-25 / 20160053426 - HEAT PUMP LAUNDRY DRYER WITH NOISE ATTENUATION STRUCTURE | 1 |
Alma Kasapovic | AT | Vienna | 2012-05-31 / 20120136139 - COUNTER-PRESSURE FILTRATION OF PROTEINS | 4 |
Ramesh Annasaheb Kasar | US | Bellevue | 2015-06-25 / 20150175530 - ANTIBACTERIAL AGENTS | 1 |
Darshan R. Kasar | US | Cupertino | 2016-03-31 / 20160094076 - INDUCTIVE CHARGING BETWEEN ELECTRONIC DEVICES | 2 |
Ramesh Kasar | US | San Mateo | 2011-06-16 / 20110144126 - COMPOUNDS USEFUL FOR INHIBITING CHK1 | 2 |
Ramesh Kasar | US | Bellevue | 2015-01-15 / 20150018331 - ANTIBACTERIAL AGENTS | 1 |
Ramesh Annasaheb Kasar | US | Oakland | 2015-07-23 / 20150203444 - POLYMORPHS OF N-((S)-3-AMINO-1-(HYDROXYAMINO)-3-METHYL-1-OXOBUTAN-2-YL)-4-(((1R,2R)-2-(- HYDROXYMETHYL)CYCLOPROPYL)BUTA-1,3-DIYNYL)BENZAMIDE | 1 |
Darshan R. Kasar | US | San Francisco | 2016-03-10 / 20160069507 - DOCKING STATION WITH INTEGRAL DEVICE SUPPORT STRUCTURE | 4 |
Sunil K. Kasaragod | US | Canton | 2015-09-24 / 20150266511 - Extruded Metal Sub-Frame For A Vehicle | 6 |
Raju Kasaramoni | IN | Hyderabad | 2016-05-12 / 20160134316 - System and Methods for Enabling MIMO Operation During Inactive SIM State on a Multi-SIM Wireless Communication Device | 2 |
Jagadeesh Kasaraneni | US | San Jose | 2010-04-01 / 20100082781 - NETWORK CONNECTIVITY | 1 |
Vishwanath Kasaravalli | US | Hayward | 2012-09-20 / 20120240134 - METHOD FOR EFFICIENT LOCATION OF CORBA OBJECTS BASED ON AN UNMARSHALED OBJECT KEY IN A REQUEST | 2 |
Kabir Suresh Kasargod | US | San Diego | 2016-01-28 / 20160029420 - TELEHEALTH WIRELESS COMMUNICATION HUB DEVICE AND SERVICE PLATFORM SYSTEM | 3 |
Kabir S. Kasargod | US | San Diego | 2012-02-16 / 20120041825 - CONTEXTUAL TRANSLATION OF NON-INTERACTIVE ADVERTISEMENTS INTO INTERACTIVE ADVERTISEMENTS | 1 |
Shiva Kasargod | US | Edison | 2014-05-01 / 20140122124 - ELECTRONIC PATIENT RECORD DOCUMENTATION WITH PUSH AND PULL OF DATA TO AND FROM DATABASE | 2 |
Sudhir K. Kasargod | IN | Mysore | 2011-07-21 / 20110176696 - METHOD AND SYSTEM FOR ENHANCING BASS EFFECT IN AUDIO SIGNALS | 2 |
Sandeep Kasargod | IN | Bangalore | 2013-05-09 / 20130116921 - VEHICLE NAVIGATION SYSTEM WITH DEAD RECKONING | 2 |
Kabir Kasargod | US | San Diego | 2010-03-11 / 20100063969 - OPERATOR, DEVICE AND PLATFORM INDEPENDENT AGGREGATION, CROSS-PLATFORM TRANSLATION, ENABLEMENT AND DISTRIBUTION OF USER ACTIVITY CATALOGS | 1 |
Mukesh Kasargode | US | Danbury | 2012-03-15 / 20120060445 - PREVENTION OF AGGLOMERATION OF PARTICLES DURING STERILIZATION PROCESSES | 1 |
Sandor Kasas | CH | Pully | 2014-09-25 / 20140287403 - NANOSCALE MOTION DETECTOR | 1 |
Masaki Kasashima | JP | Echizen-Shi | 2014-07-31 / 20140208663 - OUTER BLADE CUTTING WHEEL AND MAKING METHOD | 8 |
Kenji Kasashima | JP | Aichi-Ken | 2011-06-23 / 20110146384 - KNOCK DETERMINATION DEVICE AND KNOCK DETERMINATION METHOD FOR INTERNAL COMBUSTION ENGINE | 14 |
Yusuke Kasashima | JP | Kahoku-Shi | 2014-03-06 / 20140061998 - PAPER CONVEYING APPARATUS | 1 |
Atsuki Kasashima | JP | Chichibu-Shi | 2015-02-05 / 20150038266 - MULTI-PIECE SOLID GOLF BALL | 10 |
Masato Kasashima | JP | Nagano | 2013-03-14 / 20130063152 - ELECTRIC LEAKAGE SENSING APPARATUS | 1 |
Atsuki Kasashima | JP | Chichibushi | 2015-09-17 / 20150258388 - MULTI-PIECE SOLID GOLF BALL | 6 |
Masato Kasashima | JP | Aichi | 2009-01-15 / 20090016636 - IMAGE PROCESSING APPARATUS, AND METHOD, PROGRAM AND RECORDING MEDIUM | 1 |
Masato Kasashima | JP | Kasugai-City | 2009-02-12 / 20090042518 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS AND METHOD, AND PROGRAM | 2 |
Yuki Kasashima | JP | Tokyo | 2015-01-29 / 20150031734 - PHARMACEUTICAL COMPOSITION CONTAINING MIRABEGRON | 2 |
Hirokazu Kasashima | JP | Hitachi | 2009-10-15 / 20090259676 - DATA FORMAT CONVERSION METHOD AND EQUIPMENT, AND CONTROLLER MANAGEMENT SYSTEM USING DATA FORMAT CONVERSION EQUIPMENT | 1 |
Katsumi Kasashima | JP | Tochigi | 2010-03-18 / 20100069462 - MITOCHONDRIAL FUNCTION OF PROHIBITIN 2 (PHB2) | 1 |
Kenji Kasashima | JP | Nishikamo-Gun | 2010-07-01 / 20100162993 - ENGINE CONTROL SYSTEM | 4 |
Takashi Kasashima | JP | Aichi | 2010-09-30 / 20100244992 - DIELECTRIC RESONATOR, DIELECTRIC RESONATOR FILTER, AND METHOD OF CONTROLLING DIELECTRIC RESONATOR | 1 |
Masaki Kasashima | JP | Echizen-Shi | 2014-07-31 / 20140208663 - OUTER BLADE CUTTING WHEEL AND MAKING METHOD | 8 |
Atsuki Kasashima | JP | Chichibu-Shi | 2015-02-05 / 20150038266 - MULTI-PIECE SOLID GOLF BALL | 10 |
Yusuke Kasashima | JP | Ishikawa | 2010-04-29 / 20100102499 - FEEDING APPARATUS | 1 |
Nagayoshi Kasashima | JP | Ibaraki | 2010-10-14 / 20100258544 - MOLD REMOVING METHOD | 1 |
Takashi Kasashima | JP | Ichinomiya-Shi, Aichi-Ken | 2016-02-25 / 20160052826 - UNLEADED PIEZOELECTRIC CERAMIC COMPOSITION, PIEZOELECTRIC ELEMENT USING SAME, DEVICE, AND METHOD FOR MANUFACTURING UNLEADED PIEZOELECTRIC CERAMIC COMPOSITION | 1 |
Katsumi Kasashima | JP | Shimotsuke-Shi | 2012-08-09 / 20120202215 - MITOCHONDRIAL FUNCTION OF PROHIBITIN 2 (PHB2) | 2 |
Fumimasa Kasashima | JP | Sabae | 2014-09-11 / 20140251746 - STARTING DEVICE | 1 |
Kahtaro Kasashima | JP | Kunagaya-Shi | 2013-12-19 / 20130335720 - REFLECTING OPTICAL MEMBER, OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD | 1 |
Rahul B. Kasat | US | Wilmington | 2015-12-10 / 20150353649 - PREPARATION OF POLY ALPHA-1,3-GLUCAN ETHERS | 9 |
Manish Kasat | IN | Jodhpur | 2014-12-25 / 20140379481 - METHOD AND APPARATUS FOR TARGETING MESSAGES IN DESKTOP AND MOBILE APPLICATIONS | 1 |
Siddharth Kasat | US | Santa Clara | 2012-04-05 / 20120082155 - RETURNING DOMAIN IDENTIFICATIONS WITHOUT RECONFIGURATION | 6 |
Gopal Rameschandra Kasat | IN | Maharashtra | 2011-03-24 / 20110070639 - METHOD OF DESIGNING HYDRODYNAMIC CAVITATION REACTORS FOR PROCESS INTENSIFICATION | 1 |
Siddharth Kasat | US | San Jose | 2016-02-04 / 20160036946 - HITLESS PRUNING PROTOCOL UPGRADE ON SINGLE SUPERVISOR NETWORK DEVICES | 5 |
Rahul B. Kasat | US | Wilmington | 2015-12-10 / 20150353649 - PREPARATION OF POLY ALPHA-1,3-GLUCAN ETHERS | 9 |
Kiyoshi Kasatani | JP | Kanagawa | 2016-02-11 / 20160044079 - DISTRIBUTION CONTROL SYSTEM, DISTRIBUTION CONTROL METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 26 |
Kiyoshi Kasatani | US | 2016-02-04 / 20160037193 - DISTRIBUTION CONTROL SYSTEM AND DISTRIBUTION SYSTEM | 1 | |
Kiyoshi Kasatani | JP | Sagamihara-Shi | 2012-04-26 / 20120099133 - CONFIDENTIAL COMMUNICATIONS EXECUTING MULTIFUNCTIONAL PRODUCT | 1 |
Kiyoshi Kasatani | JP | Kanagawa | 2016-02-11 / 20160044079 - DISTRIBUTION CONTROL SYSTEM, DISTRIBUTION CONTROL METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 26 |
Kiyoshi Kasatani | JP | Ohta-Ku, Tokyo | 2016-02-11 / 20160044079 - DISTRIBUTION CONTROL SYSTEM, DISTRIBUTION CONTROL METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Kiyoshi Kasatani | JP | Tokyo | 2016-01-21 / 20160021405 - DISTRIBUTION CONTROL SYSTEM, DISTRIBUTION CONTROL METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Yuriy Kasatkin | RU | Moskau | 2009-06-18 / 20090155156 - PROCESS FOR PRODUCING MONOSILANE | 1 |
Alexander I. Kasatkin | US | Alpharetta | 2015-09-24 / 20150271150 - SYSTEMS AND METHODS FOR DECRYPTION AS A SERVICE | 4 |
Akeo Kasaukura | JP | Chiyoda-Ku | 2013-06-27 / 20130162140 - LED LIGHT- EMITTING DEVICE AND INDICATOR PROVIDED WITH THE LED LIGHT EMITTING DEVICE | 1 |
Ramesh Kasavaraju | US | San Diego | 2016-03-03 / 20160063775 - DISTRIBUTED VEHICLE EVENT RECORDER SYSTEMS HAVING A PORTABLE MEMORY DATA TRANSFER SYSTEM | 7 |
Masato Kasaya | JP | Osaka | 2015-09-03 / 20150248117 - DISTRIBUTION BOARD SYSTEM | 4 |
Ryosuke Kasaya | JP | Sagamihara-Shi | 2013-04-04 / 20130083256 - TELEVISION APPARATUS AND ELECTRONIC APPARATUS | 5 |
Masashi Kasaya | JP | Tokyo | 2009-11-12 / 20090277806 - ELECTROCHEMICAL CELL SYSTEM GAS SENSOR | 1 |
Kazuo Kasaya | JP | Kanagawa-Ken | 2011-12-15 / 20110304897 - Optical Semiconductor Module and Method for Assembling the Same | 1 |
Kenichi Kasazumi | JP | Osaka | 2015-11-26 / 20150339961 - IMAGE DISPLAY APPARATUS | 53 |
Laura Marie Kasbar | US | Spokane | 2015-12-03 / 20150348437 - Method of Teaching Mathematic Facts with a Color Coding System | 4 |
Raymond P. Kasbarian | US | Englewood Cliffs | 2009-05-07 / 20090119315 - SYSTEM AND METHOD FOR PAIRING IDENTIFICATION DATA | 1 |
Raymond P. Kasbarian | US | Palo Alto | 2013-04-04 / 20130086697 - Systems and methods for pairing identification data to a network-based service | 1 |
Stefan Kasbauer | DE | Dillingen | 2013-05-30 / 20130134846 - DISHWASHER | 24 |
Rebecca Anne Kasbeer-Betty | US | Windsor Mill | 2010-11-04 / 20100278539 - EJECTOR APPARATUS AND ASSOCIATED ASSEMBLY METHOD FOR PLUGGABLE TRANSCEIVERS | 1 |
Mangesh Kasbekar | US | New York | 2016-03-31 / 20160094581 - HTTPS request enrichment | 1 |
Ameya Rajendraprasad Kasbekar | US | San Diego | 2016-02-11 / 20160044581 - APPARATUS AND METHOD OF OPTIMIZED SERVICE ACQUISITION SCAN IN WIRELESS COMMUNICATIONS | 3 |
Mangesh Kasbekar | US | Cambridge | 2012-09-06 / 20120226649 - Content delivery network (CDN) cold content handling | 1 |
Mangesh M. Kasbekar | US | New York | 2014-02-27 / 20140056136 - Preventing TCP from becoming too conservative too quickly | 1 |
Kiron Kasbekar | IN | Maharashtra | 2010-06-10 / 20100145927 - METHOD AND SYSTEM FOR ENHANCING THE RELEVANCE AND USEFULNESS OF SEARCH RESULTS, SUCH AS THOSE OF WEB SEARCHES, THROUGH THE APPLICATION OF USER'S JUDGMENT | 1 |
Chirag Kasbekar | IN | Maharashtra | 2010-06-10 / 20100145927 - METHOD AND SYSTEM FOR ENHANCING THE RELEVANCE AND USEFULNESS OF SEARCH RESULTS, SUCH AS THOSE OF WEB SEARCHES, THROUGH THE APPLICATION OF USER'S JUDGMENT | 1 |
Kiron Kasbekar | IN | Navi Mumbai | 2012-03-29 / 20120078845 - METHOD AND SYSTEM FOR DIRECTORY MANAGEMENT | 1 |
Alina Kasbohm | US | Eden Prairie | 2009-04-09 / 20090089928 - Pacification blanket | 1 |
Peter E. Kascak | US | Westlake | 2009-03-05 / 20090058335 - CONTROL SYSTEM FOR BEARINGLESS MOTOR-GENERATOR | 1 |
Noreen Kascak | US | Cincinnati | 2014-04-17 / 20140107528 - NEEDLE ASSEMBLY FOR USE WITH A BIOPSY DEVICE | 4 |
Uros Kascak | US | Dunwoody | 2012-02-09 / 20120035555 - TRANSDERMAL PORATOR AND PATCH SYSTEM AND METHOD FOR USING SAME | 1 |
Peter E. Kascak | US | Cleveland | 2014-01-30 / 20140028132 - Bearingless Flywheel Systems, Winding and Control Schemes, and Sensorless Control | 1 |
Aysegul Kascatan Nebioglu | TR | Winsted | 2015-02-26 / 20150056455 - FORM IN-PLACE GASKET WITH TACK FREE SURFACE | 1 |
Tihomir Kascelan | AU | New South Wales | 2009-11-05 / 20090272058 - Surface Sealed Reinforced Building Element | 1 |
Michael Kasch | AT | Veit An Der Glan | 2010-07-15 / 20100178508 - Cholesteric Multi-Layers | 1 |
Helmut Kasch | DE | Jena | 2008-12-25 / 20080317729 - Ammonium Salts and Ammonium Salt/Mineral Salt Clathrate Compounds for Use as Vehicle and Effective Form for Pharmaco-Medical Applications and for Use as Phase Transfer Agents for Chemical Applications | 1 |
Michael Kasch | DE | Burghausen | 2008-12-11 / 20080305315 - Cholesteric Monolayers and Monolayer Pigments with Particular Properties, Their Production and Use | 1 |
Reinhold Kascha | DE | Altenstadt | 2010-03-18 / 20100066526 - Method for Ensuring or Maintaining the Function of a Complex Complete Safety-Critical System | 1 |
Sebastian Kaschel | DE | Ritterhude | 2009-05-21 / 20090130482 - Titanium aluminium component | 1 |
Sebastian Kaschel | DE | Bremen | 2011-11-10 / 20110272086 - MOULDED BODY FOR PRODUCING A FIBRE COMPOSITE COMPONENT | 3 |
Gregor Kaschel | DE | Bomlitz | 2009-11-12 / 20090280278 - MULTILAYER FILM | 1 |
Kevin Daniel Kaschke | US | Hoffman Estates | 2010-01-07 / 20100003962 - LOCATION INFORMATION SYSTEM FOR A WIRELESS COMMUNICATION DEVICE AND METHOD THEREFORE | 1 |
Kevin D. Kaschke | US | Saint Charles | 2014-02-27 / 20140053527 - Agricultural Bale Accumulator | 1 |
Kevin D. Kaschke | US | Hoffman Estates | 2011-11-03 / 20110268259 - METHOD AN APPARATUS FOR CONVERTING A VOICE SIGNAL RECEIVED FROM A REMOTE TELEPHONE TO A TEXT SIGNAL | 1 |
Johannes Kaschke | DE | Karlsruhe | 2014-10-09 / 20140302442 - METHODS AND FABRICATION TOOLS FOR FABRICATING OPTICAL DEVICES | 1 |
Michael Kaschke | DE | Oberkochen | 2013-03-21 / 20130072917 - DEVICE AND METHOD FOR CATARACT SURGERY | 1 |
Chris M. Kaschmitter | US | Littleton | 2011-01-27 / 20110022496 - ELECTRONIC DOCUMENT MANAGEMENT AND DELIVERY | 1 |
James Kaschmitter | US | 2015-12-17 / 20150364268 - SUPER CAPACITOR WITH FIBERS | 2 | |
James L. Kaschmitter | US | Pleasanton | 2008-09-04 / 20080213638 - ENGINE BLOCK FOR USE IN A FUEL CELL SYSTEM | 1 |
James Kaschmitter | US | Pleasanton | 2015-12-17 / 20150364268 - SUPER CAPACITOR WITH FIBERS | 5 |
Axel Kaschner | US | Pittsburgh | 2014-12-25 / 20140375307 - DEVICE AND METHOD FOR DETECTING THE NUMBER OF REVOLUTIONS OF A ROTATABLE SHAFT | 1 |
Axel Kaschner | DE | Tuebingen | 2012-06-21 / 20120152034 - Sensor system | 3 |
Roland Kaschner | DE | Heidelberg | 2010-06-17 / 20100153158 - PROVIDING PROJECT MANAGEMENT SOFTWARE APPLICATION AS ENTERPRISE SERVICES | 2 |
Cynthia E. Kaschub | US | San Francisco | 2013-12-12 / 20130329000 - PROVIDING SPONTANEOUS CONNECTION AND INTERACTION BETWEEN LOCAL AND REMOTE INTERACTION DEVICES | 1 |
Cynthia E. Kaschub | US | Portland | 2014-01-02 / 20140006550 - SYSTEM FOR ADAPTIVE DELIVERY OF CONTEXT-BASED MEDIA | 1 |
Harvey Kasdan | IL | Jerusalem | 2013-05-30 / 20130137135 - COMPOSITIONS AND METHODS FOR LEUKOCYTE DIFFERENTIAL COUNTING | 1 |
Harvey Lee Kasdan | IL | Jerusalem | 2015-11-19 / 20150330971 - SYSTEMS AND METHODS FOR DETECTING A BIOLOGICAL CONDITION | 8 |
Harvey Lee Kasdan | IL | Jerusalem | 2015-11-19 / 20150330971 - SYSTEMS AND METHODS FOR DETECTING A BIOLOGICAL CONDITION | 8 |
Harvey Kasdan | US | Sherman Oaks | 2011-07-28 / 20110184537 - Multi-Level Controller System | 1 |
Harvey L. Kasdan | US | Chatsworth | 2016-05-12 / 20160131640 - MICROFLUIDIC DEVICE | 2 |
Harvey Kasdan | US | Chatsworth | 2014-12-25 / 20140377742 - MICROFLUIDIC DEVICE | 2 |
Harvey L. Kasdan | US | Los Angeles | 2014-09-18 / 20140273076 - DYNAMIC RANGE EXTENSION SYSTEMS AND METHODS FOR PARTICLE ANALYSIS IN BLOOD SAMPLES | 1 |
Harvey L. Kasdan | US | Sherman Oaks | 2010-07-15 / 20100176918 - Identification and tracking of information stored on radio frequency identification devices | 1 |
Spyridon Kasdas | GR | Athens | 2014-09-11 / 20140256218 - KAZOO DEVICES PRODUCING A PLEASING MUSICAL SOUND | 1 |
Kenneth L. Kasden | US | Sturgeon Lake | 2008-10-16 / 20080251472 - Cooking caddy | 1 |
Samuel B. Kasdon | US | West Roxbury | 2014-09-18 / 20140278543 - DATA COLLECTION MARKETPLACE FOR A DATA REGISTRY SYSTEM | 1 |
Tatjana Kasdorf | DE | Andernach | 2015-01-22 / 20150024222 - METHOD FOR PASSIVATION OF STRIP BLACK PLATE | 1 |
Teiji Kase | JP | Tokyo | 2008-09-11 / 20080218729 - APPARATUS AND A METHOD FOR MEASURING DISTANCE USING A LASER BEAM | 1 |
Masamichi Kase | JP | Hitachinaka-Shi | 2015-08-06 / 20150222155 - Rotating Electric Machine and Method for Manufacturing Same | 1 |
Yuka Kase | JP | Yokohama-Shi | 2010-08-19 / 20100210083 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Yoshihisa Kase | JP | Kanagawa-Ken | 2014-07-03 / 20140186754 - METHOD FOR MANUFACTURING REFLECTIVE MASK AND APPARATUS FOR MANUFACTURING REFLECTIVE MASK | 4 |
Minoru Kase | JP | Kase | 2011-03-31 / 20110076358 - PROCESS FOR PRODUCING DIACYLGLYCEROL-RICH FAT OR OIL | 1 |
Yoji Kase | JP | Chiba | 2011-09-29 / 20110232484 - ASYMMETRIC GAS SEPARATION MEMBRANE AND PROCESS FOR GAS SEPARATION | 4 |
Minoru Kase | JP | Ibaraki | 2011-08-25 / 20110206804 - METHOD FOR PRODUCING DIACYLGLYCEROL-RICH FAT AND/OR OIL | 2 |
Daisuke Kase | JP | Osaka | 2013-05-16 / 20130120529 - VIDEO SIGNAL PROCESSING DEVICE AND VIDEO SIGNAL PROCESSING METHOD | 5 |
Takashi Kase | JP | Kashiwa-Shi | 2013-01-17 / 20130017007 - IMAGE FORMING APPARATUS | 2 |
Hiroshi Kase | JP | Osaka | 2013-05-16 / 20130123987 - ROBOTIC SYSTEM, ROBOT CONTROL METHOD AND ROBOT CONTROL PROGRAM | 9 |
Fumitoshi Kase | JP | Saitama | 2010-10-14 / 20100259033 - VEHICLE FRONT BODY STRUCTURE | 1 |
Katsuya Kase | JP | Ehime | 2015-12-17 / 20150364759 - NICKEL-COBALT COMPOSITE HYDROXIDE AND PROCESS FOR MANUFACTURING SAME | 6 |
Hiroaki Kase | JP | Shiga | 2015-07-30 / 20150214863 - CONTROL DEVICE OF ELECTRIC SEALED COMPRESSOR, ELECTRIC SEALED COMPRESSOR APPARATUS, AND HOME APPLIANCE COMPRISING CONTROL DEVICE AND ELECTRIC SEALED COMPRESSOR APPARATUS | 1 |
Minoru Kase | JP | Kamisu-Shi | 2014-05-01 / 20140121397 - METHOD FOR MANUFACTURING REFINED FATS AND OILS | 9 |
Yuji Kase | JP | Niigata | 2010-06-24 / 20100154605 - LATHE, COMPUTER PROGRAM FOR LATHE CONTROL, AND MACHINING METHOD BY LATHE | 1 |
Yasuharu Kase | JP | Aichi | 2010-03-11 / 20100063789 - COMPUTER-READABLE RECORDING MEDIUM WHICH STORES FABRIC MODEL GENERATION PROGRAM, FABRIC MODEL GENERATION APPARATUS AND FABRIC MODEL GENERATION METHOD | 5 |
Yoshihiro Kase | JP | Shizuoka | 2010-03-04 / 20100051060 - NOZZLE CLEANING METHOD, NOZZLE CLEANING DEVICE, AND AUTOMATIC ANALYZER | 1 |
Takashi Kase | JP | Tokyo | 2016-05-05 / 20160124347 - REMANUFACTURING METHOD OF DEVELOPER ACCOMMODATING UNIT | 6 |
Takashi Kase | JP | Susono-Shi | 2010-02-25 / 20100046987 - CHARGING MEMBER, CHARGING DEVICE, IMAGE FORMING APPARATUS, AND PROCESS CARTRIDGE | 1 |
Kazuto Kase | JP | Minato-Ku | 2012-09-06 / 20120224242 - ERECTING EQUAL-MAGNIFICATION LENS ARRAY PLATE, OPTICAL SCANNING UNIT, IMAGE READING DEVICE, AND IMAGE WRITING DEVICE | 2 |
Junpei Kase | JP | Noda-Shi | 2009-10-08 / 20090252184 - LASER OSCILLATOR AND LASER PROCESSING APPARATUS | 1 |
Junichiro Kase | JP | Chiyoda-Ku | 2009-07-09 / 20090176640 - ALKALI-FREE GLASS SUBSTRATE, METHOD FOR PRODUCING IT AND LIQUID CRYSTAL DISPLAY PANEL | 2 |
Fumitoshi Kase | JP | Mooka-Shi | 2009-04-16 / 20090099749 - Vehicle collision decision apparatus | 1 |
Natsumi Kase | JP | Ibaraki | 2012-03-08 / 20120058175 - Transdermal Preparation | 3 |
Kiwamu Kase | JP | Saitama | 2009-02-12 / 20090040217 - METHOD AND PROGRAM FOR GENERATING BOUNDARY SURFACE INFORMATION | 1 |
Yumiko Kase | JP | Kawasaki-Shi | 2009-01-15 / 20090017397 - PHOTOSENSITIVE RESIN COMPOSITION AND MICROLENS FORMED WITH USE THEREOF | 1 |
Katsuya Kase | JP | Niihama-Shi | 2013-05-02 / 20130108921 - POSITIVE ELECTRODE ACTIVE MATERIAL FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND PRODUCTION METHOD FOR SAME, PRECURSOR FOR POSITIVE ELECTRODE ACTIVE MATERIAL, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING POSITIVE ELECTRODE ACTIVE MATERIAL | 3 |
Junya Kase | JP | Tokyo | 2015-03-19 / 20150080415 - THERAPEUTIC AGENT FOR ANXIETY DISORDERS | 2 |
Tetsuo Kase | JP | Osaka | 2012-07-05 / 20120172577 - METHODS OF PRODUCING PROTEINS HAVING TRIPLE-HELIX STRUCTURE | 1 |
Tomohiro Kase | JP | Tokyo | 2015-09-10 / 20150256457 - COMMUNICATION NODE, COMMUNICATION SYSTEM, CONTROL APPARATUS, PACKET FORWARDING METHOD, AND PROGRAM | 3 |
Takamasa Kase | JP | Itabashi-Ku | 2013-07-18 / 20130183523 - PAINT COAT-PROTECTING REMOVABLE PRESSURE-SENSITIVE ADHESIVE SHEET | 1 |
Jeffrey P. Kase | US | Bar Harbor | 2011-02-10 / 20110035431 - Dissemination of Information In an Intermittent Network Connectivity | 1 |
Eili Tranheim Kase | NO | Oslo | 2010-06-03 / 20100137266 - TREATMENT OF INSULIN RESISTANCE AND DISORDERS ASSOCIATED THEREWITH | 1 |
Akira Kase | NL | Holland | 2010-05-27 / 20100126352 - Membranes and Processes for Their Manufacture and Use | 1 |
Kiyoshi Kase | US | Austin | 2013-11-21 / 20130307635 - INTEGRATED DIRECTIONAL COUPLER WITHIN AN RF MATCHING NETWORK | 5 |
Akira Kase | NL | Tilburg | 2011-07-07 / 20110163478 - Porous Membrane and Recording Media Comprising Same | 7 |
Yuka Kase | JP | Kuwana | 2015-05-21 / 20150137211 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE | 3 |
Hiroshi Kase | JP | Osaka | 2013-05-16 / 20130123987 - ROBOTIC SYSTEM, ROBOT CONTROL METHOD AND ROBOT CONTROL PROGRAM | 9 |
Shuji Kase | JP | Musashino-Shi | 2012-07-26 / 20120188915 - FIELD COMMUNICATION APPARATUS AND PROCESS CONTROL SYSTEM | 1 |
Jaanus Kase | EE | Tallinn | 2015-01-29 / 20150033178 - User Interface With Pictograms for Multimodal Communication Framework | 2 |
Hiroshi Kase | JP | Koganei-Shi | 2014-09-04 / 20140249166 - METHODS OF TREATING PATIENTS SUFFERING FROM MOVEMENT DISORDERS | 4 |
Kenzo Kase | US | Albuquerque | 2013-11-21 / 20130310774 - THERAPEUTIC TAPE | 1 |
Mariko Kase | JP | Lsehara | 2015-01-22 / 20150023635 - OPTICAL CONNECTOR | 1 |
Kouji Kase | JP | Tokyo | 2012-05-10 / 20120111613 - COPPER FOIL WITH RESISTANCE LAYER, METHOD OF PRODUCTION OF THE SAME AND LAMINATED BOARD | 1 |
Takahiro Kase | JP | Tokyo | 2014-10-16 / 20140307758 - TRANSMISSION LINE PROTECTIVE RELAY DEVICE | 1 |
Takuo Kase | JP | Wako-Shi | 2014-01-30 / 20140026828 - PORTABLE ENGINE GENERATOR | 2 |
Takamasa Kase | JP | Tokyo | 2015-03-26 / 20150086782 - ADHESIVE FILM FOR PROTECTING AUTOMOBILE WHEEL | 2 |
Takahiro Kase | JP | Tachikawa-Shi | 2013-05-16 / 20130120888 - CURRENT DIFFERENTIAL REPLAY APPARATUS | 1 |
Satoru Kase | JP | Mobara | 2015-03-19 / 20150076477 - ORGANIC EL DISPLAY PROVIDED WITH GEL-STATE ENCAPSULANT INCORPORATING A DESICCANT AND A HIGH MOLECULAR-WEIGHT MEDIUM | 12 |
Seigo Kase | JP | Tokyo | 2013-05-02 / 20130109917 - ENDOSCOPE | 5 |
Koichiro Kase | JP | Tokyo | 2015-06-11 / 20150163836 - COMMUNICATION APPARATUS, COMMUNICATION SYSTEM, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Toshifume Kase | JP | Tokyo | 2015-06-11 / 20150160541 - PROJECTION APPARATUS INCLUDING LIGHT SOURCES AND HEAT RADIATING MEMBERS | 1 |
Masaru Kase | JP | Kawasaki | 2015-10-01 / 20150281094 - DATA RECEPTION APPARATUS, METHOD FOR CONTROLLING DATA RECEPTION APPARATUS, AND DATA TRANSMISSION AND RECEPTION SYSTEM INCLUDING DATA TRANSMISSION APPARATUS AND DATA RECEPTION APPARATUS | 4 |
Hikaru Kase | JP | Hamamatsu-Shi | 2011-08-25 / 20110203444 - GENERATION OF HARMONY TONE | 1 |
Masamichi Kase | JP | Hitachi | 2013-04-18 / 20130094269 - Power Conversion Apparatus | 1 |
Mariko Kase | JP | Isehara | 2015-03-19 / 20150078704 - OPTICAL DEVICE, OPTICAL MODULE, AND METHOD OF MANUFACTURING OPTICAL DEVICE | 4 |
Yasuo Kase | JP | Inukami-Gun | 2014-11-20 / 20140342075 - FROZEN DESSERT AND FROZEN DESSERT MATERIAL | 1 |
Tomoko Kase | JP | Osaka-Shi | 2015-12-17 / 20150360842 - STORAGE CONTAINER | 4 |
Kouki Kase | JP | Tsukuba-Shi | 2013-05-23 / 20130126856 - COMPOUND HAVING INDENOCARBAZOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE | 3 |
Junya Kase | JP | Sunto-Gun | 2012-09-13 / 20120232089 - METHOD OF TREATING AN ANXIETY DISORDER | 1 |
Minoru Kase | JP | Kamisu-Shi | 2014-05-01 / 20140121397 - METHOD FOR MANUFACTURING REFINED FATS AND OILS | 9 |
Hiroyuki Kase | JP | Shiga | 2015-04-02 / 20150090317 - SOLAR CELL, SOLAR CELL MODULE, AND METHOD FOR PRODUCING SOLAR CELL | 3 |
Shota Kase | JP | Kawasaki-Shi | 2014-07-17 / 20140197592 - SHEET FEEDING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Kenichi Kase | JP | Yokohama-Shi | 2013-01-31 / 20130028731 - TILTING PAD JOURNAL BEARING AND STEAM TURBINE | 1 |
Yuka Kase | JP | Yokohama | 2012-10-18 / 20120261760 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SEMICONDUCTOR DEVICE | 2 |
Takaaki Kase | JP | Tokyo | 2013-12-05 / 20130321449 - WINDOW COMBINING DEVICE | 3 |
Toshio Kase | JP | Tokyo | 2009-07-02 / 20090171033 - Conjugated diene polymer cyclized product and method for producing the same | 1 |
Hiroshi Kase | JP | Tokyo | 2012-09-13 / 20120232089 - METHOD OF TREATING AN ANXIETY DISORDER | 3 |
Junichiro Kase | JP | Tokyo | 2016-03-24 / 20160083288 - GLASS FOR CHEMICAL STRENGTHENING, CHEMICALLY STRENGTHENED GLASS, AND METHOD FOR PRODUCING CHEMICALLY STRENGTHENED GLASS | 5 |
Katsuya Kase | JP | Niihama-Shi, Ehime | 2015-04-23 / 20150108398 - POSITIVE ELECTRODE ACTIVE MATERIAL FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND PRODUCTION METHOD FOR SAME, PRECURSOR FOR POSITIVE ELECTRODE ACTIVE MATERIAL, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING POSITIVE ELECTRODE ACTIVE MATERIAL | 1 |
Noriko Kase | JP | Tokyo | 2010-07-29 / 20100191869 - REDUNDANT I/O MODULE | 2 |
Toshifumi Kase | JP | Tokyo | 2015-11-26 / 20150338725 - LIGHT SOURCE UNIT AND IMAGE PROJECTION SYSTEM | 4 |
Masahiko Kase | JP | Himeji-Shi | 2015-09-03 / 20150249003 - SHORT ARC FLASH LAMP AND LIGHT SOURCE DEVICE | 2 |
Mario Kaseda | US | Chagrin Falls | 2009-02-26 / 20090049769 - Externally Baffled Ridge Vent With Fastener | 1 |
Yasumitsu Kaseda | JP | Kyoto-Shi | 2012-08-02 / 20120193821 - METHOD OF MANUFACTURING LENS | 1 |
Manabu Kaseda | JP | Yokohama-Shi, Kanagawa | 2016-03-31 / 20160093913 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 5 |
Takumi Kaseda | JP | Ota-Ku | 2012-12-20 / 20120322461 - CONNECTION CONTROL DEVICE ESTABLISHING CONNECTION BETWEEN PORTABLE TYPE MOBILE TERMINAL AND INFORMATION PROCESSING DEVICE BY WIRELESS COMMUNICATION | 2 |
Manabu Kaseda | JP | Tokyo | 2012-02-09 / 20120034521 - CURRENT COLLECTOR FOR SECONDARY BATTERY AND SECONDARY BATTERY USING THE SAME | 1 |
Chosei Kaseda | JP | Tokyo | 2014-06-05 / 20140156662 - PREDICTING VARIABLE IDENTIFYING DEVICE, METHOD, AND PROGRAM | 1 |
Yuki Kaseda | JP | Kawasaki-Shi | 2016-04-28 / 20160119292 - RE-ENCRYPTION SYSTEM, RE-ENCRYPTION APPARATUS, AND PROGRAM | 1 |
Tsuyoshi Kaseda | JP | Inagi | 2009-01-01 / 20090006649 - DISPLAY CONTROL APPARATUS, DISPLAY CONTROL PROGRAM AND DISPLAY CONTROL METHOD | 1 |
Janet S. Kaseda | US | Kirkland | 2013-02-07 / 20130035937 - System And Method For Efficiently Transcribing Verbal Messages To Text | 2 |
Shinya Kaseda | JP | Tokyo | 2014-03-27 / 20140086643 - IMAGE FORMING APPARATUS | 6 |
Kouhei Kasedou | JP | Kanagawa | 2012-02-16 / 20120038325 - CHARGE CONTROL DEVICE AND METHOD FOR SECONDARY BATTERY MODULE | 1 |
Ryo Kasegawa | JP | Chiba | 2015-11-19 / 20150330604 - OPTICAL MEMBER AND DISPLAY DEVICE | 3 |
Ryo Kasegawa | JP | Kanagawa | 2015-08-13 / 20150228231 - IMAGE DISPLAY APPARATUS, DRIVING METHOD OF IMAGE DISPLAY APPARATUS, SIGNAL GENERATION APPARATUS, SIGNAL GENERATION PROGRAM, AND SIGNAL GENERATION METHOD | 10 |
Ryo Kasegawa | JP | Tokyo | 2010-09-30 / 20100246160 - ILLUMINATION APPARATUS, DISPLAY APPARATUS, AND METOD OF PRODUCING AN ILLUMINATION | 1 |
Ryo Kasegawa | JP | Kanagawa | 2015-08-13 / 20150228231 - IMAGE DISPLAY APPARATUS, DRIVING METHOD OF IMAGE DISPLAY APPARATUS, SIGNAL GENERATION APPARATUS, SIGNAL GENERATION PROGRAM, AND SIGNAL GENERATION METHOD | 10 |
Hitoshi Kasegawa | JP | Tokyo | 2014-02-27 / 20140058503 - ARTIFICIAL VALVE LEAFLET | 2 |
Kenji Kasegawa | JP | Tokyo | 2008-10-23 / 20080257745 - COPPER-TIN-OXYGEN ALLOY PLATING | 1 |
Galina Kaseko | AU | Waverly Nsw | 2012-04-12 / 20120088272 - METHODS OF GENERATING HYBRID/CHIMERIC CELLS, AND USES THEREOF | 1 |
Galina Kaseko | AU | Waverley Nsw | 2012-04-12 / 20120088304 - METHODS OF GENERATING CELLS EXHIBITING PHENOTYPIC PLASTICITY | 1 |
Dustin L. Kasel | US | Minnetonka | 2011-05-05 / 20110102791 - RADIATION RESISTANT SPECTROMETER INTERFACE | 1 |
Wolfgang Kasel | DE | Nubloch | 2011-06-09 / 20110136967 - METHOD FOR PRODUCING ETHYLENE COPOLYMERS | 1 |
Wolfgang Kasel | DE | Nussloch | 2011-07-28 / 20110184129 - METHOD FOR PRODUCING ETHYLENE COPOLYMERS | 3 |
Dustin L. Kasel | US | Minnetrista | 2015-07-23 / 20150202361 - DEVICES AND METHODS FOR MODULATING MEDIUM DELIVERY | 1 |
Dustin Kasel | US | Minnetrista | 2015-07-23 / 20150202386 - VOLUME MONITORING DEVICE UTILIZING HALL SENSOR-BASED SYSTEMS | 2 |
Edis Kasem | CH | Zurich | 2014-09-18 / 20140275446 - HARDENERS FOR EPOXY RESINS, WHICH COMPRISE AROMATIC AMINO GROUPS | 1 |
Mohammed Kasem | US | Santa Clara | 2015-11-19 / 20150331438 - COMPLETE POWER MANAGEMENT SYSTEM IMPLEMENTED IN A SINGLE SURFACE MOUNT PACKAGE | 3 |
Michelle Kasem | US | Chula Vista | 2015-10-08 / 20150284399 - PROCESSES FOR THE PREPARATION OF S1P1 RECEPTOR MODULATORS AND CRYSTALLINE FORMS THEREOF | 3 |
Y. Mohammed Kasem | US | Santa Clara | 2011-07-21 / 20110176247 - PRECISION HIGH-FREQUENCY CAPACITOR FORMED ON SEMICONDUCTOR SUBSTRATE | 4 |
Stephan Kasemann | AT | Feldkirch | 2011-11-17 / 20110281026 - CLEANING METHOD FOR COATING SYSTEMS | 2 |
Martin Kasemann | DE | Denzlingen | 2011-01-20 / 20110012636 - MEASURING METHOD AND DEVICE FOR CHARACTERIZING A SEMICONDUCTOR COMPONENT | 1 |
Karl-Jurgen Kasemann | DE | Meinerzhagen | 2008-12-18 / 20080311237 - Device for Processing an Edible Product | 1 |
Daniel Kasemann | DE | Dresden | 2016-02-18 / 20160049605 - METHOD FOR MANUFACTURING AN ORGANIC ELECTRONIC DEVICE AND ORGANIC ELECTRONIC DEVICE | 1 |
Reiner Kasemann | DE | Ottobrunn | 2014-03-27 / 20140087104 - Laminar Structure Providing Adaptive Thermal Insulation | 2 |
Martin Kasemann | DE | Monheim | 2011-01-27 / 20110019877 - Method and Apparatus For Monitoring a Production Line | 1 |
Bradley L. Kasemeier | US | Denver | 2014-06-19 / 20140165974 - AN EXHAUST GAS RECIRCULATION MIXER | 1 |
Clare Kasemest | US | Sunnyvale | 2014-07-31 / 20140215398 - INTERFACE SCANNING FOR DISABLED USERS | 1 |
Edis Kasemi | CH | Zurich | 2015-12-03 / 20150344615 - CURING AGENTS FOR LOW-EMISSION EPOXY RESIN PRODUCTS | 9 |
Bengt Kasemo | SE | Kopmannebro | 2012-07-26 / 20120188551 - SENSOR USING LOCALIZED SURFACE PLASMON RESONANCE (LSPR) | 3 |
Bengt Kasemo | SE | Köpmannebro | 2010-06-10 / 20100139420 - CELL FOR CONFINEMENT OF VERY SMALL VOLUMES OF SOFT MATTER AND FLUIDS | 1 |
Justin A. Kasemodel | US | Mckinney | 2015-07-16 / 20150200460 - Dual Polarized Array Antenna With Modular Multi-Balun Board and Associated Methods | 4 |
Anton Kasenbacher | DE | Traunstein | 2015-09-17 / 20150257827 - LASER BEAM ALIGNING UNIT AND LASER TREATMENT DEVICE FOR TREATING A MATERIAL | 8 |
Hidenori Kaseno | JP | Ishikawa | 2014-09-25 / 20140288474 - Supporter | 1 |
Atsushi Kaseno | JP | Osaka | 2015-01-22 / 20150022613 - IMAGE FORMING APPARATUS | 2 |
Osamu Kaseno | JP | Hamura-Shi | 2013-02-07 / 20130036205 - Metadata Processing Apparatus, Server, and Metadata Processing Method | 2 |
Osamu Kaseno | JP | Tokyo | 2014-04-03 / 20140092224 - VIDEO PROCESSING APPARATUS AND VIDEO PROCESSING METHOD | 2 |
Deborah Kaser | US | Homeland | 2013-04-04 / 20130081797 - HEAT EXCHANGER HAVING POWDER COATED ELEMENTS | 2 |
Matthew Kaser | US | Montvale | 2014-01-23 / 20140020711 - Peroxygen Containing Cleaning Substrates with Improved Storage Stability | 1 |
Matthew James Kaser | US | Montvale | 2010-09-23 / 20100240752 - Aqueous Acidic Hard Surface Cleaning and Disinfecting Compositions | 1 |
David R. Kaser | US | Clover | 2014-09-18 / 20140259668 - TURBINE BLADE TIP REPAIR | 1 |
Matthew C. Kaser | US | Coppell | 2014-09-11 / 20140252818 - Stable Mounting System for Seatback Shelving | 1 |
Erhard Kaser | DE | Colmberg | 2012-12-27 / 20120325801 - OVEN AND METHOD FOR OPERATING AN OVEN | 2 |
Robert Kaser | US | Spencerport | 2010-06-17 / 20100148231 - ELIMINATION OF GLOWING ARTIFACT IN DIGITAL IMAGES CAPTURED BY AN IMAGE SENSOR | 1 |
Alfred Kaser | DE | Seligenstadt | 2015-12-17 / 20150365047 - Solar Module | 1 |
Adolf Kaser | CH | Bottmingen | 2015-12-17 / 20150361289 - AQUEOUS LASER-SENSITIVE COMPOSITION FOR MARKING SUBSTRATES | 12 |
Erhard Kaser | DE | Rothenburg Ob Der Tauber | 2015-07-30 / 20150211747 - EXHAUST CLOSURE SYSTEM FOR A COOKING OVEN | 1 |
Matthew R. Kaser | US | Castro Valley | 2015-01-15 / 20150017173 - ANTIBODIES TO HUMAN TRANSMEMBRANE PROTEINS | 2 |
Arthur Kaser | GB | Cambridgeshire | 2013-12-12 / 20130330715 - ASSAYS AND METHODS TO SEQUENCE MICROBES DIRECTLY FROM IMMUNE COMPLEXES | 1 |
Jack Kaser | US | Homeland | 2013-04-04 / 20130081797 - HEAT EXCHANGER HAVING POWDER COATED ELEMENTS | 2 |
Sneha Kumar Kasera | US | 2015-12-17 / 20150365288 - Programmable Data Network Management and Operation | 1 | |
Vishal Kasera | US | San Francisco | 2010-05-27 / 20100131529 - OPEN ENTITY EXTRACTION SYSTEM | 1 |
Setu Kasera | GB | Cambridge | 2014-04-24 / 20140113382 - PLASMONIC JUNCTIONS FOR SURFACE-ENHANCED SPECTROSCOPY | 1 |
Sneha K. Kasera | US | Salt Lake City | 2015-11-12 / 20150326485 - AUTO-TUNING ACTIVE QUEUE MANAGEMENT | 1 |
Sneha Kumar Kasera | US | Salt Lake City | 2015-12-17 / 20150365288 - Programmable Data Network Management and Operation | 1 |
Sneha Kasera | US | Salt Lake City | 2011-11-17 / 20110280397 - Method and System for Secret Key Exchange Using Wireless Link Characteristics and Random Device Movement | 4 |
Priit Kasesalu | EE | Tallinn | 2010-07-15 / 20100177770 - Peer-To-Peer Telephone System | 3 |
Tiffany Kasettratut | US | Indianapolis | 2011-10-06 / 20110240132 - TESTING SYSTEM AND METHOD FOR AC SYSTEM IN A VEHICLE | 1 |
Gopinath Kasetty | SE | Lund | 2012-07-12 / 20120177715 - HEPARIN COFACTOR II FRAGMENTS WITH ANTI-INFLAMMATORY AND ANTI-COAGULANT ACTIVITY | 2 |
Rajan B. Kasetty | US | Riverside | 2012-05-17 / 20120118554 - Thermal Energy Storage System Comprising Optimal Thermocline Management | 1 |
Shruti Kasetty | US | Redmond | 2013-05-23 / 20130132586 - Selective Connection Between Corresponding Communication Components Involved in a Teleconference | 5 |
Rajan Kasetty | US | Riverside | 2010-09-16 / 20100230075 - Thermal Storage System | 1 |
Shruti Kasetty | US | Bellevue | 2008-11-13 / 20080279197 - Application level router for routing heterogeneous input to the most appropriate application | 1 |
Rajan Babu Kasetty | US | Riverside | 2012-01-26 / 20120018116 - THERMAL ENERGY STORAGE SYSTEM COMPRISING ENCAPSULATED PHASE CHANGE MATERIAL | 1 |
Asok Kumar Kasevan | MY | Johor | 2012-02-23 / 20120042547 - STEAM DISCHARGE UNIT FOR USE IN A SOLEPLATE OF A STEAM IRON | 1 |
Asok Kumar Kasevan | SG | Singapore | 2010-04-22 / 20100095564 - STEAMING SYSTEM | 1 |
Asok Kumar Kasevan | MY | Johor Baru | 2015-05-07 / 20150122848 - GARMENT TREATMENT STAND | 1 |
Asok Kumar Kasevan | NL | Eindhoven | 2015-11-19 / 20150330014 - A GARMENT STEAMING DEVICE | 1 |
Raymond S. Kasevich | US | Mount Washington | 2010-03-18 / 20100065265 - METHOD AND APPARATUS FOR IN-SITU RADIOFREQUENCY ASSISTED GRAVITY DRAINAGE OF OIL (RAGD) | 2 |
Hiroyasu Kaseya | JP | Fujimi | 2013-05-16 / 20130120721 - LIGHT-EMITTING DEVICE, METHOD FOR MANUFACTURING THE SAME, AND PROJECTOR | 3 |
Hiroyasu Kaseya | JP | Suwa | 2013-10-24 / 20130278901 - LIGHT EMITTING APPARATUS, ILLUMINATOR, AND PROJECTOR | 6 |
Hiromi Kaseyama | JP | Tokyo | 2010-06-17 / 20100151532 - CHONDROITIN-PRODUCING BACTERIUM AND METHOD OF PRODUCING CHONDROITIN | 1 |
Akihide Kasezawa | JP | Kawasaki | 2008-09-25 / 20080232798 - LOSS-OF-LIGHT DETECTING APPARATUS | 1 |
Yoshimasa Kasezawa | JP | Shizuoka | 2009-03-12 / 20090065178 - Liquid cooling jacket | 1 |
Eric Kash | US | Roslyn Heights | 2011-06-30 / 20110161152 - METHOD AND APPARATUS FOR PRINTING ON DEMAND COUPONS TRIGGERED BY A TEXT MESSAGE | 1 |
Jared A. Kash | US | New City | 2014-06-26 / 20140181149 - SYSTEMS AND METHODS FOR PROVIDING MULTIMEDIA | 1 |
Michael D. Kash | US | Huntersville | / - | 1 |
Brian L. Kash | US | Portland | 2016-05-19 / 20160136882 - Athletic Band with Removable Module | 6 |
Jeffrey Alan Kash | US | Pleasantville | 2010-09-23 / 20100239266 - METHOD AND APPARATUS FOR IMPLEMENTING NON-BLOCKING COMPUTER INTERCONNECTION NETWORK USING BIDIRECTIONAL OPTICAL SWITCH | 1 |
Jeffrey A. Kash | US | Pleasantville | 2014-02-20 / 20140050436 - PHOTONIC MODULATOR WITH FORWARD-AND REVERSE-BIASED DIODES FOR SEPARATE TUNING AND MODULATING ELEMENTS | 6 |
John C. Kash | US | Potomac | 2014-11-06 / 20140329792 - SYNTHETIC CATALASE/SUPEROXIDE DISMUTASE MIMETICS AND METHODS FOR TREATING VIRAL INFECTIONS | 1 |
Edward Cannoy Kash | US | Houston | 2015-03-26 / 20150083436 - METHOD FOR INSTALLING AND OPERATING A CABLE HEAD WITH CABLE SHEAR MECHANISM FOR WIRELINE CABLE SUPPORTING OILFIELD EQUIPMENT IN A WELLBORE | 4 |
Brian Kash | US | Portland | 2013-05-02 / 20130110264 - Wearable Device Having Athletic Functionality | 1 |
Ian Kash | GB | Cambridge | 2014-09-18 / 20140278944 - UTILIZING A RESERVE PRICE FOR RANKING | 1 |
James Edward Kash | US | Houston | 2013-02-14 / 20130037255 - RUST RESISTANT WELL PERFORATING GUN WITH GRIPPING SURFACES | 2 |
Dan B. Kasha | US | Seattle | 2015-04-02 / 20150094007 - Receiver Chip with Multiple Independent Loop-Through Paths | 13 |
Dan Kasha | US | Seattle | 2015-04-23 / 20150111514 - HIGH PERFORMANCE, LOW COST RECEIVER FRONT END | 1 |
Vladislav Kasha | US | Rochester | 2011-01-06 / 20110000066 - System and method for mounting lens in cells | 1 |
Dan B. Kasha | US | Seattle | 2015-04-02 / 20150094007 - Receiver Chip with Multiple Independent Loop-Through Paths | 13 |
Robert James Kasha | US | Northridge | 2016-03-31 / 20160093276 - MUSICAL INSTRUMENT WITH OPPOSING ADJUSTABLE PITCH DRUMS | 1 |
John Kasha | US | Simi Valley | 2015-12-03 / 20150348524 - TRACK TRAPPING AND TRANSFER | 1 |
Adam Kasha | US | Ann Arbor | 2016-03-10 / 20160068295 - DOUBLE-WALLED ARTICLES FOR RECEIVING DECORATIVE FILLER MATERIALS | 2 |
Dan B. Kasha | US | Salt Lake City | 2009-10-29 / 20090270063 - Low-if integrated data receiver and associated methods | 1 |
John R. Kasha | US | Rockville | 2009-03-19 / 20090077171 - SYSTEMS AND METHODS FOR MONITORING WEBPAGES | 1 |
Yaron Kashai | US | Sunnyvale | 2009-07-02 / 20090172632 - Method, System, and Computer Program Product for Implementing External Domain Independent Modeling Framework in a System Design | 2 |
Neeraj Kashalkar | US | Irvine | 2009-01-01 / 20090007207 - HARDWARE-IMPLEMENTED HANDLING OF BACK-TO-BACK AND PARALLEL TIME SLICES IN A VIDEO BROADCASTING RECEIVER | 3 |
Fatah Kashanchi | US | Manassas | 2012-06-14 / 20120149708 - MODULATORS OF VIRAL TRANSCRIPTION, AND METHODS AND COMPOSITIONS THEREWITH | 2 |
Fatah Kashanchi | US | North Bethesda | 2010-09-09 / 20100229263 - PROTEIN MICROSCOPE | 1 |
Fatah Kashanchi | US | Potomac | 2012-07-26 / 20120190723 - VIRAL MODULATORS AND PROCESSES THEREOF | 3 |
Kamyar Kashani | US | Los Angeles | 2013-07-04 / 20130168283 - ENVIRONMENTALLY FRIENDLY BAGS FOR MARKETING | 1 |
Amir Kashani | US | Royal Oak | 2016-03-10 / 20160067091 - SURGICAL HAND PIECE FOR CATARACT REMOVAL | 1 |
Amir Kashani | US | Mission Viejo | 2010-08-12 / 20100205448 - DEVICES, SYSTEMS AND METHODS FOR SECURE VERIFICATION OF USER IDENTITY | 1 |
Ahmad Reza Kashani | US | Dayton | 2012-08-02 / 20120193846 - ADJUSTABLE VIBRATION ISOLATION AND TUNED MASS DAMPER SYSTEMS | 2 |
Hamid Kashani | US | San Jose | 2013-10-31 / 20130286638 - Universal Mounting System For Pole Mounted Area Lights | 1 |
Mariam Kashani | US | Bethesda | 2011-10-06 / 20110246227 - SYSTEM AND METHOD OF PROVIDING AN OPTIMIZED-PERSONALIZED HEALTH MAINTENANCE PLAN | 2 |
Hossein Kashani | US | Woodbury | 2009-02-12 / 20090041353 - Method and system for collecting event attendee information | 1 |
Ali Kashani | US | San Jose | 2011-08-04 / 20110189500 - Carbon Nanotube Arrays as Thermal Interface Materials | 2 |
Mani Razaghi Kashani | US | Palo Alto | 2013-09-19 / 20130245729 - COLD THERAPY SYSTEMS AND METHODS | 1 |
Ali Kashani | US | Houston | 2015-07-23 / 20150204127 - Dimension Adjustable Composite Doors, Bi-fold Doors, and Methods of Construction Thereof | 3 |
Amir H. Kashani | US | Los Angeles | 2011-08-25 / 20110206291 - COMBINED SPECTRAL AND POLARIMETRY IMAGING AND DIAGNOSTICS | 1 |
Reza Kashani | US | Houston | 2015-07-23 / 20150204127 - Dimension Adjustable Composite Doors, Bi-fold Doors, and Methods of Construction Thereof | 3 |
Amir H. Kashani | US | Pasadena | 2015-12-24 / 20150366707 - SMALL MOLECULE TRANSPORT DEVICE FOR DRUG DELIVERY OR WASTE REMOVAL | 1 |
Mani Razaghi Kashani | US | Cupertino | 2015-08-20 / 20150236446 - CONNECTOR RETENTION FEATURES FOR REDUCED WEAR | 1 |
Israel Kashani | IL | Kiryat Ono | 2012-02-23 / 20120045029 - RELATIVE TIME MEASUREMENT SYSTEM WITH NANOSECOND LEVEL ACCURACY | 1 |
Houman Kashanipour | US | Batavia | 2014-08-28 / 20140241963 - COLD START STARTUP UNIT FOR UREA-BASED SYSTEMS | 1 |
Kaveh Kashani-Shirazi | DE | Munchen | 2013-11-28 / 20130313757 - SELF-HARDENING MATERIAL AND PROCESS FOR LAYERWISE FORMATION OF MODELS | 4 |
Nawid Kashani-Shirazi | DE | Iivesheim | 2014-06-12 / 20140158515 - CONTINUOUS PROCESS FOR PREPARING MENTHOL IN PURE OR ENRICHED FORM | 2 |
Nawid Kashani-Shirazi | DE | Ilvesheim | 2013-02-21 / 20130046118 - METHOD FOR PRODUCING OPTICALLY ACTIVE, RACEMIC MENTHOL | 5 |
Nawid Kashani-Shirazi | DE | Mannheim | 2016-03-31 / 20160090546 - The Use Of Polytetrahydrofuranes In Lubricating Oil Compositions | 5 |
Nawid Kashani-Shirazi | DE | Liveshime | 2010-06-24 / 20100160667 - Shaped Catalyst Bodies with Characteristics of Ion Exchangers | 1 |
Maor Kashansky | US | Sammamish | 2012-12-27 / 20120331388 - DISCOVERING, DEFINING, AND IMPLEMENTING COMPUTER APPLICATION TOPOLOGIES | 2 |
Oleg Kashapov | US | Alpharetta | 2016-03-03 / 20160066063 - METHOD AND APPARATUS FOR PRESENTING DYNAMIC MEDIA CONTENT | 12 |
Nadezhda V. Kashchenko | RU | Moscow | 2011-11-24 / 20110289308 - TEAM SECURITY FOR PORTABLE INFORMATION DEVICES | 2 |
Bahman E. Kashef | US | Latham | 2014-07-03 / 20140188422 - CALBRATION OF A SENSOR ASSEMBLY FOR USE IN MEDICAL POSITION/ORIENTATION TRACKING | 1 |
Bahman Ebrahimi Kashef | US | Latham | 2011-09-29 / 20110235875 - METHODS AND APPARATUS FOR OPTICAL SEGMENTATION OF BIOLOGICAL SAMPLES | 1 |
Hooman Kashef | US | Cota De Caza | 2011-12-01 / 20110295974 - SEAMLESS TRANSFER OF MEDIA STREAMS | 1 |
Hooman Kashef | US | Coto De Caza | 2015-12-31 / 20150382198 - SYSTEMS AND TECHNIQUES FOR WIRELESS DEVICE CONFIGURATION | 2 |
Hooman Kashef | US | Melbourne | 2009-07-02 / 20090168737 - Transmission Using a Plurality of Protocols | 1 |
Forough Kashef | US | Fremont | / - | 1 |
Rouzbeh Kashef | US | La Jolla | 2010-04-01 / 20100080331 - METHOD AND APPARATUS FOR INTEGRATED CLOCK MISMATCH COMPENSATION AND PACKET LOSS CONCEALMENT | 3 |
Youssef Kashef | EG | Cairo | 2011-10-27 / 20110263946 - METHOD AND SYSTEM FOR REAL-TIME AND OFFLINE ANALYSIS, INFERENCE, TAGGING OF AND RESPONDING TO PERSON(S) EXPERIENCES | 1 |
Mohamed Tawfeek Kashef | EG | Cairo | 2011-08-04 / 20110188478 - METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR INTERFERENCE-MINIMIZING CODE ASSIGNMENT AND SYSTEM PARAMETER SELECTION FOR CODE DIVISION MULTIPLE ACCESS (CDMA) NETWORKS | 1 |
Youssef Kashef | EG | Obour City | 2015-04-09 / 20150099987 - HEART RATE VARIABILITY EVALUATION FOR MENTAL STATE ANALYSIS | 3 |
Bahman Ebrahimi Kashef | US | Newburyport | 2015-03-05 / 20150065830 - SYSTEM AND METHOD FOR OPERATING A SENSOR FOR DETERMINING BLOOD CHARACTERISTICS OF A SUBJECT | 1 |
Zardosht Kasheff | US | New York | 2015-12-24 / 20150370860 - High-Performance Streaming Dictionary | 3 |
Zardosht Kasheff | US | Bellevue | 2008-08-28 / 20080205270 - Strategies for Selecting a Format for Data Transmission Based on Measured Bandwidth | 1 |
Zardosht Kasheff | US | Redmond | 2011-02-10 / 20110035758 - PLUG AND PLAY DEVICE REDIRECTION FOR REMOTE SYSTEMS | 1 |
Ali Kashefi | DE | Aachen | 2012-06-21 / 20120156489 - THREE-DIMENSIONALLY BRAIDED HOLLOW FIBER MODULE FOR MASS AND ENERGY TRANSFER OPERATIONS | 1 |
Kevin Kashefi | US | San Jose | 2015-05-21 / 20150140838 - Two Step Deposition of High-k Gate Dielectric Materials | 5 |
Kevin Kashefi | US | San Ramon | 2016-05-19 / 20160141335 - Diamond Like Carbon (DLC) in a Semiconductor Stack as a Selector for Non-Volatile Memory Application | 8 |
Kevin Kashefi | US | San Ramon | 2016-05-19 / 20160141335 - Diamond Like Carbon (DLC) in a Semiconductor Stack as a Selector for Non-Volatile Memory Application | 8 |
Keyvan Kashefizadeh | US | Dublin | 2009-09-24 / 20090239378 - METHODS FOR FORMING A TITANIUM NITRIDE LAYER | 2 |
Keyvan Kashefizadeh | US | Dublln | 2010-01-14 / 20100006425 - METHODS OF FORMING A LAYER FOR BARRIER APPLICATIONS IN AN INTERCONNECT STRUCTURE | 1 |
Neville Ka Shek Lee | HK | Hong Kong | 2014-06-26 / 20140173837 - METHOD OF PRODUCING SOLE FOR BENDING-ACTUATED AERATED FOOTWEAR | 1 |
Dilip Kashelikar | IN | Mumbai | 2015-02-12 / 20150045584 - PROCESS FOR PREPARING 4-AMINODIPHENYLAMINE | 1 |
Anwar Kashem | US | Cambridge | 2015-12-31 / 20150378603 - INTEGRATED CONTROLLER FOR TRAINING MEMORY PHYSICAL LAYER INTERFACE | 7 |
Boris A. Kashemirov | US | Los Angeles | 2014-04-10 / 20140100186 - METHOD TO IMPROVE ANTIVIRAL ACTIVITY OF NUCLEOTIDE ANALOGUE DRUGS | 6 |
Stanislav Kashepava | CA | Toronto | 2016-03-10 / 20160070689 - METHODS AND SYSTEMS FOR ANNOTATING A DASHBOARD | 4 |
Israel Kasher | IL | Raanana | 2012-05-17 / 20120120238 - TWO LAYER VIDEO MOTION DETECTION | 1 |
Ido Kasher | IL | Tel Aviv | 2015-11-19 / 20150331713 - PARALLEL SIMULATION USING MULTIPLE CO-SIMULATORS | 4 |
Roni Kasher | IL | Midreshet Ben-Gurion | 2012-12-27 / 20120325748 - ANTIMICROBIAL WATER TREATMENT MEMBRANES AND PRODUCTION THEREOF | 1 |
Assaf Kasher | IL | Haifa | 2016-04-28 / 20160119046 - APPARATUS, SYSTEM AND METHOD OF BEAMFORMING | 42 |
Stacy Kashey | US | Northfield | 2011-05-19 / 20110118782 - Infant Teething Device | 1 |
Khosrow Kashfi | US | Dix Hills | 2015-12-31 / 20150376162 - NSAIDs DERIVATIVES AND USES THEREOF | 2 |
Soraya Kashfian Yedidsion | US | Los Angeles | 2015-09-03 / 20150246765 - PROTECTIVE COVER FOR PREVENTING GERM CONTAMINATION | 1 |
Glen Kashgegian | US | Belmont | 2009-03-19 / 20090076955 - Electronic device repair kits and methods of use | 1 |
Asaf Kashi | US | Bellevue | 2015-01-22 / 20150026763 - EDUCATING USERS AND ENFORCING DATA DISSEMINATION POLICIES | 3 |
Ramanujan S. Kashi | IN | Bangalore | 2014-08-21 / 20140232814 - SYSTEM AND METHOD FOR MANAGING A PRESENTATION | 3 |
Ramanujan Kashi | IN | Magarpatta City | 2011-06-16 / 20110140904 - Detecting Patterns with Proximity Sensors | 3 |
Keiwan Kashi | DE | Duesseldorf | 2015-04-09 / 20150096826 - METHOD OF CONTROLLING AN ELECTRIC MOTOR OF A POWER STEERING SYSTEM, AND POWER STEERING SYSTEM | 1 |
Ori Kashi | US | Seattle | 2014-01-16 / 20140019423 - DATA LINEAGE ACROSS MULTIPLE MARKETPLACES | 1 |
Amin Kashi | US | Dearborn | 2011-08-11 / 20110196592 - METHOD AND DEVICE FOR ASSISTING A LANE CHANGE OF A VEHICLE | 1 |
Ramanujan Kashi | IN | Thalaghattapura | 2014-10-23 / 20140314226 - EXTERNAL CONTACT CENTER DATA COLLECTION AND MEASUREMENT | 1 |
Ramesh S. Kashi | US | Warren | 2015-10-15 / 20150290325 - LIQUID FORMULATIONS FOR TNFR:Fc FUSION PROTEINS | 4 |
Ramesh Kashi | US | 2015-10-29 / 20150307606 - LYOPHILIZED SPHERICAL PELLETS OF ANTI-IL-23 ANTIBODIES | 1 | |
Ramanujan Kashi | IN | Pune | 2011-12-29 / 20110320958 - CONFERENCE RECAP AND RECORDING | 2 |
Ramesh Kashi | US | Warren | 2015-10-29 / 20150307606 - LYOPHILIZED SPHERICAL PELLETS OF ANTI-IL-23 ANTIBODIES | 1 |
Keiwan Kashi | DE | Dusseldorf | 2012-04-19 / 20120091679 - Active Chassis Stabilization System | 1 |
Ramanujan Kashi | IN | Bangalore | 2016-01-07 / 20160006776 - SYSTEMS AND METHODS FOR ENHANCED CONFERENCE SESSION INTERACTION | 2 |
Rina Kashi | IL | Alfai-Menashe | 2014-09-18 / 20140275215 - ANTI-CLUSTERIN MONOTHERAPY FOR CANCER TREATMENT | 1 |
Ramesh S. Kashi | US | Andover | 2011-03-10 / 20110059041 - Vaccine for treatment and prevention of herpes simplex virus infection | 1 |
Ramesh S. Kashi | US | Kenilworth | 2015-11-19 / 20150329632 - Solution Formulations of Engineered Anti-IL-23p19 Antibodies | 1 |
Mieko Kashi | JP | Kanagawa | 2009-07-02 / 20090165824 - Cleaning apparatus for cleaning component part of magnetic disk drive and cleaning method of cleaning component part of magnetic disk drive | 1 |
Yechezkel Kashi | IL | Moshav Hayogev - D.n. Megiddo | 2010-06-10 / 20100143964 - COMPOSITIONS AND METHODS FOR CONCENTRATING AND DEPLETING MICROORGANISMS | 1 |
Yechezkei Kashi | IL | Haifa | 2009-07-16 / 20090181028 - B-CELL EPITOPE PEPTIDES OF HSP 65, NOVEL AMINO ACID SEQUENCES, DNA ENCODING THE AMINO ACID SEQUENCES OF SAID PEPTIDES, ANTIBODIES DIRECTED AGAINST SAID PEPTIDES AND DIFFERENT USES THEREOF IN THE TREATMENT OF INFLAMMATORY AND AUTOIMMUNE DISEASES | 1 |
Naoki Kashi | JP | Hyogo | 2015-12-10 / 20150352517 - CATALYTIC REACTOR | 2 |
Shuntaro Kashi | JP | Neyagawa-Shi | 2011-10-06 / 20110246771 - CONTENT REPRODUCING APPARATUS AND PROGRAM OF THE SAME | 2 |
Ramesh Kashi | US | Walnut | 2015-01-22 / 20150025010 - NOVEL ALBUMIN-FREE FACTOR VIII FORMULATIONS | 3 |
Satoshi Kashi | JP | Tokyo | 2015-05-14 / 20150134400 - MAINTENANCE PARTS INVENTORY PLANNING SYSTEM, MAINTENANCE PARTS INVENTORY PLANNING SYSTEM SERVER, AND MAINTENANCE PARTS INVENTORY PLANNING SYSTEM CLIENT TERMINAL | 1 |
Amin Kashi | US | Ann Arbor | / - | 1 |
Mostafa Kashi | US | Sunnyvale | 2012-08-09 / 20120202375 - SMALL FORM FACTOR COMPUTING DEVICE WITH CONNECTOR ASSEMBLY TO INTERCONNECT SLIDING HOUSING SEGMENTS | 3 |
Shuntaro Kashi | JP | Osaka | 2013-03-28 / 20130079909 - AUDIO OUTPUTTING APPARATUS AND PROGRAM OF THE SAME | 2 |
Mieko Kashi | JP | Yokohama | 2012-01-12 / 20120009800 - MASS PRODUCTION METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND MANUFACTURING METHOD OF ELECTRONIC DEVICE | 2 |
Ramesh Kashi | US | Andover | 2010-04-29 / 20100105870 - Method Of Complexing A Protein By The Use Of A Dispersed System And Proteins Thereof | 1 |
Rajanikanth Nagaraj Kashi | IN | Bangalore | 2015-12-17 / 20150364044 - AIRCRAFT DISPLAY SYSTEMS AND METHODS FOR PROVIDING AN AIRCRAFT DISPLAY FOR USE WITH AIRPORT DEPARTURE AND ARRIVAL PROCEDURES | 3 |
Kamran Kashi | US | Melbourne Beach | 2013-06-13 / 20130150074 - Crime Investigation Methods, Evidence Generation Methods, And Wireless Communications System Analysis Methods | 1 |
Kamran Kashi | US | Centreville | 2012-03-08 / 20120059899 - Communications-Network Data Processing Methods, Communications-Network Data Processing Systems, Computer-Readable Storage Media, Communications-Network Data Presentation Methods, and Communications-Network Data Presentation Systems | 1 |
Takaharu Kashi | JP | Imizu-Shi | 2014-01-23 / 20140023740 - PRESS-FORMING MOLD AND METHOD FOR MANUFACTURING PROTECTIVE FILM FOR PRESS-FORMING MOLD | 1 |
Avi Kashi | US | Marlboro | 2009-07-02 / 20090167529 - Electronic fence using wireless mesh network | 1 |
Masayuki Kashiba | JP | Shiga | 2013-10-17 / 20130270766 - SHEET FEEDING MACHINE | 2 |
Takashi Kashiba | JP | Kanagawa | 2015-12-24 / 20150368022 - OXYGEN-ABSORBING MULTILAYER BODY, OXYGEN-ABSORBING CONTAINER, OXYGEN-ABSORBING AIRTIGHT CONTAINER, OXYGEN-ABSORBING PUSH-THROUGH PACK, AND STORAGE METHOD USING SAME | 7 |
Yusuke Kashiba | JP | Toyota-Shi | 2015-05-07 / 20150127203 - VEHICLE TRAVEL CONTROL ASSISTANCE DEVICE | 1 |
Yoshihiro Kashiba | JP | Chiyoda-Ku | 2015-01-22 / 20150021750 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Shailaja Kashibhatla | US | San Diego | 2012-03-08 / 20120058112 - ANTIBODIES | 1 |
Yoichi Kashibuchi | JP | Kawasaki-Shi | 2015-06-04 / 20150153927 - DISPLAY APPARATUS, METHOD, AND STORAGE MEDIUM | 9 |
Yoichi Kashibuchi | JP | Tokyo | 2011-05-12 / 20110109942 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD FOR CORRECTING REGISTRATION DEVIATION | 21 |
Meguru Kashida | JP | Annaka-Shi | 2013-10-10 / 20130266500 - SILICON OXIDE MATERIAL FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY NEGATIVE ELECTRODE MATERIAL, MAKING METHOD, NEGATIVE ELECTRODE, LITHIUM ION SECONDARY BATTERY, AND ELECTROCHEMICAL CAPACITOR | 10 |
Shunichi Kashida | JP | Kyoto | 2011-10-27 / 20110263026 - Construction of Protein-Responsive shRNA/RNAi Control System Using RNP Motif | 1 |
Kazuo Kashida | JP | Tokyo | 2016-04-07 / 20160097566 - COOLING SYSTEM USING DEEP SEAWATER | 2 |
Yona Kashida | JP | Kanagawa | 2014-09-11 / 20140258058 - COST MANAGEMENT SYSTEM, COST MANAGEMENT APPARATUS, AND COST MANAGEMENT METHOD | 3 |
Meguru Kashida | JP | Annaka-Shi | 2013-10-10 / 20130266500 - SILICON OXIDE MATERIAL FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY NEGATIVE ELECTRODE MATERIAL, MAKING METHOD, NEGATIVE ELECTRODE, LITHIUM ION SECONDARY BATTERY, AND ELECTROCHEMICAL CAPACITOR | 10 |
Motokazu Kashida | JP | Tokyo | 2009-11-12 / 20090279871 - IMAGE PROCESSING APPARATUS | 1 |
Hiromu Kashida | JP | Nagoya-Shi | 2013-10-31 / 20130284944 - INSULATOR AND USE THEREOF | 3 |
Faisal Mahmood Kashif | US | Cambridge | 2010-03-11 / 20100063405 - SYSTEMS, DEVICES AND METHODS FOR NONINVASIVE OR MINIMALLY-INVASIVE ESTIMATION OF INTRACRANIAL PRESSURE AND CEREBROVASCULAR AUTOREGULATION | 1 |
Muhammad Kashif | PK | Karachi | 2013-01-17 / 20130018025 - Anticancer CompoundAANM Saifullah;AACI KarachiAACO PKAAGP Saifullah; Karachi PKAANM Khan; SaifullahAACI KarachiAACO PKAAGP Khan; Saifullah Karachi PKAANM Azizuddin;AACI KarachiAACO PKAAGP Azizuddin; Karachi PKAANM Kashif; MuhammadAACI KarachiAACO PKAAGP Kashif; Muhammad Karachi PKAANM Dar; AhsanaAACI KarachiAACO PKAAGP Dar; Ahsana Karachi PKAANM Choudhary; Muhammad IqbalAACI KarachiAACO PKAAGP Choudhary; Muhammad Iqbal Karachi PK | 1 |
Faisal Mahmood Kashif | US | Foothill Ranch | 2014-12-04 / 20140357965 - SYSTEMS, DEVICES AND METHODS FOR NONINVASIVE OR MINIMALLY-INVASIVE ESTIMATION OF INTRACRANIAL PRESSURE AND CEREBROVASCULAR AUTOREGULATION | 2 |
Aidin Kashigar | CA | London | 2012-06-21 / 20120155731 - QUANTITATIVE ENDOSCOPY | 1 |
Emi Kashihara | JP | Sagamihara | 2012-12-13 / 20120315413 - REFLECTIVE TEXTILE SLEEVE AND METHJOD OF CONSTRUCTION THEREOF | 3 |
Hideki Kashihara | JP | Osaka | 2013-04-18 / 20130092618 - SEPARATION MEMBRANE, WATER TREATMENT UNIT AND WATER TREATMENT APPARATUS | 9 |
Keiko Kashihara | JP | Osaka | 2015-02-05 / 20150035202 - MANUFACTURING METHOD OF MOLDED ARTICLE | 4 |
Natsuko Kashihara | JP | Ichihara-Shi | 2013-12-05 / 20130324733 - BINUCLEAR METAL COMPLEX, AND ORGANIC ELECTROLUMINESCENCE ELEMENT COMPRISING SAME | 1 |
Takayuki Kashihara | JP | Himeji-Shi | 2011-10-20 / 20110256051 - METHOD FOR PRODUCING SPHERICAL ALUMINA POWDER | 1 |
Hiroyuki Kashihara | JP | Akashi-Shi | 2011-04-28 / 20110094233 - Combustion Device and Method for Controlling Combustion Device | 1 |
Hideki Kashihara | JP | Osaka-Shi | 2016-02-18 / 20160045872 - FILTRATION APPARATUS AND METHOD FOR CLEANING FILTRATION MODULE | 3 |
Mabumi Kashihara | JP | Kanagawa | 2013-03-28 / 20130077995 - IMAGE FORMING APPARATUS AND TRANSPORT GUIDING DEVICE | 2 |
Keiichiro Kashihara | JP | Kanagawa | 2015-12-31 / 20150380360 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 5 |
Kazuyuki Kashihara | JP | Amagasaki-Shi | 2010-03-25 / 20100073141 - IC TAG READING DEVICE | 1 |
Hiroyuki Kashihara | JP | Ube-Shi | 2014-11-13 / 20140332756 - NITRIDE SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Yoshiki Kashihara | JP | Aichi | 2015-02-19 / 20150049307 - LIGHT SOURCE APPARATUS, IMAGE DISPLAY APPARATUS, AND OPTICAL UNIT | 1 |
Yutaka Kashihara | JP | Kanagawa | 2009-08-20 / 20090207721 - OPTICAL DISC AND OPTICAL DISC DEVICE | 2 |
Keizo Kashihara | JP | Nara | 2009-10-01 / 20090244550 - METHOD FOR CONTROLLING A MACHINE TOOL AND APPARATUS THEREFOR | 1 |
Keiko Kashihara | JP | Ibaraki-Shi | 2010-09-16 / 20100233486 - FLAME-RETARDANT RESIN COMPOSITION, AND PREPREG, RESIN SHEET AND MOLDED ARTICLE USING THE SAME | 2 |
Toshiaki Kashihara | JP | Tokyo | 2015-08-13 / 20150229172 - CONTROLLER-INTEGRATED ROTARY ELECTRIC MACHINE | 4 |
Toshiaki Kashihara | JP | Chiyoda-Ku | 2014-12-04 / 20140354093 - CONTROL DEVICE-INTEGRATED ROTATING ELECTRIC MACHINE | 4 |
Keiichiro Kashihara | JP | Kawasaki-Shi | 2015-02-26 / 20150054110 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Mitsuru Kashihara | JP | Osaka | 2013-10-03 / 20130262709 - ELECTRONIC DEVICE | 3 |
Takashi Kashihara | JP | Osaka | 2015-11-19 / 20150330403 - AIR CONDITIONING APPARATUS | 7 |
Keiichiro Kashihara | JP | Tokyo | 2011-08-25 / 20110207317 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 7 |
Yutaka Kashihara | JP | Chigasaki-Shi | 2013-09-05 / 20130229900 - INFORMATION STORAGE MEDIUM, RECORDING METHOD, AND RECORDING APPARATUS | 6 |
Takashi Kashihara | JP | Sakai-Shi | 2015-03-12 / 20150071775 - FAN UNIT AND AIR CONDITIONER | 2 |
Naoto Kashihara | JP | Osaka | 2010-04-08 / 20100084068 - Pneumatic Tire | 1 |
Takayuki Kashihara | JP | Kanagawa | 2011-10-06 / 20110245423 - FLUORORUBBER COMPOSITION AND PROCESS FOR PRODUCING CROSSLINKED FLUORORUBBER | 2 |
Mitsuhiro Kashihara | JP | Osaka | 2012-01-05 / 20120001069 - Magnifying Observation Apparatus | 1 |
Yoshimasa Kashihara | JP | Yokohama | 2014-07-24 / 20140205198 - IMAGE ENCODING APPARATUS | 2 |
Hideki Kashihara | JP | Osaka | 2013-04-18 / 20130092618 - SEPARATION MEMBRANE, WATER TREATMENT UNIT AND WATER TREATMENT APPARATUS | 9 |
Hiroyuki Kashihara | JP | Kobe-Shi | 2014-09-25 / 20140283498 - GAS TURBINE SYSTEM | 4 |
Masaki Kashihara | JP | Inuyama-Shi | 2016-03-10 / 20160068372 - Transfer Device and Carrier System | 1 |
Yoshiteru Kashihara | JP | Yamagata-Shi | 2010-05-27 / 20100125999 - METHOD OF FORMING COIL ASSEMBLY FOR STATOR | 1 |
Minoru Kashihara | JP | Joyo-Shi | 2016-04-14 / 20160103105 - THERMAL CONDUCTIVITY DETECTOR AND GAS CHROMATOGRAPH | 1 |
Yoshiyuki Kashihara | JP | Kanagawa | 2015-07-23 / 20150207353 - ELECTRONIC DEVICE | 1 |
Kenji Kashihara | JP | Takasago-Shi | 2010-09-30 / 20100249318 - AQUEOUS RESIN COMPOSITION AND METHOD OF PRODUCING THE SAME | 1 |
Minoru Kashihara | JP | Kyoto-Shi | 2015-11-05 / 20150316937 - GAS PRESSURE CONTROLLER | 1 |
Tatsuki Kashihara | JP | Isesaki-Shi | 2011-05-12 / 20110109169 - ANTENNA UNIT FOR READING RFID TAG | 1 |
Naoto Kashihara | JP | Osaka-Shi | 2010-08-12 / 20100200153 - Molding Method for a Pneumatic Tire | 1 |
Shuntaro Kashihara | JP | Saitama | 2008-10-23 / 20080263615 - INTEGRATED OPERATION MANAGEMENT SYSTEM OF VIDEO TRANSMISSION NETWORK AND OPERATION MANAGEMENT METHOD | 1 |
Kenji Kashihara | JP | Hyogo | 2016-05-12 / 20160130373 - POLYOLEFIN MODIFIED WITH NITROGEN-CONTAINING HETEROCYCLIC COMPOUND, AND ADHESIVE COMPOSITION CONTAINING SAME | 6 |
Kenji Kashihara | JP | Takasago | 2009-01-01 / 20090005483 - Aqueous Resin Composition and Method of Producing the Same | 1 |
Kazuyuki Kashihara | JP | Hyogo | 2011-08-25 / 20110205132 - WIDEBAND ANTENNA | 2 |
Hiroyuki Kashihara | JP | Komaki-Shi | 2011-09-29 / 20110233834 - STOPPER STRUCTURE OF TORQUE ROD | 1 |
Masakazu Kashihara | JP | Shizuoka | 2016-02-04 / 20160032309 - NUCLEIC ACID IMPARTING HIGH-YIELDING PROPERTY TO PLANT, METHOD FOR PRODUCING TRANSGENIC PLANT WITH INCREASED YIELD, AND METHOD FOR INCREASING PLANT YIELD | 4 |
Yasunari Kashihara | JP | Kanagawa | 2009-03-19 / 20090075974 - AGENT FOR PROPHYLAXIS AND TREATMENT OF PANCREATITIS | 1 |
Eiji Kashihara | JP | Wakayama | 2009-03-26 / 20090078154 - Coating Agent for Optical Instrument | 1 |
Hirofumi Kashihara | JP | Kanagawa | 2009-08-06 / 20090197412 - Chemical mechanical polishing composition and process | 1 |
Shinkichi Kashihara | JP | Konan-Shi | 2010-10-21 / 20100267537 - CHARGING ROLL AND METHOD OF PRODUCING THE SAME | 2 |
Yoshihiro Kashihara | JP | Kanagawa Pref. | 2010-03-04 / 20100055418 - (METH)ACRYLIC RESIN COMPOSITION AND FILMS OF SAME | 1 |
Takayuki Kashihara | JP | Fujisawa-Shi | 2012-07-19 / 20120184659 - Fluororubber Composition and Production Method of Cross-Linked Fluororubber Product | 2 |
Yutaka Kashihara | JP | Utsunomiya-Shi | 2010-02-11 / 20100031914 - HOLLOW MEMBER, CYLINDER SLEEVE AND METHODS FOR PRODUCING THEM | 1 |
Yoshikiyo Kashii | JP | Nagano | 2011-09-22 / 20110227867 - TOUCH PANEL AND ELECTRO-OPTICAL APPARATUS WITH INPUTTING FUNCTION | 1 |
Naoyuki Kashii | JP | Osaka | 2010-09-09 / 20100226225 - DATA REPRODUCTION DEVICE | 2 |
Yosuke Kashiide | JP | Kunitachi-Shi | 2014-05-08 / 20140126930 - PHOTOSENSITIVE DRUM AND PROCESS CARTRIDGE | 2 |
Yosuke Kashiide | JP | Susono-Shi | 2014-11-13 / 20140334845 - REGULATING DEVICE, IMAGE BEARING MEMBER CLEANING DEVICE, DEVELOPING DEVICE, CLEANING DEVICE, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 3 |
Yosuke Kashiide | JP | Tokyo | 2016-05-05 / 20160124347 - REMANUFACTURING METHOD OF DEVELOPER ACCOMMODATING UNIT | 11 |
Yosuke Kashiide | JP | Tokyo | 2016-05-05 / 20160124347 - REMANUFACTURING METHOD OF DEVELOPER ACCOMMODATING UNIT | 11 |
Pankaj Kashikar | US | Raleigh | 2010-02-11 / 20100033215 - Method and Apparatus for Constant On-Time Switch Mode Converters | 1 |
Rohit Kashikar | IN | Pune | 2011-12-29 / 20110321033 - Application Blueprint and Deployment Model for Dynamic Business Service Management (BSM) | 1 |
Sanjay P. Kashikar | BE | Kelmis | 2013-08-01 / 20130193623 - Method and Equipment for Reinforcing a Substance or an Object with Continuous Filaments | 4 |
Anand Devidas Kashikar | US | Sunnyvale | 2011-09-29 / 20110237237 - METHOD, SYSTEM, AND APPARATUS FOR NETWORK TIME AND DATE DETERMINATION | 1 |
Sudhendu Kashikar | US | Katy | 2010-06-24 / 20100155142 - SYSTEM AND METHOD FOR PERFORMING AN ADAPTIVE DRILLING OPERATION | 1 |
Anand Devidas Kashikar | IN | Bangalore | 2015-12-10 / 20150358875 - METHOD AND SYSTEM FOR PROVIDING ENHANCED PACKET DATA SERVICES TO A USER EQUIPMENT | 3 |
Sanjay Kashikar | BE | Kelmis | 2010-09-23 / 20100239840 - Fiber Size, Sized Reinforcements, and Articles Reinforced with Sized Reinforcements | 1 |
Anand Kashikar | US | Sunnyvale | 2012-03-22 / 20120069816 - Method and Apparatus for Controlling Cellular Reselection Attempts on a Computing Device | 4 |
Anand Kashikar | IN | Bangalore | 2012-05-17 / 20120122461 - TECHNIQUES FOR MANAGING COMMUNICATIONS RESOURCES FOR A MOBILE DEVICE | 1 |
Sunil Digvijay Kashikar | IN | Bangalore | 2015-12-24 / 20150370607 - BLUEPRINT-DRIVEN ENVIRONMENT TEMPLATE CREATION IN A VIRTUAL INFRASTRUCTURE | 2 |
Yasutaka Kashiki | JP | Hino-Shi | 2012-01-26 / 20120019931 - Zoom lens, and imaging apparatus incorporating the same | 2 |
Hajime Kashiki | JP | Kobe-Shi | 2015-08-20 / 20150231734 - FRICTION STIR SPOT WELDING DEVICE AND FRICTION STIR SPOT WELDING METHOD | 5 |
Yasutaka Kashiki | JP | Tokyo | 2010-10-21 / 20100265595 - Two-unit zoom lens system and image pickup apparatus using the same | 1 |
Nobusuke Kashiki | JP | Tainai-Shi | 2016-02-25 / 20160051450 - CURABLE COMPOSITION | 1 |
Takuya Kashiki | JP | Utsunomiya-Shi | 2015-11-26 / 20150338516 - OBJECT RECOGNITION APPARATUS AND VEHICLE | 1 |
Kanshiro Kashiki | JP | Fujimino-Shi | 2010-07-01 / 20100165864 - COMMUNICATION CHARACTERISTIC MEASURING DEVICE ADAPTED TO WIRELESS TERMINAL | 1 |
Tomoya Kashiki | JP | Tsukuba-Shi | 2014-06-05 / 20140151680 - POLYMER COMPOUND AND ORGANIC TRANSISTOR USING SAME | 1 |
Nobusuke Kashiki | JP | Niigata | 2010-11-04 / 20100280253 - PRODUCTION METHOD OF 6-HALOGENO-3-ARYLPYRIDINE DERIVATIVE | 1 |
Yasushige Kashima | JP | Tochigi | 2010-04-08 / 20100086525 - Compositions and Methods for Altering Pancreas or Liver Function | 1 |
Kazuhiko Kashima | JP | Hadano-Shi | 2013-07-11 / 20130175726 - METHOD FOR MANUFACTURING SILICON WAFER | 4 |
Ryuuichi Kashima | JP | Shinjuku-Ku | 2010-02-04 / 20100024484 - METHOD OF MANUFACTURING GLASS SUBSTRATE FOR MAGNETIC DISK AND SYSTEM FOR MANUFACTURING GLASS SUBSTRATE FOR MAGNETIC DISK | 1 |
Soji Kashima | JP | Wako-Shi | 2012-07-05 / 20120167861 - VALVE OPERATING SYSTEM FOR INTERNAL COMBUSTION ENGINE | 3 |
Takafumi Kashima | JP | Sakura-Shi | 2009-11-19 / 20090286446 - DISPLAY APPARATUS, MANUFACTURING METHOD THEREOF, PATTERN DISPLAY METHOD, BLIND APPARATUS, AND BLIND METHOD | 2 |
Jiro Kashima | JP | Chiba | 2011-10-13 / 20110247277 - DOOR ASSEMBLY INCLUDING A SENSOR FOR CONTROLLING AUTOMATED DOOR MOVEMENT | 2 |
Koji Kashima | JP | Seto-Shi | 2009-08-27 / 20090211309 - DRUM TYPE WASHING-DRYING MACHINE | 1 |
Shunji Kashima | JP | Ibaraki | 2009-08-20 / 20090207240 - ELECTRONIC ENDOSCOPE AND ENDOSCOPE SYSTEM USING SAME | 1 |
Hajime Kashima | JP | Shizuoka | 2011-02-17 / 20110039845 - 2-AMINOQUINAZOLINE DERIVATIVE | 2 |
Hideo Kashima | JP | Kokubunji | 2015-08-20 / 20150235831 - ANALYSIS DEVICE AND ANALYSIS METHOD | 5 |
Hisashi Kashima | JP | Yokohama-Shi | 2009-06-25 / 20090164479 - METHOD AND SYSTEM FOR LI-BASED ROBUST DISTRIBUTION CLUSTERING OF MULTINOMIAL DISTRIBUTIONS | 2 |
Hiroshi Kashima | JP | Mie | 2009-06-18 / 20090152898 - Pipe member and method of manufacturing the same | 1 |
Yoshiyuki Kashima | JP | Sodegaura-Shi | 2014-10-30 / 20140319411 - SEMICONDUCTOR WAFER POLISHING LIQUID COMPOSITION | 2 |
Yasunori Kashima | JP | Arida-Shi | 2011-07-21 / 20110174042 - LINEAR MATERIAL AND STATOR STRUCTURE | 4 |
Yukiro Kashima | JP | Osaka | 2009-04-16 / 20090096936 - RECEIVING DEVICE, ELECTRONIC DEVICE USING THE SAME, AND RECEIVING METHOD | 1 |
Hiroaki Kashima | JP | Yokohama-Shi | 2009-02-12 / 20090044252 - SYSTEM, METHOD, AND PROGRAM FOR INSTANT MESSAGINGS | 1 |
Toshihiro Kashima | JP | Kyoto-Shi | 2015-10-01 / 20150276467 - FLOW RATE CONTROL DEVICE | 3 |
Hisashi Kashima | JP | Yamato-Shi | 2009-01-29 / 20090031176 - Anomaly detection | 1 |
Shinji Kashima | JP | Kanagawa-Ken | 2008-12-18 / 20080308218 - Method and Device for Producing Winding Liner with Spacer for Unvulcanized Strip Rubber Member, and Method and Device for Producing Spacer Member for Winding | 1 |
Masato Kashima | JP | Matsumoto City | 2008-12-04 / 20080298105 - Switching power supply system | 1 |
Hideki Kashima | JP | Kariya-Shi | 2015-02-26 / 20150055696 - COMMUNICATION SYSTEM | 8 |
Hiroaki Kashima | JP | Yokohama | 2008-12-04 / 20080300881 - Speech Recognition Device Using Statistical Language Model | 2 |
Takahiro Kashima | JP | Hyogo | 2008-10-16 / 20080251161 - High Strength Cold Rolled Steel Sheet and Plated Steel Sheet Excellent in the Balance of Strength and Workability | 1 |
Hiroaki Kashima | JP | Kanagawa-Ken | 2008-10-16 / 20080253619 - TECHNIQUE FOR AUTHENTICATING AN OBJECT ON BASIS OF FEATURES EXTRACTED FROM THE OBJECT | 1 |
Shunji Kashima | JP | Moriya | 2008-10-02 / 20080237446 - SOLID-STATE IMAGE PICKUP DEVICE AND METHOD | 1 |
Takayuki Kashima | JP | Kusatsu-Shi | 2015-02-26 / 20150057156 - AGENT FOR INCREASING SUGAR CONTENT IN FRUIT | 1 |
Rei Kashima | JP | Tokyo | 2015-11-12 / 20150324079 - INFORMATION PROCESSING APPARATUS | 4 |
Kenichi Kashima | JP | Tokyo | 2015-02-12 / 20150047010 - PATH CONTROL SYSTEM, CONTROL DEVICE, AND PATH CONTROL METHOD | 1 |
Naoki Kashima | JP | Katsushika-Ku | 2015-02-05 / 20150034369 - RESIN COMPOSITION FOR PRINTED WIRING BOARDS | 1 |
Masayoshi Kashima | JP | Tokyo | 2016-03-03 / 20160062110 - CAMERA MODULE | 4 |
Hideki Kashima | JP | Kariya-City | 2015-10-15 / 20150295738 - COMMUNICATION SYSTEM | 1 |
Nobuyuki Kashima | JP | Tokyo | 2013-12-19 / 20130334397 - Module Used for Stacking Thin Plate Panels | 1 |
Shigeyuki Kashima | JP | Tokyo | 2016-05-19 / 20160142489 - CONNECTION CONTROL APPARATUS, STORAGE APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM HAVING STORED THEREIN CONTROL PROGRAM | 1 |
Yukio Kashima | JP | Tokyo | 2016-05-12 / 20160133785 - DEEP ULTRAVIOLET LED AND METHOD FOR MANUFACTURING THE SAME | 4 |
Hiroaki Kashima | JP | Tokyo | 2016-04-14 / 20160104354 - SLOT MACHINE INCLUDING A PLURALITY OF VIDEO REEL STRIPS | 4 |
Hideki Kashima | JP | Kanagawa | 2013-02-14 / 20130040048 - ELECTRODE STRUCTURE OF PIEZOELECTRIC ELEMENT, METHOD OF FORMING ELECTRODE OF PIEZOELECTRIC ELEMENT, PIEZOELECTRIC ACTUATOR, AND HEAD SUSPENSION | 1 |
Takayuki Kashima | JP | Tokyo | 2012-08-30 / 20120217903 - CIRCULAR ACCELERATOR AND OPERATING METHOD THEREFOR | 1 |
Naoki Kashima | US | 2016-05-05 / 20160125971 - RESIN COMPOSITION FOR PRINTED WIRING BOARD MATERIAL AND PREPREG, RESIN SHEET, METAL FOIL-CLAD LAMINATE, AND PRINTED WIRING BOARD USING THE SAME | 1 | |
Atsuki Kashima | JP | Annaka-Shi | 2016-03-17 / 20160075853 - Fluorosilicone Rubber Compound and Rubber Part for Use Near Cargo Plane Engines | 1 |
Hisashi Kashima | JP | Tokyo-To | 2014-01-30 / 20140032490 - GRAPH SIMILARITY CALCULATION SYSTEM, METHOD AND PROGRAM | 2 |
Rei Kashima | JP | Shinagawa-Ku | 2016-03-10 / 20160071195 - ELECTRONIC SHOPPING MALL SYSTEM, TERMINAL, DISPLAY CONTROL METHOD, RECORDING MEDIUM, AND PROGRAM | 1 |
Makoto Kashima | JP | Ichihara-Shi | 2014-05-29 / 20140148550 - PROCESS FOR PRODUCING HYDROGENATED PETROLEUM RESIN | 3 |
Yozo Kashima | JP | Kanagawa | 2016-02-11 / 20160044204 - IMAGE PROCESSING APPARATUS, IMAGE FORMING APPARATUS, IMAGE PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 3 |
Yuki Kashima | JP | Kanagawa | 2012-01-19 / 20120013396 - SEMICONDUCTOR CIRCUIT AND CONSTANT VOLTAGE REGULATOR EMPLOYING SAME | 1 |
Keiji Kashima | JP | Shinjuku-Ku | 2013-05-30 / 20130137046 - PHASE DIFFERENCE LAYER LAMINATED BODY FOR THREE DIMENSIONAL LIQUID CRYSTAL DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Yasunobu Kashima | JP | Kanagawa | 2016-05-19 / 20160137848 - SILICA COMPOSITE PARTICLES AND METHOD OF PREPARING THE SAME | 9 |
Keiji Kashima | JP | Tokorozawa-Shi | 2012-05-24 / 20120127397 - LIGHT GUIDE PLATE, METHOD FOR PRODUCING LIGHT GUIDE PLATE, SURFACE LIGHT SOURCE DEVICE, AND LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Keiji Kashima | JP | Tokyo-To | 2014-10-23 / 20140313581 - LONG PATTERNED ALIGNMENT FILM, AND LONG PATTERNED RETARDATION FILM USING SAME | 11 |
Yuki Kashima | JP | Osaka | 2014-03-13 / 20140070779 - SWITCHING REGULATOR | 1 |
Keiji Kashima | JP | Tokyo | 2015-09-17 / 20150260903 - BACKLIGHT UNIT, LIQUID-CRYSTAL DISPLAY APPARATUS, AND STACKED STRUCTURE | 8 |
Hideki Kashima | JP | Toyota-Shi | 2012-05-10 / 20120117287 - COMMUNICATION SYSTEM, MASTER NODE, AND SLAVE NODE | 1 |
Kazuhiko Kashima | JP | Shinagawa-Ku | 2015-01-15 / 20150017086 - SILICON SINGLE CRYSTAL AND METHOD FOR MANUFACTURE THEREOF | 1 |
Kazuyuki Kashima | JP | Tokyo | 2013-07-11 / 20130177021 - COMMUNICATION DEVICE, COMMUNICATION SYSTEM AND COMMUNICATION METHOD | 3 |
Takanori Kashima | JP | Kawasaki-Shi | 2012-09-06 / 20120225183 - DISTILLED LIQUORS AND SPIRITS HAVING THE FRESH AND NATURAL FRAGRANCE OF SHISO AND PROCESSES FOR PRODUCING THE SAME | 1 |
Takayuki Kashima | JP | Osaka-Shi | 2015-11-05 / 20150313217 - CONTROL AGENT FOR PLANT PEST AND/OR PLANT DISEASE | 1 |
Keiichi Kashima | JP | Chiyoda-Ku | 2012-09-06 / 20120225241 - PROCESS OF MANUFACTURING A CURVED MEMBER HAVING A HIGH-GRADE DESIGN SURFACE AND MEMBER MANUFACTURED BY THE PROCESS | 1 |
Tomokatsu Kashima | JP | Kiyosu | 2014-05-29 / 20140145624 - IGNITION SYSTEM | 1 |
Masatoshi Kashima | JP | Kagoshima-Shi | 2015-05-14 / 20150128871 - PRIMATE RESTRAINT DEVICE | 1 |
Makoto Kashima | JP | Chiba | 2015-08-13 / 20150225332 - METHOD FOR PRODUCING ALPHA,BETA-UNSATURATED CARBOXYLIC ACID-N,N-DISUBSTITUTED AMIDE AND METHOD FOR PRODUCING 3-ALKOXYCARBOXYLIC ACID-N,N-DISUBSTITUTED AMIDE | 3 |
Naoki Kashima | JP | Tokyo | 2015-01-15 / 20150014032 - RESIN COMPOSITION FOR PRINTED WIRING BOARD MATERIAL, AND PREPREG, RESIN SHEET, METAL FOIL CLAD LAMINATE, AND PRINTED WIRING BOARD USING SAME | 1 |
Koji Kashima | JP | Kanagawa | 2015-10-08 / 20150287436 - DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, AND PROGRAM | 20 |
Kazuhiko Kashima | JP | Tokyo | 2015-11-26 / 20150338276 - CALIBRATION CURVE FORMATION METHOD, IMPURITY CONCENTRATION MEASUREMENT METHOD, AND SEMICONDUCTOR WAFER MANUFACTURING METHOD | 4 |
Takayuki Kashima | JP | Chiyoda-Ku | 2013-12-12 / 20130328506 - DRIFT TUBE LINEAR ACCELERATOR | 1 |
Noriyasu Kashima | JP | Aichi-Ken | 2016-05-12 / 20160133001 - METHOD OF ASSEMBLING AN ELECTRIC EQUIPMENT HAVING A FIRST CONNECTOR AND A SECOND CONNECTOR | 2 |
Masaki Kashima | JP | Atsugi-Shi | 2014-03-20 / 20140077733 - Electrically Driven Power Steering System And Control Apparatus For The Same | 1 |
Masayuki Kashima | JP | Tokyo | 2009-10-08 / 20090252496 - COMMUNICATION NETWORK USING CODE DIVISION MULTIPLEXING TECHNOLOGY | 5 |
Kohei Kashima | JP | Tokyo | 2010-02-11 / 20100033498 - ELECTRONIC APPARATUS HAVING DISPLAY DEVICE | 1 |
Kouji Kashima | JP | Tokyo | 2010-03-25 / 20100074245 - MOBILE BODY-MOUNTED COMMUNICATION APPARATUS AND ADDRESS MANAGEMENT APPARATUS | 1 |
Fumihiko Kashima | JP | Tokyo | 2013-08-15 / 20130207001 - SEPTUM MAGNET AND PARTICLE BEAM THERAPY SYSTEM | 1 |
Miki Kashima | JP | Tokyo | 2010-09-02 / 20100222108 - PORTABLE TERMINAL, FUNCTION LIST PROVIDING METHOD USED FOR THE SAME, AND ITS PROGRAM | 1 |
Hidefumi Kashima | JP | Tokyo | 2011-05-19 / 20110116726 - ELECTRONIC APPARATUS, BLUR IMAGE SORTING METHOD, AND PROGRAM | 1 |
Mitsuo Kashima | JP | Tokyo | 2016-03-24 / 20160087547 - POWER CONVERSION DEVICE, MOTOR DRIVE CONTROL DEVICE EQUIPPED WITH POWER CONVERSION DEVICE, COMPRESSOR AND BLOWER EQUIPPED WITH MOTOR DRIVE CONTROL DEVICE, AND AIR CONDITIONER EQUIPPED WITH COMPRESSOR OR BLOWER | 6 |
Miki Kashima | CN | Beijing | 2016-02-11 / 20160041431 - DISPLAY PANEL AND DISPLAY DEVICE | 11 |
Nobutaka Kashima | JP | Tokyo | 2008-10-30 / 20080263952 - Fuel gasification system | 1 |
Hideo Kashima | JP | Tokyo | 2016-02-04 / 20160035535 - SAMPLE HOLDER, CHARGED PARTICLE BEAM APPARATUS, AND OBSERVATION METHOD | 10 |
Tsyoshi Kashima | JP | Tokyo | 2012-08-02 / 20120196627 - MESSAGING | 2 |
Koji Kashima | JP | Tokyo | 2009-08-13 / 20090202219 - VIDEO SIGNAL PROCESSING DEVICE, VIDEO SIGNAL PROCESSING METHOD, VIDEO SIGNAL ENCODING DEVICE, VIDEO SIGNAL ENCODING METHOD, AND PROGRAM | 2 |
Norio Kashima | JP | Tokyo | 2009-12-31 / 20090324234 - OPTICAL TRANSMISSION SYSTEM USING RAMAN OPTICAL AMPLIFICATION | 2 |
Shuichi Kashima | JP | Tokyo | 2010-10-14 / 20100258197 - METHOD FOR TRANSPORTING FLUID | 1 |
Toshimitsu Kashima | JP | Tokyo | 2010-11-18 / 20100290778 - COMMUNICATION APPARATUS, APPARATUS ACTIVATION CONTROL METHOD, COMMUNICATION CONTROL METHOD, AND COMMUNICATION CONTROL PROGRAM | 1 |
Hideki Kashima | JP | Kariya-Shi | 2015-02-26 / 20150055696 - COMMUNICATION SYSTEM | 8 |
Lzuru Kashima | JP | Tokyo | 2016-05-12 / 20160130179 - CHEMICALLY STRENGTHENED GLASS | 2 |
Jun Kashima | JP | Hiroshima | 2013-11-07 / 20130291770 - SOLID FUEL BURNER AND COMBUSTION DEVICE USING SAME | 1 |
Tomokatsu Kashima | JP | Kiyosu-Shi | 2015-08-06 / 20150222096 - SPARK PLUG | 2 |
Hiroki Kashima | JP | Aichi-Ken | 2014-12-11 / 20140363656 - FABRIC MATERIAL | 1 |
Hiroyuki Kashima | JP | Machida-Shi | 2013-03-14 / 20130063283 - INFORMATION PROVIDING DEVICE AND INFORMATION PROVIDING METHOD | 1 |
Takayuki Kashima | JP | Shiga | 2011-06-09 / 20110135609 - LECANICILLIUM MUSCARIUM STRAIN V-5, PEST EXTERMINATION METHOD USING THE SAME, AND MICROORGANISM PESTICIDE COMPRISING THE SAME | 1 |
Atsuhito Kashima | JP | Annaka-Shi | 2015-09-17 / 20150259532 - FLUOROSILICONE RUBBER COMPOSITION | 2 |
Toru Kashima | JP | Tokyo | 2015-09-17 / 20150260423 - Thermal Source Instrument Controlling Device and Air-Conditioning System | 5 |
Tsuyoshi Kashima | JP | Yokohama | 2010-11-04 / 20100278111 - DUMMY PADDING SUB-HEADER IN MAC PROTOCOL DATA UNITS | 3 |
Tsuyoshi Kashima | JP | Tokyo | 2013-11-07 / 20130294256 - METHOD AND APPARATUS FOR PROVIDING ERROR DETECTION IN COORDINATION WITH A RADIO LINK LAYER | 7 |
Tsuyoshi Kashima | JP | Ibaraki-Shi | 2010-05-06 / 20100110432 - METHOD AND SYSTEM FOR EVALUATING OPTICAL PROPERTIES OF COMPENSATION LAYER | 1 |
Hajime Kashima | JP | Soka-Shi, Saitama | 2016-02-11 / 20160043383 - TREATMENT PROCESS FOR A POSITIVE ELECTRODE ACTIVE MATERIAL FOR LITHIUM-ION SECONDARY BATTERY | 1 |
Izuru Kashima | JP | Tokyo | 2015-05-28 / 20150147538 - CHEMICALLY STRENGTHENED GLASS PLATE, COVER GLASS, CHEMICALLY STRENGTHENED GLASS WITH TOUCH SENSOR, AND DISPLAY DEVICE | 4 |
Kyouichi Kashima | JP | Ota-Shi | 2011-09-29 / 20110235224 - SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Issei Kashima | JP | Kawasaki-Shi | 2015-12-03 / 20150346756 - SEMICONDUCTOR DEVICE | 1 |
Miki Kashima | CN | Beijing | 2016-02-11 / 20160041431 - DISPLAY PANEL AND DISPLAY DEVICE | 11 |
Keiji Kashima | JP | Tokyo-To | 2014-10-23 / 20140313581 - LONG PATTERNED ALIGNMENT FILM, AND LONG PATTERNED RETARDATION FILM USING SAME | 11 |
Genki Kashima | JP | Yokohama | 2015-05-07 / 20150125260 - Steam Turbine Forced Air Cooling System, Equipment, and Steam Turbine Equipped with it | 1 |
Tsutomu Kashima | JP | Osaka | 2013-08-08 / 20130201457 - PROJECTION DISPLAY DEVICE | 1 |
Kousuke Kashima | JP | Yokosuka-Shi | 2015-05-07 / 20150125098 - Sealant Resin Composition, Laminated Film, And Packaging Bag | 2 |
Masaki Kashima | JP | Ebina-Shi | 2014-10-02 / 20140297131 - MOTOR CONTROL APPARATUS AND POWER STEERING APPARATUS | 1 |
Masaki Kashima | JP | Hitachinaka | 2014-08-28 / 20140239861 - Inverter Apparatus | 1 |
Shigeyuki Kashima | JP | Koshigaya | 2015-09-24 / 20150269099 - STORAGE CONTROLLER, STORAGE APPARATUS, AND COMPUTER READABLE STORAGE MEDIUM HAVING STORAGE CONTROL PROGRAM STORED THEREIN | 1 |
Hideo Kashima | JP | Wako-Shi | 2012-11-15 / 20120289370 - COOLING AIR INTAKE STRUCTURE FOR V-BELT DRIVE CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Hideo Kashima | JP | Tokyo | 2016-02-04 / 20160035535 - SAMPLE HOLDER, CHARGED PARTICLE BEAM APPARATUS, AND OBSERVATION METHOD | 10 |
Koji Kashima | JP | Kanagawa | 2015-10-08 / 20150287436 - DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, AND PROGRAM | 20 |
Yasunobu Kashima | JP | Kanagawa | 2016-05-19 / 20160137848 - SILICA COMPOSITE PARTICLES AND METHOD OF PREPARING THE SAME | 9 |
Kazuhiko Kashima | JP | Kanagawa | 2010-08-05 / 20100197146 - Method of heat treating silicon wafer | 2 |
Takanori Kashima | JP | Kanagawa | 2010-07-15 / 20100178409 - DISTILLED LIQUORS AND SPIRITS HAVING THE FRESH AND NATURAL FRAGRANCE OF SHISO AND PROCESSES FOR PRODUCING THE SAME | 1 |
Yasuhiro Kashima | JP | Hyogo | 2012-01-05 / 20120003702 - PROCESS FOR PRODUCING SACCHARIFIED SOLUTION OF LIGNOCELLULOSIC BIOMASS | 1 |
Keiji Kashima | JP | Tokyo | 2015-09-17 / 20150260903 - BACKLIGHT UNIT, LIQUID-CRYSTAL DISPLAY APPARATUS, AND STACKED STRUCTURE | 8 |
Naoji Kashima | JP | Aichi | 2012-12-13 / 20120312429 - CLAD TEXTURED METAL SUBSTRATE FOR FORMING EPITAXIAL THIN FILM THEREON AND METHOD FOR MANUFACTURING THE SAME | 7 |
Hideki Kashima | JP | Aikoh-Gun | 2010-12-02 / 20100302687 - PIEZOELECTRIC ELEMENT WITH ELECTRODE AND HEAD SUSPENSION | 3 |
Ryuichi Kashima | JP | Shinjuku-Ku | 2010-11-11 / 20100285726 - METHOD FOR MANUFACTURING A GLASS SUBSTRATE FOR A MAGNETIC DISC | 1 |
Takayuki Kashima | JP | Hyogo | 2009-04-02 / 20090086782 - SEMICONDUCTOR LASER DEVICE AND METHOD FOR MANUFACTURING THE SAME | 4 |
Hiroaki Kashima | JP | Kawasaki | 2010-10-21 / 20100269167 - VIRTUAL MACHINE EXECUTION PROGRAM AND INFORMATION PROCESSING DEVICE | 1 |
Kazunori Kashima | JP | Kawasaki | 2013-02-14 / 20130041794 - FINANCIAL DATA PROCESSING DEVICE, FINANCIAL DATA PROCESSING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 3 |
Hiroaki Kashima | JP | Kawasaki-Shi | 2010-09-16 / 20100232300 - ROUTING CONTROL DEVICE, ROUTING CONTROL METHOD, AND STORAGE MEDIUM STORING ROUTING CONTROL PROGRAM | 1 |
Jun Kashima | JP | Kure-Shi | 2014-05-22 / 20140138952 - Solar Heat Boiler and Solar Heat Electric Power Generation Plant | 1 |
Mari Kashima | JP | Kyoto | 2010-06-24 / 20100159334 - LITHIUM SECONDARY BATTERY | 1 |
Yasushige Kashima | JP | Oyama-City Tochigi | 2010-05-27 / 20100129351 - Compositions and Methods for Altering Pancreas or Liver Function | 1 |
Hisashi Kashima | JP | Kanagawa-Ken | 2012-06-07 / 20120143814 - LOCATION ESTIMATION SYSTEM, METHOD AND PROGRAM | 5 |
Hideki Kashima | JP | Minato-Ku | 2010-03-18 / 20100071039 - IMAGE SHARING SERVER, SYSTEM, METHOD, AND RECORDING MEDIUM | 1 |
Jared Kashimba | US | Micanopy | 2015-08-13 / 20150230147 - Inter-Network Communication Session Handoff | 12 |
Naoji Kashimma | JP | Aichi | 2015-04-02 / 20150094208 - ALIGNMENT SUBSTRATE FOR FORMING EPITAXIAL FILM, AND PROCESS FOR PRODUCING SAME | 1 |
Shinichi Kashimoto | JP | Hino-Shi | 2010-05-27 / 20100131594 - WEB PAGE ACCESS METHOD AND SERVER | 3 |
Tatsuyuki Kashimoto | JP | Sakai-Shi | 2016-03-03 / 20160059912 - Work Vehicle | 1 |
Minoru Kashimoto | JP | Osaka | 2011-11-17 / 20110281819 - LOW-MOLECULAR POLYSULFATED HYALURONIC ACID DERIVATIVE AND MEDICINE CONTAINING SAME | 1 |
Koji Kashimoto | JP | Tokyo | 2015-03-19 / 20150077062 - BATTERY MANAGEMENT CONTROL METHOD | 3 |
Masahiro Kashimoto | JP | Osaka | 2016-01-28 / 20160026119 - IMAGE FORMING APPARATUS FOR PERFORMING IMAGE FORMATION WITH USE OF TONER | 14 |
Shinichi Kashimoto | JP | Ome-Shi | 2012-10-04 / 20120250667 - Phone Terminal, Communication Device, and Terminal Maintenance Method | 1 |
Kazutoshi Kashimoto | JP | Kanagawa | 2015-08-27 / 20150243277 - DATA INPUT DEVICE, DATA INPUT METHOD, STORAGE MEDIUM, AND IN-VEHICLE APPARATUS | 4 |
Masahiro Kashimoto | JP | Osaka | 2016-01-28 / 20160026119 - IMAGE FORMING APPARATUS FOR PERFORMING IMAGE FORMATION WITH USE OF TONER | 14 |
Takashi Kashimoto | JP | Nara | 2014-12-04 / 20140354074 - POWER FEED DEVICE OF INDUCTIVE CHARGING DEVICE | 3 |
Kazuki Kashimoto | JP | Tokushima-Shi | 2016-04-28 / 20160118548 - LIGHT EMITTING DEVICE | 4 |
Kazutoshi Kashimoto | JP | Osaka | 2012-09-06 / 20120223968 - DISPLAY PROCESSING DEVICE, DISPLAY METHOD, AND PROGRAM | 1 |
Hiroyuki Kashimoto | JP | Sakai-Shi | 2015-02-19 / 20150051348 - Resol-Type Para-Octylphenol-Formaldehyde Co-condensation Resin and Method for Producing the Same | 1 |
Syuuichi Kashimoto | JP | Kyoto-Shi | 2012-08-02 / 20120196152 - METHOD OF BONDING CONDUCTIVE MATERIAL TO STAINLESS STEEL, AND HDD MAGNETIC HEAD SUSPENSION | 1 |
Yoshikazu Kashimoto | JP | Osaka | 2015-10-29 / 20150305315 - BRAKE PLATE ASSEMBLY FOR A DRAG MECHANISM OF A FISHING REEL | 3 |
Takashi Kashimoto | JP | Amagasaki-Shi | 2011-04-28 / 20110097525 - Coating Material and Container Coated with the Coating Material | 1 |
Itsushi Kashimoto | JP | Shiga | 2014-10-23 / 20140316018 - GRANULAR BODY OF TITANIUM OXIDE HAVING TRANSITION METAL AND/OR TRANSITION METAL OXIDE SUPPORTED THEREON, AND METHOD FOR DECOMPOSING WASTE PLASTIC/ORGANIC MATERIAL USING SAID GRANULAR BODY | 3 |
Yosuke Kashimoto | JP | Osaka | 2016-05-19 / 20160142583 - IMAGE FORMING SYSTEM THAT PREVENTS INCREASING OF DATA AMOUNT OF PRINT DATA AND REDUCING OF PRINTING RESULT QUALITY, IMAGE FORMING APPARATUS, AND RECORDING MEDIUM | 7 |
Kaori Kashimoto | JP | Kanagawa | 2010-03-04 / 20100057344 - NAVIGATION DEVICE, DISPLAY METHOD OF NAVIGATION DEVICE, PROGRAM OF DISPLAY METHOD OF NAGIVATION DEVICE AND RECORDING MEDIUM RECORDING PROGRAM OF DISPLAY METHOD OF NAVIGATION DEVICE | 1 |
Yoshihisa Kashimoto | JP | Tokyo | 2010-01-28 / 20100022126 - Connector | 1 |
Tatsuyuki Kashimoto | JP | Osaka | 2011-10-20 / 20110252911 - Work Vehicle | 2 |
Keiji Kashimoto | JP | Maebashi-Shi | 2016-01-07 / 20160001813 - ELECTRIC POWER STEERING APPARATUS | 4 |
Keiji Kashimoto | JP | Gunma | 2009-11-05 / 20090272599 - Electric Power Steering Apparatus | 1 |
Yusuke Kashimoto | JP | Shiojiri- Shi | 2009-10-08 / 20090251503 - Printing devise, control method for a printing device, a program, anda recording medium | 2 |
Keiji Kashimoto | JP | Fujisawa-Shi | 2009-07-23 / 20090183940 - Electric Power Steering Apparatus | 1 |
Koji Kashimoto | JP | Kawasaki-Shi | 2009-05-07 / 20090115934 - Liquid Crystal Display | 1 |
Masami Kashimoto | JP | Kanagawa | 2015-09-24 / 20150266914 - METALLOCENE COMPLEX AND OLEFIN POLYMERIZATION METHOD | 3 |
Satoru Kashimoto | JP | Kanagawa | 2013-12-19 / 20130336867 - CARBON DIOXIDE CAPTURING SYSTEM AND METHOD OF OPERATING SAME | 1 |
Itsushi Kashimoto | JP | Kusatsu | 2011-06-16 / 20110144406 - CATALYST AND METHOD FOR THERMAL DECOMPOSITION OF ORGANIC SUBSTANCE AND METHOD FOR PRODUCING SUCH CATALYST | 1 |
Toru Kashimura | JP | Shiojiri | 2016-04-14 / 20160101618 - LIQUID EJECTING APPARATUS AND HEAD UNIT | 7 |
Osamu Kashimura | JP | Kounosu-Shi | 2012-07-12 / 20120175345 - ELECTROMAGNETIC CONTRACTOR | 1 |
Tsugunori Kashimura | JP | Tokyo | 2009-04-16 / 20090098325 - SEMI-AROMATIC POLYAMIDE RESIN | 1 |
Jun Kashimura | JP | Tokyo | 2008-08-28 / 20080206311 - Sucrase Activity Inhibitor, Glucoamylase Activity Inhibitor and Food and Feed Containing the Same | 1 |
Jun Kashimura | JP | Hyogo | 2015-09-17 / 20150258131 - Peyer's Patch Activator | 1 |
Rikiya Kashimura | JP | Hitachinaka | 2008-08-28 / 20080205705 - Image Processing Apparatus, Image Processing Method and Image Processing System | 1 |
Koji Kashimura | JP | Tokyo | 2012-08-16 / 20120209658 - POPULATION MOBILITY ESTIMATION SYSTEM, POPULATION MOBILITY ESTIMATION METHOD, AND POPULATION MOBILITY ESTIMATION PROGRAM | 1 |
Shuuji Kashimura | JP | Toyohashi-Shi | 2013-08-15 / 20130205573 - Blind Nut and Mounting Method thereof | 1 |
Osamu Kashimura | JP | Tokyo | 2015-12-31 / 20150380193 - ELECTROMAGNETIC CONTACTOR | 5 |
Yukiya Kashimura | JP | Tochigi | 2011-03-24 / 20110067947 - MAGNESTOSTRICTIVE TORQUE SENSOR AND MANUFACTURING METHOD THEREOF, AND ELECTRIC POWER STEERING SYSTEM | 2 |
Syuji Kashimura | JP | Tokyo | 2012-12-27 / 20120328825 - METAL COLLAR AND METHOD FOR MOUNTING IT | 1 |
Jun Kashimura | JP | Chigasaki-Shi | 2011-01-13 / 20110009358 - AGENT FOR SUPPRESSING GLUCOSE LEVEL INCREASE, AGENT FOR SUPPRESSING BODY FAT ACCUMULATION AND FOOD COMPOUND | 2 |
Takashi Kashimura | JP | Yokohama | 2011-10-06 / 20110242450 - LED Package and LED Package Mounting Structure | 1 |
Masahiko Kashimura | JP | Kawasaki | 2010-12-02 / 20100301927 - BOOSTER CIRCUIT | 2 |
Seiichi Kashimura | JP | Hitachi | 2011-08-18 / 20110199920 - LOW/HIGH FREQUENCY SHARED LEAKAGE ANTENNA, BASE STATION APPARATUS AND CLOSE-RANGE DETECTION SYSTEM USING THE ANTENNA | 1 |
Masayuki Kashimura | JP | Tokyo | 2011-09-08 / 20110217561 - Coating Liquid and Gas Barrier Laminate | 1 |
Masahiko Kashimura | JP | Kanagawa | 2010-05-13 / 20100118609 - Nonvolatile semiconductor memory, and method for reading data | 1 |
Osamu Kashimura | JP | Hino-Shi, Tokyo | 2015-01-22 / 20150022292 - ELECTROMAGNETIC SWITCH AND CONTACT POSITION REGULATING METHOD THEREOF | 1 |
Hideki Kashimura | JP | Kanagawa | 2011-05-19 / 20110115870 - OPTICAL SCANNER AND IMAGE FORMING DEVICE | 2 |
Junya Kashimura | JP | Anjo | 2011-11-03 / 20110268592 - Fluidic torque transfer device | 2 |
Masato Kashimura | JP | Tokyo | 2009-03-19 / 20090076253 - MACROLIDE DERIVATIVES | 1 |
Osamu Kashimura | JP | Hino-Shi | 2015-02-19 / 20150048908 - CONTACT DEVICE AND ELECTROMAGNETIC CONTACTOR USING THE SAME | 5 |
Takashi Kashimura | JP | Wako-Shi, Saitama | 2016-02-18 / 20160046283 - INTERNAL COMBUSTION ENGINE CONTROL DEVICE AND INTERNAL COMBUSTION ENGINE CONTROL METHOD | 1 |
Ryuichi Kashimura | JP | Kyoto-Shi | 2009-02-12 / 20090038965 - Cigarette package and method of producing same | 1 |
Masato Kashimura | JP | Saitama | 2014-02-13 / 20140046043 - C-4" POSITION SUBSTITUTED MACROLIDE DERIVATIVE | 4 |
Valeriy Kashin | US | Cranston | 2016-01-28 / 20160025687 - NON-INVASIVE METHOD FOR MEASUREMENT OF PHYSICAL PROPERTIES OF FREE FLOWING MATERIALS IN VESSELS | 1 |
Alexey Mikhailovich Kashin | RU | Cherepovets | 2010-10-28 / 20100269591 - ELECTROMAGNETIC - ACOUSTIC CONVERTER | 1 |
Dmitry Evgenievich Kashin | RU | Moscow | 2010-04-01 / 20100078440 - Package for Changing a Stored Product Temperature Prior to the Opening Thereof | 1 |
Val V. Kashin | US | Cranston | 2012-09-06 / 20120222471 - METHOD AND APPARATUS FOR MEASUREMENT OF PHYSICAL PROPERTIES OF FREE FLOWING MATERIALS IN VESSELS | 1 |
Krithika Kashinath | IN | Bangalore | 2008-12-18 / 20080313266 - PROCESSING SERVICE REQUESTS IN A DISTRIBUTED ENVIRONMENT | 1 |
Prashant Kashinkunti | IN | Dharwad | 2009-11-12 / 20090278584 - CELL-BASED INTEGRATED CIRCUIT AND A METHOD OF OPERATING A THYRISTOR CIRCUIT IN A STANDARD CELL OF A CELL-BASED INTEGRATED CIRCUIT | 1 |
Hiroshi Kashino | JP | Ibaraki-Shi | 2010-07-22 / 20100183943 - MEMBRANE ELECTRODE ASSEMBLY AND POLYMER ELECTROLYTE FUEL CELL | 1 |
Toshio Kashino | JP | Fujisawa-Shi | 2008-12-18 / 20080309744 - LIQUID-EJECTING HEAD | 1 |
Hisatoshi Kashino | JP | Gunma | 2015-01-22 / 20150025826 - C-V CHARACTERISTIC MEASUREMENT SYSTEM AND METHOD FOR MEASURING C-V CHARACTERISTICS | 1 |
Suely S. Kashino | US | Boston | 2011-11-03 / 20110268758 - TUBERCULOSIS ANTIGEN DETECTION ASSAYS AND VACCINES | 1 |
Toshio Kashino | JP | Kanagawa-Ken | 2011-02-03 / 20110027970 - METHOD FOR DICING WAFER AND PROCESS FOR MANUFACTURING LIQUID-DISCHARGING HEAD USING THE DICING METHOD | 2 |
Takashi Kashino | JP | Saku-Shi | 2016-02-04 / 20160037638 - FLEXIBLE PRINTED CIRCUIT BOARD | 1 |
Yuichi Kashino | JP | Ishikawa | 2015-12-03 / 20150349428 - ANTENNA DEVICE | 8 |
Mayumi Kashino | JP | Aichi | 2014-09-11 / 20140256804 - PHARMACEUTICAL COMPOSITION | 1 |
Yuichi Kashino | JP | Miyagi | 2011-02-10 / 20110032161 - MOBILE TERMINAL DEVICE | 1 |
Yuichi Kashino | JP | Ishikawa | 2015-12-03 / 20150349428 - ANTENNA DEVICE | 8 |
Hiroshi Kashino | JP | Osaka | 2010-04-29 / 20100104900 - FUEL CELL POWER GENERATION SYSTEM | 2 |
Takashi Kashino | JP | Nagano | 2011-07-21 / 20110175808 - POINTING DEVICE | 2 |
Tsubasa Kashino | JP | Funabashi-Shi | 2015-09-10 / 20150250880 - HYDROGEL-FORMING MATERIAL, PREMIX, AND HYDROGEL FORMATION METHOD | 3 |
Shota Kashio | JP | Kawagoe | 2012-07-26 / 20120191334 - FUEL EFFICIENCY DISPLAY DEVICE, FUEL EFFICIENCY DISPLAY METHOD, AND FUEL EFFICIENCY DISPLAY PROGRAM | 1 |
Mikihiro Kashio | JP | Itabashi-Ku | 2013-02-07 / 20130035455 - CURABLE COMPOSITION, CURED PRODUCT, AND METHOD FOR USING OF CURABLE COMPOSITION | 4 |
Tadashi Kashio | JP | Saitama | 2009-01-15 / 20090013846 - Sheet Material Cutter and Printer | 1 |
Hitoshi Kashio | JP | Osaka | 2009-05-28 / 20090133918 - DOUBLE-SIDED WIRING BOARD, MANUFACTURING METHOD OF DOUBLE-SIDED WIRING BOARD, AND MOUNTING DOUBLE-SIDED WIRING BOARD | 2 |
Kaori Kashio | JP | Sakura | 2009-06-18 / 20090151445 - FLUID FLOW RATE MEASUREMENT APPARATUS | 4 |
Hideyuki Kashio | JP | Nanjyo-Gun | 2011-07-07 / 20110162180 - MULTILAYER ELECTRONIC COMPONENT AND METHOD FOR MANUFACTURING MULTILAYER ELECTRONIC COMPONENT | 3 |
Shigeki Kashio | JP | Osaka | 2010-06-10 / 20100139456 - REDUCTION TREATMENT APPARATUS AND METHOD OF REDUCTION TREATMENT | 1 |
Mikihiro Kashio | JP | Tokyo | 2015-10-22 / 20150299396 - CURABLE POLYSILSESQUIOXANE COMPOUND, METHOD FOR PRODUCING SAME, CURABLE COMPOSITION, CURED PRODUCT, AND METHOD FOR USING CURABLE COMPOSITION OR THE LIKE | 14 |
Hirokazu Kashio | JP | Chiba | 2011-06-30 / 20110157040 - TOUCHPANEL DEVICE, AND CONTROL METHOD AND PROGRAM FOR THE DEVICE | 2 |
Kaori Kashio | JP | Hitachinaka | / - | 1 |
Eiji Kashio | JP | Saitama | / - | 1 |
Mikihiro Kashio | JP | Chiba | 2012-08-02 / 20120196404 - Adhesive Compositions for a Semiconductor, an Adhesive Sheet for a Semiconductor and a Production Method of a Semiconductor Device | 1 |
Youkio Kashio | JP | Tokyo | 2011-09-15 / 20110220896 - TRANSISTOR, DISPLAY DEVICE, ELECTRONIC DEVICE, MANUFACTURING METHOD OF TRANSISTOR, AND MANUFACTURING METHOD OF DISPLAY DEVICE | 1 |
Atsushi Kashio | JP | Kiyosu-Shi, Aichi | 2015-11-26 / 20150336531 - HEAD-PROTECTING AIRBAG DEVICE AND METHOD OF FOLDING AIRBAG THEREOF | 1 |
Mikihiro Kashio | JP | Tokyo | 2015-10-22 / 20150299396 - CURABLE POLYSILSESQUIOXANE COMPOUND, METHOD FOR PRODUCING SAME, CURABLE COMPOSITION, CURED PRODUCT, AND METHOD FOR USING CURABLE COMPOSITION OR THE LIKE | 14 |
Kazuhiro Kashio | JP | Tokyo | 2014-03-13 / 20140071152 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING SYSTEM, AND IMAGE PROCESSING METHOD | 5 |
Atsushi Kashio | JP | Kiyosu-Shi | 2015-07-30 / 20150210242 - HEAD-PROTECTING AIRBAG DEVICE | 3 |
Mikihiro Kashio | JP | Saitama-Shi | 2012-12-13 / 20120312592 - PRESSURE SENSITIVE ADHESIVE FOR STICKING AN ELECTROMAGNETIC WAVE-SHIELDING FILM AND AN OPTICALLY FUNCTIONAL FILM, AND A DISPLAY PANEL FILTER ELEMENT CONTAINING SAME | 2 |
Ryuta Kashio | JP | Funabashi-Shi | 2015-04-09 / 20150096461 - METHOD FOR REGENERATING SOLUTION FOR NITRIC ACID ACTIVATION TREATMENT OF ZINC-PLATED METAL MEMBER SURFACE, AND REGENERATION TREATMENT APPARATUS USING THE SAME | 2 |
Tooru Kashioka | JP | Tokyo | 2011-05-26 / 20110120756 - CIRCUIT BOARD, ITS MANUFACTURING METHOD, AND JOINT BOX USING CIRCUIT BOARD | 2 |
Hideki Kashioka | JP | Tokyo | 2016-04-21 / 20160110642 - DEEP NEURAL NETWORK LEARNING METHOD AND APPARATUS, AND CATEGORY-INDEPENDENT SUB-NETWORK LEARNING APPARATUS | 1 |
Atsushi Kashioka | JP | Yokohama-Shi | 2012-10-25 / 20120268769 - PRINT RELAY SYSTEM, IMAGE FORMING APPARATUS, SYSTEM CONTROL METHOD, AND PROGRAM | 8 |
Hideki Kashioka | JP | Nara | 2009-12-31 / 20090326952 - SPEECH PROCESSING METHOD, SPEECH PROCESSING PROGRAM, AND SPEECH PROCESSING DEVICE | 1 |
Atsushi Kashioka | JP | Yokohama-Shi | 2012-10-25 / 20120268769 - PRINT RELAY SYSTEM, IMAGE FORMING APPARATUS, SYSTEM CONTROL METHOD, AND PROGRAM | 8 |
Shu Kashirajima | JP | Kitakyushu-Shi | 2016-05-19 / 20160138255 - FLUSH TOILET | 5 |
Yasuhiro Kashirajima | JP | Tokyo | 2014-01-02 / 20140000300 - COOLING SYSTEM AND COOLING METHOD | 16 |
Komal Kashiramka | US | Redmond | 2014-07-10 / 20140196060 - WEB SERVICE API FOR UNIFIED CONTACT STORE | 11 |
Komal Kashiramka | US | Redmond | 2014-07-10 / 20140196060 - WEB SERVICE API FOR UNIFIED CONTACT STORE | 11 |
Alexandr Ivanovich Kashirin | RU | Moscow | 2008-09-18 / 20080228113 - Breath Training Device | 1 |
Yoshiki Kashitani | JP | Tokyo | 2016-01-07 / 20160001177 - IMAGE GENERATION SYSTEM AND IMAGE GENERATION-PURPOSE PROGRAM | 3 |
Tatsuki Kashitani | JP | Tokyo | 2016-05-12 / 20160133058 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 12 |
Yoshitaka Kashitani | JP | Aichi-Ken | 2009-12-24 / 20090313821 - Method of manufacturing an inflator | 1 |
Tatsuki Kashitani | JP | Kanagawa | 2014-10-09 / 20140304289 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING TERMINAL, INFORMATION PROCESSING METHOD, AND PROGRAM | 4 |
Hisayoshi Kashitani | JP | Ota-Shi | 2011-02-10 / 20110030203 - ELECTRONIC COMPONENT MOUNTING APPARATUS | 2 |
Hisayoshi Kashitani | JP | Ota | 2009-10-01 / 20090241327 - MOUNTING APPARATUS FOR ELECTRONIC COMPONENTS | 3 |
Yoshitaka Kashitani | JP | Kiyosu-Shi | 2012-04-05 / 20120079959 - GAS GENERATOR | 2 |
Tatsuki Kashitani | JP | Tokyo | 2016-05-12 / 20160133058 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 12 |
Kohsuke Kashitani | JP | Fujiyoshida-Shi | 2012-12-13 / 20120313135 - MOUNTING BOARD AND STRUCTURE OF THE SAME | 1 |
Kiyotaka Kashito | JP | Kobe-Shi | 2010-12-30 / 20100328347 - IMAGE DISPLAY DEVICE, IMAGE DATA TRANSMITTING DEVICE, IMAGE DISPLAY SYSTEM, IMAGE DISPLAY METHOD, STORAGE MEDIUM STORING AN IMAGE DISPLAY PROGRAM, IMAGE DATA TRANSMISSION PROGRAM, AND STORAGE MEDIUM STORING THE IMAGE DATA TRANSMISSION PROGRAM | 4 |
Kiyotaka Kashito | JP | Osaka | 2011-11-17 / 20110280550 - MOVIE REPRODUCING APPARATUS, MOVIE REPRODUCING METHOD AND RECORDING MEDIUM RECORDING PROGRAM FOR COMPUTER-REALIZATION OF THE MOVIE REPRODUCING APPARATUS | 5 |
Dimitriy Kashitsyn | US | Yorba Linda | 2014-05-01 / 20140123295 - SYSTEMS AND METHODS FOR ADVANCED DYNAMIC ANALYSIS SCANNING | 1 |
Yuta Kashiwa | JP | Kyoto | 2011-11-10 / 20110274975 - POSITIVE ACTIVE MATERIAL FOR LITHIUM SECONDARY BATTERY, AND LITHIUM SECONDARY BATTERY | 2 |
Munetaka Kashiwa | JP | Chiyoda-Ku | 2015-09-03 / 20150248977 - SWITCHING APPARATUS | 1 |
Atsushi Kashiwa | JP | Tokyo | 2009-02-05 / 20090036488 - RECURRENCE PREVENTIVE THERAPEUTIC AGENT FOR PSYCHOSTIMULANT-INDUCED PSYCHOSIS AND SCHIZOPHRENIA | 1 |
Tomoo Kashiwa | JP | Takasaki-Shi | 2009-05-21 / 20090128275 - INDUCTOR AND ITS MANUFACTURING METHOD | 1 |
Kotaro Kashiwa | JP | Kanagawa | 2014-10-02 / 20140293078 - IMAGE CAPTURING SYSTEM, IMAGE CAPTURING DEVICE, AND IMAGE CAPTURING METHOD | 11 |
Munetaka Kashiwa | JP | Tokyo | 2013-06-27 / 20130162191 - MICRO-STEP DRIVING CONTROL APPARATUS FOR STEPPING MOTOR | 1 |
Norio Kashiwa | JP | Minato-Ku | 2009-11-12 / 20090280318 - OLEFIN POLYMER, COMPOSITION THEREOF AND ADHESIVE RESIN COMPRISING THE COMPOSITION | 1 |
Masaaki Kashiwa | JP | Gotenba-Shi | 2009-05-21 / 20090126660 - Variable Compression Ratio Internal Combustion Engine and Method for Discharging Coolant From Variable Compression Ratio Internal Combustion Engine | 1 |
Shirou Kashiwa | JP | Shiga | 2008-12-18 / 20080307816 - Outdoor Unit of Air Conditioner | 1 |
Makoto Kashiwa | JP | Hiroshima-Ken | 2009-08-20 / 20090205915 - CURRENT COLLECTING CONTACT MEMBER | 1 |
Kotaro Kashiwa | JP | Kanagawa | 2014-10-02 / 20140293078 - IMAGE CAPTURING SYSTEM, IMAGE CAPTURING DEVICE, AND IMAGE CAPTURING METHOD | 11 |
Shuhei Kashiwa | JP | Nishinomiya-Shi | 2010-09-23 / 20100238517 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 1 |
Norio Kashiwa | JP | Sodegaura-Shi | 2011-08-11 / 20110196103 - PROPYLENE COPOLYMER, POLYPROPYLENE COMPOSITION AND USES THEREOF, TRANSITION METAL COMPOUND AND OLEFIN POLYMERIZATION CATALYST | 8 |
Norio Kashiwa | JP | Sodegaura-Shi | 2011-08-11 / 20110196103 - PROPYLENE COPOLYMER, POLYPROPYLENE COMPOSITION AND USES THEREOF, TRANSITION METAL COMPOUND AND OLEFIN POLYMERIZATION CATALYST | 8 |
Shuhei Kashiwa | JP | Toshima-Ku | 2014-03-20 / 20140081025 - HETEROAROMATIC RING DERIVATIVE | 5 |
Kotaro Kashiwa | JP | Tokyo | 2015-05-07 / 20150124110 - IMAGE CAPTURING SYSTEM, IMAGE CAPTURING DEVICE, AND IMAGE CAPTURING METHOD | 1 |
Masaaki Kashiwa | JP | Gotemba-Shi | 2009-04-23 / 20090101113 - Variable Compression Ratio Internal Combustion Engine | 1 |
Takuo Kashiwa | JP | Nishinomiya-City | 2012-05-24 / 20120127024 - RADAR APPARATUS AND METHOD OF DETECTING TARGET OBJECT | 1 |
Yoji Kashiwa | JP | Chiyoda-Ku | 2015-05-07 / 20150122924 - GRAIN-MILLING MACHINE | 1 |
Masahiko Kashiwa | JP | Takasago-Shi | 2012-01-19 / 20120012686 - UNDERWATER CUTTING AND PELLETIZING APPARATUS | 1 |
Koichi Kashiwa | JP | Hitachinaka | 2016-05-19 / 20160141930 - Rotor for Electric Power Steering Motor, Electric Power Steering Motor with This, and Manufacturing Therefor | 3 |
Norio Kashiwa | JP | Tokyo | 2009-07-23 / 20090186985 - Functionalized elastomer compositions | 3 |
Masaaki Kashiwa | JP | Ishikawa | 2009-03-12 / 20090064753 - WORK CONVEYANCE DEVICE, PRESS MACHINE AND BAR REMOVAL METHOD | 2 |
Moriyuki Kashiwa | JP | Annaka-City | 2011-07-07 / 20110165823 - SEMICONDUCTOR SUBSTRATE PLANARIZATION APPARATUS AND PLANARIZATION METHOD | 2 |
Takahiro Kashiwa | JP | Tokyo | 2014-09-11 / 20140251224 - PET TOILET | 1 |
Yumiko Kashiwa | JP | Yokohama-Shi Kanagawa | 2014-04-24 / 20140111456 - ELECTRONIC DEVICE | 1 |
Masakazu Kashiwa | JP | Osaka | 2015-11-26 / 20150336029 - HIGH-DENSITY FINE BUBBLE-CONTAINING LIQUID PRODUCING METHOD AND HIGH-DENSITY FINE BUBBLE-CONTAINING LIQUID PRODUCING APPARATUS | 1 |
Shuhei Kashiwa | JP | Tokyo | 2015-02-12 / 20150045551 - FUSED AZOLE DERIVATIVE | 1 |
Yasube Kashiwaba | JP | Iwate | 2009-10-29 / 20090267063 - SEMICONDUCTOR LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Seiichi Kashiwaba | JP | Utsunomiya-Shi | 2013-05-02 / 20130107105 - OPTICAL APPARATUS | 3 |
Takashi Kashiwaba | JP | Ube-Shi | 2014-06-19 / 20140171678 - Fluoroalkanesulfonic Acid Production Method | 2 |
Kengo Kashiwaba | JP | Kumagaya-Shi | 2013-05-02 / 20130107252 - OPTICAL PICKUP DEVICE | 1 |
Susumu Kashiwabara | JP | Osaka-Shi | 2015-07-09 / 20150190551 - PELLET-SHAPED COMPOSITION FOR MEDICAL USE, AND MOLDED PRODUCT | 1 |
Minoru Kashiwabara | JP | Hikone | 2008-11-20 / 20080282576 - Dryer for hair shaving device | 1 |
Minoru Kashiwabara | JP | Hikone-Shi | 2008-11-20 / 20080282572 - DRYING SYSTEM FOR A HAIR REMOVING DEVICE | 1 |
Mitsuhiro Kashiwabara | JP | Yokohama | 2015-10-22 / 20150303245 - DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 4 |
Keiichi Kashiwabara | JP | Aichi | 2009-06-25 / 20090159579 - Butt Welding System of Steel Plate and Butt Welding Method of Steel Plate | 1 |
Shin Kashiwabara | JP | Hiratsuka-Shi | 2015-02-19 / 20150050110 - EXCAVATION CONTROL SYSTEM FOR HYDRAULIC EXCAVATOR | 2 |
Yutaka Kashiwabara | JP | Shizuoka-Ken | 2008-10-02 / 20080237059 - Electrolysis treatment apparatus, support for planographic printing plate, planographic printing plate, and electrolysis treatment process | 1 |
Susumu Kashiwabara | JP | Yokkaichi-Shi | 2012-11-08 / 20120283665 - METHOD FOR COATING INNER SURFACE OF MEDICAL TUBE MADE FROM VINYL CHLORIDE WITH ANTI-THROMBOTIC MATERIAL | 1 |
Satoshi Kashiwabara | JP | Chiyoda-Ku | 2014-02-27 / 20140055652 - OPTICAL FILTER AND SOLID-STATE IMAGING DEVICE | 3 |
Minoru Kashiwabara | JP | Nakatsu | 2009-10-01 / 20090243520 - Method for controlling operation of a linear vibration motor | 1 |
Tateki Kashiwabara | JP | Kakamigahara-Shi | 2015-07-09 / 20150190215 - IMPLANT FIXTURE | 2 |
Kouichi Kashiwabara | JP | Tokyo | 2010-01-07 / 20100000907 - PACKING IMPLEMENT FOR GOODS TRANSPORTATION | 1 |
Koichi Kashiwabara | JP | Tokyo | 2009-04-09 / 20090091122 - PERSONAL INFORMATION PROTECTING SHEET, METHOD OF MANUFACTURING THE SAME, AND ENVELOPE WITH PERSONAL INFORMATION PROTECTING SHEET | 1 |
Mitsuhiro Kashiwabara | JP | Tokyo-To | 2010-05-06 / 20100112311 - STRUCTURE FOR PATTERN FORMATION, METHOD FOR PATTERN FORMATION, AND APPLICATION THEREOF | 4 |
Makoto Kashiwabara | JP | Saitama | 2012-09-06 / 20120222650 - THROTTLE BODY CONFIGURED TO PROVIDE TURBULENT AIR FLOW TO A COMBUSTION CHAMBER OF AN ENGINE, AND ENGINE INCLUDING SAME | 1 |
Takeshi Kashiwabara | JP | Nagano | 2011-09-15 / 20110221457 - CAPACITIVE DYNAMIC QUANTITY SENSOR ELEMENT AND DYNAMIC QUANTITY SENSOR | 1 |
Shin Kashiwabara | JP | Kanagawa | 2011-09-15 / 20110221107 - Liquid Sealed Mount | 1 |
Shigetoshi Kashiwabara | JP | Kanagawa | 2010-02-04 / 20100024757 - ENGINE STARTER MOUNTING STRUCTURE | 1 |
Yuji Kashiwabara | JP | Wako-Shi | 2011-01-20 / 20110011373 - FUEL SUPPLY DEVICE | 3 |
Kazuyuki Kashiwabara | JP | Hiroshima | 2009-03-12 / 20090070599 - MEMORY CARD, APPLICATION PROGRAM HOLDING METHOD, AND HOLDING PROGRAM | 1 |
Susumu Kashiwabara | JP | Ohtsu-Shi | 2014-04-10 / 20140100591 - METHOD FOR THE MANUFACTURE OF NERVE REGENERATION-INDUCING TUBE | 4 |
Mitsuhiro Kashiwabara | JP | Kanagawa | 2015-10-15 / 20150295017 - DISPLAY UNIT | 21 |
Takashi Kashiwabara | JP | Aki-Gun | 2015-03-19 / 20150075468 - VALVE GEAR OF ENGINE | 4 |
Ryota Kashiwabara | JP | Shizuoka-Ken | 2009-02-26 / 20090052954 - ELECTROPHOTOGRAPHIC ENDLESS BELT, ELECTROPHOTOGRAPHIC APPARATUS, AND ELECTROPHOTOGRAPHIC ENDLESS BELT MANUFACTURING METHOD | 1 |
Masashi Kashiwabara | JP | Saitama | 2013-07-25 / 20130186519 - SOLDERING PASTE AND FLUX | 1 |
Yasushi Kashiwabara | JP | Kanagawa-Ken | 2010-09-23 / 20100237486 - SEMICONDUCTOR DEVICE | 2 |
Mitsuhiro Kashiwabara | JP | Kanagawa | 2015-10-15 / 20150295017 - DISPLAY UNIT | 21 |
Yoshirou Kashiwabara | JP | Fukuoka | 2010-12-09 / 20100309766 - TRACKING CONTROL DEVICE, TRACKING CONTROL METHOD, AND OPTICAL DISC APPARATUS | 1 |
Tateki Kashiwabara | JP | Gifu | 2008-11-06 / 20080274160 - Implant material | 1 |
Manabu Kashiwabara | JP | Fukuoka-Shi | 2012-12-20 / 20120323034 - PROCESS FOR PRODUCTION OF CYCLOPROPANECARBOXYLIC ACID ESTER COMPOUND | 1 |
Susumu Kashiwabara | JP | Shiga | 2011-11-24 / 20110288569 - NERVE REGENERATION-INDUCING TUBE | 3 |
Ryota Kashiwabara | JP | Susono-Shi | 2009-05-14 / 20090123195 - DEVELOPING ROLLER, DEVELOPING APPARATUS USING THE SAME, AND IMAGE FORMING APPARATUS | 1 |
Kazuhisa Kashiwabara | JP | Tokyo | 2012-02-09 / 20120032575 - PHOTOPOLYMERIZATION DEVICE | 1 |
Kazuyuki Kashiwabara | JP | Nagoya-Shi | 2011-02-24 / 20110043018 - SEAT CONTROLLING MECHANISM | 3 |
Fumihiko Kashiwabara | JP | Miyagi-Ken | 2009-05-07 / 20090114514 - ILLUMINATED SWITCH DEVICE | 1 |
Teruhiko Kashiwabara | JP | Yokosuka-Shi | 2015-12-17 / 20150361768 - METHOD AND SYSTEM FOR RECOVERING OCEAN FLOOR HYDROTHERMAL MINERAL RESOURCES | 1 |
Satoshi Kashiwabara | JP | Tokyo | 2014-04-03 / 20140091419 - OPTICAL FILTER, SOLID-STATE IMAGING ELEMENT, IMAGING DEVICE LENS AND IMAGING DEVICE | 3 |
Takeshi Kashiwabara | JP | Hiroshima | 2016-05-19 / 20160138243 - CONSTRUCTION MACHINE | 1 |
Masashi Kashiwabuchi | JP | Gunma | 2014-05-01 / 20140116839 - MEDIUM STORAGE AND ADVANCING APPARATUS | 3 |
Masashi Kashiwabuchi | JP | Tokyo | 2015-02-19 / 20150048197 - MEDIUM PROCESSING APPARATUS | 1 |
Renata T. Kashiwabuchi | US | Baltimore | 2015-02-12 / 20150044270 - SUSTAINED DELIVERY OF THERAPEUTIC AGENTS TO AN EYE COMPARTMENT | 2 |
Fabiana Kimie Kashiwabuchi | US | Baltimore | 2013-11-07 / 20130296933 - Drug Loaded Microfiber Sutures for Ophthalmic Application | 1 |
Kouji Kashiwada | JP | Osaka | 2011-01-20 / 20110014712 - METHOD, DEVICE AND APPARATUS FOR MEASURING THE CONCENTRATION OF CREATININE, AND METHOD, DEVICE AND APPARATUS FOR MEASURING THE AMOUNT OF SALT USING THE SAME | 2 |
Shin-Ya Kashiwada | JP | Sendai-Shi | 2010-04-01 / 20100083331 - MOBILE TERMINAL DEVICE, BACKUP VIEWING SERVICE SYSTEM, AND BACKUP VIEWING SERVICE METHOD | 1 |
Saori Kashiwada | JP | Yokohama-Shi | 2015-01-15 / 20150014756 - MAGNETORESISTIVE ELEMENT AND MAGNETIC MEMORY | 11 |
Erin L. Kashiwada | US | Yorba Linda | 2015-01-22 / 20150026662 - Method And Apparatus For Configuring Control Software For Radar Systems Having Different Hardware Architectures And Related Software Products | 1 |
Akio Kashiwada | JP | Tokyo | 2009-05-14 / 20090120788 - Cation-Exchange Fluorinated Membrane for Electrolysis and Process for Producing the Same | 1 |
Tomokazu Kashiwada | JP | Yokkaichi-Shi | 2010-07-08 / 20100173538 - TERMINAL | 1 |
Tomokazu Kashiwada | JP | Yokkaichi | 2015-11-19 / 20150333428 - FEMALE TERMINAL | 4 |
Shinji Kashiwada | JP | Kariya-City | 2016-01-28 / 20160025580 - PRESSURE SENSOR | 1 |
Saori Kashiwada | JP | Yokohma-Shi | 2013-03-21 / 20130069182 - MAGNETORESISTIVE EFFECT ELEMENT, MAGNETIC MEMORY, AND MAGNETORESISTIVE EFFECT ELEMENT MANUFACTURING METHOD | 1 |
Tsuyoshi Kashiwada | TH | Klong Luang | 2014-05-08 / 20140126085 - BEARING, BEARING DEVICE AND RECORDING DEVICE | 1 |
Hiroshi Kashiwada | JP | Saijo-Shi, Ehime | 2016-05-19 / 20160136779 - POLISHING PAD AND METHOD FOR MANUFACTURING SAME | 1 |
Saori Kashiwada | JP | Yokohama-Shi | 2015-01-15 / 20150014756 - MAGNETORESISTIVE ELEMENT AND MAGNETIC MEMORY | 11 |
Saori Kashiwada | JP | Kanagawa-Ken | 2012-09-27 / 20120243308 - MAGNETIC ELEMENT AND NONVOLATILE MEMORY DEVICE | 4 |
Tomokazu Kashiwada | JP | Yokkaichi-City | 2014-05-29 / 20140148045 - DEVICE CONNECTOR | 7 |
Motofumi Kashiwagi | JP | Tokyo | 2015-10-29 / 20150307758 - RESIN COMPOSITION FOR SEALING ORGANIC ELECTRONICS DEVICES AND ORGANIC ELECTRONICS DEVICE | 3 |
Motoyuki Kashiwagi | JP | Tokyo | 2013-09-19 / 20130242121 - IMAGE COMPOSING APPARATUS FOR CONTINUOUSLY SHOOTING PLURAL IMAGES AND COMBINING THE PLURAL IMAGES | 6 |
Susumu Kashiwagi | JP | Yokohama-Shi | 2014-10-16 / 20140306927 - INPUT DEVICE, COMPUTER-READABLE RECORDING MEDIUM, AND METHOD FOR RECEIVING INPUT | 1 |
Akifumi Kashiwagi | JP | Tokyo | 2016-02-04 / 20160035113 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 10 |
Masako Kashiwagi | JP | Yokohama-Shi | 2014-09-25 / 20140285741 - Liquid Crystal Lens Device, Image Display Apparatus, Drive Device, and Drive Method | 16 |
Kouichi Kashiwagi | JP | Ube-Shi | 2014-10-23 / 20140316167 - METHOD FOR PRODUCING ALKANEDIOL | 2 |
Kazuto Kashiwagi | JP | Kanagawa | 2014-12-25 / 20140376130 - MAGNETIC HEAD, MAGNETIC RECORDING REPRODUCING APPARATUS AND MAGNETIC HEAD MANUFACTURING METHOD | 1 |
Nobuhiko Kashiwagi | JP | Ashigarakami-Gun | 2014-04-03 / 20140093036 - TOMOGRAPHIC IMAGE GENERATION DEVICE AND METHOD | 4 |
Takafumi Kashiwagi | JP | Osaka | 2014-04-24 / 20140110153 - WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 2 |
Masako Kashiwagi | JP | Kanagawa-Ken | 2014-11-20 / 20140340292 - LIQUID CRYSTAL OPTICAL DEVICE AND IMAGE DISPLAY DEVICE | 18 |
Akihiro Kashiwagi | JP | Shiojiri-Shi | 2014-09-18 / 20140268067 - ILLUMINATION DEVICE AND PROJECTOR | 4 |
Junichi Kashiwagi | JP | Kyoto | 2016-03-03 / 20160064488 - NITRIDE BASED SEMICONDUCTOR DEVICE | 7 |
Yoshiichiro Kashiwagi | JP | Kyoto | 2015-05-21 / 20150143445 - PRODUCTION APPARATUS AND CONTENT DISTRIBUTION SYSTEM | 13 |
Hiroki Kashiwagi | JP | Chiba-Shi | 2012-05-10 / 20120113924 - Wireless Communication Apparatus, Mobile Terminal and Wireless Communication Method | 4 |
Masahiro Kashiwagi | JP | Chiba | 2010-11-11 / 20100284428 - FIBER LASER LIGHT SOURCE | 1 |
Nobuhiko Kashiwagi | JP | Kanagawa | 2011-10-06 / 20110242092 - Image display system | 1 |
Nobuhiko Kashiwagi | JP | Odawara-Shi | 2009-01-22 / 20090022273 - APPARATUS FOR AND METHOD OF CAPTURING RADIATION IMAGE | 2 |
Yusaku Kashiwagi | JP | Nirasaki-Shi | 2010-07-08 / 20100171198 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, SEMICONDUCTOR MANUFACTURING APPARATUS AND STORAGE MEDIUM | 2 |
Koichi Kashiwagi | JP | Chiba | 2010-04-08 / 20100088109 - HEALTH MANAGEMENT SYSTEM, INDIVIDUAL USE TERMINAL, HEALTH MANAGEMENT DATA INTEGRATING METHOD | 1 |
Hiroki Kashiwagi | JP | Osaka-Shi | 2015-11-12 / 20150326325 - WIRELESS CIRCUIT | 1 |
Kazuto Kashiwagi | JP | Yokohama-Shi | 2015-02-12 / 20150046764 - RECORDING AND REPRODUCING APPARATUS | 5 |
Blake Kashiwagi | US | Portland | 2011-10-06 / 20110241377 - DYNAMICALLY ADJUSTABLE AERODYNAMIC VEHICLE DEVICES | 1 |
Kazuhiro Kashiwagi | JP | Tokoyo | 2010-09-16 / 20100229848 - GELLING AGENT HEATING UNIT | 1 |
Yusaku Kashiwagi | JP | Amagasaki-Shi | 2010-06-03 / 20100132613 - FABRICATION OF LOW DIELECTRIC CONSTANT INSULATING FILM | 2 |
Takahito Kashiwagi | JP | Yokosuka-Shi | 2013-09-26 / 20130249411 - Light Emitting Module and Lighting System | 3 |
Hideo Kashiwagi | JP | Mihara | 2010-05-13 / 20100116727 - MEMBRANE CONTAINER | 1 |
Yoshilichiro Kashiwagi | JP | Kyoto | 2010-01-28 / 20100020158 - HIGH-RESOLUTION OPTICAL DISK FOR RECORDING STEREOSCOPIC VIDEO, OPTICAL DISK REPRODUCING DEVICE, AND OPTICAL DISK RECORDING DEVICE | 1 |
Masako Kashiwagi | JP | Yokohama | 2016-03-17 / 20160077362 - LIQUID CRYSTAL OPTICAL DEVICE, CONTROL DEVICE OF LIQUID CRYSTAL OPTICAL DEVICE, AND IMAGE DISPLAY DEVICE | 3 |
Isashi Kashiwagi | JP | Aichi-Ken | 2010-01-28 / 20100022315 - SLIDING-TYPE TRIPOD-SHAPED CONSTANT-VELOCITY UNIVERSAL JOINT | 1 |
Ippei Kashiwagi | JP | Tokyo | 2016-03-03 / 20160064818 - ANTENNA APPARATUS AND ELECTRONIC DEVICE INCLUDING THE ANTENNA APPARATUS | 5 |
Kazumasa Kashiwagi | JP | Nara | 2010-01-07 / 20100003017 - IMAGING APPARATUS AND METHOD OF STARTING THE SAME | 1 |
Katsumi Kashiwagi | JP | Nara | 2014-12-04 / 20140356723 - NEGATIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING THE SAME | 14 |
Katsumi Kashiwagi | JP | Osaka | 2010-08-12 / 20100203387 - ELECTRODE FOR LITHIUM RECHARGEABLE BATTERY AND LITHIUM RECHARGEABLE BATTERY COMPRISING THE ELECTRODE | 3 |
Yoshiki Kashiwagi | JP | Kawasaki | 2009-11-26 / 20090289684 - PULSE DETECTION DEVICE AND PULSE DETECTION METHOD | 1 |
Masakazu Kashiwagi | JP | Wako-Shi | 2016-05-05 / 20160121827 - AUTOMOBILE BUMPER BEAM | 3 |
Naoya Kashiwagi | JP | Fujiyoshida-Shi | 2009-10-01 / 20090242909 - LIGHT-EMITTING DEVICE, LINEAR LIGHT SOURCE, PLANAR LIGHT UNIT AND DISPLAY APPARATUS | 1 |
Hironori Kashiwagi | JP | Tokyo | 2013-08-29 / 20130222088 - CURRENT SWITCH | 2 |
Hiroyuki Kashiwagi | JP | Fujisawa-Shi | 2015-09-03 / 20150246478 - NEAR-FIELD EXPOSURE MASK, RESIST PATTERN FORMING METHOD, DEVICE MANUFACTURING METHOD, NEAR-FIELD EXPOSURE METHOD, PATTERN FORMING METHOD, NEAR-FIELD OPTICAL LITHOGRAPHY MEMBER, AND NEAR-FIELD NANOIMPRINT METHOD | 7 |
Masako Kashiwagi | JP | Yokohama-Shi | 2014-09-25 / 20140285741 - Liquid Crystal Lens Device, Image Display Apparatus, Drive Device, and Drive Method | 16 |
Masako Kashiwagi | JP | Kanagawa | 2013-10-31 / 20130286342 - LIQUID CRYSTAL OPTICAL ELEMENT AND IMAGE DISPLAY DEVICE | 6 |
Tohru Kashiwagi | JP | Osaka | 2009-09-17 / 20090229870 - BLOCK COPOLYMERIZED POLYIMIDE INK COMPOSITION FOR PRINTING | 2 |
Takashi Kashiwagi | JP | Kashiwa | 2012-12-13 / 20120316438 - PORTABLE ULTRASONIC DIAGNOSTIC DEVICE | 1 |
Ippei Kashiwagi | JP | Fuchu-Shi | 2014-04-10 / 20140097993 - ELECTRONIC DEVICE PROVIDED WITH ANTENNA DEVICE | 5 |
Hiroki Kashiwagi | JP | Osaka | 2010-09-16 / 20100233972 - COMMUNICATION DEVICE | 1 |
Akifumi Kashiwagi | JP | Tokyo | 2016-02-04 / 20160035113 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 10 |
Hirotaka Kashiwagi | JP | Shizuoka | 2016-01-07 / 20160002251 - DIHYDROPYRIDAZINE-3,5-DIONE DERIVATIVE AND PHARMACEUTICALS CONTAINING THE SAME | 5 |
Yoshiyuki Kashiwagi | JP | Tokyo | 2014-09-25 / 20140288212 - CARBON NANOFIBER AGGREGATE, THERMOPLASTIC RESIN COMPOSITION, AND METHOD FOR PRODUCING THERMOPLASTIC RESIN COMPOSITION | 1 |
Tsutomu Kashiwagi | JP | Annaka City | 2011-02-24 / 20110046319 - ORGANOPOLYSILMETHYLENE AND A CURED PRODUCT THEREOF | 2 |
Seiji Kashiwagi | JP | Tokyo | 2015-12-24 / 20150367284 - EXHAUST GAS TREATMENT APPARATUS | 6 |
Tetsuya Kashiwagi | JP | Fukuoka | 2015-07-30 / 20150212124 - POWER DISTRIBUTION MANAGEMENT APPARATUS, DISPLAY CONTROL METHOD, AND RECORDING MEDIUM | 10 |
Takeshi Kashiwagi | JP | Fukui | 2011-05-19 / 20110113878 - LIQUID-LEVEL SENSOR | 1 |
Shuji Kashiwagi | JP | Nagoya-Shi | 2015-01-29 / 20150027754 - RESIN COMPOSITION, LAYERED PRODUCT, MULTILAYER PRINTED WIRING BOARD, MULTILAYER FLEXIBLE WIRING BOARD AND MANUFACTURING METHOD OF THE SAME | 1 |
Ryota Kashiwagi | JP | Shizuoka-Ken | 2014-07-24 / 20140204169 - IMAGE FORMING APPARATUS | 3 |
Koichi Kashiwagi | JP | Toyokawa-Shi | 2013-04-18 / 20130092860 - FLUID CONTROL VALVE | 1 |
Jin Kashiwagi | JP | Machida | 2015-09-10 / 20150254009 - MEMORY SYSTEM | 1 |
Hideki Kashiwagi | JP | Kariya-City | 2013-06-20 / 20130159954 - DESIGN METHOD OF ON-BOARD WIRING | 1 |
Tsutomu Kashiwagi | JP | Annaka | 2015-09-17 / 20150261088 - UV-CURABLE ADHESIVE SILICONE COMPOSITION, UV-CURABLE ADHESIVE SILICONE COMPOSITION SHEET, OPTICAL SEMICONDUCTOR APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 11 |
Daisuke Kashiwagi | JP | Shizuoka | 2011-05-26 / 20110123929 - OXIME COMPOUND, PHOTOSENSITIVE COMPOSITION, COLOR FILTER, PRODUCTION METHOD FOR THE COLOR FILTER, AND LIQUID CRYSTAL DISPLAY ELEMENT | 2 |
Susumu Kashiwagi | JP | Yokohama | 2013-07-11 / 20130176247 - INPUT DEVICE AND METHOD FOR TOUCH POSITION CALCULATION | 1 |
Kmiaki Kashiwagi | JP | Tokyo | 2013-08-08 / 20130202810 - PROCESS FOR PRODUCING CHARGE RETENTION MEDIUM | 1 |
Masahiro Kashiwagi | JP | Sakura-Shi | 2016-02-11 / 20160043525 - AMPLIFICATION OPTICAL FIBER AND FIBER LASER DEVICE USING THE SAME | 11 |
Kosuke Kashiwagi | JP | Tokyo | 2015-07-30 / 20150214692 - OPTICAL FlBER LASER EQUIPMENT | 3 |
Ryota Kashiwagi | JP | Shizuoka | 2013-10-17 / 20130273464 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 1 |
Nobuyoshi Kashiwagi | JP | Kanagawa | 2009-08-13 / 20090202930 - INFRARED DYE COMPOSITION, INFRARED RAY ABSORBING INK AND ELECTROPHOTOGRAPHIC TONER | 1 |
Masaki Kashiwagi | JP | Hiratsuka-Shi | 2015-11-26 / 20150336408 - PRINTING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 5 |
Takahito Kashiwagi | JP | Kanagawa | 2014-02-13 / 20140043803 - LIGHT-EMITTING MODULE AND LIGHTING APPARATUS | 1 |
Yuki Kashiwagi | JP | Narashino-Shi | 2015-09-03 / 20150249345 - ELECTRIC POWER RECEIVING DEVICE AND METHOD OF RECEIVING ELECTRIC POWER | 1 |
Eiji Kashiwagi | JP | Shizuoka | 2010-09-16 / 20100229496 - STRUCTURAL MEMBER JOINT STRUCTURE | 1 |
Yusaku Kashiwagi | JP | Ibaraki | 2014-04-03 / 20140094027 - FILM FORMING METHOD AND FILM FORMING APPARATUS | 1 |
Mitsuo Kashiwagi | JP | Tokyo | 2015-10-08 / 20150286699 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, SERVER, STORAGE MEDIUM HAVING STORED THEREIN INFORMATION PROCESSING PROGRAM, AND INFORMATION PROCESSING METHOD | 1 |
Yasuo Kashiwagi | JP | Fukui-Ken | 2009-07-30 / 20090189476 - INTERPHASE INSULATING MEMBER AND ROTATING ELECTRIC MACHINE | 1 |
Yoshiichiro Kashiwagi | JP | Kyoto | 2015-05-21 / 20150143445 - PRODUCTION APPARATUS AND CONTENT DISTRIBUTION SYSTEM | 13 |
Tsuyoshi Kashiwagi | JP | Tokyo-To | 2016-04-21 / 20160109623 - DISPLAY DEVICE | 11 |
Yusaku Kashiwagi | JP | Yamanashi-Ken | 2009-06-18 / 20090152686 - Film Forming Method for Dielectric Film | 1 |
Yukiyasu Kashiwagi | JP | Osaka-Shi | / - | 1 |
Nobuyuki Kashiwagi | JP | Osaka | 2009-05-21 / 20090129834 - Fixing device | 1 |
Tsutomu Kashiwagi | JP | Gunma Pref. | 2009-05-14 / 20090123764 - Silicone Resin for Protecting a Light Transmitting Surface of an Optoelectronic Device | 1 |
Shinji Kashiwagi | JP | Kanagawa | 2009-04-23 / 20090106467 - MULTIPROCESSOR APPARATUS | 2 |
Shinichirou Kashiwagi | JP | Osaka | 2009-04-16 / 20090097792 - Wheel supporting device | 1 |
Jin Kashiwagi | JP | Yokohama-Shi | 2011-07-21 / 20110176370 - NONVOLATILE SEMICONDUCTOR MEMORY | 4 |
Takehiko Kashiwagi | JP | Minato-Ku | 2010-09-30 / 20100250551 - XML DATA PROCESSING SYSTEM, DATA PROCESSING METHOD AND XML DATA PROCESSING CONTROL PROGRAM USED FOR THE SYSTEM | 1 |
Masako Kashiwagi | JP | Kanagawa-Ken | 2014-11-20 / 20140340292 - LIQUID CRYSTAL OPTICAL DEVICE AND IMAGE DISPLAY DEVICE | 18 |
Atsunori Kashiwagi | JP | Shiga | 2013-11-28 / 20130317202 - TEST AGENT FOR VISCERAL OBESITY AND USE THEREOF | 2 |
Hiroyuki Kashiwagi | JP | Kanagawa-Ken | 2012-09-27 / 20120241645 - MASK INSPECTION APPARATUS AND MASK INSPECTION METHOD | 1 |
Mari Kashiwagi | JP | Kagawa | / - | 1 |
Daisuke Kashiwagi | JP | Shizuoka-Ken | 2010-09-30 / 20100247817 - INKJET RECORDING MEDIUM AND METHOD FOR PRODUCING THE SAME AND RECORDING MEDIUM SUPPORT AND METHOD FOR PRODUCING THE SAME | 1 |
Shinichi Kashiwagi | JP | Shizuoka | 2009-03-12 / 20090065151 - PRINTER-LABELER AND LABELER | 1 |
Yoshiko Kashiwagi | JP | Yamagata | 2009-03-12 / 20090068744 - VITREOUS CELL LINE | 2 |
Motofumi Kashiwagi | JP | Yokosuka-Shi | 2009-03-05 / 20090057960 - RESIN MOLD AND PROCESS FOR PRODUCING A MOLDED ARTICLE USING THE MOLD | 1 |
Masanori Kashiwagi | JP | Moriguchi | 2009-03-05 / 20090059096 - IMAGE SIGNAL PROCESSING APPARATUS AND VIRTUAL REALITY CREATING SYSTEM | 1 |
Masahiro Kashiwagi | JP | Sakura-Shi | 2016-02-11 / 20160043525 - AMPLIFICATION OPTICAL FIBER AND FIBER LASER DEVICE USING THE SAME | 11 |
Tsuyoshi Kashiwagi | JP | Mihara-Shi | 2011-03-24 / 20110069384 - OPTICAL DIFFUSING SHEET, OPTICAL DEFLECTING SHEET, AND TRANSMISSION TYPE SCREEN | 1 |
Tsuyoshi Kashiwagi | JP | Tokyo-To | 2016-04-21 / 20160109623 - DISPLAY DEVICE | 11 |
Mitsuru Kashiwagi | JP | Yugawara | 2011-05-12 / 20110113193 - STORAGE SYSTEM, DATA TRANSFER METHOD, AND PROGRAM | 2 |
Tsuyoshi Kashiwagi | JP | Hiroshima-Ken | 2010-04-29 / 20100103525 - OPTICAL SHEET AND DISPLAY | 2 |
Kimiaki Kashiwagi | JP | Tokyo | 2016-02-04 / 20160032046 - POLYCARBONATE MANUFACTURING METHOD AND POLYCARBONATE | 9 |
Kimiaki Kashiwagi | JP | Kanagawa | 2008-11-20 / 20080287694 - PROCESSES FOR PRODUCING A FLUOROSULFONYL GROUP-CONTAINING COMPOUND AND A COMPOUND LED FROM THE FLUOROSULFONYL GROUP-CONTAINING COMPOUND | 1 |
Atsuko Kashiwagi | JP | Kanagawa | 2012-05-10 / 20120113401 - PROJECTION DEVICE | 3 |
Tsuyoshi Kashiwagi | JP | Tokyo | 2015-02-19 / 20150049387 - DAYLIGHTING SHEET, DAYLIGHTING PANEL, ROLL-UP DAYLIGHTING SCREEN AND METHOD OF MANUFACTURING DAYLIGHTING SHEET | 3 |
Takehiko Kashiwagi | JP | Tokyo | 2015-09-24 / 20150269253 - INFORMATION PROCESSING DEVICE | 8 |
Katsumi Kashiwagi | JP | Nara-Shi | 2015-02-12 / 20150044562 - NICKEL-HYDROGEN STORAGE BATTERY | 1 |
Kazuhiro Kashiwagi | JP | Hamamatsu-Shi Shizuoka | 2014-01-23 / 20140022821 - Lighting Device | 1 |
Masahiro Kashiwagi | JP | Kagawa | 2013-11-28 / 20130317470 - ABSORBENT ARTICLE PACKAGE AND METHOD OF FOLDING THE SAME | 3 |
Yusaku Kashiwagi | JP | Yamanashi | 2015-09-17 / 20150259801 - METHOD FOR FORMING CARBON NANOTUBES AND CARBON NANOTUBE FILM FORMING APPARATUS | 3 |
Shinichi Kashiwagi | JP | Susono Shizuoka | 2016-01-28 / 20160029168 - ITEM MANAGEMENT SYSTEM | 1 |
Kazuto Kashiwagi | JP | Ome-Shi | 2014-06-05 / 20140153135 - MAGNETIC RECORDING APPARATUS HAVING VARIABLE-WIDTH TRACKS AND METHOD OF TESTING SAME | 10 |
Ippei Kashiwagi | JP | Ome Tokyo | 2016-01-28 / 20160029507 - ELECTRONIC APPARATUS | 1 |
Hideo Kashiwagi | JP | Hiroshima | 2010-08-19 / 20100206789 - DEHYDRATOR | 1 |
Kenji Kashiwagi | JP | Meguro-Ku | 2012-08-16 / 20120208720 - RAPID DISPLAY METHOD IN TRANSLATIONAL SYNTHESIS OF PEPTIDE | 1 |
Yukiyasu Kashiwagi | JP | Osaka | 2011-08-11 / 20110193034 - COPPER-CONTAINING NANOPARTICLES AND MANUFACTURING METHOD THEREFOR | 1 |
Keiko Kashiwagi | JP | Chiba | 2008-10-16 / 20080254495 - Method of Diagnosing Apoplectic Stroke/Asymptomatic Brain Infarction Using Polyamine and Acrolein Contents, Polyamine Oxidase Activity or Protein Content Thereof as Indication | 1 |
Yutaka Kashiwagi | JP | Ibaraki | 2008-10-09 / 20080248161 - Method for Producing Blasting Fermentation-Treated Bagasse | 1 |
Yukiyasu Kashiwagi | JP | Kishiwada-Shi | 2011-08-11 / 20110193033 - COMPOSITE NANOPARTICLES AND MANUFACTURING METHOD THEREOF | 1 |
Jun-Ichi Kashiwagi | JP | Katanu-Shi | 2008-10-02 / 20080240193 - Two-dimensional photonic crystal surface-emitting laser | 1 |
Masahiro Kashiwagi | JP | Tokyo | 2015-04-02 / 20150093185 - JOINT STRUCTURE FOR COMPOSITE MEMBER | 4 |
Yoshiyuki Kashiwagi | JP | Suzuka | 2008-10-02 / 20080242777 - Composite Material and Thermoplastic Resin Composite Material Using the Same | 1 |
Ippei Kashiwagi | JP | Ome-Shi | 2015-03-26 / 20150084816 - ELECTRONIC DEVICE | 3 |
Takuya Kashiwagi | JP | Yokkaichi-Shi | 2013-04-25 / 20130098429 - SOLAR CELL MODULE | 3 |
Takeshi Kashiwagi | JP | Iwaki | 2012-12-06 / 20120308390 - STEAM TURBINE | 1 |
Masaki Kashiwagi | JP | Kyoto | 2011-07-07 / 20110164341 - STATIC ELIMINATING SHEET, STATIC ELIMINATING SYSTEM FOR SHEETS, AND SIMULTANEOUS DESIGN MOLDING METHOD, PRINTING METHOD, AND DEPOSITION METHOD USING STATIC ELIMINATING SHEET | 1 |
Katsufumi Kashiwagi | JP | Hamamatsu | 2012-11-15 / 20120286541 - Protective structure for vehicle electrical components | 1 |
Tomoyuki Kashiwagi | JP | Chita-Gun | 2008-09-04 / 20080215215 - Shift-by-wire system | 1 |
Takaaki Kashiwagi | JP | Kanagawa | 2008-08-28 / 20080209341 - INFORMATION PROCESSING DEVICE, COMPUTER READABLE MEDIUM, AND COMPUTER DATA SIGNAL | 1 |
Kunihiro Kashiwagi | JP | Shiki-Shi | 2008-08-21 / 20080197016 - Thin Film Deposited Substrate and Deposition System for Such Thin Film | 1 |
Kouzou Kashiwagi | JP | Gunma | 2015-01-29 / 20150027223 - CONTACT MATERIAL SUITABLE FOR FUEL SENDER SLIDER, AND FUEL SENDER SLIDER | 2 |
Hiroshi Kashiwagi | JP | Tochigi | 2015-12-03 / 20150343537 - MACHINING METHOD AND MACHINING APPARATUS OF VALVE HOLES OF CYLINDER HEAD, AND CLAMP DEVICE | 3 |
Yusaku Kashiwagi | JP | Nirasaki City | 2015-07-30 / 20150214429 - METHOD FOR MANUFACTURING ROD-TYPE LIGHT EMITTING DEVICE AND ROD-TYPE LIGHT EMITTING DEVICE | 1 |
Minoru Kashiwagi | JP | Chigasaki-Shi | 2008-08-21 / 20080198252 - SOLID-STATE IMAGING DEVICE AND METHOD OF DRIVING THE SAME | 1 |
Yasuo Kashiwagi | JP | Sakai-Shi | 2015-12-10 / 20150352811 - INSULATING PAPER FOR OIL-IMMERSED MOTORS | 1 |
Kazuto Kashiwagi | JP | Yokohama Kanagawa | 2015-10-15 / 20150294690 - MAGNETIC DISK APPARATUS AND DATA RECORDING METHOD | 1 |
Toshiji Kashiwagi | JP | Osaka-Shi | 2015-10-15 / 20150292127 - DRAWING DEVICE AND DRAWING METHOD | 1 |
Yoshiichiro Kashiwagi | US | Arcadia | 2013-10-24 / 20130279883 - RECORDING MEDIUM, PLAYBACK DEVICE, RECORDING DEVICE, AND RECORDING METHOD | 8 |
Yusaku Kashiwagi | JP | Tsukuba City | 2016-03-10 / 20160067680 - GRAPHENE PATTERNING METHOD AND PATTERNING MEMBER | 3 |
Kuniaki Kashiwagi | JP | Nishinomiya-Shi | 2013-01-31 / 20130031121 - VEHICLE CHARGING FACILITY INFORMATION ACQUISITION SYSTEM | 1 |
Yoshiichrio Kashiwagi | US | Arcadia | 2014-05-08 / 20140126632 - PICTURE CODING METHOD AND PICTURE DECODING METHOD | 1 |
Satoru Kashiwagi | JP | Hamamatsu-Shi | 2013-01-31 / 20130025997 - POWER TRANSMITTING APPARATUS | 1 |
Hideki Kashiwagi | JP | Kariya-Shi | 2012-02-02 / 20120026771 - VEHICLE-USE POWER SUPPLY CONTROL APPARATUS AND CONTROL APPARATUS FOR CONTROLLING ELECTRIC ROTATING MACHINE MOUNTED ON VEHICLE AS MAIN ENGINE | 1 |
Tsutomu Kashiwagi | JP | Annaka-Shi | 2016-04-21 / 20160108240 - SILICONE RESIN COMPOSITION FOR SEALING OPTICAL SEMICONDUCTOR ELEMENT AND OPTICAL SEMICONDUCTOR DEVICE | 45 |
Shigeru Kashiwagi | JP | Tokyo | 2012-04-26 / 20120102076 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 5 |
Hideaki Kashiwagi | JP | Ebina-Shi | 2012-10-25 / 20120271529 - INTERNAL COMBUSTION ENGINE CONTROL APPARATUS | 1 |
Masahiro Kashiwagi | JP | Kanonji-Shi | / - | 1 |
Hiroshi Kashiwagi | JP | Tokyo | 2010-09-02 / 20100221547 - ELECTROLUMINESCENT ELEMENT | 2 |
Kazuhiko Kashiwagi | JP | Tokorozawa Saitama | 2015-06-04 / 20150156443 - ELECTRONIC APPARATUS AND DISPLAY CONTROL METHOD | 1 |
Takahito Kashiwagi | JP | Kanagawa-Ken | 2014-08-21 / 20140232259 - LIGHT-EMITTING CIRCUIT AND LUMINAIRE | 1 |
Jin Kashiwagi | JP | Kawasaki-Shi | 2012-07-12 / 20120175726 - SEMICONDUCTOR DEVICE | 1 |
Jin Kashiwagi | JP | Kanagawa-Ken | 2012-05-31 / 20120134211 - MEMORY SYSTEM | 2 |
Kazuto Kashiwagi | JP | Tokyo | 2013-07-18 / 20130182349 - INFORMATION RECORDING APPARATUS AND INFORMATION WRITING METHOD | 4 |
Tetsuya Kashiwagi | JP | Fukuoka | 2015-07-30 / 20150212124 - POWER DISTRIBUTION MANAGEMENT APPARATUS, DISPLAY CONTROL METHOD, AND RECORDING MEDIUM | 10 |
Hiroki Kashiwagi | JP | Chuo-Ku | 2010-03-25 / 20100071840 - Laminate having chromatic color and metallic luster, and process for producing the same | 1 |
Junko Kashiwagi | JP | Tokyo | 2009-10-01 / 20090246475 - DECORATIVE FILM FOR MOLDING | 1 |
Jin Kashiwagi | JP | Tokyo | 2015-03-12 / 20150074489 - SEMICONDUCTOR STORAGE DEVICE AND MEMORY SYSTEM | 2 |
Daisuke Kashiwagi | JP | Anjo-City | 2012-09-13 / 20120228406 - INJECTOR FOR INTERNAL COMBUSTION ENGINE | 1 |
Yuta Kashiwagi | JP | Kanagawa | 2015-03-19 / 20150077478 - LIQUID CARTRIDGE AND IMAGE FORMING APPARATUS INCORPORATION SAME | 1 |
Toshinori Kashiwagi | JP | Tokyo | 2009-09-17 / 20090233120 - Laminate and Process for Producing the Same | 1 |
Makoto Kashiwagi | JP | Tokyo | 2015-10-08 / 20150285404 - TUBE CLAMP STRUCTURE OF TUBING PUMP | 4 |
Kenji Kashiwagi | JP | Minamiashigara | 2011-08-25 / 20110203834 - PRINTED CIRCUIT BOARD | 1 |
Kazuto Kashiwagi | JP | Yokohama | 2015-10-22 / 20150302876 - DATA STORAGE APPARATUS AND METHOD FOR CORRECTING REPEATABLE RUNOUT | 1 |
Akihiro Kashiwagi | JP | Matsumoto-Shi | 2015-10-22 / 20150301438 - ILLUMINATION DEVICE AND PROJECTOR | 1 |
Tatsuki Kashiwagi | JP | Kawasaki-Shi | 2010-12-16 / 20100317068 - METHOD FOR PRODUCING SERINE DERIVATIVE AND PROTEIN USED FOR THE SAME | 3 |
Kazunori Kashiwagi | JP | Odawara-Shi | 2015-02-12 / 20150043302 - PROPORTIONAL MIXING SYSTEM | 2 |
Kazuto Kashiwagi | JP | Ome-Shi | 2014-06-05 / 20140153135 - MAGNETIC RECORDING APPARATUS HAVING VARIABLE-WIDTH TRACKS AND METHOD OF TESTING SAME | 10 |
Takashi Kashiwagi | JP | Iwaki | 2015-03-12 / 20150074595 - Electronic Book Apparatus, Page Feeding Method, and Page Feeding Program of Electronic Book | 1 |
Toshihiko Kashiwagi | JP | Osaka-Fu | / - | 1 |
Alan Kashiwagi | US | Sebastopol | 2009-08-06 / 20090194861 - Hermetically-packaged devices, and methods for hermetically packaging at least one device at the wafer level | 1 |
Yoshiichiro Kashiwagi | US | Arcadia | 2013-10-24 / 20130279883 - RECORDING MEDIUM, PLAYBACK DEVICE, RECORDING DEVICE, AND RECORDING METHOD | 8 |
Gordon Alan Kashiwagi | US | San Jose | 2010-03-11 / 20100058573 - USE OF BARRIER FILM FOR PARTS LUBRICATION IN HUB TO SHAFT PRESS FIT OPERATION | 1 |
Masako Kashiwagi | JP | Yokohama Kanagawa | 2015-05-21 / 20150138458 - LIQUID CRYSTAL OPTICAL DEVICE AND IMAGE DISPLAY DEVICE | 1 |
Takahiro Kashiwagi | JP | Osaka | 2013-02-14 / 20130039569 - METHOD AND APPARATUS OF COMPILING IMAGE DATABASE FOR THREE-DIMENSIONAL OBJECT RECOGNITION | 1 |
Satoshi Kashiwagi | US | Boston | 2014-09-18 / 20140276358 - LASER ADJUVANTS FOR ENHANCING IMMUNE RESPONSE | 3 |
Katsumi Kashiwagi | JP | Nara | 2014-12-04 / 20140356723 - NEGATIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING THE SAME | 14 |
Kenji Kashiwagi | JP | Kanagawa | 2015-10-29 / 20150313005 - PRINTED CIRCUIT BOARD | 1 |
Keiko Kashiwagi | JP | Chiba-Shi | 2014-07-31 / 20140208835 - METHOD FOR DETERMINATION OF STROKE AND/OR CEREBRAL INFARCTION USING 3-HPMA AS MEASURE | 1 |
Daisuke Kashiwagi | JP | Tokyo | 2010-05-13 / 20100117341 - Passenger Leg Portion Constraining Apparatus | 3 |
Masahiro Kashiwagi | JP | Nagasaki | 2010-12-23 / 20100320315 - LIGHTNING-PROTECTION FASTENER | 1 |
Takashi Kashiwagi | JP | Chiba | 2010-12-23 / 20100324421 - ULTRASONIC IMAGING APPARATUS | 1 |
Masaki Kashiwagi | JP | Kanagawa | 2011-06-09 / 20110134490 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 1 |
Kenji Kashiwagi | JP | Kofu-Shi | 2014-03-27 / 20140086973 - PHARMACEUTICAL PREPARATION | 1 |
Shinichi Kashiwagi | JP | Tokyo | 2011-09-01 / 20110209831 - HIGH-SPEED LABEL TRANSFER/STICKING SYSTEM | 1 |
Takashi Kashiwagi | JP | Tokyo | 2014-01-23 / 20140024936 - PORTABLE ULTRASOUND SYSTEM | 2 |
Toshiyuki Kashiwagi | JP | Tokyo | 2013-06-06 / 20130142028 - OPTICAL RECORDING MEDIUM, MANUFACTURING METHOD FOR OPTICAL RECORDING MEDIUM | 2 |
Tsutomu Kashiwagi | JP | Annaka | 2015-09-17 / 20150261088 - UV-CURABLE ADHESIVE SILICONE COMPOSITION, UV-CURABLE ADHESIVE SILICONE COMPOSITION SHEET, OPTICAL SEMICONDUCTOR APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 11 |
Tohru Kashiwagi | JP | Osaka-Shi | 2011-06-02 / 20110127077 - BLOCK COPOLYMERIZED POLYIMIDE INK COMPOSITION FOR PRINTING | 1 |
Nobuto Kashiwagi | JP | Tokyo | 2010-04-15 / 20100090408 - ARTICLE CAPTURING GAME MACHINE | 1 |
Hideo Kashiwagi | JP | Ibaraki | 2010-03-04 / 20100051066 - COMPOSITION FOR REMOVING RESIDUE FROM WIRING BOARD AND CLEANING METHOD | 2 |
Yugo Kashiwagi | JP | Tokyo | 2010-07-29 / 20100191934 - MICROCOMPUTER AND DIVIDING CIRCUIT | 2 |
Takao Kashiwagi | JP | Tokyo | 2013-07-04 / 20130169267 - CURRENT SENSOR | 3 |
Kimiaki Kashiwagi | JP | Tokyo | 2016-02-04 / 20160032046 - POLYCARBONATE MANUFACTURING METHOD AND POLYCARBONATE | 9 |
Takayoshi Kashiwagi | JP | Tokyo | 2009-07-02 / 20090169044 - Earphone Antenna | 1 |
Miyuki Kashiwagi | JP | Tokyo | 2009-08-06 / 20090195660 - IMAGE SIGNAL PROCESSING SYSTEM, DIGITAL CAMERA, AND PRINTER | 1 |
Takehiko Kashiwagi | JP | Tokyo | 2015-09-24 / 20150269253 - INFORMATION PROCESSING DEVICE | 8 |
Shinji Kashiwagura | JP | Yamagata | 2010-12-30 / 20100325811 - Leather | 1 |
Toshimi Kashiwagura | JP | Susono-Shi | 2016-05-05 / 20160123251 - CONTROL SYSTEM OF INTERNAL COMBUSTION ENGINE (AS AMENDED) | 2 |
Shinji Kashiwagura | JP | Yamagata-Shi | 2011-04-07 / 20110078862 - TOPCOAT | 2 |
Satoshi Kashiwagura | JP | Tokyo | 2016-04-07 / 20160097421 - BALL JOINT | 3 |
Shinji Kashiwagura | JP | Nishimurayama-Gun | 2012-09-13 / 20120231249 - CAR-SEAT SPLIT CRUST LEATHER AND METHOD FOR MANUFACTURING THE SAME, AND CAR-SEAT LEATHER USING THE CAR-SEAT SPLIT CRUST LEATHER AND METHOD FOR MANUFACTURING THE SAME | 1 |
Tadahiro Kashiwai | JP | Susono-Shi, Shizuoka-Ken | 2016-02-25 / 20160052547 - DRIVING SUPPORT APPARATUS AND DRIVING SUPPORT METHOD | 1 |
Toshiyuki Kashiwai | JP | Chiba-Ken | 2011-03-10 / 20110059038 - METHOD OF STABILIZING A POLYSILOXANE EMULSION AND COMPOSITIONS CONTAINING STABILIZED EMULSIONS | 2 |
Tadahiro Kashiwai | JP | Susono-Shi | 2015-12-17 / 20150363653 - ROAD ENVIRONMENT RECOGNITION SYSTEM | 2 |
Mikio Kashiwai | JP | Saitama | 2011-09-22 / 20110226543 - VIBRATION DAMPING APPARATUS FOR SADDLE RIDING TYPE VEHICLE | 7 |
Hajime Kashiwai | JP | Osaka | 2010-01-07 / 20100003395 - DAIRY PRODUCT AND METHOD FOR PRODUCING THE SAME | 1 |
Shigeo Kashiwai | JP | Hyogo | 2013-04-25 / 20130098758 - POWDER, SINTERED BODY AND SPUTTERING TARGET, EACH CONTAINING ELEMENTS OF CU, IN, GA AND SE, AND METHOD FOR PRODUCING THE POWDER | 1 |
Tadahiro Kashiwai | JP | Aichi-Ken | / - | 1 |
Yuri Kashiwai | JP | Chiba-Ken | 2009-03-05 / 20090059295 - Plotting Device and Image Data Creation Method | 1 |
Mikio Kashiwai | JP | Wako-Shi | 2015-05-07 / 20150122561 - ELECTRIC VEHICLE | 4 |
Kuniaki Kashiwakura | JP | Toyohashi-Shi | 2014-04-10 / 20140099132 - IMAGE FORMING APPARATUS | 8 |
Kazuhiro Kashiwakura | JP | Tokyo | 2015-12-10 / 20150359084 - PRINTED WIRING BOARD, ELECTRONIC DEVICE, AND WIRING CONNECTION METHOD | 17 |
Yuji Kashiwakura | JP | Okayama | 2012-02-09 / 20120034251 - PHARMACEUTICAL COMPOSITION FOR TREATING OR PREVENTING CANCER BY INDUCING DENDRITIC CELL-LIKE DIFFERENTIATION FROM MONOCYTES TO IMPROVE ANTICANCER IMMUNE ACTIVITY | 3 |
Kazuhiro Kashiwakura | JP | Minato-Ku | 2013-07-04 / 20130170155 - PRINTED WIRING BOARD, AND METHOD OF SUPPLYING POWER AND FORMING WIRING FOR PRINTED WIRING BOARD | 1 |
Hiroshi Kashiwakura | JP | Shiga | 2011-08-11 / 20110192684 - ELEVATOR SAFETY DEVICE | 2 |
Jun Kashiwakura | JP | Tokai | 2009-03-05 / 20090056399 - METHOD FOR EXECUTING WATER JET PEENING | 1 |
Takuya Kashiwakura | JP | Kanagawa | 2015-02-26 / 20150056390 - SURFACE-TREATED ALUMINUM PLATE, ORGANIC-RESIN-COATED SURFACE -TREATED ALUMINUM PLATE, CAN BODY AND CAN LID FORMED BY USING THE SAME | 1 |
Shoichiro Kashiwakura | JP | Chiba-Shi | 2014-06-19 / 20140167820 - PHASE COMPARISON DEVICE AND DLL CIRCUIT | 1 |
Claudia R. Kashiwakura | BR | Sao Paulo | 2010-11-25 / 20100297691 - DEVICES AND PROCESSES FOR COLLECTING AND CONCENTRATING SAMPLES FOR MICROBIOLOGICAL ANALYSIS | 1 |
Kuniaki Kashiwakura | JP | Aichi | 2011-07-21 / 20110176845 - LUBRICANT APPLICATION DEVICE AND IMAGE FORMING APPARATUS | 3 |
Kuniaki Kashiwakura | JP | Toyohashi-Shi | 2014-04-10 / 20140099132 - IMAGE FORMING APPARATUS | 8 |
Yuji Kashiwakura | JP | Okayama-Shi | 2014-02-27 / 20140056945 - PHARMACEUTICAL COMPOSITION FOR TREATING OR PREVENTING CANCER BY INDUCING DENDRITIC CELL-LIKE DIFFERENTIATION FROM MONOCYTES TO IMPROVE ANTICANCER IMMUNE ACTIVITY | 1 |
Shoichiro Kashiwakura | JP | Chiba | 2012-01-12 / 20120007755 - PARALLEL TO SERIAL CONVERSION APPARATUS AND METHOD OF CONVERTING PARALLEL DATA HAVING DIFFERENT WIDTHS | 1 |
Kazuhiro Kashiwakura | JP | Tokyo | 2015-12-10 / 20150359084 - PRINTED WIRING BOARD, ELECTRONIC DEVICE, AND WIRING CONNECTION METHOD | 17 |
Takashi Kashiwamura | JP | Chiba | 2010-10-21 / 20100266952 - CYCLIC COMPOUND, PHOTORESIST BASE, PHOTORESIST COMPOSITION, MICROFABRICATION PROCESS, AND SEMICONDUCTOR DEVICE | 4 |
Takashi Kashiwamura | JP | Ichiharashi | 2009-08-27 / 20090215973 - PROPYLENE POLYMER AND COMPOSITION CONTAINING THE SAME, MOLDED OBJECT AND LAMINATE COMPRISING THESE, AND PROCESSES FOR PRODUCING PROPYLENE POLYMER AND COMPOSITION CONTAINING THE SAME | 1 |
Satoshi Kashiwamura | JP | Obihiro-Shi | 2011-03-17 / 20110066326 - VEHICLE CONTROL APPARATUS | 1 |
Susumu Kashiwase | JP | Machida | 2013-02-14 / 20130040598 - RADIO BASE STATION AND COMMUNICATION CONTROL METHOD | 1 |
Susumu Kashiwase | JP | Yokohama-Shi | 2013-02-14 / 20130040679 - RADIO BASE STATION AND COMMUNICATION CONTROL METHOD | 3 |
Susumu Kashiwase | JP | Machida-Shi | 2016-03-17 / 20160081123 - USER TERMINAL, BASE STATION, AND PROCESSOR | 6 |
Susumu Kashiwase | JP | Kanagawa | 2012-12-06 / 20120307807 - WIRELESS COMMUNICATION SYSTEM | 6 |
Kazuki Kashiwase | JP | Hamamatsu-Shi | 2015-10-01 / 20150279342 - SCORE DISPLAYING METHOD AND STORAGE MEDIUM | 2 |
Hidekazu Kashiwase | JP | Kanagawa | 2011-03-24 / 20110069410 - MAGNETIC-RECORDING-DISK TEST-HEAD AND METHOD OF MANUFACTURING A MAGNETIC-RECORDING DISK USING THE MAGNETIC-RECORDING-DISK TEST-HEAD | 2 |
Hideyuki Kashiwase | JP | Tokyo | 2012-09-06 / 20120226869 - FILE SERVER APPARATUS, MANAGEMENT METHOD OF STORAGE SYSTEM, AND PROGRAM | 2 |
Susumu Kashiwase | JP | Yokohama | 2010-03-11 / 20100061480 - RADIO COMMUNICATION METHOD AND RADIO COMMUNICATION TERMINAL | 4 |
Hidekazu Kashiwase | JP | Yokohama-Shi | 2015-04-23 / 20150109700 - SHINGLED MAGNETIC RECORDING SYSTEM WITH ADAPTIVE WRITE BUFFER AREA | 1 |
Susumu Kashiwase | JP | Tokyo | 2014-04-10 / 20140098738 - RADIO COMMUNICATION SYSTEM AND COMMUNICATION CONTROL METHOD | 1 |
Tomoyuki Kashiwaya | JP | Ebina-Shi | 2010-09-02 / 20100222965 - VEHICLE DRIVING OPERATION SUPPORT APPARATUS/METHOD AND VEHICLE | 2 |
Yoshiaki Kashiwaya | JP | Sapporo-Shi | 2010-02-11 / 20100031777 - ORE TREATING METHOD, ORE TREATING APPARATUS, IRON MANUFACTURING METHOD, AND IRON AND STEEL MANUFACTURING METHOD | 1 |
Toshikatsu Kashiwaya | JP | Nagoya-City | 2011-01-13 / 20110006639 - PIEZOELECTRIC MATERIAL/ELECTROSTRICTIVE MATERIAL, PIEZOELECTRIC/ELECTROSTRICTIVE CERAMICS COMPOSITION, PIEZOELECTRIC ELEMENT/ELECTROSTRICTIVE ELEMENT, AND PIEZOELECTRIC MOTOR | 1 |
Makoto Kashiwaya | JP | Kanagawa | 2008-11-20 / 20080286461 - VACUUM EVAPORATION METHOD | 2 |
Motofumi Kashiwaya | JP | Tokyo | 2012-07-19 / 20120185859 - METHODS AND SYSTEMS FOR PROGRAM ANALYSIS AND PROGRAM CONVERSION | 2 |
Tomoyuki Kashiwaya | JP | Ebina-Shi, Kanagawa | 2015-12-10 / 20150352956 - DISPLAY CONTROL DEVICE FOR VEHICLE AND DISPLAY CONTROL METHOD FOR VEHICLE | 1 |
Toshikatsu Kashiwaya | JP | Inazawa | 2011-09-29 / 20110234044 - PIEZOELECTRIC/ELECTROSTRICTIVE CERAMIC, MANUFACTURING METHOD FOR PIEZOELECTRIC/ELECTROSTRICTIVE CERAMIC, PIEZOELECTRIC/ ELECTROSTRICTIVE ELEMENT, AND MANUFACTURING METHOD FOR PIEZOELECTRIC/ELECTROSTRICTIVE ELEMENT | 3 |
Toshikatsu Kashiwaya | JP | Inazawa-Shi | 2014-10-02 / 20140292156 - PIEZOELECTRIC/ELECTROSTRICTIVE ELEMENT AND WIRING SUBSTRATE | 1 |
Yoshihisa Kashiwaya | JP | Tokyo | / - | 1 |
Toshikatsu Kashiwaya | JP | Inazawa-City | 2009-06-18 / 20090152996 - Piezoelectric/electrostrictive membrane element | 1 |
Kouichi Kashiwazaki | JP | Hamamatsu-Shi | 2013-05-23 / 20130125734 - MUSICAL SOUND GENERATOR AND METHOD FOR GENERATING MUSICAL SOUND BASED ON ELEMENT DATA | 5 |
Tetsuo Kashiwazaki | JP | Tokyo | 2016-05-12 / 20160130031 - CAN LID AND BEVERAGE CAN | 5 |
Eiki Kashiwazaki | JP | Annaka-Shi | 2015-07-30 / 20150214513 - BATTERY | 4 |
Akio Kashiwazaki | JP | Yokohama-Shi | 2012-12-20 / 20120321949 - METHOD OF PRODUCING LITHIUM ION-STORING/RELEASING MATERIAL, LITHIUM ION-STORING/RELEASING MATERIAL, AND ELECTRODE STRUCTURE AND ENERGY STORAGE DEVICE USING THE MATERIAL | 3 |
Atsushi Kashiwazaki | JP | Kariya-City | 2016-04-14 / 20160105958 - MULTI-LAYER SUBSTRATE, ELECTRONIC DEVICE USING MULTI-LAYER SUBSTRATE, MANUFACTURING METHOD FOR MULTILAYER SUBSTRATE, SUBSTRATE, AND ELECTRONIC DEVICE USING SUBSTRATE | 1 |
Yugi Kashiwazaki | JP | Yamagata Pref. | 2014-10-30 / 20140322473 - DECORATIVE FILM HAVING LOW GROSS HEAT OF COMBUSTION | 1 |
Yasuhiro Kashiwazaki | JP | Hyogo | 2009-08-27 / 20090213667 - SEMICONDUCTOR MEMORY DEVICE ENHANCING RELIABILITY IN DATA READING | 1 |
Eiki Kashiwazaki | JP | Annaaka-Shi | 2014-01-30 / 20140030562 - BATTERY | 1 |
Atsushi Kashiwazaki | JP | Anjo-City | 2011-07-28 / 20110180915 - ELECTRONIC DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Kazuhisa Kashiwazaki | JP | Tokyo | 2008-08-28 / 20080202647 - ALLUMINUM ALLOY PIPE AND ALUMINUM ALLOY STRUCTURAL MEMBER FOR AUTOMOBILE USING THE SAME | 1 |
Tomoya Kashiwazaki | JP | Kawasaki-Shi | 2015-12-31 / 20150380342 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Katsuhisa Kashiwazaki | JP | Utsunomiya | 2014-07-17 / 20140199539 - COMPOSITE PLATED FILM AND LAMINATED FILM | 1 |
Osamu Kashiwazaki | JP | Hachioji-Shi | 2013-05-16 / 20130122607 - DETECTION DEVICE AND DETECTION METHOD FOR INTERMOLECULAR INTERACTION | 2 |
Tetsuo Kashiwazaki | JP | Shinagawa-Ku | 2014-12-18 / 20140368841 - IMAGE FORMING SYSTEM | 1 |
Eiki Kashiwazaki | JP | Kashiwazaki-Shi | 2014-10-30 / 20140322578 - BATTERY WITH WOUND ELECTRODE GROUP AND POSITIVE AND NEGATIVE ELECTRODE INSULATING COVERS | 4 |
Takahiro Kashiyama | JP | Mitaka-Shi | 2015-11-12 / 20150320399 - MEDICAL DIAGNOSIS DEVICE AND MEASUREMENT METHOD THEREOF | 1 |
Masamori Kashiyama | JP | Tokyo | 2014-10-09 / 20140303826 - REACHABLE RANGE CALCULATION APPARATUS, METHOD, AND PROGRAM | 1 |
Hideki Kashiyama | JP | Yokohama-Shi | 2013-03-14 / 20130063607 - METHOD IN WHICH CAMERA MODULE TRANSFERS IMAGE DATA AND COMPUTER THEREFOR | 1 |
Toshihiko Kashiyama | US | Santa Clara | 2016-05-05 / 20160125033 - STREAM DATA PROCESSING METHOD WITH TIME ADJUSTMENT | 4 |
Shunji Kashiyama | JP | Kanagawa-Ken | 2009-06-11 / 20090146939 - DISPLAY DRIVE DEVICE AND DISPLAY APPARATUS HAVING SAME | 1 |
Taku Kashiyama | JP | Tokyo | 2010-04-29 / 20100105882 - MOLECULAR MODULE | 1 |
Meguru Kashiyama | JP | Kanagawa | 2012-08-30 / 20120221220 - ACCELERATOR PEDAL APPARATUS | 2 |
Toshihiko Kashiyama | JP | Hachioji | 2013-04-25 / 20130103976 - FAILURE RECORVERY METHOD | 3 |
Shunji Kashiyama | JP | Sagamihara-Shi | 2011-03-31 / 20110074762 - LIGHT-EMITTING APPARATUS AND DRIVE CONTROL METHOD THEREOF AS WELL AS ELECTRONIC DEVICE | 5 |
Meguru Kashiyama | JP | Odawara | 2014-08-28 / 20140238181 - ACCELERATOR PEDAL DEVICE | 1 |
Toshihiko Kashiyama | JP | Tokyo | 2009-04-23 / 20090106633 - METHOD AND APPARATUS FOR CORRECTING AND DETECTING MULTIPLE SPOTTY-BYTE ERRORS WITHIN A BYTE OCCURRED IN A LIMITED NUMBER OF BYTES | 1 |
Shigeki Kashiyama | JP | Tokyo | 2016-05-05 / 20160127356 - INFORMATION PROCESSING SYSTEM AND AUTHENTICATION METHOD | 4 |
Kenji Kashiyama | JP | Hiroshima-Shi | 2010-03-18 / 20100064787 - ARRANGEMENT STRUCTURE FOR SENSOR TO BE MOUNTED TO ENGINE OF VEHICLE | 1 |
Masahito Kashiyama | JP | Kyoto-Shi | 2014-09-18 / 20140261571 - SUBSTRATE CLEANING AND DRYING METHOD AND SUBSTRATE DEVELOPING METHOD | 7 |
Masamori Kashiyama | JP | Yokohama | 2013-10-03 / 20130261953 - ROUTE SEARCH SYSTEM AND METHOD FOR ELECTRIC AUTOMOBILE | 4 |
Shunji Kashiyama | JP | Tokyo | 2010-06-03 / 20100134482 - PIXEL DRIVING DEVICE AND A LIGHT EMITTING DEVICE | 3 |
Toshihiko Kashiyama | JP | Kokubunji | 2014-09-25 / 20140289221 - METHOD FOR PROCESSING STREAM DATA AND SYSTEM THEREOF | 8 |
Toshihiko Kashiyama | JP | Kokubunji | 2014-09-25 / 20140289221 - METHOD FOR PROCESSING STREAM DATA AND SYSTEM THEREOF | 8 |
Motohisa Kashiyama | JP | Makinohara-Shi | 2013-03-14 / 20130062810 - INSERT MOLDING METHOD FOR CONNECTOR | 3 |
Yuki Kashiyama | US | Berkeley | 2013-09-26 / 20130252312 - BIOFUEL PRODUCTION | 6 |
Takahiro Kashiyama | JP | Tokyo | 2012-11-08 / 20120281895 - MEDICAL IMAGE DIAGNOSTIC APPARATUS AND MEDICAL IMAGE CONTOUR EXTRACTION PROCESSING METHOD | 1 |
Ritsuo Kashiyama | JP | Tokyo | 2012-07-19 / 20120182457 - IMAGE CAPTURING APPARATUS | 4 |
Hideki Kashiyama | JP | Kanagawa-Ken | 2014-05-01 / 20140118256 - DISPLAY DIRECTIONAL SENSING | 1 |
Hiromu Kashiyama | JP | Okazaki-City | 2015-01-22 / 20150025776 - FUEL SUPPLYING CONTROLLER | 2 |
Shinsuke Kashiyama | JP | Sakai-Shi | 2015-08-06 / 20150217634 - Working Vehicle | 2 |
Yuki Kashiyama | US | Seattle | 2009-08-13 / 20090203089 - ISOLATED ALCOHOL DEHYDROGENASE ENZYMES AND USES THEREOF | 3 |
Tadashi Kashiyama | JP | Shinagawa-Ku | 2014-10-02 / 20140290060 - ASSEMBLING APPARATUS AND CONTROL METHOD | 1 |
Masamori Kashiyama | JP | Isehara | 2010-03-25 / 20100077446 - CENTER APPARATUS, TERMINAL APPARATUS, AND AUTHENTICATION SYSTEM | 2 |
Masato Kashiyama | JP | Kasugai-Shi | 2010-09-16 / 20100231354 - CONTROL SYSTEM AND METHOD, AND COMMUNICATION DEVICE AND METHOD | 1 |
Alexander Germanovich Kashkarov | RU | St. Petersburg | 2014-10-30 / 20140324095 - EMBOLUS BLOOD CLOT FILTER WITH BIO-RESORBABLE COATED FILTER MEMBERS | 7 |
Aleksandr Germanovich Kashkarov | RU | St. Petersburg | 2009-10-29 / 20090267861 - Antenna Device With A Controlled Directional Pattern And A Planar Directional Antenna | 1 |
Kenneth B. Kashkin | US | Sparta | 2009-06-11 / 20090148526 - SALTS OF POTASSIUM ATP CHANNEL OPENERS AND USES THEREOF | 1 |
Ismail Kashkoush | US | Orefield | 2015-11-05 / 20150318224 - CORRELATION BETWEEN CONDUCTIVITY AND PH MEASUREMENTS FOR KOH TEXTURING SOLUTIONS AND ADDITIVES | 10 |
Ismail Kashkoush | US | Orefield | 2015-11-05 / 20150318224 - CORRELATION BETWEEN CONDUCTIVITY AND PH MEASUREMENTS FOR KOH TEXTURING SOLUTIONS AND ADDITIVES | 10 |
Yefim Kashler | US | Brooklyn | 2011-01-27 / 20110016870 - METHOD AND APPARATUS FOR IMPROVED GAS TURBINE EFFICIENCY AND AUGMENTED POWER OUTPUT | 1 |
Yoel Kashman | IL | Tel Aviv-Yafo | / - | 1 |
Yoel Kashman | IL | Tel-Aviv | 2013-11-14 / 20130302895 - CORAL-DERIVED COLLAGEN AND METHODS OF FARMING SAME | 4 |
Avtar Singh Kashmiran | AU | Kambah | 2011-06-16 / 20110139276 - STERILE SAMPLING METHODS AND APPARATUS | 1 |
Syed Kashmiri | US | North Potomac | 2011-03-03 / 20110053264 - FRAMEWORK RESIDUE SUBSTITUTED HUMANIZED COL-1 ANTIBODIES AND THEIR USE | 2 |
Syed V.s. Kashmiri | US | North Potomac | 2013-12-19 / 20130337559 - HUMANIZED ANTI-TAG 72 CC49 FOR DIAGNOSIS AND THERAPY OF HUMAN TUMORS | 1 |
Rafia Mehdi Kashmiri | US | North Potomac | 2011-03-03 / 20110053264 - FRAMEWORK RESIDUE SUBSTITUTED HUMANIZED COL-1 ANTIBODIES AND THEIR USE | 2 |
Syed V.s. Kashmiri | US | Gaithersburg | 2010-12-02 / 20100303720 - VARIANTS OF HUMANIZED ANTI-CARCINOMA MAb CC49 | 3 |
Sayyed Mahdi Kashmiri | NL | Delft | 2014-09-25 / 20140285189 - FLUXGATE MAGNETIC-TO-DIGITAL CONVERTER WITH OVERSAMPLING CLOSED LOOP | 2 |
Syed V.s. Kashmiri | US | Gaithersberg | 2011-06-30 / 20110159525 - METHODS TO DETERMINE IMMUNOGENICITY OF HUMANIZED ANTI-TAG 72 CC49 ANTIBODIES | 1 |
Avtar Singh Kashmirian | AU | Australia Capital Territory | 2010-04-22 / 20100096570 - Non-Reopening Locking Pinch Clamp For Tubing | 1 |
Avtar Singh Kashmirian | AU | Australian Capital Territory | 2010-01-14 / 20100010451 - Huber Needle Assembly and Method of Use | 1 |
Avtar Singh Kashmirian | AU | Kambah | 2014-08-07 / 20140216598 - STERILE SAMPLING METHODS AND APPARATUS | 2 |
Megan Kashner | US | Evanston | 2013-06-13 / 20130151432 - SYSTEM, COMPUTER-STORAGE MEDIUM AND METHODS FOR ALLOCATION OF DONATIONS BETWEEN PARTIES | 1 |
James Edward Kashork | US | Spokane | 2013-08-22 / 20130219254 - 2D CODE LINKED TO CUSTOMIZED WEB PAGE WITH USER-UPLOADED CONTENT | 1 |
Hillel Isaac Kashtan | US | Santa Cruz | 2010-05-06 / 20100114600 - Electronic Physician's Order Entering System | 1 |
Guy Kashtan | IL | Ramat Hasharon | 2015-12-31 / 20150381615 - MANAGING USER DATA FOR SOFTWARE SERVICES | 1 |
David Lyon Kashtan | US | Santa Cruz | 2010-05-06 / 20100114600 - Electronic Physician's Order Entering System | 1 |
Yuval Kashtan | IL | Mishmeret | 2015-11-12 / 20150327034 - DEVICE CONTROL BASED ON NUMBER OF VEHICLE OCCUPANTS | 4 |
Hillel Kashtan | US | Poquoson | 2015-08-20 / 20150235009 - Method and System for Generating a Rate-of-Change Graphical Health Record | 1 |
Guy Kashtan | IL | Tel Aviv | 2015-12-10 / 20150356836 - CONVERSATION CUES WITHIN AUDIO CONVERSATIONS | 1 |
David Kashtan | US | Santa Cruz | 2016-02-11 / 20160044046 - SECURE, NON-DISRUPTIVE FIRMWARE UPDATING | 3 |
Osnat Kashtan | IL | Kadima | 2012-11-29 / 20120302609 - Pyrazolines for the Modulation of PKM2 | 2 |
Guy Kashtan | IL | Hertzlyia | 2015-11-19 / 20150334071 - PEER-BASED DEVICE SET ACTIONS | 2 |
Tamar Kashti | IL | Nes Ziona | 2015-02-05 / 20150036946 - METRICS TO IDENTIFY IMAGE SMOOTHNESS | 1 |
Tamar Kashti | IL | Rehovot | 2014-10-30 / 20140320928 - HALFTONE SCREEN | 3 |
Yösi Kashti | IL | Rishon Lezion | 2013-01-10 / 20130008674 - PEANUT PLANT UPROOTER | 1 |
Yösi Kashti | IL | Rishon Lezion | 2013-01-10 / 20130008674 - PEANUT PLANT UPROOTER | 1 |
Eiji Kashu | JP | Amagasaki-Shi | 2014-09-04 / 20140248994 - FOUR WHEEL DRIVE VEHICLE | 1 |
Ryota Kashu | JP | Kawasaki-Shi | 2016-03-03 / 20160059555 - LIQUID DISCHARGE HEAD AND HEAD UNIT USING THE SAME | 10 |
Taku Kashu | JP | Kasumigaura-Shi | 2012-03-22 / 20120067661 - CONSTRUCTION MACHINE | 2 |
Yasuyuki Kashu | JP | Tokyo | 2013-06-13 / 20130147271 - POWER SUPPLY DEVICE, METHOD FOR CONTROLLING THE POWER SUPPLY DEVICE, AND ELECTRONIC APPARATUS | 1 |
Ryota Kashu | JP | Kawasaki-Shi | 2016-03-03 / 20160059555 - LIQUID DISCHARGE HEAD AND HEAD UNIT USING THE SAME | 10 |
Artem Kashubin | GB | East Grinstead | 2014-08-07 / 20140219055 - COMPUTING ROTATION DATA USING A GRADIENT OF TRANSLATIONAL DATA | 2 |
Igor A. Kashulin | RU | Moscow | 2015-10-08 / 20150284490 - SUBSTITUTED ZIRCONOCENES CATALYSTS AND METHODS OF USE IN POLYMERIZATION REACTIONS | 4 |
Peter Thomas Kashulines, Jr. | US | Mountain Lakes | 2013-03-07 / 20130055907 - SPIRAL GAS-SOLIDS CONTACT APPARATUS AND METHOD | 2 |
Peter T. Kashulines, Jr. | US | Mountain Lakes | 2015-10-01 / 20150272159 - APPARATUS AND PROCESS FOR PREPARING CONFECTIONERY HAVING AN INCLUSION THEREIN USING FORMING ROLLS AND A FORMING PIN | 3 |
Rahul C. Kashyap | US | Foster City | 2016-05-12 / 20160132351 - MICRO-VIRTUAL MACHINE FORENSICS AND DETECTION | 4 |
Vivek Kashyap | US | 2012-11-15 / 20120290626 - CONVERTING TWO-TIER RESOURCE MAPPING TO ONE-TIER RESOURCE MAPPING | 1 | |
Vivek Kashyap | US | Portland | 2014-08-28 / 20140241144 - Reducing Fragmentation of Packets in a Networking Environment | 1 |
Mahendra Pratap Kashyap | US | Pittsburgh | 2016-03-17 / 20160074521 - PEPTIDE-MEDIATED INTRAVESICAL DELIVERY OF THERAPEUTIC AND DIAGNOSTIC AGENTS | 1 |
Arunima Kashyap | US | Portland | 2016-03-31 / 20160091781 - INTEGRATED AND ADJUSTABLE IMAGE PROJECTION WITH AUTO-IMAGE CORRECTION IN ELECTRONIC DEVICES USING AN IN-FACING OR WORLD-FACING IMAGE PROJECTOR | 1 |
Pankaj Kumar Kashyap | IN | Pune | 2016-05-19 / 20160141810 - AUTOMATIC DETECTION OF A POWER STATUS OF AN ELECTRONIC DEVICE AND CONTROL SCHEMES BASED THEREON | 2 |
Anand Kashyap | IN | Pune | 2015-09-17 / 20150261940 - SYSTEMS AND METHODS FOR DETECTING INFORMATION LEAKAGE BY AN ORGANIZATIONAL INSIDER | 1 |
Ashwin S. Kashyap | US | Mountain View | 2016-02-04 / 20160035392 - SYSTEMS AND METHODS FOR CLIPPING VIDEO SEGMENTS | 4 |
Manohar Kashyap | US | Fremont | 2011-09-01 / 20110214078 - Image File as Container for Widgets in GUI Authoring Tool | 1 |
Deepak C. Kashyap | IN | Bangalore | 2011-05-19 / 20110114049 - FOUR STROKE SINGLE CYLINDER COMBUSTION ENGINE STARTING SYSTEM | 1 |
Ashwin Kashyap | US | San Jose | 2014-10-09 / 20140304596 - CAPTURING INFORMATION REGARDING AN INTERACTION TO A DATABASE | 2 |
Rahul Kashyap | US | Foster City | 2014-09-11 / 20140259159 - Securing File Trust with File Format Conversions | 2 |
Sanjay Kashyap | IN | Bangalore | 2015-10-15 / 20150292062 - NICKEL-ALUMINIUM-ZIRCONIUM ALLOYS | 1 |
Naveen Kashyap | IN | Bangalore | 2013-10-03 / 20130262007 - METHOD AND SYSTEM TO COMPUTE EFFICIENCY OF AN AUTOMATION INFRASTRUCTURE OF A PLANT | 4 |
Dheerendra Kashyap | US | Overland Park | 2011-11-10 / 20110276276 - APPARATUS AND METHOD FOR DETERMINING ANALYTE CONCENTRATIONS | 1 |
Munish Kashyap | IN | Pune | 2011-12-22 / 20110313903 - METHOD AND SYSTEM FACILITATING AN END-TO-END SOLUTION FOR ONE OR MORE SERVICE OFFERINGS | 1 |
Anand Kashyap | IN | Maharashtra | 2015-09-17 / 20150264084 - SYSTEMS AND METHODS FOR PROTECTING ORGANIZATIONS AGAINST SPEAR PHISHING ATTACKS | 1 |
Avinash S. Kashyap | US | Clifton Park | 2011-12-01 / 20110292964 - Method for modeling and parameter extraction of LDMOS devices | 1 |
Vivek Kashyap | US | Beaverton | 2015-12-24 / 20150372908 - HYBRID APPROACH FOR PERFORMANCE ENHANCING PROXIES | 31 |
Amith N. Kashyap | IN | Bangalore | 2014-01-30 / 20140033205 - PROVIDING HIGH AVAILABILITY TO A HYBRID APPLICATION SERVER ENVIRONMENT CONTAINING NON-JAVA CONTAINERS | 1 |
Anand Kashyap | US | San Jose | 2012-10-11 / 20120260112 - CONTEXT SENSITIVE POWER MANAGEMENT FOR A RESOURCE | 1 |
Atulkumar Kashyap | IN | Noida | 2013-07-04 / 20130169360 - APPARATUS | 1 |
Subhadra Devi Kashyap | IN | Navi | 2009-10-22 / 20090263878 - Pluripotent embryonic-like stem cells derived from corneal limbus, methods of isolation and uses thereof | 1 |
Manoj Kumar Kashyap | US | San Diego | 2015-05-14 / 20150133535 - ANTI-CANCER POLYKETIDE COMPOUNDS | 1 |
Suman Kashyap | IN | Bangalore | 2014-09-11 / 20140257835 - Framework for Providing Workflow Guidance | 1 |
Prasad Kashyap | US | Apex | 2016-02-11 / 20160042190 - PROVIDING ACCESS CONTROL OF APPLICATIONS ON COMPUTING DEVICE BY ESTABLISHING SCREEN PASSCODES THAT ALLOW ACCESS TO DESIGNATED SCREENS WITH DESIGNATED APPLICATIONS | 5 |
Vikram A. Kashyap | US | San Francisco | 2009-02-19 / 20090048954 - Sub-accounting for an omnibus account | 1 |
Ravindra L. Kashyap | US | Bronx | 2016-05-05 / 20160123316 - PIPE PUMP SYSTEM | 2 |
Apoorv Kashyap | US | Reston | 2010-10-14 / 20100262481 - METHOD, SYSTEM, AND COMPUTER PROGRAM FOR RECAPTURE OF AN ELECTRONIC DEVICE | 1 |
Ashwin S. Kashyap | US | Plainsboro | 2010-06-03 / 20100138531 - REAL TIME PROTOCOL STREAM MIGRATION | 1 |
Nandini Kashyap | US | Danbury | 2011-11-17 / 20110281790 - INSULIN WITH A STABLE BASAL RELEASE PROFILE | 3 |
Vikram Kashyap | US | San Francisco | 2009-02-26 / 20090055224 - Health Expense Account, Health Insurance And Financial Product, And System And Method For Providing Employee Health Insurance Benefits | 1 |
Asheesh Kashyap | US | Plano | 2013-06-20 / 20130159665 - SPECIALIZED VECTOR INSTRUCTION AND DATAPATH FOR MATRIX MULTIPLICATION | 2 |
Tania Bhatia Kashyap | US | Middletown | 2013-07-18 / 20130183531 - ARTICLE INCLUDING CERAMIC STRUCTURE | 10 |
Sujatha Kashyap | US | Austin | 2015-12-03 / 20150350327 - SWITCH-BASED DATA TIERING | 9 |
Ashwin Kashyap | US | Plainsboro | 2012-06-07 / 20120143660 - INTERVAL BASED AD INSERTION USED FOR THE DELIVERY OF VIDEO STREAMS | 3 |
Anand Kashyap | US | Stony Brook | 2009-08-27 / 20090213740 - Measurement Based Link Capacity for Multiple Interferers in an 802.11-Based Wireless Network | 1 |
Madhur Kashyap | IN | Noida | 2012-09-13 / 20120233575 - LAYOUT METHOD FOR INTEGRATED CIRCUIT INCLUDING VIAS | 1 |
Arun Kashyap | US | Newark | 2016-04-07 / 20160096882 - NEUTRALIZING MOLECULES TO INFLUENZA VIRUSES | 4 |
Rahul Chander Kashyap | IN | Bangalore | 2013-10-17 / 20130276118 - System, method and computer program product for detecting encoded shellcode in network traffic | 1 |
Rahul Chander Kashyap | US | Foster City | 2013-03-21 / 20130074143 - SYSTEM AND METHOD FOR REAL-TIME CUSTOMIZED THREAT PROTECTION | 1 |
Pavan Ramachandravitthal Kashyap | IN | Bangalore | 2010-04-29 / 20100102670 - METHOD AND SYSTEM FOR PACKAGING AND MOUNTING SURFACE ACOUSTIC WAVE SENSOR ELEMENTS TO A FLEX PLATE | 1 |
Sharath Kashyap | IN | Bangalore | 2014-01-30 / 20140032858 - METHODS AND APPARATUS FOR CACHE LINE SHARING AMONG CACHE CONTROLLERS | 5 |
Ashwin Kashyap | US | Mountai View | 2015-06-04 / 20150156545 - METHOD AND SYSTEM FOR PROVIDING MEDIA RECOMMENDATIONS | 1 |
Vineeth Kashyap | IN | Shimoga | 2011-02-10 / 20110035723 - Excluding a Portion of a Graphical Program from Re-Arrangement | 3 |
Arun K. Kashyap | US | Newark | 2015-02-12 / 20150045540 - MULTISPECIFIC STACKED VARIABLE DOMAIN BINDING PROTEINS | 7 |
Bela Kashyap | IN | Bhiwani | 2011-04-21 / 20110092696 - High performance low residue guar for hydraulic fracturing and other applications | 1 |
Anurag Kashyap | US | Union City | 2009-10-22 / 20090265609 - Method and System for Producing and Organizing Electronically Stored Information | 1 |
Prakash Kashyap | US | Cupertino | 2015-07-16 / 20150200803 - SYSTEM AND METHOD FOR NETWORK TOPOLOGY MANAGEMENT | 7 |
Tania Bhatia Kashyap | US | Middletown | 2013-07-18 / 20130183531 - ARTICLE INCLUDING CERAMIC STRUCTURE | 10 |
Moti L. Kashyap | US | Rancho Palos Verdes | 2013-11-14 / 20130303548 - INDICATION FOR USE OF NIACIN (NICOTINIC ACID) FOR TREATMENT, PREVENTION AND REVERSAL OF FATTY LIVER DISEASE | 1 |
Srinivas Raghav Kashyap | IN | Bangalore | 2009-03-19 / 20090077156 - Efficient constraint monitoring using adaptive thresholds | 1 |
Sudhir Kumar Kashyap | IN | Dhanbad | 2013-02-14 / 20130039704 - DEVICE FOR ROOF SUPPORT OF UNDERGROUND MINE/TUNNEL | 1 |
Sachin Kashyap | IN | Bangalore | 2015-10-01 / 20150281131 - SYSTEM AND METHOD FOR DYNAMIC AND CONFIGURABLE L2/L3 DATA-PLANE IN FPGA | 1 |
Abhishek Kashyap | AU | Lutwyche | 2015-10-22 / 20150299263 - COMPLEX-FORMATION-MODULATING AGENTS AND USES THEREFOR | 1 |
Rahul Kashyap | US | San Diego | 2015-10-01 / 20150282091 - Method for DSDS/DSDA Idle Power Optimization by Adaptive RF Power Retention and Delta Programming | 1 |
Pavan R. Kashyap | IN | Bangalore | 2013-02-07 / 20130031976 - CABLE HARNESS FOR A SENSOR | 1 |
Dhritiman Subha Kashyap | IN | Indira Nagar | 2013-12-26 / 20130341433 - DUAL PLENUM, AXI-SYMMETRIC SHOWERHEAD WITH EDGE-TO-CENTER GAS DELIVERY | 1 |
Abhijith Ramesh Kashyap | IN | Bangalore | 2015-09-03 / 20150248925 - ON CHIP CHARACTERIZATION OF TIMING PARAMETERS FOR MEMORY PORTS | 1 |
Abhilash Kashyap | US | San Jose | 2016-05-19 / 20160141047 - Boundary Word Line Operation in Nonvolatile Memory | 1 |
Abhijith Ramesh Kashyap | US | San Carlos | 2015-08-06 / 20150220999 - METHOD AND SYSTEM TO DYNAMICALLY ADJUST OFFER SPEND THRESHOLDS AND PERSONALIZE OFFER CRITERIA SPECIFIC TO INDIVIDUAL USERS | 2 |
Naveen Kashyap | SG | Singapore | 2010-07-29 / 20100191568 - APPARATUS AND METHOD FOR MANAGING TASK INFORMATION OF A PLANT | 1 |
Avinash Srikrishnan Kashyap | US | Niskayuna | 2016-04-07 / 20160099318 - STRUCTURE AND METHOD FOR TRANSIENT VOLTAGE SUPPRESSION DEVICES WITH A TWO-REGION BASE | 5 |
Ashwin Kashyap | US | Mountain View | 2016-05-05 / 20160125472 - GESTURE BASED ADVERTISEMENT PROFILES FOR USERS | 7 |
Tania Bhatia Kashyap | US | West Hartford | 2013-08-29 / 20130224471 - METHOD FOR FABRICATING A CERAMIC MATERIAL | 1 |
Ashwin S. Kashyap | US | Santa Clara | 2015-09-10 / 20150256885 - METHOD FOR DETERMINING CONTENT FOR A PERSONAL CHANNEL | 1 |
Raman Kashyap | CA | Baie D'Urfe | 2015-07-23 / 20150205044 - Modifying the coating on optical fibres | 10 |
Chalam Kashyap | US | Fort Collins | 2014-07-31 / 20140210922 - ROLLER FOR PAPER STACK SEPARATION | 1 |
Dhritiman Subha Kashyap | IN | Bangalore | 2015-12-03 / 20150345019 - METHOD AND APPARATUS FOR IMPROVING GAS FLOW IN A SUBSTRATE PROCESSING CHAMBER | 1 |
Saurabh Kashyap | IN | New Shimla | 2013-10-31 / 20130290075 - LOCALIZATION QUALITY ASSURANCE OF LOCALIZED SOFTWARE | 1 |
Ravindra Kashyap | US | Brooklyn | 2012-04-12 / 20120087809 - PIPE PUMP SYSTEM | 1 |
Sham Kashyap | US | Plymouth | 2013-08-29 / 20130226826 - AUTOMATED MAPPING OF PRODUCT ADJACENCY GROUPS WITH SUBGROUP MAPPING MASS UPDATING | 2 |
Patanjali Kashyap | IN | Muzaffarpur | 2014-01-30 / 20140032277 - METHODS, SYSTEMS AND COMPUTER-READABLE MEDIA FOR COMPUTING PERFORMANCE INDICATOR OF A RESOURCE | 1 |
Avinash Srikrishnan Kashyap | US | Clifton Park | 2015-07-02 / 20150187884 - METHOD AND SYSTEM FOR TRANSIENT VOLTAGE SUPPRESSION | 7 |
Raman Kashyap | CA | Baie D'Urfe | 2015-07-23 / 20150205044 - Modifying the coating on optical fibres | 10 |
Sujatha Kashyap | US | Austin | 2015-12-03 / 20150350327 - SWITCH-BASED DATA TIERING | 9 |
Prakash M. Kashyap | US | Cupertino | 2011-06-23 / 20110149736 - INTEGRATED METHODS OF PERFORMING NETWORK SWITCH FUNCTIONS | 1 |
Varun Kashyap | US | Fremont | 2015-01-22 / 20150026462 - METHOD AND SYSTEM FOR ACCESS-CONTROLLED DECRYPTION IN BIG DATA STORES | 1 |
Prasad Kashyap | US | Cary | 2014-07-31 / 20140215632 - PREVENTING THE DETECTION AND THEFT OF USER ENTRY ALPHANUMERIC SECURITY CODES ON COMPUTER TOUCH SCREEN KEYPADS | 2 |
Praveen Kashyap | US | Irvine | 2016-03-31 / 20160094868 - METHOD AND SYSTEM FOR AUTOMATIC SELECTION OF CHANNEL LINE UP, SET TOP BOX (STB) IR CODES, AND PAY TV OPERATOR FOR TELEVISIONS CONTROLLING AN STB | 20 |
Aditya Kashyap | US | Berkeley | 2014-06-05 / 20140155141 - SYSTEMS AND METHODS TO DETERMINE A GAME PAYMENT | 1 |
Dhritiman S. Kashyap | IN | Bangalore | 2014-10-09 / 20140299681 - CASCADE DESIGN SHOWERHEAD FOR TRANSIENT UNIFORMITY | 1 |
Srinath Hariharan Kashyap | US | Palo Alto | 2015-04-02 / 20150095045 - METHOD AND SYSTEM FOR MOBILE HIGH-ENERGY RADIATION TREATMENT ENVIRONMENT | 1 |
Chandrika Kasi | US | San Jose | 2015-06-25 / 20150179226 - MULTIPLE VIEWS RECORDING | 1 |
Krishna Gopi Kasi | US | Corvallis | 2014-01-09 / 20140011854 - ST-246 Liquid Formulations and Methods | 1 |
Vijaya Sarathy Kasi | US | Milpitas | 2015-10-29 / 20150312312 - DATA STREAM CLASSIFICATION | 3 |
Ajab Khan Kasi | PK | Quetta | 2014-11-13 / 20140332392 - ANODIZED ALUMINUM OXIDE TEMPLATE ENABLED NANOSTRUCTURE FORMATION AND METHOD THEREOF | 5 |
Dhanalakshmi Kasi | US | Silver Spring | 2015-07-02 / 20150182643 - Novel Substrate Based PET Imaging Agents | 2 |
Jayaram Rajan Kasi | US | San Jose | 2014-06-12 / 20140164898 - REGISTRY DRIVEN INTEROPERABILITY AND EXCHANGE OF DOCUMENTS | 3 |
Jayaram Kasi | US | San Jose | 2011-04-28 / 20110099558 - SECURE SERVICE ORIENTED ARCHITECTURE | 1 |
Dhanalakshmi Kasi | US | Los Angeles | 2013-11-14 / 20130302248 - Imaging Agents for Detecting Neurological Disorders | 18 |
Srinandan R. Kasi | US | New York | 2010-04-08 / 20100086113 - SKIN CURED PTFE WIRE AND CABLE | 1 |
Gopi Krishna Kasi | US | Lynnwood | 2016-03-24 / 20160082128 - ST-246 LIQUID FORMULATIONS AND METHODS | 1 |
Chris Edwin Kasian | CA | Rrgina | 2011-06-09 / 20110134792 - Method and Apparatus for a Hub in Daisy Chain Configuration | 1 |
David Kasian | CA | Narol | 2015-05-21 / 20150137464 - SUSPENSION LIFT KIT FOR QUAD CYCLE | 1 |
Chris Edwin Kasian | CA | Regina | 2013-12-26 / 20130344746 - Method and Apparatus for Control of a Network in HVAC and other Application | 3 |
Krika Kasian | CA | Winnipeg | 2011-01-20 / 20110014174 - Lysozyme, A Novel Vasodilator of Sepsis that can be inhibited by a Peroxidase and Hydroxyl Radical Scavengers | 1 |
Subramanyam Kasibhat | IN | Bangalore | 2011-03-17 / 20110064258 - Electrical System for a Speaker and its Control | 1 |
Mani Kumar Vran Kasibhatla | IN | Hyderabad | 2015-12-10 / 20150356518 - AGGREGATE TASK SYSTEM | 5 |
Srinivas Kasibhatla | IN | Noida | 2013-04-04 / 20130085164 - Imidazole Derivatives | 1 |
Srinivas Rao Kasibhatla | US | San Diego | 2011-11-17 / 20110281821 - Modulators of Mitotic Kinases | 6 |
Mani Kumar V R A N Kasibhatla | IN | Hyderabad | 2016-05-19 / 20160140502 - MANAGING MULTIPLE TASKS OF A PROJECT PLAN VIA A TASK MANAGEMENT TOOL | 1 |
Ravi Shankar Venkata Kasibhotla | IN | Bangalore | 2014-02-27 / 20140054863 - SEAL ASSEMBLY FOR A TURBINE SYSTEM | 2 |
Ravi Shankar Venkata Kasibhotla | IN | Vijayawada | 2014-01-16 / 20140017061 - GAS TURBOMACHINE INCLUDING A PURGE FLOW REDUCTION SYSTEM AND METHOD | 3 |
Ravi Shankar Venkata Kasibhotla | IN | Bangalore Karnataka | 2013-04-04 / 20130084172 - TURBINE EXHAUST SECTION STRUCTURES WITH INTERNAL FLOW PASSAGES | 2 |
Alexander Kasic | DE | Dresden | 2008-12-04 / 20080297765 - Apparatus and Method for Determining Trench Parameters | 1 |
Armin Kasic | DE | Kiel | 2015-08-06 / 20150219040 - Cylinder Head with Sensor Sleeve | 1 |
James F. Kasic | US | Boulder | 2014-05-01 / 20140121452 - Abutment Attachment Systems, Mechanisms, Devices, Components and Methods for Bone Conduction Hearing Aids | 6 |
James Frank Kasic | US | Boulder | 2008-10-09 / 20080249351 - IMPLANTABLE HEARING AID TRANSDUCER WITH ADVANCEABLE ACTUATOR TO FACILIATE COUPLING WITH THE AUDITORY SYSTEM | 2 |
Sridhar Kasichainula | US | Fremont | 2015-06-11 / 20150162517 - VOLTAGE GENERATION ACROSS TEMPERATURE DIFFERENTIALS THROUGH A FLEXIBLE THIN FILM THERMOELECTRIC DEVICE | 2 |
James Frank Kasic, Ii | US | Boulder | 2014-01-09 / 20140012350 - INTEGRATED IMPLANTABLE HEARING DEVICE, MICROPHONE AND POWER UNIT | 1 |
Usha Kasid | US | Rockville | 2011-05-05 / 20110104252 - ANTI-APOPTOTIC GENE SCC-S2 AND DIAGNOSTIC AND THERAPEUTIC USES THEREOF | 2 |
David Joseph Kasik | US | Sammamish | 2015-08-27 / 20150242472 - Remote Data Delivery System | 2 |
Sankar Kasilingam | IN | Chennai | 2014-06-26 / 20140180949 - SYSTEM AND METHOD FOR AUTOMATED CODING AND TESTING OF BENEFITS | 1 |
Rahila Kasim | US | Santa Clara | 2013-09-19 / 20130246925 - SYSTEM AND METHOD FOR MANAGING DATA AND POLICIES | 1 |
Sameer Babu Kunjumakal Kasim | IN | Kochi | 2011-11-10 / 20110276769 - DATA PROCESSOR | 3 |
Mohamad Kasim | GB | Northolt | 2013-03-14 / 20130067032 - PERSONALIZATION DATA PROVIDING UNIT | 1 |
Mumtaz Kasim | US | Philadelphia | 2012-12-20 / 20120322079 - METHOD FOR TESTING OR SCREENING PROTEIN SYNTHESIS INHIBITORS | 3 |
Johnson Kasim | SG | Singapore | 2010-09-30 / 20100245816 - Near-field Raman spectroscopy | 1 |
Arif Kasim | US | San Antonio | 2008-12-04 / 20080301242 - SYSTEM AND METHOD FOR PROTECTING CHAT CONTENT | 1 |
Filippos Kasimatis | GB | London | 2008-09-11 / 20080222051 - Synthetic currency | 1 |
Hans Peter Kasimirski | DE | Ludwigsburg | 2015-05-21 / 20150135521 - METHOD FOR THE PRODUCTION OF A GLOW PLUG | 3 |
Torstein Kasin | NO | Kongsberg | 2009-05-21 / 20090127485 - ANTI-SURGE ACTUATOR | 1 |
Sudhakar Kasina | US | Mercer Island | 2014-03-27 / 20140086837 - F-18 RADIOLABELED COMPOUNDS FOR DIAGNOSING AND MONITORING KIDNEY FUNCTION | 6 |
Sudhakar Kasina | US | City Of Mercer Island | 2008-09-18 / 20080227961 - BRIDGED AROMATIC SUBSTITUTED AMINE LIGANDS WITH DONOR ATOMS | 1 |
Poothappillai Kasinathan | US | Sioux Falls | 2011-09-22 / 20110231943 - TRANSGENIC ANIMALS AND USES THEREOF | 2 |
Poothappillai K. Kasinathan | US | Brandon | 2015-09-03 / 20150247197 - METHODS FOR GENERATING GENETICALLY SUPERIOR ANIMALS | 1 |
Ganasan Kasinathan | GB | Cardiff | 2011-01-27 / 20110021459 - DUTPASE INHIBITORS | 3 |
Chinnaswamy Kasinathan | US | Holmdel | 2015-03-26 / 20150087591 - Novel Composition for Extracorporeal Reduction of Beta-Amyloids and Process for Producing Thereof | 1 |
Ganasan Kasinathan | GB | Gwent | 2010-03-25 / 20100075924 - DUTPASE INHIBITORS | 1 |
Watchara Kasinrerk | TH | Chiangmai | 2009-01-01 / 20090004721 - Dengue virus mutant strain MBU 01-2002 | 1 |
Watchara Kasinrerk | TH | Chiang Mai | 2015-11-05 / 20150313954 - METHOD OF ADMINISTRATING A SERIES OF COMPOSITIONS FOR DYNAMIC IMMUNE MODULATION | 1 |
Watchara Kasinrerk | TH | Nonpung | 2008-09-25 / 20080233659 - PROCESS OF SCREENING FOR ALPHA-THALASSEMIA CARRIER USING IMMUNOCHROMATOGRAPHIC STRIP TEST | 1 |
Rachel Kasinskas | US | Amesbury | 2016-02-04 / 20160032375 - NUCLEIC ACID AMPLIFICATION | 10 |
Rachel W. Kasinskas | US | Amesbury | 2011-04-07 / 20110081664 - MULTIPURPOSE MICROFLUIDIC DEVICE FOR MIMICKING A MICROENVIRONMENT WITHIN A TUMOR | 1 |
Rachel W. Kasinskas | US | East Kingston | 2009-07-09 / 20090175829 - Salmonella cancer therapeutics and related therapeutic methods | 1 |
Rachel Kasinskas | US | Amesbury | 2016-02-04 / 20160032375 - NUCLEIC ACID AMPLIFICATION | 10 |
Boguslaw Kasinski | PL | Limanowa | 2013-10-03 / 20130256057 - FOLDING STAIRS, ESPECIALLY LIGHT WOODEN STAIRS | 1 |
Hironobu Kasio | JP | Yokohama-Shi | 2010-09-30 / 20100243757 - DEVICE FOR POSITIONING SPRAY-GUN AIR CAP | 1 |
Anastasios Kasiolas | US | San Francisco | 2014-04-03 / 20140095708 - RULE-BASED SYSTEM FOR CLIENT-SIDE QUALITY-OF-SERVICE TRACKING AND REPORTING | 3 |
Vasileios Kasiotakis | GR | Athens | 2015-08-06 / 20150221163 - METHODS AND SYSTEMS FOR CONDUCTING A GAME | 4 |
Ganesh Kasiraj | DE | Lindau | 2013-12-26 / 20130345944 - METHOD AND DEVICE FOR GENERATING A CONTROL PARAMETER FOR A DISTANCE CONTROL SYSTEM OF A VEHICLE | 1 |
Prakash Kasiraj | US | San Jose | 2014-12-25 / 20140376124 - WRITE HEAD DESIGNED FOR ADJUSTING RELATIVE WRITE PHASE BETWEEN SUBTRACKS OF A PATTERNED MEDIA HYPERTRACK | 3 |
Venkateswaran Kasirajan | IN | Tamil Nadu | 2016-02-04 / 20160035096 - SCENE DOCUMENTATION | 13 |
Venkateswaran Kasirajan | IN | Tamil Nadu | 2016-02-04 / 20160035096 - SCENE DOCUMENTATION | 13 |
Praveen Reddy Kasireddy | US | Sayreville | 2009-01-01 / 20090002864 - Memory Controller for Packet Applications | 1 |
Mohanreddy Kasireddy | US | South Orange | 2014-07-24 / 20140206894 - Method and System for Production of Oxalic Acid and Oxalic Acid Reduction Products | 1 |
Mohanreddy Kasireddy | US | Monmouth Junction | 2014-07-24 / 20140206896 - Method and System for Production of Oxalic Acid and Oxalic Acid Reduction Products | 1 |
Mohan Reddy Kasireddy | US | Avenel | 2015-02-05 / 20150038735 - PREPARATION OF ALPHA, BETA-UNSATURATED CARBOXYLIC ACIDS AND ESTERS THEREOF | 1 |
Vijay G. Kasireddy | US | Coppell | 2013-06-20 / 20130159046 - Distributing Consumer Demand Upstream in a Supply Chain | 1 |
Mohan Reddy Kasireddy | US | North Brunswick | 2013-06-20 / 20130157328 - CATALYTIC DEHYDRATION OF LACTIC ACID AND LACTIC ACID ESTERS | 1 |
Saeid Kasiri Ghahi | IE | Galway | 2016-02-11 / 20160038174 - A DEVICE SUITABLE FOR REMOVING MATTER FROM INSIDE THE LUMEN AND THE WALL OF A BODY LUMEN | 1 |
Saeid Kasiri Ghahi | IE | Dublin | 2011-08-04 / 20110190861 - LUMINAL PROSTHESIS | 1 |
Kathryn E. Kasischke | US | San Diego | 2012-05-31 / 20120136348 - System and Method for Adaptive RF Ablation | 2 |
Kenneth L. Kasiske | US | Jackson | 2010-04-08 / 20100087153 - System and method to manage processing operations within a wireless terminal following receipt of a null page | 1 |
W. Charles Kasiske, Jr. | US | Webster | 2015-11-26 / 20150336399 - DRYER FOR HEATING A SUBSTRATE | 14 |
W. Charles Kasiske, Jr. | US | Webster | 2015-11-26 / 20150336399 - DRYER FOR HEATING A SUBSTRATE | 14 |
W. Charles Kasiske, Jr. | US | Penfield | 2012-09-06 / 20120224010 - PRINTING METHOD INCLUDING WEB MEDIA MOVING APPARATUS | 7 |
Vijay Kasisomayajula | US | Harrison | 2008-12-25 / 20080315336 - Method of Assembly Using Array of Programmable Magnets | 1 |
Larisa Kasitskaya | CA | Toronto | 2015-05-28 / 20150144250 - MODIFIED COLD APPLIED ASPHALT EMULSION | 1 |
Oleksiy Kasitskiy | UA | Kiev | 2013-04-11 / 20130090065 - METHOD OF OPERATING GESTURE BASED COMMUNICATION CHANNEL AND PORTABLE TERMINAL SYSTEM FOR SUPPORTING THE SAME | 1 |
Oleksii Kasitskyi | US | San Jose | 2015-03-19 / 20150078732 - Highlight Reels | 2 |
Vasili Kasiutsich | GB | Crowborough | 2015-10-08 / 20150285679 - Attachment and Alignment Device for Optical Sources, Detectors and Analysers, and Modular Analysis System | 1 |
Siddhartha Kasivajhula | US | San Jose | 2014-10-30 / 20140325087 - APPARATUS AND METHOD FOR TRANSPARENT COMMUNICATION ARCHITECTURE IN REMOTE COMMUNICATION | 6 |
Kalyanasundaram Kasiviswanathan | IN | Chennai | 2011-07-07 / 20110166119 - Synergistic Combinations of Aztreonam with the Carbapenems Meropenem and Ertapenem | 1 |
Shiva Prasad Kasiviswanathan | US | San Ramon | 2015-01-15 / 20150020207 - SYSTEMS AND METHODS FOR DATA LOSS PREVENTION | 1 |
Prakash Kasiviswanathan | IN | Chennai | 2016-04-28 / 20160117059 - User Interface for Fleet Management | 1 |
Kavitha D. Kasiviswanathan | US | St. Charles | 2010-06-03 / 20100138913 - MESSAGE ADMINISTRATION SYSTEM | 1 |
Shiva P. Kasiviswanathan | US | White Plains | 2013-06-13 / 20130151525 - INFERRING EMERGING AND EVOLVING TOPICS IN STREAMING TEXT | 2 |
Saravanakumar Kasiyappan Gurusamy | IN | Chennai | 2012-06-28 / 20120165527 - PROCESS FOR THE PREPARATION OF PURE PALIPERIDONE | 1 |
Peet Kask | EE | Harju Maakond | 2015-07-23 / 20150201896 - METHOD AND SYSTEM FOR AUTOMATED DETECTION OF TISSUE INTERIOR TO A MAMMALIAN RIBCAGE FROM AN IN VIVO IMAGE | 5 |
Eeri Kask | DE | Dresden | 2011-04-07 / 20110080466 - AUTOMATED PROCESSING OF ALIGNED AND NON-ALIGNED IMAGES FOR CREATING TWO-VIEW AND MULTI-VIEW STEREOSCOPIC 3D IMAGES | 1 |
Ants Kask | EE | Tallinn | 2009-03-05 / 20090062203 - DIPEPTIDYL PEPTIDASE IV INHIBITORS FOR THE TREATMENT OF SCHIZOPHRENIA AND DEPRESSION | 1 |
Peet Kask | EE | Tabasalu | 2013-03-14 / 20130064468 - Methods and Apparatus for Image Analysis and Modification Using Fast Sliding Parabola Erosian | 2 |
Peet Kask | EE | Harku | 2010-09-16 / 20100230612 - Method of analysis of samples by determination of a function of specific brightness | 1 |
James H. Kaskade | US | Encinitas | 2009-08-06 / 20090196570 - SYSTEM AND METHODS FOR ONLINE COLLABORATIVE VIDEO CREATION | 1 |
Christos Kaskavelis | GR | Athens | 2012-07-12 / 20120179534 - Mobile Device Marketing and Advertising Platforms, Methods, and Systems | 9 |
Christos Kaskavelis | GR | Athens | 2012-07-12 / 20120179534 - Mobile Device Marketing and Advertising Platforms, Methods, and Systems | 9 |
Scott Kaskawitz | US | Burlington | 2014-04-10 / 20140096418 - SNOWBLOWER DEFLECTOR CONTROL DEVICES, SYSTEMS, AND METHODS | 7 |
Scott Kaskawitz | US | Hillsborough | 2015-07-30 / 20150211627 - VARIABLE SPEED CONTROL SYSTEMS AND METHODS FOR WALK-BEHIND WORKING MACHINES | 3 |
Scott J. Kaskawitz | US | Burlington | 2013-04-25 / 20130097987 - MOVABLE DOOR APPARATUSES AND METHODS FOR A COMBINATION GRASS DISCHARGE AND MULCHING LAWNMOWER | 3 |
Kent Kaske | US | Woodbury | 2015-05-28 / 20150148459 - GRAPHENE COATED SUBSTRATES AND RESULTING COMPOSITES | 6 |
Kent A. Kaske | US | Woodbury | 2014-06-12 / 20140163171 - FLAME RETARDANT COMPOSITIONS | 1 |
Timothy Kaske | US | Phoenix | 2010-05-13 / 20100118462 - METHOD FOR LIMITING CURRENT AND CIRCUIT THEREFOR | 1 |
Stephan Kaske | DE | Eberdingen | 2011-08-11 / 20110193434 - STARTING DEVICE | 1 |
Bruce E. Kaskel | US | Bothell | 2013-06-27 / 20130166891 - HANDLING INSTRUCTION RECEIVED FROM A SANDBOXED THREAD OF EXECUTION | 1 |
Robert Martin Kaskel | US | Rockaway Park | 2010-08-19 / 20100211416 - METHOD AND APPARATUS FOR HEALTHCARE FUNDING EXCHANGE | 1 |
Stefan Kaskel | DE | Dresden | 2016-02-11 / 20160038924 - ACTIVATED CARBON WITH A SPECIAL FINISHING, PRODUCTION AND USE THEREOF | 14 |
Stefan Kaskel | DE | Dresden | 2016-02-11 / 20160038924 - ACTIVATED CARBON WITH A SPECIAL FINISHING, PRODUCTION AND USE THEREOF | 14 |
Nitin Kaskhedikar | DE | Wolfsburg | 2011-06-23 / 20110151340 - NON-AQUEOUS ELECTROLYTE CONTAINING AS A SOLVENT A BORATE ESTER AND/OR AN ALUMINATE ESTER | 1 |
Tuomas Kaski | FI | Helsinki | 2011-05-05 / 20110102463 - POSITION FINE TUNING IN A COMPUTER AIDED MODELING | 1 |
Mark Louis Kaski | US | Royal Oak | 2011-05-12 / 20110109114 - SEAT FOR A VEHICLE | 1 |
Toni Kaskiala | FI | Helsinki | 2010-07-01 / 20100165414 - METHOD OF SUPERIMPOSING AN IMAGE ONTO ANOTHER, METHOD OF PERSONALIZING A DATA CARRIER USING THE IMAGE SUPERIMPOSING METHOD AND A PERSONALIZED DATA CARRIER | 2 |
Kimmo Kaskinoro | FI | Raisio | 2012-04-05 / 20120083647 - METHOD FOR CHANGING AN INDIVIDUAL'S STATE OF CONSCIOUSNESS | 1 |
Igor Kasko | DE | Dresden | 2009-12-10 / 20090303780 - INTEGRATED CIRCUIT INCLUDING AN ARRAY OF DIODES COUPLED TO A LAYER OF RESISTANCE CHANGING MATERIAL | 2 |
Igor Kasko | FR | Dresden | 2009-03-19 / 20090073743 - Method of Manufacturing a Memory Cell, Memory Cell, Integrated Circuit, and Memory Module | 1 |
Andrea M. Kasko | US | Boulder | 2014-01-30 / 20140031285 - PHOTODEGRADABLE GROUPS FOR TUNABLE POLYMERIC MATERIALS | 1 |
Kenneth Kaskoun | US | San Diego | 2014-05-15 / 20140131549 - THROUGH SILICON OPTICAL INTERCONNECTS | 7 |
Kenneth Kaskoun | US | La Jolla | 2015-11-05 / 20150317855 - BIOMETRICS FOR USER IDENTIFICATION IN MOBILE HEALTH SYSTEMS | 11 |
Kenneth Kaskoun | US | La Jolla | 2015-11-05 / 20150317855 - BIOMETRICS FOR USER IDENTIFICATION IN MOBILE HEALTH SYSTEMS | 11 |
James Alan Kaslik | US | Gilbert | 2013-05-30 / 20130133288 - ADAPTIVE COVER FOR SEALING MULTIPLE OBJECTS HAVING IRREGULAR SHAPES AND METHOD OF USING AND MANUFACTURING THE SAME | 1 |
P.k. Kasliwal | IN | Faridabad | 2012-11-29 / 20120302805 - FEED NOZZLE ASSEMBLY | 1 |
Pankaj Kasliwal | IN | Faridabad | 2013-01-24 / 20130020234 - METHOD AND APPARATUS FOR CATALYTIC CRACKING | 1 |
Shashank Gulabchand Kasliwal | IN | Maharashtra | 2009-07-09 / 20090175118 - Extrusion method and apparatus | 1 |
Pankaj Kumar Kasliwal | IN | Haryana | 2012-02-02 / 20120024748 - FLUIDIZED CATALYTIC CRACKING PROCESS | 1 |
Shashank Gulabchand Kasliwal | US | Saginaw | 2008-10-30 / 20080267003 - EXTRUSION METHOD AND APPARATUS | 1 |
Pankaj Kumar Kasliwal | IN | Faridabad | 2016-03-17 / 20160074842 - FLUID CATALYTIC CRACKING ADDITIVE COMPOSITION AND PROCESS FOR PREPARAING THEREOF | 5 |
Pritesh Kasliwal | US | Roanoke | 2014-06-05 / 20140156583 - CONTROL SYSTEM FOR DETERMINING A DESIRED MISSION | 1 |
Pritesh Kasliwal | US | Salem | 2014-06-19 / 20140166319 - SYSTEM AND METHOD FOR FIRE SUPPRESSION | 1 |
Scott F. Kaslusky | US | West Hartford | 2014-11-27 / 20140345700 - PRESSURE MONITORING SYSTEM FOR A FUEL TANK AND METHOD | 11 |
Scott F. Kaslusky | US | West Hartford | 2014-11-27 / 20140345700 - PRESSURE MONITORING SYSTEM FOR A FUEL TANK AND METHOD | 11 |
Bulent Kasman | US | Antioch | 2011-05-26 / 20110126179 - Method and System for Dynamic Patching Software Using Source Code | 1 |
Thomas L. Kasmark | US | Virginia Beach | 2011-12-29 / 20110320216 - Computer pointing device having medical monitoring features and system for collecting, processing, storing, and dispersing data therefrom | 1 |
Hossein Kasmei | US | Miami | 2011-12-01 / 20110295705 - SECONDARY MARKET FOR GIFT CARDS WHERE SECONDARY MARKET TRANSACTIONS DO NOT PHYSICALLY TRANSFER THE SAME GIFT CARD BETWEEN A SELLER AND A PURCHASER | 1 |
Alireza Kasnavi | US | Saratoga | 2012-03-29 / 20120079441 - Nonlinear Approach to Scaling Circuit Behaviors for Electronic Design Automation | 1 |
Soraya Kasnavi | US | San Jose | 2015-12-03 / 20150351032 - ADAPTIVE CONTROL OF RF LOW POWER MODES IN A MULTI-RATE WIRELESS SYSTEM USING DEVICE MODE | 2 |
Alireza Kasnavi | US | Sunnyvale | 2014-02-27 / 20140059508 - Determining A Design Attribute By Estimation And By Calibration Of Estimated Value | 7 |
Snehal Kasodekar | US | Plantation | 2014-06-26 / 20140180341 - METHODS AND SYSTEMS FOR PLANNING AND PERFORMING AN OSTEOTOMY | 2 |
William Andrew Kasoff | US | Albuquerque | 2011-07-28 / 20110180959 - Method of thermoforming fiber reinforced thermoplastic sandwich panels, thermoformed articles, and modular container structure assembled therefrom | 1 |
Aruna Kasoju | SG | Singapore | 2012-05-17 / 20120124497 - METHOD AND APPARATUS FOR DATA VIRTUALIZATION AND DISPLAY | 1 |
Maweja Kasonde | GB | Didcot | 2015-04-09 / 20150097321 - METHOD FOR MAKING SUPER-HARD CONSTRUCTIONS | 1 |
Maweja Kasonde | ZA | Pretoria | 2012-07-12 / 20120174749 - Armour steel | 1 |
Maweja Kasonde | ZA | East Rand | 2011-07-21 / 20110176879 - SUPERHARD BODY, TOOL AND METHOD FOR MAKING SAME | 1 |
Maweja Kasonde | GB | Oxford | 2014-07-03 / 20140186132 - TWIST DRILL TIPS, PRECURSOR CONSTRUCTIONS FOR USE IN MAKING SAME, AND METHODS FOR MAKING AND USING SAME | 1 |
Maweja Kasonde | GB | Oxfordshire | 2015-02-12 / 20150041225 - POLYCRYSTALLINE SUPERHARD MATERIAL AND METHOD FOR MAKING SAME | 1 |
Maweja Kasonde | ZA | Springs | 2014-01-30 / 20140026716 - METHOD OF MAKING A BIT FOR A ROTARY DRILL | 3 |
Shinichi Kasori | JP | Tokyo | 2013-09-12 / 20130233330 - WIG CLIP | 1 |
Naoto Kasori | JP | Itabashi-Ku | 2016-03-31 / 20160091297 - OPERATING DEVICE, OPERATING METHOD, AND PROGRAM THEREFOR | 1 |
Naoto Kasori | JP | Tokyo-To | 2014-09-11 / 20140253689 - Measuring Instrument | 1 |
Yoav Kasoria | IL | Kfar Netar | 2012-11-22 / 20120297270 - Programming Schemes for Multi-Level Analog Memory Cells | 1 |
Yoav Kasorla | KR | Seoul | 2009-09-24 / 20090240872 - MEMORY DEVICE WITH MULTIPLE-ACCURACY READ COMMANDS | 4 |
Yoav Kasorla | IL | Even Yehuda | 2015-01-08 / 20150012785 - Advanced Programming Verification Schemes for Analog Memory Cells | 7 |
Yoav Kasorla | IL | Kfar Neter | 2009-02-12 / 20090043951 - PROGRAMMING SCHEMES FOR MULTI-LEVEL ANALOG MEMORY CELLS | 1 |
Yoav Kasorla | IL | Kfar Netar | 2016-03-31 / 20160093386 - MITIGATING RELIABILITY DEGRADATION OF ANALOG MEMORY CELLS DURING LONG STATIC AND ERASED STATE RETENTION | 27 |
Emmanouil Kasotakis | GR | Heraklion | 2011-06-30 / 20110156109 - METHOD AND SYSTEM FOR MANIPULATING ORGANIC NANOSTRUCTURES | 1 |
Sheldon Kasower | US | Canoga Park | 2015-01-22 / 20150026014 - METHOD FOR MONITORING AND REPORTING CHANGES IN THE VALUE OF REAL ESTATE | 10 |
Sheldon Kasower | US | Bell Canyon | 2013-05-02 / 20130110557 - METHOD FOR DETERMINING INSURANCE BENEFITS AND PREMIUMS FROM DYNAMIC CREDIT INFORMATION | 3 |
Sheldon Kasower | US | Canoga Park | 2015-01-22 / 20150026014 - METHOD FOR MONITORING AND REPORTING CHANGES IN THE VALUE OF REAL ESTATE | 10 |
Sheldon Kasower | US | West Hills | 2015-01-22 / 20150026040 - METHOD AND SYSTEM FOR SECURE ONLINE PAYMENTS | 3 |
Dominik Kaspar | NO | Oslo | 2013-11-14 / 20130304875 - DATA SEGMENTATION, REQUEST AND TRANSFER METHOD | 3 |
Kala Marie Kaspar | US | St. Louis Park | 2009-11-26 / 20090291877 - TREATMENTS USING CITRULLINE | 2 |
Michael Kaspar | DE | Putzbrunn | 2016-03-24 / 20160088778 - Power Converter Arrangement And Method For Producing A Power Converter Arrangement | 8 |
Roger L. Kaspar | US | Santa Crus | 2009-02-12 / 20090043279 - MICRONEEDLE ARRAYS FORMED FROM POLYMER FILMS | 1 |
Kala Marie Kaspar | CH | Vaud | 2012-02-16 / 20120039860 - COMPOSITIONS AND METHODS FOR IMPROVED ORAL HEALTH | 1 |
Manuela Kaspar | CH | Brugg | 2014-11-20 / 20140341844 - IMMUNOCYTOKINES IN COMBINATION WITH ANTI-ERBB ANTIBODIES FOR THE TREATMENT OF CANCER | 5 |
Asad Max Kaspar | CA | Fergus | 2009-10-15 / 20090255650 - Calibrated Bypass Structure for Heat Exchanger | 1 |
Jan Kaspar | IT | Trieste | 2009-12-24 / 20090317619 - AEROGEL MATERIALS BASED ON METAL OXIDES AND COMPOSITES THEREOF | 2 |
Douglas Kaspar | US | Shiner | 2011-12-01 / 20110290667 - ELECTROCOAGULATION REACTOR HAVING SEGMENTED INTERMEDIATE UNCHARGED PLATES | 1 |
Kala Marie Kaspar | CH | Lausanne | 2016-03-24 / 20160081923 - METHOD FOR TREATING A SWALLOWING DISORDER | 3 |
Michael Kaspar | DE | Putzbrunn | 2016-03-24 / 20160088778 - Power Converter Arrangement And Method For Producing A Power Converter Arrangement | 8 |
Brian K. Kaspar | US | Westerville | 2016-02-11 / 20160038613 - DELIVERY OF POLYNUCLEOTIDES USING RECOMBINANT AAV9 | 6 |
Don Kaspar | US | Shiner | 2010-12-23 / 20100319127 - FOLDING FOOT PROTECTION DEVICE FOR A BEDDED PATIENT | 1 |
Roger L. Kaspar | US | Santa Cruz | 2014-10-23 / 20140315942 - COMPOSITIONS FOR TRANSDERMAL DELIVERY OF mTOR INHIBITORS | 7 |
Jan Kaspar | CZ | Jicin | 2013-11-21 / 20130310831 - JAWED ENDOSCOPIC INSTRUMENT FOR ELECTROSURGERY | 2 |
Brian Kaspar | US | New Albany | 2015-08-20 / 20150231207 - COMPOSITIONS AND METHODS FOR TREATING AMYOTROPHIC LATERAL SCLEROSIS | 2 |
Erwin Kaspar | DE | Magstadt | 2012-04-19 / 20120091736 - Housing element and closing element provided with rollover resistance | 1 |
Marcos Kaspar | AR | Balcarce | 2014-08-28 / 20140245499 - SORGHUM PLANTS HAVING A MUTANT POLYNUCLEOTIDE ENCODING THE LARGE SUBUNIT OF MUTATED ACETOHYDROXYACID SYNTHASE PROTEIN AND INCREASED RESISTANCE TO HERBICIDES | 2 |
Carla S. Kaspar | US | Davison | 2015-03-26 / 20150088870 - Systems and Methods for Diagnosing Inherited Retinal Diseases | 1 |
Manuela Kaspar | CH | Zurich | 2013-05-02 / 20130108581 - Immunocytokines for Cancer Treatment in Combination with Chemotherapeutic Agents | 4 |
Derick L. Kaspar | US | Webster Groves | 2011-03-17 / 20110065959 - Removal of Silica from Water Soluble Compounds by Nanofiltration and Reverse Phase Chromatography | 1 |
Ilona Kaspar | DE | Phillipsthal | 2015-01-15 / 20150018320 - Hormone Containing Emulsion Comprising Krill Phospholipids | 1 |
Douglas D. Kaspar | US | Shiner | 2014-02-13 / 20140042020 - ELECTROCOAGULATION REACTOR | 2 |
Michael J. Kaspar | US | Chicago | 2016-01-07 / 20160005117 - ALLOCATION BASED ON ORDER QUALITY | 1 |
Harald Kaspar | DE | Burgkirchen | 2016-05-05 / 20160122522 - HIGHLY FLUORINATED POLYMERS | 29 |
Stefan Kaspar | DE | Hofstetten | 2016-05-05 / 20160123787 - Measuring Instrument | 1 |
Tommy Kaspar | CH | Mels | 2015-09-24 / 20150270548 - STABLE DISPERSIONS OF SINGLE AND MULTIPLE GRAPHENE LAYERS IN SOLUTION | 3 |
Brian Kaspar | US | San Diego | 2015-05-14 / 20150132262 - Mutant Adeno-Associated Virus Virions and Methods of Use Thereof | 2 |
Peter Kaspar | DE | Weilheim | 2008-12-18 / 20080312951 - Method for Optimizing Design Delivery and Implementation of Innovative Products in Healthcare | 1 |
Roger Louis Kaspar | US | Santa Cruz | 2014-02-06 / 20140037768 - Methods and Compositions for Treating Keratin Hyperproliferation Disorders | 3 |
Martin Kaspar | CH | Mels | 2016-03-31 / 20160090648 - UV IRRADIATION APPARATUS WITH AN ADDITIONAL MONOCHROMATIC RADIATION SOURCE | 2 |
Martin Kaspar | DE | Fellbach | 2016-03-31 / 20160091229 - CONDENSER | 5 |
Sonja Kaspar | DE | Krautheim-Neunstetten | 2013-11-28 / 20130316141 - IMITATION LEATHER AND METHOD FOR PRODUCING SAME | 2 |
Harald Kaspar | DE | Burgkircheng | 2011-09-29 / 20110232530 - FLUORINATED ETHER URETHANES AND METHODS OF USING THE SAME | 1 |
Sebastian Kaspar | DE | Kirchzarten | 2015-09-24 / 20150270677 - OPTICALLY PUMPED SEMICONDUCTOR DISK LASER | 1 |
Jiri Kasparec | US | Collegeville | 2016-04-14 / 20160102083 - ENHANCER OF ZESTE HOMOLOG 2 INHIBITORS | 10 |
Jiri Kasparec | US | Collegeville | 2016-04-14 / 20160102083 - ENHANCER OF ZESTE HOMOLOG 2 INHIBITORS | 10 |
Jiri Kasparec | US | King Of Prussia | 2011-02-24 / 20110046109 - 2,4,8-TRISUBSTITUTED-8H-PYRIDO[2,3-D]PYRIMIDIN-7-ONE COMPOUNDS AND COMPOSITIONS FOR USE IN THERAPY | 5 |
Gerd Kaspari | DE | Coesfeld | 2012-04-26 / 20120098373 - TORQUE SUPPORT | 1 |
Gerd Kaspari | DE | Reken | 2010-01-14 / 20100005905 - Apparatus for Determining and Controlling Flow Rate | 1 |
Christian Kaspari | DE | Berlin | 2013-11-07 / 20130294476 - FLAT LIGHT EMITTING PLATE FOR SIMULATING THERMAL RADIATION, METHOD FOR CALIBRATING A PYROMETER AND METHOD FOR DETERMINING THE TEMPERATURE OF A SEMICONDUCTING WAFER | 2 |
Patrick Kaspari | DE | Lichtenau | 2013-11-07 / 20130297603 - MONITORING METHODS AND SYSTEMS FOR DATA CENTERS | 1 |
Michael Kasparian | US | Andover | 2015-11-05 / 20150317515 - PORTABLE COMPUTING DEVICE AND ANALYSES OF PERSONAL DATA CAPTURED THEREFROM | 1 |
Jérôme Kasparian | FR | Collonges Sous Saleve | 2010-11-11 / 20100283992 - METHOD OF OPTICAL TELEDETECTION OF COMPOUNDS IN A MEDIUM | 1 |
Fredrick J. Kasparian | US | Cicero | 2010-10-14 / 20100261361 - HIGH POWER FLOATING CONNECTOR | 1 |
Ronald Joseph Kasparian | US | Rensselaer | 2013-09-12 / 20130232920 - HOLDER FOR A COLLECTIBLE ITEM | 1 |
Jérôme Kasparian | FR | Collonges Sous Saleve | 2010-11-11 / 20100283992 - METHOD OF OPTICAL TELEDETECTION OF COMPOUNDS IN A MEDIUM | 1 |
Hrag Kasparian | US | Glendale | 2012-05-17 / 20120119709 - BATTERY PACK BALANCING CIRCUIT | 1 |
Aram Kasparian | US | Savannah | 2016-04-14 / 20160101844 - FLIGHT DECK ARRANGEMENT | 1 |
Kaspar A. Kasparian | US | Raleigh | 2014-09-18 / 20140263048 - SELF-SUSTAINING WATER RECLAMATION BIOTREATMENT SYSTEM | 1 |
Theodore John Kasparian | US | Incline Village | 2015-07-02 / 20150183452 - SNOW BIKE | 1 |
Pavlina Kasparkova | CZ | Znojmo | 2015-12-10 / 20150354112 - BATT COMPRISING CRIMPED BI- OR MULTI-COMPONENT FIBRES | 8 |
Pavlina Kasparkova | CZ | Znojmo | 2015-12-10 / 20150354112 - BATT COMPRISING CRIMPED BI- OR MULTI-COMPONENT FIBRES | 8 |
Sergey A. Kasparov | RU | Moscow | 2010-07-01 / 20100166643 - Semi-continuous magnesium-hydrogen reduction process for manufacturing of hydrogenated, purified titanium powder | 1 |
Robert Kasper | US | Chicago | 2012-12-13 / 20120314062 - System and Method for Photographic Determination of Multichannel Collimator Channel Pointing Directions | 1 |
Klaus Kasper | DE | Oberkirch | 2011-08-18 / 20110197579 - Thermostatic working element and method for manufacturing a thermostatic working element | 1 |
Michael Kasper | DE | Monchengladbach | 2011-08-18 / 20110197918 - APPARATUS AND METHOD FOR CLEANING RUBBER BLANKETS ON BLANKET CYLINDERS | 1 |
Mckenzie Eleanor Kasper | US | Alpharetta | 2009-12-24 / 20090317777 - Educational Systems and Methods for Learning How to Spell | 1 |
Olivia Melville Kasper | US | Alpharetta | 2009-12-24 / 20090317777 - Educational Systems and Methods for Learning How to Spell | 1 |
Andreas Kasper | DE | Duelmen | 2014-11-06 / 20140328708 - FUEL PUMP ASSEMBLY | 1 |
Christoph Kasper | US | Charleston | 2010-10-21 / 20100264342 - VALVE CARTRIDGE FOR A SOLENOID VALVE, AND ASSOCIATED SOLENOID VALVE | 3 |
Seth Kasper | US | Cambridge | 2014-08-28 / 20140238940 - Single Stage Filtration System and Method For Use with Blood Processing Systems | 4 |
Christoph Kasper | DE | Spiesen-Elversberg | 2014-11-06 / 20140329729 - Pigmented, Fine-Structured, Tribological Composite Material | 1 |
Kent Dirksen Kasper | US | Dover | 2012-07-05 / 20120172187 - ADJUSTABLE DELIVERY WEB CONVERSION APPARATUS AND METHOD | 6 |
Walter F. Kasper | US | Gibsonia | 2010-07-22 / 20100181198 - METHODS FOR PREPARING AN ELECTRODEPOSITABLE COATING COMPOSITION | 2 |
Bryon L. Kasper | US | Sierra Madre | 2016-04-21 / 20160109294 - TERAHERTZ SPECTROMETER AND METHOD FOR REDUCING PHOTOMIXING INTERFERENCE PATTERN | 2 |
Richard J. Kasper | US | Swanzey | 2013-04-11 / 20130088329 - LIGHTABLE BRACELET SYSTEMS | 1 |
John F. Kasper | US | Farmington | 2014-10-30 / 20140318940 - SWITCH CONVERSION APPARATUS | 2 |
Kenneth Kasper | US | West Lafayette | 2015-07-02 / 20150184100 - MESITYLENE AS AN OCTANE ENHANCER FOR AUTOMOTIVE GASOLINE, ADDITIVE FOR JET FUEL, AND METHOD OF ENCHANCING MOTOR FUEL OCTANE AND LOWERING JET FUEL CARBON EMISSIONS | 3 |
Dirk Kasper | DE | Duesseldorf | 2015-11-12 / 20150322301 - FOAMABLE HOT MELT ADHESIVE COMPOSITIONS AND USE THEREOF | 10 |
R. Steven Kasper | US | Parker | 2016-01-07 / 20160001979 - BELT-ON-BELT DRIVES FOR STEEPLY-SLOPED PORTIONS OF LONG CONVEYORS | 1 |
Kent Dirksen Kasper | US | Lee | 2013-04-11 / 20130087058 - POROUS ROLL WITH AXIAL ZONES AND METHOD OF PROVIDING PRINTING LIQUID TO A CYLINDER IN A PRINTING PRESS | 2 |
Michael J. Kasper | US | Portland | 2015-12-03 / 20150350827 - GEO-FENCE NOTIFICATION MANAGEMENT | 4 |
James Edward Kasper | US | Tipton | 2013-06-13 / 20130145667 - REVOLVER WITH CYLINDER SHROUD | 1 |
Gary Paul Kasper | US | Champlin | 2010-04-22 / 20100100829 - WALL MODULE CONFIGURATION TOOL | 1 |
Bjoern Kasper | DE | Ingersheim | 2015-03-12 / 20150074404 - METHOD FOR THE PROTECTED TRANSMISSION OF DATA | 1 |
Bryon L. Kasper | US | San Marino | 2010-11-04 / 20100277726 - Terahertz Frequency Domain Spectrometer with Integrated Dual Laser Module | 2 |
David Kasper | US | Aliso Viejo | 2010-02-11 / 20100035217 - SYSTEM AND METHOD FOR TRANSMISSION OF TARGET TRACKING IMAGES | 1 |
Gary A. Kasper | US | Grand Rapids | 2015-12-31 / 20150374195 - BARE FLOOR CLEANER | 19 |
Lloyd H. Kasper | US | Norwich | 2014-01-30 / 20140030807 - METHOD FOR STIMULATING FOXP3+ REGULATORY T CELL EXPRESSION OF CD39 | 6 |
Dennis L. Kasper | US | Brookline | 2015-09-10 / 20150252068 - GLYCOSPHINGOLIPIDS AND METHODS OF USE THEREOF | 10 |
Leslie Melville Kasper | US | Alpharetta | 2009-12-24 / 20090317777 - Educational Systems and Methods for Learning How to Spell | 1 |
Mark Edward Kasper | US | Greenacres | 2011-09-22 / 20110230171 - WIRELESS INTRUSION DETECTION SOLUTION FOR IDLE-MODE CELLULAR DEVICES | 2 |
Melissa D. Kasper | US | Streamwood | 2012-04-05 / 20120083266 - METHODS, SYSTEMS AND APPARATUS FOR RECOVERING REGISTRATION INFORMATION | 2 |
Roland Kasper | DE | Samswegen | 2014-08-07 / 20140217846 - Electric Motor Having an Iron-Free Winding | 1 |
Gary P. Kasper | US | Champlin | 2014-01-09 / 20140012424 - METHODS AND APPARATUS FOR CONFIGURING SCHEDULING ON A WALL MODULE | 3 |
Scott J. Kasper | US | Killingworth | 2012-04-05 / 20120080909 - COLLAPSIBLE ARTICLES OF FURNITURE | 2 |
Markus Kasper | CH | Widen | 2009-12-24 / 20090314163 - NANOPARTICLE FILTER APPARATUS FOR AMBIENT AIR | 1 |
Lee H. Kasper | US | Moorpark | 2011-10-06 / 20110247075 - METHOD AND APPARATUS FOR ENABLING SECURE DISTRIBUTION OF DIGITAL CONTENT | 1 |
Piotr Thomasz Kasper | NL | Amsterdam | 2009-05-21 / 20090130769 - Novel Cross-Linkers For Obtaining Structure Information On Molecule Complexes | 1 |
Ladean R. Kasper | US | Monument | 2013-03-28 / 20130077446 - Ultrasonic Grasshopper and Pest Deterrent | 1 |
Alexander Kasper | AT | Graz | 2016-01-07 / 20160007450 - Method for Producing a Printed Circuit Board with MultiLayer Sub-Areas in Sections | 3 |
Abha Singh Kasper | US | Fairview | 2015-10-01 / 20150279487 - Memory Repair Categorization Tracking | 2 |
Jürgen Kasper | DE | Lingenfeld | 2015-10-22 / 20150300414 - Shaft-Hub Connection, Adapter and Geared Motor | 1 |
Jürgen Kasper | DE | Braunsbach | 2010-07-22 / 20100181788 - DUAL CHAIN HOIST ARRANGEMENT | 1 |
Lew Kasper | US | Poland | 2011-02-10 / 20110030364 - FLOW MANAGEMENT SYSTEM FOR HYDRAULIC WORK MACHINE | 1 |
David Robert Kasper | US | San Francisco | 2014-04-03 / 20140096041 - Method for Managing Photos Selected for Addition to an Image-Based Project Created through an Electronic Interface | 6 |
Micheal J. Kasper | US | Portland | 2014-01-02 / 20140006229 - Method and Apparatus for Managing Product Placement on Store Shelf | 1 |
Robert E. Kasper | US | Oshkosh | 2014-01-02 / 20140004163 - DISPERSIBLE MOIST WIPE WITH EMULSION FOR PREVENTION OF SKIN IRRITATION | 1 |
Franz-Josef Kasper | DE | Ladenburg | 2012-11-29 / 20120302698 - MOISTURE-ADAPTIVE VAPOR BARRIER, IN PARTICULAR FOR HEAT INSULATING BUILDINGS AND METHOD FOR PRODUCING THE VAPOR BARRIER | 1 |
Boris Kasper | DE | Haan | 2015-07-23 / 20150202843 - EXTRUSION-COATED STRIP FOR RIGID PACKAGINGS | 3 |
Axel Kasper | DE | Munich | 2011-01-06 / 20110001927 - FUNDUS SCANNING APPARATUS | 2 |
Erich Kasper | DE | Pfaffenhofen | 2010-04-22 / 20100097084 - METHOD FOR SELF-MONITORING OF BREAKDOWN IN SEMICONDUCTOR COMPONENTS AND SEMICONDUCTOR COMPONENT CONSTRUCTED THEREOF | 1 |
Phillip J. Kasper | US | Elyria | 2014-09-25 / 20140288761 - ENHANCED DISPLAY UNIT | 1 |
Norbert Kasper | DE | Detmold | 2011-05-05 / 20110102957 - Protection Device | 3 |
Kurtis F. Kasper | US | Houston | 2015-03-19 / 20150079020 - INJECTABLE HYDROGELS | 1 |
Lukas Kasper | DE | Kassel | 2015-03-05 / 20150062982 - Circuit Arrangement and Method for Actuating at Least One Switching Element of a Voltage Converter | 1 |
Werner Kasper | DE | Friedrichshafen | 2011-02-10 / 20110030662 - Gas guide system for a combustion engine, a combustion engine and a method for operating the engine | 2 |
Holger Kasper | DE | Chemnitz | 2016-03-17 / 20160077277 - Light Core, in Particular for Flat Lighting Systems | 1 |
Michael Kasper | DE | Nürnberg | 2009-08-13 / 20090204695 - Automation network comprising network components that produce status messages | 1 |
Brett A. Kasper | US | Stow | 2015-04-23 / 20150107081 - ANGLED FASTENER DRIVING DEVICE | 1 |
Matthias Kasper | CH | Zurich | 2016-03-10 / 20160072397 - MULTI-CELL POWER CONVERSION METHOD AND MULTI-CELL POWER CONVERTER | 4 |
Gerhard Kasper | DE | Karlsruhe | 2014-03-06 / 20140065060 - Precursors for Metal Organic Chemical Vapor Deposition Processes and Their Use | 4 |
Dennis L. Kasper | US | Charlestown | 2016-02-04 / 20160030464 - IMMUNOMODULATING COMPOUNDS AND RELATED COMPOSITIONS AND METHODS | 5 |
Gary A. Kasper | US | Grand Rapids | 2015-12-31 / 20150374195 - BARE FLOOR CLEANER | 19 |
Andrew Henry Kasper | US | Pensacola | 2014-09-25 / 20140284051 - DOWNHOLE STEAM GENERATOR CONTROL SYSTEM | 1 |
JÜrgen Kasper | DE | Ludwigshafen | 2011-05-26 / 20110121609 - Vehicle Cab Frame | 1 |
Ryan W. Kasper | US | Santa Barbara | 2016-05-19 / 20160142359 - ITEM IMPORTANCE INDICATION | 3 |
Adalbert Kasper | DE | Stuttgart | 2012-12-20 / 20120318389 - WATER SWITCH | 1 |
Amanda C. Kasper | US | Derby | 2011-09-22 / 20110230540 - MANASSATIN COMPOUNDS AND METHODS OF MAKING AND USING THE SAME | 1 |
Jürgen Kasper | DE | Braunsbach | 2010-07-22 / 20100181788 - DUAL CHAIN HOIST ARRANGEMENT | 1 |
Dennis L. Kasper | US | Boston | 2012-03-15 / 20120064108 - GLYCOCONJUGATE VACCINES | 1 |
F. Kurtis Kasper | US | Houston | 2015-03-19 / 20150081034 - COMBINED SPACE MAINTENANCE AND BONE REGENERATION SYSTEM FOR THE RECONSTRUCTION OF LARGE OSSEOUS DEFECTS | 2 |
Stephan Kasper | DE | Oberkirch | 2016-01-28 / 20160023690 - METHOD FOR PRODUCING A FASTENING ARRANGEMENT, AND SUCH FASTENING ARRANGEMENT | 4 |
Gerhard Kasper | BE | Wilrijk | 2015-07-02 / 20150182878 - COALESCENCE FILTER AND METHOD FOR PURIFYING A FLUID | 1 |
Rudolf Kasper | DE | Eppelheim | 2009-10-22 / 20090261125 - CONTAINER INCLUDING VALVE ASSEMBLY FOR STORING LIQUIDS CONTAINING SOLIDS | 1 |
R. Steven Kasper | US | 2016-01-07 / 20160001979 - BELT-ON-BELT DRIVES FOR STEEPLY-SLOPED PORTIONS OF LONG CONVEYORS | 1 | |
Andreas Kasper | DE | Aachen | 2015-12-03 / 20150344357 - FIRE-RESISTANT PANE AND FIRE-RESISTANT GLAZING ASSEMBLY | 1 |
Dirk Kasper | DE | Dusseldorf | 2012-01-19 / 20120016086 - Adhesives Made From Polymer Systems | 2 |
Michael Kasper | DE | Nürnberg | 2013-10-31 / 20130286820 - Communication Device For An Industrial Communication Network Which Can Be Operated In A Redundant Manner And Method For Operating A Communication Device | 3 |
Michael Kasper | DE | Mannheim | 2012-09-27 / 20120246481 - VIRTUAL SUBSCRIBER IDENTITY MODULE | 3 |
Dirk Kasper | DE | Duessedorf | 2009-02-12 / 20090039551 - SOFT CROSSLINKABLE POLYURETHANE MATERIALS | 1 |
Helmut Kasper | DE | Wadern | 2014-06-12 / 20140158490 - RETENTION DEVICE HAVING AN OVERLOAD PROTECTION COUPLING FOR THE RETENTION OF AT LEAST ONE TOOL ELEMENT IN A VEHICLE BODY MANUFACTURING SYSTEM | 3 |
Andreas Kasper | NL | Ck Vaals | 2010-01-07 / 20100003476 - GLASS SEGMENTS INCLUDING IDENTIFICATION MARKING | 1 |
Hans Kasper | DE | Bruehl | 2012-03-22 / 20120071648 - METHOD FOR PRODUCING LACTAMATES BY WAY OF THIN FILM EVAPORATION | 1 |
Michael Kasper | DE | Illertissen | 2013-11-14 / 20130302674 - BATTERY ELECTRODE AND A METHOD FOR PRODUCING SAME | 4 |
Konrad Kasper | DE | Grafelfing | 2008-11-13 / 20080276706 - Rotation Speed Sensor | 1 |
Gary Kasper | US | Champlin | 2014-06-19 / 20140173581 - SYSTEM FOR FIELD UPGRADING OF FIRMWARE IN MULTIPLE UNITS | 2 |
Matthew M. Kasper | US | Oak Creek | 2015-06-25 / 20150174704 - VOC Exempt Brazing Binder System | 4 |
Kenneth Cornell Kasper | US | Monte Sereno | 2009-04-02 / 20090087865 - Monoclonal Antibodies to Tacrolimus and Immunoassays Methods for Tacrolimus | 1 |
Wolfgang Kasper | DE | Bonn | 2015-08-20 / 20150231876 - METHOD AND DEVICE FOR ALIGNING PRINTING PLATES ON PRINTING CYLINDERS | 2 |
Michael Kasper | US | Elmhurst | 2014-05-08 / 20140129257 - DIAGNOSTIC SELECTION, TRIAGE, MONITORING, AND PATIENT CARE MANAGEMENT OF CRITICAL CARE PATIENTS USING COMPUTER-DRIVEN ASSESSMENT | 1 |
Mario Kasper | DE | Ruesselsheim | 2015-01-15 / 20150014082 - SYSTEM FOR DETECTING WHEN A VEHICLE OCCUPANT EXITS THE VEHICLE, SYSTEM FOR ACTIVATING OR DEACTIVATING A FUNCTION IN A VEHICLE AND CORRESPONDING VEHICLE | 2 |
Michael P. Kasper | US | Poughkeepsie | 2012-10-04 / 20120254889 - Application Programming Interface for Managing Time Sharing Option Address Space | 3 |
Michael J. Kasper | US | Jenison | 2014-07-17 / 20140198458 - MULTIPLE CABLE STRAIN RELIEF | 1 |
Lewis Kasper | US | Poland | 2014-08-07 / 20140219852 - HYDRAULIC DEVICE | 1 |
Albin L. Kasper | US | Herndon | 2015-08-20 / 20150236475 - APPARATUS FOR PROTECTING POWERED OPTICAL AMPLIFIERS | 1 |
Dieter Kasper | DE | Biessenhofen | 2015-07-30 / 20150212754 - WORKING METHOD FOR A MASS STORAGE SYSTEM, MASS STORAGE SYSTEM AND COMPUTER PROGRAM PRODUCT | 1 |
Michael Kasper | US | Portland | 2011-06-23 / 20110154106 - DMI REDUNDANCY IN MULTIPLE PROCESSOR COMPUTER SYSTEMS | 1 |
Dennis L. Kasper | US | Brookline | 2015-09-10 / 20150252068 - GLYCOSPHINGOLIPIDS AND METHODS OF USE THEREOF | 10 |
Lloyd H. Kasper | US | Norwhich | 2015-08-27 / 20150241446 - CYTOKINE BIOMARKERS AS PREDICTIVE BIOMARKERS OF CLINICAL RESPONSE FOR GLATIRAMER ACETATE | 2 |
Lee H. Kasper | US | Encino | 2013-05-09 / 20130117863 - Method and Apparatus for Enabling Secure Distribution of Digital Content | 1 |
Peter Kasper | CH | Seon | 2014-07-17 / 20140197838 - Setting Apparatus | 3 |
Stephanie Kasper | AT | Kundl | 2016-05-05 / 20160120870 - THE PROCESS FOR THE PREPARATION OF A PHARMACEUTICAL COMPOSITION COMPRISING RIVAROXABAN | 1 |
Dirk Kasper | DE | Duesseldorf | 2015-11-12 / 20150322301 - FOAMABLE HOT MELT ADHESIVE COMPOSITIONS AND USE THEREOF | 10 |
Sara J. Kasperbauer | US | Cortlandt Manor | 2015-01-22 / 20150023942 - COMPOSITIONS AND METHODS FOR PROMOTING NEURONAL OUTGROWTH | 1 |
Sarah Kasperbauer | US | Cortlandt Manor | 2012-08-16 / 20120207732 - COMPOSITIONS AND METHODS FOR PROMOTING NEURONAL OUTGROWTH | 2 |
Vladek Kasperchik | US | Corvallis | 2015-11-05 / 20150316465 - SERS STRUCTURES WITH NANOPOROUS MATERIALS | 29 |
Vladek Kasperchik | US | Carvallis | 2008-10-23 / 20080262222 - Near infrared dyes | 1 |
Vladek Kasperchik | US | Corvallis | 2015-11-05 / 20150316465 - SERS STRUCTURES WITH NANOPOROUS MATERIALS | 29 |
Larry M Kasperek | US | Sterling Heights | 2013-12-19 / 20130333960 - LOCKING CAP FOR A FLUID RESERVOIR | 1 |
Artur K. Kasperek | US | South Setauket | 2011-05-19 / 20110114730 - METHOD AND APPARATUS FOR TIME AND DATE STAMPING OF IMAGE FILES | 1 |
Kim Kasperek | DK | Holte | 2015-11-19 / 20150328790 - METHOD OF USING A SAFETY KNIFE, THE SAFETY KNIFE AND ITS USE | 1 |
Silvia Kasperek | DE | Wesseling | 2012-05-24 / 20120129966 - PROCESS FOR PRODUCING POLYESTER POLYOLS WITH LOW QUANTITIES OF DIOXANE WASTE | 2 |
Charles D. Kasper, Iii | US | Aurora | 2014-04-24 / 20140114712 - Restaurant Management System for Performance Reporting | 5 |
Tomasz Kasperkiewicz | US | Redmond | 2016-03-17 / 20160080400 - FILE REPUTATION EVALUATION | 7 |
Tomasz S.m. Kasperkiewicz | US | Redmond | 2013-12-19 / 20130336599 - Generating A Combined Image From Multiple Images | 6 |
Wolfdietrich Georg Kasperkovitz | NL | Waalre | 2014-05-22 / 20140141737 - ANTI-JAMMING SYSTEM | 4 |
Wolfdietrich Georg Kasperkovitz | NL | Wanlre | 2009-06-04 / 20090140799 - INTEGRATED RC FILTER | 1 |
Wolfdietrich Georg Kasperkovitz | US | 2009-05-28 / 20090138744 - MULTIPLIER DEVICE WITH SUPPRESSION OF HIGHER-ORDER DISTORTION | 1 | |
Pia Kasperkovitz | US | Cambridge | 2016-05-05 / 20160122759 - DOSAGES AND METHODS FOR DELIVERING LIPID FORMULATED NUCLEIC ACID MOLECULES | 1 |
Vladimir B. Kasperovich | US | Atlanta | 2012-02-02 / 20120030113 - Generation And Use Of Negotiable Instruments | 2 |
Jorn Kaspers | NL | Leiden | 2012-04-05 / 20120082694 - Recombinant Viral-Based Malaria Vaccines | 4 |
Jon Harald Kaspersen | NO | Trondheim | 2011-09-15 / 20110220352 - TREATMENT OF PRODUCED HYDROCARBON FLUID CONTAINING WATER | 1 |
Mark Kaspersen | US | Goleta | 2010-09-30 / 20100250146 - MULTI-DIMENSIONAL PORTABLE GAS CHROMATOGRAPH SYSTEM | 1 |
Terje Kaspersen | NO | Oslo | 2010-10-21 / 20100263831 - HEAT RECOVERY UNIT | 1 |
Morten Kaspersen | NO | Aarnes | 2014-07-24 / 20140202504 - Device for Cleaning of Footwear | 1 |
Bryan William Kasperski | US | Azle | 2009-06-18 / 20090152456 - BOREHOLE TESTER APPARATUS AND METHODS FOR USING NUCLEAR ELECTROMAGNETIC RADIATION TO DETERMINE FLUID PROPERTIES | 2 |
Donald Joseph Kasperski | US | Greenville | 2014-04-24 / 20140112793 - Systems and Methods to Axially Retain Blades | 1 |
Bryan W. Kasperski | US | Crowley | 2013-01-24 / 20130020480 - MULTI-CHANNEL SOURCE ASSEMBLY FOR DOWNHOLE SPECTROSCOPY | 4 |
Bryan Kasperski | US | Carrollton | 2015-11-12 / 20150322747 - BOOST ASSISTED FORCE BALANCING SETTING TOOL | 1 |
Bryan Kasperski | US | Azle | 2010-09-16 / 20100231905 - Method and Apparatus for Performing Spectroscopy Downhole with a Wellbore | 2 |
Richard Allan Kasperski | CA | Creston | 2012-03-15 / 20120066195 - SEARCH ASSIST POWERED BY SESSION ANALYSIS | 1 |
Ziv Kasperski | US | Bellevue | 2013-12-19 / 20130339382 - EXTENSIBLE DATA QUERY SCENARIO DEFINITION AND CONSUMPTION | 1 |
Bryan W. Kasperski | US | Carrollton | 2013-07-11 / 20130175438 - Quaternary Photodetector for Downhole Optical Sensing | 5 |
Donald Joseph Kasperski | US | Simpsonville | 2015-02-05 / 20150037112 - PROTECTIVE CAP FOR A ROTOR BLADE | 4 |
John C. Kasperski | US | Rochester | 2011-03-03 / 20110055374 - COMPUTER IMPLEMENTED DNS SERVER IP ADDRESS LOOKUP MECHANISM | 4 |
Bryan William Kasperski | US | Carrollton | 2015-10-15 / 20150292288 - Wellbore Bailer | 2 |
Bryan W. Kasperski | US | Carrolton | 2012-11-29 / 20120303326 - Reservoir Evaluation System | 1 |
Ziv Kasperski | US | Redmond | 2016-05-12 / 20160132368 - EVENT PROCESSING DEVELOPMENT ENVIRONMENT | 5 |
Bryan W. Kasperski | US | Azle | 2009-08-06 / 20090193889 - MEASUREMENT TOOL AND METHOD OF USE | 3 |
Eugene V. Kaspersky | RU | Moscow | 2013-12-12 / 20130333018 - Portable Security Device and Methods for Secure Communication | 2 |
Brian S. Kasperson | US | Seattle | 2013-12-26 / 20130340534 - SYSTEM AND METHOD FOR TESTING A FILLET BOND | 1 |
Joseph Kaspi | IL | Givatayim | 2013-06-20 / 20130156825 - Modafinil Formulations | 6 |
Yaron Kaspi | IL | Halutza | 2009-05-21 / 20090126805 - Double Check Valve for a Fuel System | 1 |
Ron Kaspi | US | Albuquerque | 2008-10-02 / 20080240174 - TUNABLE INFRARED LASERS FOR GAS-PHASE SPECTROSCOPY | 1 |
Steven Andrew Kaspick | US | Orlando | 2015-11-05 / 20150313473 - REUSABLE MR SAFE TEMPERATURE PROBE FOR SURFACE AND BODY TEMPERATURE MEASUREMENT | 1 |
Keith Allen Kasprak | US | Austin | 2015-11-05 / 20150318056 - MEMORY ARRAY TEST LOGIC | 1 |
Keith A. Kasprak | US | Austin | 2014-07-24 / 20140204658 - Memory Cell Flipping for Mitigating SRAM BTI | 1 |
Michael Joseph Kasprow | CA | Thornhill | 2011-07-07 / 20110166964 - SYSTEMS AND METHODS FOR SEARCHING FOR ENTERTAINMENT TICKETS VIA INTERNET | 1 |
Bryan S. Kasprowicz | US | Dallas | 2011-04-14 / 20110086511 - PHOTOMASK HAVING A REDUCED FIELD SIZE AND METHOD OF USING THE SAME | 2 |
Piotr Miroslaw Kasprzak | DE | Regensburg | 2013-12-12 / 20130331655 - ACCESS PORT | 4 |
Adam Stefan Kasprzak | US | Islamorada | 2013-05-09 / 20130112177 - Miami max II compact fuel vaporizer | 2 |
Wojciech Kasprzak | US | Frederick | 2012-10-18 / 20120263648 - RNA NANOPARTICLES AND NANOTUBES | 1 |
Michael C. Kasprzak | US | Lackawanna | 2014-04-24 / 20140113126 - SPRAYABLE WATER-BASED ADHESIVE | 1 |
Dieter Kasprzak | DK | Bredebro | 2015-06-25 / 20150173450 - SOLE FOR A GOLF SHOE | 4 |
Rima Kasprzak | US | Islamorada | 2015-03-19 / 20150075977 - "LEGACY" On demand hydrogen-oxygen gas separator | 2 |
Jenneka Sophia Kasprzak | US | Islamorada | 2015-03-19 / 20150075977 - "LEGACY" On demand hydrogen-oxygen gas separator | 2 |
Keith J. Kasprzak | US | Cedar Park | 2010-03-18 / 20100067268 - Systems and methods for controlling energy consumption of AC-DC adapters | 1 |
Keith Kasprzak | US | Cedar Park | 2010-11-04 / 20100281284 - Methods and Systems for Providing Indirect Voltage Detection in a Power Supply | 3 |
Christopher P. Kasprzak | US | Holland | 2010-08-12 / 20100204962 - System And Method For Performing Thermal Analysis On A Building Through Universal Meshing | 1 |
Piotr Miroslaw Kasprzak | DE | Regensberg | 2013-01-31 / 20130030514 - Introducer With Extension | 1 |
Shennan Adam Kasprzak | US | Islamorada | 2012-11-22 / 20120292182 - "MIAMI MAX HYDRO" the ultimate hydrogen cell | 1 |
Tadeusz Kasprzak | PL | Krakow | 2015-01-22 / 20150025992 - REAL-TIME REVERSED AUCTION METHOD | 1 |
Robert L. Kasprzycki | US | Cazenovia | 2011-08-11 / 20110192033 - CABLE STRIPPING TOOL AND METHOD OF STRIPPING CABLE | 1 |
Tomasz K. Kasprzycki | US | Carpentersville | 2015-05-21 / 20150135454 - EXTENDABLE TOOL ATTACHMENT STICK | 1 |
Miroslaw Kasprzycki | PL | Bielsko-Biala | 2015-07-30 / 20150213989 - APPARATUS AND METHOD TO REMOTELY RESET A LOCK OUT MECHANISM | 1 |
Daniel Kasprzyk | US | Flagstaff | 2015-12-03 / 20150343184 - Segmental Crimper Having Individually Heated Crimper Segments and Method of Using the Same | 3 |
Donad J. Kasprzyk | US | Maple Grove | 2016-03-17 / 20160076767 - SYSTEM AND APPROACH FOR CONTROLLING A COMBUSTION CHAMBER | 1 |
Alexandra Kasprzyk | DE | Bellheim | 2008-12-25 / 20080319168 - Method for Coating Surfaces with Hydrophobins | 1 |
Marlon Zbigniew Kasprzyk | US | Winfield | 2014-07-31 / 20140213320 - Wireless Communication Apparatus for Controlling and Monitoring Devices Remotely | 3 |
Marlon Kasprzyk | US | Winfield | 2010-12-23 / 20100325513 - INTEGRATED CONTROL ELECTRONICS (ICE) FOR A HOLOGRAPHIC STORAGE SYSTEM | 1 |
Daniel J. Kasprzyk | US | Flagstaff | 2013-03-07 / 20130056907 - BALLOON FOLDING TECHNOLOGY | 1 |
Adam Kasprzyk | US | Shelton | 2013-09-05 / 20130228647 - Rotary Wing Aircraft Propulsion System | 1 |
Donald Kasprzyk | US | Maple Grove | 2015-02-12 / 20150045971 - VALVE CONTROLLER CONFIGURED TO ESTIMATE FUEL COMSUMPTION | 1 |
Donald J. Kasprzyk | US | Maple Grove | 2016-05-05 / 20160123584 - BURNER CONTROL SYSTEM | 19 |
Donald J. Kasprzyk | US | Maple Grove | 2016-05-05 / 20160123584 - BURNER CONTROL SYSTEM | 19 |
Regina Kasprzykowska | PL | Pruszcz Gdanski | 2010-09-30 / 20100247648 - ANTIMICROBIAL COMPOUNDS | 3 |
Regina Kasprzykowska | PL | Gdandski | 2014-08-28 / 20140243274 - NOVEL PEPTIDE DERIVATIVES FOR TREATMENT, PREVENTION OR ALLEVIATION OF A CONDITION ASSOCIATED WITH BONE LOSS OR LOW BONE DENSITY OR TO INHIBIT OSTEOCLAST DIFFERENTIATION AND STIMULATION | 2 |
Franciszek Kasprzykowski | PL | Gdandski | 2014-08-28 / 20140243274 - NOVEL PEPTIDE DERIVATIVES FOR TREATMENT, PREVENTION OR ALLEVIATION OF A CONDITION ASSOCIATED WITH BONE LOSS OR LOW BONE DENSITY OR TO INHIBIT OSTEOCLAST DIFFERENTIATION AND STIMULATION | 2 |
Franciszek Kasprzykowski | PL | Pruszcz Gdanski | 2010-09-30 / 20100247648 - ANTIMICROBIAL COMPOUNDS | 3 |
Ilana Kaspy | IL | Givat Zeev | 2013-08-08 / 20130203694 - COMPOUNDS FOR TREATING BACTERIAL INFECTIONS | 2 |
Behrooz Kasraee | CH | Genève | 2012-04-19 / 20120093749 - USE OF DELTA-TOCOPHERYL-CARBOHYDRATE AS A DEPIGMENTING AGENT | 1 |
Rahul S. Kasralikar | US | San Jose | 2009-01-01 / 20090003317 - METHOD AND MECHANISM FOR PORT REDIRECTS IN A NETWORK SWITCH | 1 |
Kas Kasravi | US | Bloomfield | 2013-08-22 / 20130219396 - TRANSACTION PROCESSING SYSTEM AND METHOD | 3 |
Kas Kasravi | US | West Bloomfield | 2012-06-28 / 20120160883 - Integrated Shoehorn Adapted for a Shoe | 4 |
Kas Kasravi | US | W. Bloomfield | 2015-12-10 / 20150356126 - FEDERATED SOCIAL MEDIA ANALYSIS SYSTEM AND METHOD THEREOF | 9 |
Kam Kasravi | US | San Jose | 2015-04-30 / 20150120481 - BUSINESS EVENT PROCESSING | 5 |
Kas Kasravi | US | Pontiac | 2016-04-21 / 20160112875 - VIRTUAL MOBILE PHONE INTERFACE SYSTEM AND METHOD THEREOF | 1 |
Kas Kasravi | US | W. Bloomfield | 2015-12-10 / 20150356126 - FEDERATED SOCIAL MEDIA ANALYSIS SYSTEM AND METHOD THEREOF | 9 |
Amal Kasry | US | White Plains | 2015-12-03 / 20150349264 - Carbon Nanotube-Graphene Hybrid Transparent Conductor and Field Effect Transistor | 12 |
Amal Kasry | US | White Plains | 2015-12-03 / 20150349264 - Carbon Nanotube-Graphene Hybrid Transparent Conductor and Field Effect Transistor | 12 |
Richard A. Kass | US | Stanford | 2008-12-18 / 20080313557 - System and Methods for Diagnosing and Managing Organization Change | 1 |
Stephen B. Kass | US | Bel Air | 2010-09-23 / 20100241537 - DEBT SALES SYSTEM AND METHOD | 2 |
Michael W. Kass | US | Seattle | 2015-05-14 / 20150129045 - NACELLE INLET CONFIGURATION | 1 |
Anita Kass | NO | Porsgrunn | 2015-08-27 / 20150238559 - METHODS OF TREATING RHEUMATOID ARTHRITIS | 1 |
Aharon Kass | IL | Herzliya | 2013-12-26 / 20130342700 - SYSTEM AND METHOD FOR USING PATTERN MATCHING TO DETERMINE THE PRESENCE OF DESIGNATED OBJECTS IN DIGITAL IMAGES | 2 |
Steven R. Kass | US | St. Paul | 2015-06-11 / 20150157783 - METHOD TO SELECTIVELY BIND AND EXTRACT PHOSPHATE | 1 |
Michael Kass | US | Berkeley | 2011-09-22 / 20110229029 - SELECTIVE DIFFUSION OF FILTERED EDGES IN IMAGES | 2 |
Dale R. Kass | US | Le Mars | 2014-04-17 / 20140102580 - METHOD AND APPARATUS FOR A FROZEN CONFECTION PRODUCT | 1 |
Alex Kass | US | Palo Alto | 2016-03-10 / 20160072750 - DIGITAL COLLABORATION PROCESS ENABLEMENT TOOL | 23 |
Bennett Lee Kass | US | Oak Park | 2012-08-23 / 20120210515 - Side Sleeper Pillow for Surgery | 1 |
Gilles Kass | LU | Soleuvre | 2013-10-24 / 20130276680 - CERAMIC BOTTOM LINING OF A BLAST FURNACE HEARTH' | 2 |
Alex Kass | US | Palo Alto | 2016-03-10 / 20160072750 - DIGITAL COLLABORATION PROCESS ENABLEMENT TOOL | 23 |
Eric R. Kass | DE | Mannheim | 2015-10-01 / 20150278305 - DYNAMIC RULES TO OPTIMIZE COMMON INFORMATION MODEL QUERIES | 4 |
Christof Kass | DE | Tirschenreuth | 2016-02-18 / 20160046517 - Process for producing glass tubes and use thereof | 8 |
Michael Henry Kass | US | Berkeley | 2015-07-02 / 20150186287 - Using Memory System Programming Interfacing | 4 |
Eric Kass | DE | Mannheim | 2013-07-04 / 20130174267 - Method for Secure Web Browsing | 5 |
Christof Kass | DE | Tirschenreuth | 2016-02-18 / 20160046517 - Process for producing glass tubes and use thereof | 8 |
Eric Kass | DE | Boeblingen | 2012-03-15 / 20120066512 - REAL-TIME SECURE SELF-AQUIRING ROOT AUTHORITY | 3 |
Markus Kass | FI | Bennas | 2016-01-07 / 20160001423 - METHOD TO PROVIDE AN ABRASIVE PRODUCT SURFACE AND ABRASIVE PRODUCTS THEREOF | 2 |
Michael D. Kass | US | Oak Ridge | 2015-01-15 / 20150017065 - SYSTEM FOR DETERMINING BIOFUEL CONCENTRATION | 2 |
Dana Stephanie Kass | US | Stillwater | 2008-12-11 / 20080302498 - Process for incorporating poorly substantive paper modifying agents into a paper sheet via wet end addition | 1 |
Daniel Kass | US | Attleboro | / - | 1 |
Michael Kass | US | Emeryville | 2014-09-18 / 20140267350 - STYLIZING ANIMATION BY EXAMPLE | 1 |
David Kass | US | Columbia | 2015-08-06 / 20150218103 - GAPDH CASCADE INHIBITOR COMPOUNDS AND METHODS OF USE AND TREATMENT OF STRESS INDUCED DISORDERS INCLUDING MENTAL ILLNESS | 4 |
Sheldon Kass | US | North Brunswick | 2010-07-08 / 20100173618 - SYSTEM AND METHOD FOR RECORDING A COMMUNICATION | 1 |
David A. Kass | US | Columbia | 2012-08-09 / 20120201907 - Nitroxyl Progenitors in the Treatment of Heart Failure | 5 |
Daniel Stephen Kass | US | Attleboro | 2013-03-07 / 20130060488 - IMAGE PROCESSING SYSTEM AND METHOD FOR NDT/NDI TESTING DEVICES | 2 |
Jason Kass | US | Taunton | 2011-12-15 / 20110307506 - METHOD AND APPARATUS FOR MANAGING CONTACTS | 1 |
Samuel Kass | US | Scotts Valley | 2013-10-24 / 20130276214 - PROTECTIVE SNOW AND SKI HELMET | 1 |
Alex M. Kass | US | Palo Alto | 2011-04-28 / 20110095916 - Mobile Personal Services Platform for Providing Feedback | 1 |
Abraham Kassa | US | Shelby Township | 2015-05-07 / 20150123307 - Fastenable Member for Sealing, Baffling or Reinforcing and Method of Forming Same | 6 |
Getachew Kassa | US | West Orange | 2014-02-27 / 20140054310 - Product Dispensing System with Dispenser Door | 1 |
Debessay Fesehaye Kassa | US | San Diego | 2012-05-03 / 20120106342 - SYSTEMS AND METHODS FOR COMMUNICATING IN A NETWORK | 1 |
Rolf Kassa | DE | Braunschweig | 2015-10-01 / 20150277968 - SOFTWARE REPLAYER FOR TRANSACTIONAL MEMORY PROGRAMS | 8 |
Rolf Kassa | DE | Braunschweig | 2015-10-01 / 20150277968 - SOFTWARE REPLAYER FOR TRANSACTIONAL MEMORY PROGRAMS | 8 |
Abraham Kassa | US | Shelby Twp. | 2010-02-04 / 20100025147 - DAMPING MATERIAL, METHOD OF FORMING THE DAMPING MATERIAL AND METHOD OF USING THE DAMPING MATERIAL | 3 |
Debish Fesehaye Kassa | US | Champaign | 2015-07-02 / 20150186502 - METHOD AND APPARATUS AND COMPUTER READABLE MEDIUM FOR COMPUTING STRING SIMILARITY METRIC | 1 |
Aemro Kassa | US | Athens | 2015-07-02 / 20150183835 - STABILIZED GP120 | 1 |
Elias Habib Kassab | US | Grosse Pointe Shores | 2011-10-13 / 20110251595 - ENDOVASCULAR CATHETER AND METHOD WITH HYDRAULIC BLADDER SYSTEM | 3 |
Maroun Kassab | US | Essex Junction | 2011-06-09 / 20110137602 - INSERTION OF FAULTS IN LOGIC MODEL USED IN SIMULATION | 1 |
Mark A. Kassab | US | 2011-10-20 / 20110258504 - TEST ACCESS MECHANISM FOR DIAGNOSIS BASED ON PARTITIOINING SCAN CHAINS | 1 | |
Bobby Kassab | US | Spring Valley | 2016-05-05 / 20160127291 - ANONYMOUS MOBILE GROUP COMMUNICATIONS | 1 |
Ghassan S. Kassab | US | Indianapolis | 2015-03-19 / 20150080762 - Devices and Systems for Navigation and Positioning a Central Venous Catheter Within a Patient | 10 |
Ghassan Kassab | US | Zionsville | 2015-08-20 / 20150230947 - Tricuspid Regurgitation Model and Methods of Evaluating Intraluminal Medical Devices | 4 |
Ghassan S. Kassab | US | La Jolla | 2016-05-19 / 20160135842 - TRANSRADIAL ACCESS DEVICES, SYSTEMS, AND METHODS | 31 |
Ghassan S. Kassab | US | San Diego | 2015-03-05 / 20150064140 - METHODS AND USES OF BIOLOGICAL TISSUES FOR VARIOUS STENT AND OTHER MEDICAL APPLICATIONS | 2 |
Dorothy Kassab | US | Canastota | 2014-04-17 / 20140106298 - Clinical Dispenser and Applicator | 1 |
Mark A. Kassab | US | Wilsonville | 2015-10-08 / 20150285854 - Test Scheduling and Test Access in Test Compression Environment | 9 |
Ghassan S. Kassab | US | La Jolla | 2016-05-19 / 20160135842 - TRANSRADIAL ACCESS DEVICES, SYSTEMS, AND METHODS | 31 |
Elias H. Kassab | US | Grosse Pointe Shores | 2014-02-27 / 20140058358 - DRUG ELUTING SCULPTING BALLOON | 2 |
Maroun M. Kassab | CA | St. Eustache | 2014-05-29 / 20140149458 - DATA MINING SHAPE BASED DATA | 2 |
Ghassan S. Kassab | US | Zionville | 2010-02-04 / 20100030245 - MAGNETIC DEVICES FOR HIATAL HERNIA REMODELING | 1 |
Ghassan S. Kassab | US | Zlonsville | 2010-03-18 / 20100069849 - PERCUTANEOUS INTRAVASCULAR ACCESS TO CARDIAC TISSUE | 1 |
Hisham Kassab | US | Rockville | 2010-09-09 / 20100228963 - METHODS OF PLACING ADVERTISMENTS, INTERSTITIALS AND TOOLBARS IN A WEB BROWSER | 2 |
Ghassan S. Kassab | US | Zionsville | 2015-03-19 / 20150080855 - SYSTEMS, DEVICES, AND METHODS FOR LOCALIZED DRUG DELIVERY | 121 |
Ghassan S. Kassab | US | Newport Coast | 2012-06-07 / 20120143078 - DEVICES AND SYSTEMS TO MEASURE LUMINAL ORGAN PARAMETERS USING IMPEDANCE | 5 |
Mohamed Kassab | FR | Cesson Sevigne | 2010-07-29 / 20100189258 - METHOD FOR DISTRIBUTING AN AUTHENTICATION KEY, CORRESPONDING TERMINAL, MOBILITY SERVER AND COMPUTER PROGRAMS | 1 |
Mark Kassab | US | Wilsonville | 2016-01-07 / 20160003907 - CONTINUOUS APPLICATION AND DECOMPRESSION OF TEST PATTERNS AND SELECTIVE COMPACTION OF TEST RESPONSES | 16 |
Mark A. Kassab | US | Wilsonville | 2015-10-08 / 20150285854 - Test Scheduling and Test Access in Test Compression Environment | 9 |
Maroun Kassab | CA | Quebec | 2011-09-01 / 20110214102 - METHOD FOR TESTING INTEGRATED CIRCUITS | 1 |
Maroun Kassab | CA | St.-Eustache | 2009-09-24 / 20090240458 - METHOD FOR TESTING INTEGRATED CIRCUITS | 3 |
Mark Kassab | US | Wilsonville | 2016-01-07 / 20160003907 - CONTINUOUS APPLICATION AND DECOMPRESSION OF TEST PATTERNS AND SELECTIVE COMPACTION OF TEST RESPONSES | 16 |
Farhang Kassaei | US | Saratoga | 2015-06-11 / 20150163251 - IDENTITY ASSERTION FRAMEWORK | 2 |
Farhang Kassaei | US | San Jose | 2013-01-03 / 20130006815 - FEDERATED AND MULTI-TENANT E-COMMERCE PLATFORM | 11 |
Farhang Kassaei | US | San Jose | 2013-01-03 / 20130006815 - FEDERATED AND MULTI-TENANT E-COMMERCE PLATFORM | 11 |
Masaharu Kassai | JP | Yamato-Shi | 2016-05-05 / 20160123248 - INTERNAL COMBUSTION ENGINE CONTROL DEVICE, AND CONTROL METHOD | 3 |
Yoshimori Kassai | JP | Nasushiobara | 2016-04-21 / 20160109546 - MAGNETIC RESONANCE IMAGING APPARATUS AND MAGNETIC RESONANCE IMAGING METHOD | 1 |
Kunihiko Kassai | JP | Yokohama | 2014-02-13 / 20140047178 - STORAGE SYSTEM AND STORAGE CONTROL METHOD | 1 |
Masaharu Kassai | JP | Sagamihara-Shi | 2009-02-12 / 20090043479 - INTERNAL COMBUSTION ENGINE | 1 |
Kenzou Kassai | JP | Osaka | 2009-03-12 / 20090066123 - Child-care instrument and hood | 2 |
Yoshimori Kassai | JP | Nasushiobara-Shi | 2015-02-19 / 20150048826 - MAGNETIC RESONANCE IMAGING APPARATUS AND CONTROL DEVICE OF A MAGNETIC RESONANCE IMAGING APPARATUS | 11 |
Kenzou Kassai | JP | Osaka-Shi | 2009-07-23 / 20090184549 - Automobile Child Seat | 5 |
Takaaki Kassai | JP | Osaka | 2009-10-22 / 20090262634 - FOCUS OPTICAL SYSTEM AND OPTICAL DISC MASTER EXPOSURE APPARATUS | 1 |
Yoshimori Kassai | JP | Tochigi-Ken | 2010-04-15 / 20100090695 - MAGNETIC RESONANCE IMAGING (MRI) APPARATUS AND METHOD FOR REDUCED EDDY CURRENT GENERATION IN THE IMAGED OBJECT | 1 |
Kunihiko Kassai | JP | Kawasaki | 2012-03-22 / 20120072584 - COMPUTER PRODUCT, MANAGEMENT APPARATUS, AND MANAGEMENT METHOD | 5 |
Yoshimori Kassai | JP | Nasushiobara-City | 2014-02-13 / 20140046168 - MAGNETIC RESONANCE IMAGING SYSTEM | 2 |
Yoshimori Kassai | JP | Tochigi | 2015-12-24 / 20150369891 - SAR Reduction in Fast Advanced Spin Echo (FASE) or Single-Shot Fast Spin Echo (SS-FSE) Imaging | 1 |
Shahin Kassai | IR | Tehran | 2010-02-18 / 20100038479 - EMERGRNCY LANDING APPARATUS | 2 |
Yoshimori Kassai | JP | Nasu-Gun | 2012-02-16 / 20120041299 - MR IMAGING PROVIDING TISSUE/BLOOD CONTRAST IMAGE | 1 |
Kunihiko Kassai | JP | Tsuzuki | 2013-01-03 / 20130007363 - CONTROL DEVICE AND CONTROL METHOD | 1 |
Kouji Kassai | JP | Tokyo | 2014-12-25 / 20140374345 - WASTEWATER TREATMENT APPARATUS, WASTEWATER TREATMENT METHOD, WASTEWATER TREATMENT SYSTEM, CONTROL DEVICE, AND CONTROL METHOD | 1 |
Yoshimori Kassai | JP | Nasushiobara-Shi | 2015-02-19 / 20150048826 - MAGNETIC RESONANCE IMAGING APPARATUS AND CONTROL DEVICE OF A MAGNETIC RESONANCE IMAGING APPARATUS | 11 |
John G. Kassakian | US | Newton | 2012-05-31 / 20120134071 - ELECTROCHEMICAL DOUBLE-LAYER CAPACITOR USING NANOTUBE ELECTRODE STRUCTURES | 1 |
Amin Kassam | CA | Ottawa | 2016-05-12 / 20160128722 - SURGICAL ACCESS SYSTEM WITH NAVIGATION ELEMENT AND METHOD OF USING SAME | 9 |
Shafig Kassam | US | Lewisville | 2014-07-10 / 20140195668 - MONITORING SYSTEM | 1 |
Amin Kassam | CA | Ottawa | 2016-05-12 / 20160128722 - SURGICAL ACCESS SYSTEM WITH NAVIGATION ELEMENT AND METHOD OF USING SAME | 9 |
Ariff Kassam | US | 2012-10-25 / 20120271803 - METHOD AND SYSTEM FOR LOAD BALANCING A DISTRIBUTED DATABASE | 1 | |
Nasim Kassam | US | Waltham | 2011-11-17 / 20110280875 - ANTIBODIES WHICH BIND HUMAN CXCR3 | 2 |
Nadeem Kassam | US | San Francisco | 2014-03-13 / 20140074479 - Biometric-Music Interaction Methods and Systems | 1 |
Mahmood S. Kassam | CA | Ontario | 2014-09-18 / 20140262553 - SURGICAL OBJECT AND FLUID MONITORING SYSTEM HAVING HIGHLY SENSITIVE AND RELIABLE DETECTION OF OBJECTS BEING PLACED IN A CONTAINER | 1 |
Nadeem Iqbal Kassam | US | San Francisco | 2012-10-25 / 20120271121 - Integrated Biometric Sensing and Display Device | 1 |
Mikhail Kassam | CA | Toronto | 2014-05-01 / 20140115750 - COATED FABRIC AND PROCESS FOR FORMING A POLYMERIC COATING ON A LINER | 7 |
Ayaz S. Kassam | CA | Toronto | 2011-03-24 / 20110069680 - ENHANCED MOBILE NETWORK SYSTEM ACQUISITION USING SCANNING TRIGGERS | 2 |
Nadeem Kassam | CA | Vancouver | 2015-04-02 / 20150093729 - BIOMETRIC-MUSIC INTERACTION METHODS AND SYSTEMS | 1 |
Shafiq Kassam | US | Lewisville | 2014-09-25 / 20140289814 - PERSONAL VIDEO CHANNELS | 26 |
Geeth Kassam | US | Emeryville | 2009-03-05 / 20090061510 - ANTI-ANGIOGENIC POLYPEPTIDES | 1 |
Peter Kassan | US | New York | 2011-09-29 / 20110238710 - Software Product Inventory and Usage Information Correlated with License Agreement Information | 1 |
Michael Kassan | AT | Steinabruckl | 2010-04-29 / 20100101898 - MAGNETIC RAIL BRAKE DEVICE WITH ASYMMETRIC EXCITATION COILS AND/OR WITH MULTI-PART COILS | 1 |
Peter Kassan | US | Dobbs Ferry | 2011-01-27 / 20110022458 - SYSTEM AND METHOD TO PREVENT TERMINATION OF ON-LINE TRANSACTIONS | 1 |
Christos Kassapoglou | NL | Den Iloorn | 2012-12-20 / 20120321838 - METHOD FOR MAKING A COMPOSITE MATERIAL, COMPOSITE MATERIAL AND END PRODUCT | 1 |
Vahe Kassardjian | CA | Mount-Royal | 2010-06-10 / 20100146523 - BROWSER ENVIRONMENT APPLICATION AND LOCAL FILE SERVER APPLICATION SYSTEM | 1 |
Zaher Kassas | US | Austin | 2008-10-23 / 20080263343 - Multi-Channel Algorithm Infrastructure for Programmable Hardware Elements | 1 |
George Kassas | US | Salem | 2009-03-05 / 20090060160 - Switch having notification system | 1 |
George I Kassas | US | Salem | 2010-12-30 / 20100332260 - PERSONAL RECORD SYSTEM WITH CENTRALIZED DATA STORAGE AND DISTRIBUTED RECORD GENERATION AND ACCESS | 2 |
Mahmoud Kassas | SA | Dhahran | 2010-12-02 / 20100305911 - Method for modeling fluid flow over porous blocks | 1 |
Gabrielle M. Kassatly | US | San Jose | 2014-11-13 / 20140332010 - PORTABLE DISCONTINUOUS POSITIVE AIRWAY PRESSURE (DPAP) DEVICE AND METHOD OF USING THE SAME | 2 |
Danielle M. Kassatly | US | San Jose | 2014-11-13 / 20140332010 - PORTABLE DISCONTINUOUS POSITIVE AIRWAY PRESSURE (DPAP) DEVICE AND METHOD OF USING THE SAME | 2 |
L. Samuel A. Kassatly | US | San Jose | 2014-11-13 / 20140332010 - PORTABLE DISCONTINUOUS POSITIVE AIRWAY PRESSURE (DPAP) DEVICE AND METHOD OF USING THE SAME | 2 |
Michelle M. Kassatly | US | San Jose | 2014-11-13 / 20140332010 - PORTABLE DISCONTINUOUS POSITIVE AIRWAY PRESSURE (DPAP) DEVICE AND METHOD OF USING THE SAME | 2 |
John Peter Kassay | US | Wake Forest | 2011-09-15 / 20110222275 - Wire guard fluorescent fixture attachment using snap fasteners | 4 |
John P. Kassay | US | Wake Forest | 2010-02-25 / 20100046214 - Fluorescent light fixture retrofit kit | 1 |
Marc A. Kassay | US | Wake Forest | 2011-09-15 / 20110222275 - Wire guard fluorescent fixture attachment using snap fasteners | 5 |
Reza Kassayan | US | Atherton | 2015-09-10 / 20150253800 - Apparatus and Method for Providing Electrical Power from a Variable Power Source to an Electronic Device | 27 |
John Kassebaum | US | Portland | 2014-09-04 / 20140247280 - FEDERATED MOBILE DEVICE POSITIONING | 2 |
John A. Kassebaum | US | Indianapolis | 2014-09-25 / 20140284271 - PHOTOBIOREACTOR SYSTEM AND METHOD OF USING THE SAME | 2 |
John W. Kassebaum | US | Portland | 2013-12-05 / 20130321392 - Identifying and Parameterizing Roof Types in Map Data | 1 |
William R. Kassebaum | US | Indianapolis | 2014-09-25 / 20140284271 - PHOTOBIOREACTOR SYSTEM AND METHOD OF USING THE SAME | 2 |
Donna Kasseinova | US | Palos Verdes Estates | 2012-05-24 / 20120130125 - METHOD FOR PREPARING AMMONIUM SALTS OF FUMARIC OR SUCCINIC ACID | 2 |
Gregory W. Kassekert | US | Woodbury | 2009-06-18 / 20090152746 - MULTI-STAGE INJECTION OVER-MOLDING SYSTEM WITH INTERMEDIATE SUPPORT AND METHOD OF USE | 1 |
Olivier Kassel | FR | Schwindratzheim | 2016-04-14 / 20160102344 - Site-Specific Immobilization of DNA Origami Structures on Solid Substrates | 1 |
Robert Kassel | US | Incline Village | 2016-03-31 / 20160089461 - SANITIZING DEVICE | 2 |
Harry Dean Kassel | ZA | Sandton | 2014-06-26 / 20140174986 - ELECTRICAL INDUCTION HEATING ASSEMBLY | 1 |
Armin Kassel | DE | Hagen | 2015-02-19 / 20150047327 - COMPONENT CARRIER FOR A DOSING SYSTEM | 5 |
Blake Kassel | US | Boca Raton | 2014-03-06 / 20140066274 - METHOD OF DOING PUSHUPS AND PUSHUP DEVICE EMPLOYED IN SAID METHOD | 6 |
Pidder Kassel | DE | Oberhaching | 2016-03-17 / 20160080031 - Training Optimization of Multiple Lines in a Vectored System Using a Prepared-to-Join Group | 4 |
Lee-Anne Kassel | ZA | Sandton | 2010-09-02 / 20100221980 - BRASSIERE AND BRASSIERE ACCESSORY ESPECIALLY FOR LACTATING MOTHERS | 1 |
Edward A. Kassel | US | Menasha | 2010-01-14 / 20100010679 - ENERGY EFFICIENT METHOD OF MONITORING AND CONTROLLING AN HVAC SYSTEM | 1 |
Lee-Anne Kassel | ZA | Gauteng | 2009-08-13 / 20090203295 - Brassiere especially for lactating mothers | 1 |
William J. Kassel | US | Fox River Grove | 2011-09-29 / 20110238624 - SYSTEM FOR INTEGRATING SERVICE MANAGEMENT SYSTEMS | 1 |
Ray Kassel | US | Gaithersburg | 2008-11-27 / 20080294708 - Methods, systems, and computer program products for parallel correlation and applications thereof | 1 |
Larry Kassel | US | Flint | 2015-10-29 / 20150309593 - KEYBOARD | 1 |
Pieter R. Kasselman | IE | Dublin | 2013-08-08 / 20130205382 - Resource Access Based on Multiple Credentials | 3 |
Alex Kasselman | US | San Jose | 2009-09-17 / 20090232116 - MECHANISM TO AVOID INTERFERENCE AND IMPROVE CHANNEL EFFICIENCY IN MMWAVE WPANS | 1 |
Pieter Kasselman | IE | Dublin | 2016-04-28 / 20160117488 - CONTROLLED APPLICATION DISTRIBUTION | 7 |
Pieter Retief Kasselman | IE | Dublin | 2015-07-02 / 20150186858 - CONTEXTUAL SOLICITATION IN A STARTER APPLICATION | 3 |
Alex Kasselman | IL | Ramatgan | 2015-01-22 / 20150023306 - MECHANISM TO AVOID INTERFERENCE AND IMPROVE CHANNEL EFFICIENCY IN MMWAVE WPANS | 1 |
Becky Kasselman | US | Delmar | 2011-12-01 / 20110294542 - CELL PHONE COVER WITH INTEGRATED MIRROR AND FLIP SHIELD | 1 |
Salim Kassem | US | North Attleboro | 2014-09-18 / 20140275819 - METHODS, SYSTEMS, AND DEVICES FOR MONITORING AND DISPLAYING MEDICAL PARAMETERS FOR A PATIENT | 12 |
Moustapha Kassem | DK | Odense M | 2015-05-07 / 20150126548 - ANABOLIC COMPOUNDS FOR TREATING AND PREVENTING BONE LOSS DISEASES | 2 |
Omar Kassem | EG | Cairo | 2013-05-16 / 20130124303 - Advertising Keyword Generation Using an Image Search | 1 |
Gary Kassem | US | Sewickley | 2009-04-23 / 20090100792 - Non-penetrating elastomeric membrane anchoring system | 3 |
Ayman H. Kassem | SA | Dhahran | 2011-12-29 / 20110315811 - LIGHTER-THAN-AIR VEHICLE FOR SHADING | 2 |
Gary M. Kassem | US | Naples | / - | 1 |
Gary Kassem | US | Naples | 2013-07-25 / 20130186017 - SOLAR PANEL SUPPORT STRUCTURE | 1 |
Ayman H. Kassem | SA | Kfupm | 2009-11-19 / 20090283630 - Lighter-than-air vehicle for shading | 1 |
Tarek Kassem | FR | Montpellier | 2009-08-27 / 20090215881 - Polyphenolic Bioprecursors | 1 |
Tarek Kassem | CA | Montreal | 2010-02-18 / 20100038297 - Poly (Vinyl Alcohol) Polymers, Uses and Preparation Thereof | 1 |
Fadi M. Kassem | US | Austin | 2013-12-05 / 20130325378 - Predicting Energy Savings | 1 |
Salim Kassem | US | Raynham | 2011-05-05 / 20110105994 - Tools and Methods for Programming an Implantable Valve | 1 |
Salim Kassem | US | North Attleboro | 2014-09-18 / 20140275819 - METHODS, SYSTEMS, AND DEVICES FOR MONITORING AND DISPLAYING MEDICAL PARAMETERS FOR A PATIENT | 12 |
Mostafa Kassem | CA | Orleans | 2008-10-09 / 20080246604 - Wireless interface module | 1 |
James Kassemi | US | Albuquerque | 2015-11-19 / 20150332365 - EMAIL BASED E-COMMERCE WITH SMS AND SOCIAL MEDIA | 11 |
Simon Kassemi | US | Apo | 2014-01-02 / 20140005013 - Dumbbell with Eccentric Bar | 1 |
James Kassemi | US | Albuquerque | 2015-11-19 / 20150332365 - EMAIL BASED E-COMMERCE WITH SMS AND SOCIAL MEDIA | 11 |
Zahia Kasseml | IL | Kfar Nahif | 2015-03-05 / 20150065994 - POSTERIOR BREAST MASSAGE UNIT | 1 |
Allen John Kassen | US | St. Louis Park | 2015-07-02 / 20150185093 - SPRING-LOADED TEMPERATURE SENSOR | 1 |
Folkert Kassen | DE | Lahnau-Atzbach | 2011-08-18 / 20110198944 - CONDUCTOR ARRANGEMENT, METHOD FOR THE PRODUCTION THEREOF, AND USE OF A CONDUCTOR ARRANGEMENT | 2 |
Elke Kassens | DE | Grabau | 2012-01-05 / 20120004593 - ANTISEPTIC BASED ON BISPYRIDINIUM ALKANES | 2 |
Emmanouil Kasseris | US | San Ramon | 2015-04-02 / 20150089945 - HYBRID SOLAR AND FUEL-FIRED STEAM GENERATION SYSTEM AND METHOD | 1 |
Emmanouil Kasseris | US | Cambridge | 2011-03-24 / 20110067674 - SPARK IGNITION ENGINE THAT USES INTAKE PORT INJECTION OF ALCOHOL TO EXTEND KNOCK LIMITS | 1 |
Edouard Kassianoff | CA | Calgary | 2016-03-24 / 20160083060 - OSCILLATING PROPULSOR | 1 |
Andy Kassick | US | Scotch Plains | 2011-10-27 / 20110263533 - NOVEL CYCLIC BENZIMIDAZOLE DERIVATIVES USEFUL AS ANTI-DIABETIC AGENTS | 2 |
Jeffrey R. Kassien | US | Tustin | 2011-01-13 / 20110005026 - WATER BASIN ILLUMINATION | 1 |
Wietsche Kassies | NL | Enkhuizen | 2011-09-01 / 20110214202 - CVYV-Resistant Plants of the Species Cucumis Melo | 1 |
Roelf Kassies | NL | Eindhoven | 2011-03-17 / 20110066104 - DEVICE FOR NEEDLELESS TRANSDERMAL DELIVERY OF MEDICATION | 2 |
Roelf Kassies | NL | S-Hertogenbosch | 2010-03-25 / 20100076367 - IONTOPHORETIC DEVICE | 1 |
Md. Irwan Bin Md. Kassim | SG | Synapse | 2013-11-07 / 20130296714 - SENSING DEVICE, A METHOD OF PREPARING A SENSING DEVICE AND A PERSONAL MOBILE SENSING SYSTEM | 3 |
Nadi Kassim | US | Austin | 2015-07-30 / 20150212997 - System, Method, and Process for the Automatic Generation of Documents | 1 |
Rommy Kassim | US | Austin | 2015-07-30 / 20150212997 - System, Method, and Process for the Automatic Generation of Documents | 1 |
Namir E. Kassim | US | Annandale | 2014-07-03 / 20140184463 - Wide-Band Active Antenna System for HF/VHF radio | 1 |
Amude M. Kassim | US | Monmouth Junction | 2010-11-18 / 20100292282 - SYNTHESIS AND CRYSTALLINE FORMS OF CB-1 ANTAGONIST/INVERSE AGONIST | 1 |
Adeli Kassim | MY | Melaka | 2010-06-17 / 20100150977 - CAMOMILE TREATED GLOVE | 1 |
Md Irwan Bin Md Kassim | SG | Singapore | 2014-02-27 / 20140058272 - METHOD OF MEASURING AN ARTEFACT REMOVED PHOTOPLETHYSMOGRAPHIC (PPG) SIGNAL AND A MEASUREMENT SYSTEM | 3 |
Yousef Kassim | US | Austin | 2015-07-30 / 20150212997 - System, Method, and Process for the Automatic Generation of Documents | 1 |
Ansar Kassim | US | Basking Ridge | 2015-03-26 / 20150089621 - SECURE LOGIN FOR SUBSCRIBER DEVICES | 1 |
Evangelos Kassimatis | GR | Stamata | 2015-08-27 / 20150242767 - AERIAL VIEW, WEB-BASED TRIP PLANNING TECHNIQUES USING GEOTAGGED VIDEO AND IMAGES SYNCD TO A MAP | 1 |
Constantinos Kassimis | US | Cary | 2014-06-05 / 20140156857 - BINDING MULTIPLE ADDRESSES TO A SOCKET IN A NETWORK SYSTEM | 16 |
Constantinos Kassimis | US | Cary | 2014-06-05 / 20140156857 - BINDING MULTIPLE ADDRESSES TO A SOCKET IN A NETWORK SYSTEM | 16 |
Constantinos Kassimis | US | Research Triangle Park | 2015-11-26 / 20150341354 - NETWORK RESOURCE MANAGEMENT SYSTEM UTILIZING PHYSICAL NETWORK IDENTIFICATION FOR PRIVILEGED NETWORK ACCESS | 5 |
Constantinos Kassimis, Jr. | US | Research Triangle Park | 2015-01-22 / 20150026677 - NETWORK RESOURCE MANAGEMENT SYSTEM UTILIZING PHYSICAL NETWORK IDENTIFICATION FOR LOAD BALANCING | 5 |
Amyn Kassim-Lakha | US | Cambridge | 2014-09-18 / 20140279333 - CONTRACT AUTOMATION APPARATUS, METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Adonis Kassinos | US | San Jose | 2015-11-12 / 20150323293 - GRADIENT BALLISTIC MATERIAL AND HONEYCOMB GRILLE | 2 |
Hassan Kassir | US | Irvine | 2013-06-20 / 20130159164 - METHOD AND SYSTEM FOR ANONYMOUSLY MATCHING DEBTORS WITH DEBT HOLDERS TO FACILITATE RESOLUTION OF NON-PERFORMING DEBT | 2 |
Thibault Kassir | US | Chicago | 2015-02-26 / 20150055803 - Decimation Synchronization in a Microphone | 1 |
Linda Kassis | US | Carmel | 2013-10-03 / 20130255510 - ELECTRIC MEAT DRAINER | 1 |
Amin I. Kassis | US | Chestnut Hill | 2015-11-19 / 20150329910 - Methods of Detecting Diseases or Conditions Using Phagocytic Cells | 16 |
Amin I. Kassis | US | Chestnut Hill | 2015-11-19 / 20150329910 - Methods of Detecting Diseases or Conditions Using Phagocytic Cells | 16 |
Jareer Nabeel Kassis | US | Colfax | 2014-07-17 / 20140200212 - Substituted Fused Imidazole Derivatives, Pharmaceutical Compositions, and Methods of Use Thereof | 3 |
Ronit Kassis | US | Sunnyvale | 2015-10-01 / 20150281318 - SYSTEM FOR MANAGING EXTENSION MODIFICATIONS TO WEB PAGES | 1 |
Antoine Kassis | FR | Paris | 2013-04-11 / 20130088093 - METHOD OF CONTROLLING THE SUPPLY OF ELECTRICITY FROM A PUBLIC NETWORK FOR PUBLIC STREET LIGHTING | 1 |
Wasef Kassis | US | Tyler | 2014-04-17 / 20140108005 - Universal Language Classification Devices, Systems, and Methods | 1 |
Ellen Kassis | US | Chicago | 2014-03-06 / 20140059733 - BIB APPARATUS FOR CONTAINING LIQUID OR OTHER DEBRIS | 1 |
Fritz Kasslatter | AT | Gablitz | 2016-02-25 / 20160055745 - Method and Device for Communication Between Road Users and/or Pedestrians and a Traffic Infrastructure on the Basis of an Ad-Hoc Wireless Motor Vehicle Communications System for Traffic Control | 4 |
Harlan Kassler | US | Austin | 2015-03-26 / 20150086194 - HANDS-FREE OPTICAL FIBER TESTING USING OPTICAL LOSS TEST INSTRUMENT | 5 |
Helmut Kassler | AT | Voitsberg | 2014-05-01 / 20140117796 - ELECTRIC MOTOR WITH COOLING OF HOUSING | 4 |
Andreas Kassler | DE | Ulm | 2014-02-06 / 20140040485 - Specification of a Software Architecture for Capability and Quality-Of-Service Negotiations and Session Establishment for Distributed Multimedia Applications | 1 |
Horst Kassler | DE | Garching | 2014-04-10 / 20140100172 - Cancer Imaging and Treatment | 1 |
Marco Kassler | US | Danvers | 2014-11-27 / 20140348942 - Treatments for Gastrointestinal Disorders | 1 |
Mika Kasslin | FI | Espoo | 2016-05-19 / 20160142901 - METHOD AND APPARATUS FOR LOCATING COMMUNITIES OVER AN AD-HOC MESH NETWORK | 91 |
Kimmo Kasslin | FI | Helsinki | 2014-05-15 / 20140137253 - SECURITY METHOD AND APPARATUS | 2 |
Kimmo Kasslin | FI | Espoo | 2013-10-03 / 20130262706 - Data Syncronisation | 4 |
Mika Ilkka Tapani Kasslin | FI | Espoo | 2015-02-12 / 20150043471 - METHOD AND APPARATUS FOR ACTIVATING FREQUENCIES IN WHITE SPACE | 6 |
Mika Iikka Tapani Kasslin | FI | Espoo | 2014-05-01 / 20140119289 - LINK SETUP IN WIRELESS NETWORK | 2 |
Mikaä Kasslin | FI | Espoo | 2014-09-11 / 20140254558 - SERVICE TYPE SELECTION IN WIRELESS NETWORK | 1 |
Ari M. Kassman | US | Long Beach | 2015-11-05 / 20150317586 - SYSTEM FOR ALLOCATING AND COSTING DISPLAY SPACE | 1 |
Jerrold S. Kassman | US | Katy | 2010-05-13 / 20100115962 - METHODS AND SYSTEMS FOR GAS TURBINE SYNGAS WARM-UP WITH LOW EMISSIONS | 1 |
Leon B. Kassman | US | Rockville Centre | / - | 1 |
Leon B. Kassman | US | Rockville Center | / - | 2 |
Edward F. Kassman | US | Chapel Hill | 2010-11-11 / 20100284877 - CATALYST SYSTEMS | 1 |
Todd T. Kassman | US | Encinitas | 2010-06-24 / 20100162293 - METHOD AND APPARATUS FOR REPLACING A BLACKED OUT PROGRAM IN A SEAMLESS MANNER | 2 |
Leon Kassman | US | Rockville Center | / - | 1 |
Jerrold Samuel Kassman | US | Katy | 2014-06-19 / 20140170035 - GASIFICATION SYSTEM AND METHOD OF ADJUSTING A PIPING ARRANGEMENT OF A GASIFICATION SYSTEM | 2 |
Sebastian Kassner | DE | Nackenheim | 2008-11-20 / 20080287787 - Line-based calibration of ultrasound transducer integrated with a pose sensor | 1 |
Astrid Kassner | DE | Braunschweig | 2015-08-06 / 20150217782 - METHOD AND DEVICE FOR DISPLAYING VEHICLE PARAMETERS | 1 |
Uwc Kassner | DE | Moeglingen | 2010-12-16 / 20100316255 - DRIVER ASSISTANCE SYSTEM FOR MONITORING DRIVING SAFETY AND CORRESPONDING METHOD FOR DETECTING AND EVALUATING A VEHICLE MOVEMENT | 1 |
Marcel Kassner | DE | Hockenheim | 2014-07-03 / 20140189547 - Testing User Interface Layout or Language Compatibility | 3 |
Kristina Kassner | DE | Bergisch Gladbach | 2015-10-15 / 20150290142 - Multi-Day Patch for the Transdermal Administration of Rotigotine | 1 |
Christopher A. Kassner | US | Houston | 2014-05-01 / 20140116556 - PIPE-IN-PIPE APPARATUS INCLUDING AN ENGINEERED PIPE | 3 |
Holger Kassner | DE | Pullach | 2011-10-06 / 20110244216 - THERMAL BARRIER COATING SYSTEM AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Reinhard Kassner | DE | Güntersloh | 2010-06-24 / 20100154243 - Drying apparatus for pourable material and method for producing a drying apparatus for pourable material | 1 |
Peter Kassner | PT | Algarve | 2010-09-23 / 20100238212 - ELECTROMECHANICAL CONVERTER FOR INK JET PRINTING | 2 |
Milena Kassner | DE | Moeglingen | 2013-05-30 / 20130133406 - DEVICE FOR MEASURING A COMPOSITION OF A FUEL MIXTURE | 1 |
Ron Kassner | US | Edgerton | 2011-07-14 / 20110171045 - PRESSURE WASHER PUMP | 1 |
Bettina Kassner | DE | Moeglingen | 2013-05-30 / 20130133406 - DEVICE FOR MEASURING A COMPOSITION OF A FUEL MIXTURE | 1 |
Reinhard Kassner | DE | Güntersloh | 2010-06-24 / 20100154243 - Drying apparatus for pourable material and method for producing a drying apparatus for pourable material | 1 |
Christopher Allen Kassner | US | Houston | 2014-07-10 / 20140193205 - METHODS, APPARATUS AND SYSTEMS FOR CONVEYING FLUIDS | 2 |
Lysann Kassner | DE | Chemnitz | 2016-04-07 / 20160096923 - METHOD FOR PRODUCING POLYAMIDE COMPOSITE MATERIALS CONTAINING SILICON | 1 |
Matthias Kassner | DE | Heilbronn | 2010-08-26 / 20100216346 - MULTIPOLE MATRIX CONNECTOR | 1 |
Uwe Kassner | DE | Moeglingen | 2014-04-17 / 20140107905 - METHOD FOR DIAGNOSING A SUPERCHARGING SYSTEM OF INTERNAL COMBUSTION ENGINES | 10 |
Thomas Kassner | DE | Dresden | 2011-04-14 / 20110084491 - WIND POWER TURBINE | 2 |
Reinhard Kassner | DE | Delligsen | 2013-08-15 / 20130207058 - ARSENIC AND ANTIMONY FREE, TITANIUM OXIDE CONTAINING BOROSILICATE GLASS AND METHODS FOR THE PRODUCTION THEREOF | 3 |
Anja Kassner | DE | Munster | 2014-09-25 / 20140287006 - Degradation-Stabilised, Biocompatible Collagen Matrices | 3 |
Chris Kasso | US | Los Altos | 2015-03-26 / 20150089274 - SYSTEM AND METHOD FOR SUPPORTING FAULT TOLERANT JOB MANAGEMENT IN A CLOUD PLATFORM ENVIRONMENT | 5 |
Christopher Kasso | US | Los Altos | 2016-03-31 / 20160094404 - SYSTEM AND METHOD FOR JMX SUPPORT IN A MULTITENANT APPLICATION SERVER ENVIRONMENT | 3 |
Jason M. Kassoff | US | Denver | 2016-01-28 / 20160026234 - Interfacing Dynamic Hardware Power Managed Blocks and Software Power Managed Blocks | 8 |
Jason M. Kassoff | US | Jamaica Plains | 2011-12-01 / 20110296110 - Critical Word Forwarding with Adaptive Prediction | 1 |
Jason M. Kassoff | US | Denver | 2016-01-28 / 20160026234 - Interfacing Dynamic Hardware Power Managed Blocks and Software Power Managed Blocks | 8 |
Sidney Kassouf | US | Burbank | 2014-09-11 / 20140253695 - System for distributing Auto-Stereoscopic Images | 1 |
Thomas L. Kassouf | US | Port Washington | 2013-08-29 / 20130222793 - METHOD AND APPARATUS FOR WHEEL ALIGNMENT | 4 |
Van Kassouni | US | Grand Rapids | 2009-12-24 / 20090314908 - HANGING OBJECT RETAINING DEVICE | 2 |
Van M. Kassouni | US | Grand Rapids | 2015-10-01 / 20150274414 - SHIPPING CONTAINER AND INSERT | 12 |
Van M. Kassouni | US | Grand Rapids | 2015-10-01 / 20150274414 - SHIPPING CONTAINER AND INSERT | 12 |
Haig H. Kassouni | US | Grand Rapids | 2010-07-29 / 20100189752 - PELLETED MINERAL SUPPLEMENT FOR LAND ANIMALS | 2 |
Armen S. Kassouni | US | Grand Rapids | 2010-07-29 / 20100189752 - PELLETED MINERAL SUPPLEMENT FOR LAND ANIMALS | 2 |
Sacha Kassovska-Bratinova | US | Havertown | 2014-12-18 / 20140371228 - NOVEL THERAPEUTIC AGENTS | 1 |
Kristian Kassow | DK | Odense C. | 2013-10-03 / 20130255426 - PROGRAMMABLE ROBOT AND USER INTERFACE | 3 |
Robert K. Kast | US | Succasunna | 2011-12-01 / 20110295812 - TECHNIQUES FOR PRODUCING A CONSISTENT COPY OF SOURCE DATA AT A TARGET LOCATION | 2 |
W. Martin Kast | US | Los Angeles | 2011-04-14 / 20110086044 - Compositions and Methods to Inhibit HPV Infection | 2 |
John Kast | US | Hugo | 2015-04-23 / 20150107089 - IMPLANTABLE MEDICAL DEVICE | 6 |
Wijbe M. Kast | US | Flintridge | 2015-07-23 / 20150202152 - USE OF LIPOSOMES IN A CARRIER COMPRISING A CONTINUOUS HYDROPHOBIC PHASE AS A VEHICLE FOR CANCER TREATMENT | 2 |
Holger Kast | DE | Lorrach | 2010-08-05 / 20100196855 - IMPRESSION POST FOR A DENTAL IMPLANT | 1 |
Michael J Kast | US | Evanston | 2009-08-06 / 20090194489 - Blood Processing Systems And Methods That Employ An In-Line Flexible Leukofilter | 1 |
Michael Kast | US | Palo Alto | 2016-04-28 / 20160116505 - ENERGY HARVEST SPLIT CORE DESIGN ELEMENTS FOR EASE OF INSTALLATION, HIGH PERFORMANCE, AND LONG TERM RELIABILITY | 2 |
Michael Kast | AT | Wels | 2015-10-15 / 20150293269 - METHOD AND DEVICE FOR PRODUCING A LENS WAFER | 9 |
John E. Kast | US | Hugo | 2016-05-05 / 20160121125 - MODULAR SHIELD EXTENDER | 37 |
Christian Kast | DE | Merzig | 2014-01-23 / 20140020302 - PROFILED SEALING SECTION, IN PARTICULAR FOR SEALING A MOVABLE VEHICLE WINDOW PANE | 1 |
Rachel Kast | US | Holly | 2016-05-19 / 20160139051 - APPARATUS AND METHOD FOR DISTINGUISHING BETWEEN DIFFERENT TISSUE TYPES USING SPECIFIC RAMAN SPECTRAL REGIONS | 1 |
Mary Terese Kast | US | Rushville | 2013-02-21 / 20130043286 - INTERCHANGEABLE COMPARTMENTAL ACCESSORIES | 1 |
Martin Kast | US | La Canada | 2012-09-27 / 20120244117 - METHOD OF REVERSING IMMUNE SUPPRESSION OF LANGERHANS CELLS | 1 |
Peter Kast | DE | Esslingen | 2015-08-27 / 20150240688 - FUNNEL-PIPE ARRANGEMENT | 2 |
W. Martin Kast | US | La Canada | 2008-10-23 / 20080260762 - HLA binding motifs and peptides and their uses | 1 |
Anton Kast | US | San Francisco | 2014-04-17 / 20140108585 - MULTIMEDIA CONTENT MANAGEMENT SYSTEM | 1 |
Anton P. Kast | US | San Francisco | 2014-11-06 / 20140330825 - RECOMMENDATION ENGINE | 11 |
Viktor Kast | DE | Biberach | 2014-06-12 / 20140159296 - SPRING SYSTEM FOR AN AIRCRAFT | 1 |
Krystal Kast | US | Rockford | 2016-02-04 / 20160029813 - INFANT CAR SEAT | 1 |
Harald Kast | DE | Waltenhofen | 2013-08-01 / 20130195580 - Fastening Means and Associated Production Method | 1 |
Michael Kast | AT | Wels | 2015-10-15 / 20150293269 - METHOD AND DEVICE FOR PRODUCING A LENS WAFER | 9 |
Raimund Kast | DE | Wuppertal | 2015-05-28 / 20150148340 - BICYCLICALLY SUBSTITUTED URACILS AND THE USE THEREOF | 11 |
Michael J. Kast | US | Crystal Lake | 2014-02-13 / 20140043930 - PLATELET RESUSPENSION METHOD AND APPARATUS | 1 |
Wijbe Martin Kast | US | La Canada | / - | 1 |
Nathan Kast | US | North Miami Beach | 2014-11-20 / 20140341871 - REGENERATIVE TISSUE MATRIX | 1 |
Anton P. Kast | US | San Francisco | 2014-11-06 / 20140330825 - RECOMMENDATION ENGINE | 11 |
George Kast | AU | Wongawallan | 2013-06-13 / 20130149021 - APPLICATOR DEVICE | 1 |
Erich Kast | CH | Effretikon | 2011-11-10 / 20110276094 - FIXATION ASSEMBLY FOR SPINAL VERTEBRAE | 1 |
Andre Kast | DE | Ulm | 2014-10-02 / 20140290286 - METHOD AND DEVICE FOR COOLING SOLDERED PRINTED CIRCUIT BOARDS | 1 |
George Kast | US | Westminster | 2015-09-17 / 20150259220 - Liquid Vaporization Systems and Methods of Use | 1 |
Michael Kast | AT | Wien | 2010-06-03 / 20100132465 - MINIATURE ACCELERATION SENSOR | 1 |
Erich Kast | CH | Pfungen | 2010-04-29 / 20100106251 - IMPLANT FOR USE BETWEEN SPIRAL VERTEBRAE | 1 |
Christian Kast | DE | Merzig-Brotdorf | 2016-02-25 / 20160052746 - DEVICE FOR RECEIVING AN ELASTOMER STRAND AND FOR FEEDING THE ELASTOMER STRAND TO A PROCESSING DEVICE | 5 |
Wijbe Martin Kast | US | Los Angeles | / - | 1 |
Alexander Kastalsky | US | Ocean | 2014-09-25 / 20140287909 - METHOD OF FORMING NANO-PADS OF CATALYTIC METAL FOR GROWTH OF SINGLE-WALLED CARBON NANOTUBES | 7 |
Alexander Kastalsky | US | Wayside | 2014-04-17 / 20140103299 - NANOTUBE ARRAY ELECTRONIC AND OPTO-ELECTRONIC DEVICES | 7 |
Joseph Kastan | US | North Miami Beach | 2008-10-30 / 20080264983 - Apparatus for Folding, Stacking and Storing Bedsheets | 1 |
Michael B. Kastan | US | Chapel Hill | 2013-11-07 / 20130296237 - Use Of Chloroquine To Treat Metabolic Syndrome | 2 |
Linn Kastan | US | Rancho Santa Fe | 2012-11-29 / 20120301263 - AIRCRAFT MOVING DEVICE | 2 |
Michael B. Kastan | US | Cordova | 2009-06-11 / 20090149377 - METHODS FOR REGULATION OF p53 TRANSLATION AND FUNCTION | 3 |
Raymond S. Kastanek | US | Kennesaw | 2015-11-12 / 20150321817 - Carrier for Containers | 13 |
Raymond S. Kastanek | US | Longmont | 2012-08-23 / 20120211552 - CARTON WITH HANDLE AND DISPENSER | 2 |
Raymond S. Kastanek | US | Kennesaw | 2015-11-12 / 20150321817 - Carrier for Containers | 13 |
Raymond S. Kastanek | US | Mead | 2016-04-21 / 20160107814 - PACKAGE FOR A PRODUCT | 1 |
Petr Kastanek | CZ | Prague | 2009-03-26 / 20090081744 - Method of processing slops | 1 |
Kevin Thomas Kastanos | US | San Lorenzo | 2015-08-06 / 20150216462 - Analyte Sensor | 2 |
Norbert Kaste | DE | Backnang | 2012-12-20 / 20120319803 - SWITCHABLE BAND-PASS FILTER | 1 |
Robert P. Kaste | US | North East | 2008-11-06 / 20080272221 - Fiber tensioning device | 1 |
Robert P. Kaste | US | 2011-03-24 / 20110068208 - FIBER TENSIONING DEVICE AND METHOD OF MAKING PRESTRESSED STRUCTURES | 1 | |
Pamela J. Kaste | US | North East | 2015-12-03 / 20150343490 - ACOUSTIC MIXING AS A TECHNIQUE FOR COATING PROPELLANT | 1 |
Michael Kaste | DE | Hamburg | 2013-05-16 / 20130118770 - Method for the automated manufacture of a fibre composite component with integrated lightning protection, and also a fibre composite component | 1 |
Michael Kaste | DE | York | 2014-05-15 / 20140132289 - LINING/FAIRING PANEL AND METHOD FOR MEASURING THE ELECTRICAL BONDING RESISTANCE OF A LINING/FAIRING PANEL | 1 |
Jeffrey Kaste | US | Chagrin Falls | 2011-12-29 / 20110317900 - ATTENUATION CORRECTION OF MR COILS IN A HYBRID PET/MR SYSTEM | 1 |
John P. Kastelein | NL | Hauwewrt | 2015-01-29 / 20150030581 - LPL VARIANT THERAPEUTICS | 1 |
Nathan Kastelein | US | St. Louis | 2015-11-05 / 20150313677 - REMOTE MANIPULATOR DEVICE | 9 |
Robert A. Kastelein | US | Redwood City | 2014-09-04 / 20140248279 - USE OF IL-23 AND IL-17 ANTIAGONISTS TO TREAT AUTOIMMUNE OCULAR INFLAMMATORY DISEASE | 4 |
Maaten Willem Kastelein | NL | Heemstede | 2013-07-11 / 20130175258 - GUIDE DEVICE FOR WELDING CURVILINEAR PIPE SURFACES | 1 |
Bas Kastelein | DE | Butzbach | 2016-04-21 / 20160109272 - USE OF TRANSDUCERS WITH A PIEZO CERAMIC ARRAY TO IMPROVE THE ACCURACY OF ULTRA SONIC METERS | 1 |
Lukas Kastelein | NL | Oss | 2009-03-05 / 20090058312 - ENERGY EFFICIENT FLUORESCENT LAMP | 1 |
Robert A. Kastelein | US | Portola Valley | 2014-11-13 / 20140335094 - MAMMALIAN CYTOKINES; RELATED REAGENTS AND METHODS | 40 |
Nathan Kastelein | US | St. Louis | 2015-11-05 / 20150313677 - REMOTE MANIPULATOR DEVICE | 9 |
John J.p. Kastelein | NL | Amsterdam | 2011-08-18 / 20110201673 - LPL VARIANT THERAPEUTICS | 1 |
Katja Kasteleiner | DE | Mannheim | 2010-03-18 / 20100070336 - Providing Customer Relationship Management Application as Enterprise Services | 3 |
Christian D. Kasteler | US | Murray | 2016-01-28 / 20160022548 - STABLE DENTAL VARNISH COMPOSITIONS AND METHODS OF MANUFACTURE AND USE | 1 |
Alexander R. Kastelic | US | Chicago | 2015-08-06 / 20150216342 - CUP LID | 2 |
Roger Kastelic | US | Palm City | 2009-10-01 / 20090241552 - UTILIZING INLET BLEED HEAT TO IMPROVE MIXING AND ENGINE TURNDOWN | 1 |
Joze Kastelic | SI | Krka | 2008-12-04 / 20080300233 - Pharmaceutical Composition Comprising Simvastatin and Ezetimibe | 1 |
Tania Kastelic | CA | Coquitlam | 2015-06-25 / 20150176088 - ASSAY FOR IDENTIFYING COMPOUNDS WHICH AFFECT STABILITY OF MRNA | 2 |
Edward P. Kastelic | US | Phoenix | 2009-05-14 / 20090124464 - Multi-Configurable Exercise Device | 1 |
Kurt Kastelic | US | Rochester | 2014-06-12 / 20140159356 - Safety Restraint Protection for Aircraft Occupants Seated Facing the Side of the Aircraft | 1 |
Jeff Kastelic | US | Cranberry Township | 2014-10-16 / 20140310163 - System and Method for Providing Time to Cure Negative Balances in Financial Accounts While Encouraging Rapid Curing of Those Balances to a Positive Net Position | 2 |
Aukje Arianne Annette Kastelijn | NL | Bj Eindhoven | 2010-07-08 / 20100171046 - LITHOGRAPHY SYSTEM AND PROJECTION METHOD | 1 |
Maurice Kastelijn | NL | Eindhoven | 2016-03-10 / 20160070550 - EMBEDDED SYSTEM DEVELOPMENT | 3 |
Aukje Kastelijn | NL | Delft | 2011-06-02 / 20110127400 - ACTIVE VIBRATION ISOLATION SYSTEM HAVING AN INERTIAL REFERENCE MASS | 1 |
Friedhelm Kastell | DE | Katzwinkel | 2015-01-29 / 20150027622 - Method and device for producing an interior covering part | 2 |
Michail Kastellorizios | US | Willington | 2013-08-15 / 20130206072 - ISLAND FOR ANIMAL CAGE | 1 |
Arturas Kastelnikovas | DE | Steinfurt | 2013-07-04 / 20130168574 - Silicophosphate phosphors | 2 |
Tom Kasten | US | St. Louis | 2015-05-21 / 20150140672 - METHODS FOR DETECTING AMYLOID BETA AMYLOIDOSIS | 1 |
Christopher J. Kasten | US | Rancho Cordova | 2015-05-14 / 20150134839 - METHOD AND SYSTEM FOR REDUCING CONNECTIONS TO A DATABASE | 16 |
Gene D. Kasten | US | Bend | 2015-07-23 / 20150204300 - System and Method for Low Ecology Impact Generation of Hydroelectric Power | 1 |
Manuel Kasten | DE | Hattersheim | 2013-11-07 / 20130297189 - Method for Detecting and Correcting Vehicle Reference Speed and Vehicle System | 1 |
Ansas Matthias Kasten | US | Niskayuna | 2015-10-01 / 20150276686 - SYSTEMS AND METHODS FOR ADDRESSING ONE OR MORE SENSORS ALONG A CABLE | 3 |
Bruce Kasten | US | Cypress | 2014-09-18 / 20140261818 - QUICK CONNECT COUPLING | 1 |
Glenn Kasten | US | San Mateo | 2015-07-02 / 20150186509 - POWER-EFFICIENT MUSIC PLAYLIST IDENTIFICATION | 2 |
Welly Kasten | US | San Jose | 2015-12-24 / 20150373633 - Co-Located Network Selection Algorithm | 1 |
Knut Kasten | DE | Ostfildern | 2011-10-27 / 20110264386 - RHEOMETER FOR HIGH-VISCOSITY MATERIALS | 1 |
Wolfgang Kasten | DE | Ludwigshafen | 2011-09-15 / 20110224316 - PREPARING EXPANDABLE STYRENE POLYMERS | 1 |
Stephen P. Kasten | US | Prescott | 2014-11-27 / 20140346122 - SYSTEM AND APPARATUS FOR DETERMINING AND CONTROLLING WATER CLARITY | 2 |
Christopher J. Kasten | US | Rancho Cordova | 2015-05-14 / 20150134839 - METHOD AND SYSTEM FOR REDUCING CONNECTIONS TO A DATABASE | 16 |
Christopher J. Kasten | US | Sacramento | 2011-06-09 / 20110137914 - CUSTOM CACHING | 4 |
Welly Kasten | US | Cupertino | 2015-12-03 / 20150350993 - Device and Method for Opportunistic Roaming | 2 |
Welly Kasten | US | Sunnyvale | 2012-11-29 / 20120302286 - TECHNIQUES FOR ENHANCED CO-EXISTENCE OF CO-LOCATED RADIOS | 4 |
Kevin Albert Kasten | US | Bartlett | 2012-03-29 / 20120074242 - Axial rotatable snow making spray head and method for making snow | 1 |
Oliver Kasten | CH | Uerikon | 2008-12-18 / 20080313148 - Discovery service for electronic data environment | 1 |
Chadwick Arthur Kasten | IE | Auckland | 2010-05-06 / 20100107536 - THERMO TECH MARK II LIMITED | 1 |
Lisa Kasten | US | Plantation | 2010-06-10 / 20100139132 - Sports Luggage Tags | 2 |
Bernard Kasten | US | Cincinnati | 2009-01-22 / 20090024332 - DIAGNOSING INAPPARENT DISEASES FROM COMMON CLINICAL TESTS USING BAYESIAN ANALYSIS | 1 |
Frederick R. Kasten | US | Plymouth | 2009-06-25 / 20090159360 - Energy efficient power steering pump control system | 1 |
Theodore Kasten | US | Chicago | 2014-09-18 / 20140274390 - TOGGLABLE PLAYER TILES TO ASSIST A USER-PARTICIPANT DURING A FANTASY LEAGUE DRAFT | 3 |
Klaus Kasten | DE | Reutlingen | 2009-07-16 / 20090178493 - Force-Measuring Element | 3 |
Philip S. Kasten | US | Nashua | 2009-12-24 / 20090319552 - SOFTWARE MERGING UTILITY | 1 |
Arne Kasten | DE | Karlsruhe | 2014-03-06 / 20140066312 - Magnet system for generation of a highly stable magnetic field | 3 |
Hans-Georg Kasten | DE | Seefeld | 2009-09-03 / 20090218790 - Ski Binding System | 1 |
Uwe Kasten | DE | Moeglingen | 2009-08-13 / 20090201511 - Interferometric system having a reference surface including a mirrored zone | 1 |
Kevin R. Kasten | US | Cincinnati | 2014-05-29 / 20140148402 - Diagnostic Assays and Methods of Treating Pneumonia, Sepsis and Systemic Inflammatory Response Syndrome | 1 |
Stefan Kastenholz | DE | Dresden | 2013-07-04 / 20130174129 - Model Matching for Trace Link Generation | 1 |
Frank Kastenholz | US | Chelmsford | 2013-09-12 / 20130238810 - ENCAPSULATING/DECAPSULATING DATA IN HARDWARE | 2 |
Frank Kastenholz | US | Arlington | 2011-08-11 / 20110197274 - RATE LIMITING DATA TRAFFIC IN A NETWORK | 2 |
Frank J. Kastenholz | US | Chelmsford | 2012-10-11 / 20120257634 - SOFTWARE CONFIGURABLE NETWORK SWITCHING DEVICE | 1 |
Bernard L. Kasten, Jr. | US | Naples | 2009-03-26 / 20090081683 - Kits and Methods for Assessing the Coenzyme Q Reducing Status of a Patient, Including a Patient Ingesting a Statin | 1 |
Bernd Ernst Eduard Kastenmeier | US | Austin | 2009-06-25 / 20090159991 - CMOS DEVICES WITH DIFFERENT METALS IN GATE ELECTRODES USING SPIN ON LOW-K MATERIAL AS HARD MASK | 1 |
Bernhard Kastenmeier | DE | Klingenberg | 2012-05-10 / 20120111262 - Measuring gauge with additional indicator for overloads | 1 |
Bernd E. Kastenmeier | US | Austin | 2016-05-12 / 20160132628 - METHOD OF DESIGNING AN INTEGRATED CIRCUIT AND COMPUTER PROGRAM PRODUCT | 2 |
Klaus Kastenmeier | DE | Munchen | 2009-02-19 / 20090045910 - Platform for Standardizing Vehicle Communications With Third-Party Applications | 1 |
Bernd E. E. Kastenmeier | US | Austin | 2009-01-01 / 20090004865 - METHOD FOR TREATING A WAFER EDGE | 1 |
Kevin M. Kastenschmidt | US | Rockland | 2012-08-23 / 20120210883 - Apparatus For Treating Milk | 2 |
Hans C. Kastensmith | US | Aldie | 2012-06-28 / 20120164649 - SYSTEM, DEVICES AND METHODS FOR MONITORING AND DETECTION OF CHEMICAL REACTIONS | 1 |
Robert M. Kaster | US | White Lake | 2013-03-07 / 20130060442 - UNINTENDED ACCELERATION DETECTION AND CORRECTION | 1 |
Jan Kaster | DE | Muenchen | 2013-08-22 / 20130215925 - METHOD OF GENERATING ENHANCED INTRA-RESONATOR LASER LIGHT, ENHANCEMENT RESONATOR AND LASER DEVICE | 1 |
Robert Kaster | DE | Viersen | 2015-04-30 / 20150116657 - PRESCRIPTION-DEPENDENT AND INDIVIDUALIZATION-DEPENDENT MODIFICATION OF THE TEMPORAL PERIPHERAL NOMINAL ASTIGMATISM AND ADAPTATION OF THE OBJECT DISTANCE FUNCTION TO CHANGED OBJECT DISTANCES FOR NEAR AND FAR VISION | 2 |
Robert Kaster | US | White Lake | 2011-04-07 / 20110082633 - TRACTION CONTROL SYSTEM AND METHOD | 2 |
Craig Kaster | US | Omaha | 2010-04-22 / 20100095645 - FLYWHEEL FOR TIMED KNIFE DRIVE | 4 |
Rami Kasterstein | IL | Givataim | 2016-03-17 / 20160077715 - SYSTEM AND METHOD FOR CONTEXT-LAUNCHING OF APPLICATIONS | 15 |
Rami Kasterstein | IL | Givataim | 2016-03-17 / 20160077715 - SYSTEM AND METHOD FOR CONTEXT-LAUNCHING OF APPLICATIONS | 15 |
Anindya Kastha | IN | Kolkata, State Of West Bengal | 2016-02-25 / 20160052701 - ROLLING BUNDLING MECHANISM FOR AUTOMATIC OPENING AND CLOSING | 1 |
Anindya Kastha | IN | Kolkata | 2016-05-12 / 20160130074 - PACKET FOR HOLDING SUBSTANTIALLY ELONGATED ARTICLES SUCH AS CIGARETTES | 1 |
Narayanan Kasthuri | US | Cambridge | 2014-07-10 / 20140194314 - Multi-Color Nanoscale Imaging Based On Nanoparticle Cathodoluminescence | 3 |
Magesh Kasthuri | IN | Little Kanchipuram | 2015-05-14 / 20150131912 - SYSTEMS AND METHODS FOR OFFLINE CHARACTER RECOGNITION | 2 |
Jaishankar Kasthuri | US | Aurora | 2010-10-28 / 20100273399 - CMP POROUS PAD WITH PARTICLES IN A POLYMERIC MATRIX | 1 |
Jaishankar Kasthuri | US | Chesterfield | 2013-08-01 / 20130192302 - CRUCIBLES FOR HOLDING MOLTEN MATERIAL AND METHODS FOR PRODUCING THEM AND FOR THEIR USE | 1 |
Sanjeev Kasthurirangan | US | Fremont | 2015-03-05 / 20150062529 - SYSTEMS AND METHODS FOR PROVIDING ASTIGMATISM CORRECTION | 2 |
Karthigeyan Kasthurirengan | IN | Bangalore | 2013-10-17 / 20130275919 - OBJECT VISUALIZATION | 4 |
Karthikeyan Kasthuriswamy | IN | K.r. Puram | 2010-11-04 / 20100281072 - AUTOMATED MIGRATION OF TRANSLATION MAPS FOR USE IN EXCHANGING DOCUMENTS BETWEEN ENTITIES | 1 |
William C. Kastilahn | US | Evanston | 2016-04-14 / 20160103462 - SYSTEM AND METHOD FOR MANAGING ENERGY | 12 |
Stefan Kastl | DE | Aschaffenburg | 2014-08-21 / 20140230732 - APPARATUS FOR TRANSPORTING SUBSTRATES INTO SUBSTRATE-TREATMENT APPARATUS | 1 |
Harald Kastl | AT | Bad Gams | 2010-11-25 / 20100294419 - Method for Making a Piezoceramic Device | 1 |
Jochen Kastl | AT | Ottensheim | 2015-12-24 / 20150368449 - HIGH-FLOW FIBER REINFORCED POLYPROPYLENE COMPOSITION | 3 |
Peter Kastl | DE | Schrobenhausen | 2015-05-21 / 20150143154 - COMPUTER SYSTEMS AND METHODS OF DETECTING AC FAILURE | 3 |
Harald Johannes Kastl | DE | Fichtelberg | 2015-02-05 / 20150035412 - Piezo-Stack with Passivation, and a Method for the Passivation of a Piezo-Stack | 16 |
Johannes Kastl | DE | Gross-Gerau | 2014-01-16 / 20140019875 - TASK IDENTIFICATION BASED ON SEMANTICS AND TEMPORAL RELATIONSHIPS | 1 |
Harald Kastl | AT | Deutschlandsberg | 2016-05-19 / 20160141484 - MULTI-LAYER COMPONENT HAVING AN EXTERNAL CONTACT | 1 |
John Kastl | US | Wahoo | 2010-10-14 / 20100258658 - Water outlet coupler | 2 |
Hans Kastl | DE | Taunus | 2010-12-02 / 20100299859 - PROCESSING OF BRISTLES | 1 |
Juergen Kastl | HK | Hongkong | 2014-09-25 / 20140284275 - ZERO EMISSION DIALYSIS CLINIC | 1 |
Christoph Kastle | DE | Stuttgart | 2014-01-16 / 20140014645 - HEATING DEVICE | 2 |
Ralf Kastle | CH | Zurich | 2010-03-04 / 20100056039 - DRIVE SYSTEM FOR A FIRE PROTECTION FLAP | 1 |
Shawn Kastle | US | Stevensville | 2016-04-14 / 20160104167 - DEVICE AND METHOD FOR POPULATING A LEGAL FILING WITH AN INDIVIDUAL'S NAME FROM A GOVERNMENT-ISSUED IDENTIFICATION DOCUMENT | 1 |
Jürgen Kastler | DE | Berlin | 2016-01-07 / 20160003799 - Means and Methods for Assessing the Quality of a Biological Sample | 2 |
Marcel Kastler | DE | Mainz | 2012-02-23 / 20120043537 - PROCESS FOR PRODUCING SEMICONDUCTIVE LAYERS | 3 |
Marcel Kastler | CH | Basel | 2013-05-09 / 20130112964 - AMPHIPHILIC PROTEIN IN PRINTED ELECTRONICS | 9 |
Marcel Kastler | DE | Mannheim | 2016-03-17 / 20160075808 - SEMICONDUCTOR MATERIALS PREPARED FROM DITHIENYLVINYLENE COPOLYMERS | 11 |
Marcel Kastler | CH | Basel | 2013-05-09 / 20130112964 - AMPHIPHILIC PROTEIN IN PRINTED ELECTRONICS | 9 |
Marcel Kastler | DE | Mannheim | 2016-03-17 / 20160075808 - SEMICONDUCTOR MATERIALS PREPARED FROM DITHIENYLVINYLENE COPOLYMERS | 11 |
Helmut Kastler | AT | Freistadt | 2014-02-13 / 20140041483 - DRIVE UNIT FOR A HYBRID VEHICLE | 1 |
Jürgen Kastler | DE | Berlin | 2012-11-15 / 20120286157 - Means and Methods for Diagnosing Heart Failure in a Subject | 2 |
Juergen Kastner | AT | Linz | 2009-11-12 / 20090279554 - DEVICE WITH ETHERNET SWITCH FUNCTION AND SINGLE ETHERNET CONNECTOR | 1 |
Christopher K. Kastner | US | Hollidaysburgh | 2014-08-07 / 20140216602 - AUTOMATED FUEL QUALITY DETECTION AND DISPENSER CONTROL SYSTEM AND METHOD, PARTICULARLY FOR AVIATION FUELING APPLICATIONS | 1 |
Karl Kastner | DE | Eningen | 2013-07-25 / 20130186605 - TEST STAND AND METHOD FOR TESTING FLUID PUMPS AND FLUID INJECTORS | 1 |
Mark Kastner | US | Livonia | 2015-04-30 / 20150115048 - THERMOSTATIC CONTROLLED HEAT PUMP WATER CIRCUIT | 1 |
James R. Kastner | US | Athens | 2014-05-15 / 20140130402 - TORREFACTION REDUCTION OF COKE FORMATION ON CATALYSTS USED IN ESTERIFICATION AND CRACKING OF BIOFUELS FROM PYROLYSED LIGNOCELLULOSIC FEEDSTOCKS | 4 |
Ryan Charles Kastner | US | San Diego | 2013-05-09 / 20130117838 - Superpositional Control of Integrated Circuit Processing | 2 |
Evan P. Kastner | US | Rochester | 2010-04-22 / 20100098454 - METHOD AND APPARATUS FOR LIFE EXTENSION OF OIL CONTAMINATED INTERMEDIATE TRANSFER BELTS | 1 |
Evan Kastner | US | Rochester | 2010-04-08 / 20100086316 - METHOD AND APPARATUS FOR COROTRON CLEANING MANAGEMENT IN AN IMAGE PRODUCTION DEVICE | 1 |
Johannes Kastner | DE | Stuttgart | 2015-11-19 / 20150328980 - METHOD FOR DIAGNOSING A FUEL TANK VENT VALVE | 1 |
Jurgen Kastner | AT | Eferding | 2015-04-02 / 20150090789 - CALCULATED COMPENSATED MAGNETIC ANTENNAS FOR DIFFERENT FREQUENCIES | 1 |
Charles Kastner | US | Manchester | 2009-06-25 / 20090161568 - TCP data reassembly | 1 |
David Kastner | US | Stanhope | 2013-11-21 / 20130306639 - Trash Receptacle With Vacuum Release Vents | 3 |
Ulrike Kastner | DE | Trostberg | 2011-09-08 / 20110218289 - MIXTURE COMPOSITION CONTAINING COPOLYMERS BASED ON UNSATURATED CARBOXYLIC ACID AND ALKENYL ETHER DERIVATIVES AND COPOLYMERS AND TERPOLYMERS CONTAINING SULFO GROUPS AND USE THEREOF | 1 |
Christoph Kastner | DE | Oberhausen | 2014-07-31 / 20140212269 - COOLING FOR A FLUID FLOW MACHINE | 1 |
Franz Kastner | DE | Munich | 2015-11-05 / 20150316872 - METHOD TO OPERATE A PRINTER GIVEN EXCHANGE OF A RESERVOIR | 1 |
Robert Kastner | DE | Offenbach | 2016-03-03 / 20160059855 - METHOD AND SYSTEM FOR POST-COLLISION MANOEUVRE PLANNING AND VEHICLE EQUIPPED WITH SUCH SYSTEM | 2 |
Jeffrey Kastner | US | Cincinnati | 2013-04-04 / 20130081650 - METHOD AND SYSTEMS FOR ACOUSTIC CLEANING | 1 |
Charles M. Kastner | US | Crestwood | 2009-01-01 / 20090006659 - Advanced mezzanine card for digital network data inspection | 1 |
Rudolf Kastner | DE | Kuemmersbruck | 2012-04-19 / 20120091771 - Foldable Vehicle Seat | 1 |
Marc Kastner | US | Cambridge | 2015-10-08 / 20150287855 - ELECTRO-OPTICAL DEVICE | 2 |
Peter Kastner | DE | Muenchen | 2014-03-06 / 20140065610 - METHOD FOR PREDICTING CLINICAL BENEFIT IN THE TREATMENT OF NEURODEVELOPMENTAL, NEUROLOGICAL OR NEUROPSYCHIATRIC DISORDERS | 1 |
Gero Kastner | DE | Freiburg | 2013-04-18 / 20130095595 - METHOD FOR PRODUCING A PHOTOVOLTAIC SOLAR CELL | 1 |
Thorsten Kastner | DE | Erlangen | 2016-03-03 / 20160064006 - AUDIO OBJECT SEPARATION FROM MIXTURE SIGNAL USING OBJECT-SPECIFIC TIME/FREQUENCY RESOLUTIONS | 5 |
Robert Kastner | DE | Offenbach Am Main | 2015-05-21 / 20150142292 - VEHICLE TRAVEL CONTROL APPARATUS | 1 |
Frank Kastner | DE | Weibenborn | 2011-06-09 / 20110132097 - METHOD FOR MANUFACTURING AN ELASTIC BODY OF Al2O3 CERAMIC, MEASURING MEMBRANE FOR A PRESSURE SENSOR AND PRESSURE SENSOR WITH SUCH A MEMBRANE | 1 |
Joachim Kastner | DE | Dortmund | 2011-09-08 / 20110215247 - METHOD FOR DETERMINING THE GAS QUALITY OF A SAMPLE GAS MIXED AT LEAST IN PART WITH BIOGAS OR PROCESSED BIOGAS | 2 |
Karl Kastner | DE | Ebersbach | 2013-07-11 / 20130174655 - TEST STATION FOR FLUID PUMPS AND FLUID INJECTORS | 2 |
Hans-Günther Kastner | DE | Wulfrath | 2012-08-23 / 20120210890 - LIFTING APPARATUS WITH SAFETY DEVICE | 1 |
Glen H. Kastner | US | Saint Michael | 2009-07-02 / 20090171345 - SYSTEM AND METHOD FOR MEASUREMENT OF AN IMPEDANCE USING A CATHETER SUCH AS AN ABLATION CATHETER | 1 |
Elliot James Kastner | US | Hanover | 2015-07-02 / 20150182834 - MOBILE DEVICE WHICH SIMULATES PLAYER MOTION | 1 |
Steven R. Kastner | US | Las Vegas | / - | 1 |
Roland Kastner | DE | Sengenthal | 2015-02-19 / 20150047533 - ULTRA-HIGH PERFORMANCE CONCRETE AND CONCRETE COMPONENT PRODUCED THEREFROM | 1 |
Thomas Kastner | DE | Rheinstetten | 2013-05-23 / 20130126735 - RADIATION SENSOR | 1 |
Juergen Kastner | DE | Bochum | 2013-02-07 / 20130035399 - MARKED INORGANIC ADDITIVES | 1 |
Martin Kastner | DE | Munich | 2014-05-15 / 20140133528 - Systems and Methods to Provide Compensated Feedback Phase Information | 1 |
Robert Kastner | DE | Offenbach/am Main | 2015-01-22 / 20150025784 - INTELLIGENT FORWARD COLLISION WARNING SYSTEM | 1 |
Karl Kastner | DE | Munich | 2014-10-23 / 20140312698 - UNIT HAVING A SWITCHING FUNCTION FOR ETHERNET | 1 |
Glen H. Kastner | US | St. Michael | 2009-11-05 / 20090275827 - SYSTEM AND METHOD FOR ASSESSING THE PROXIMITY OF AN ELECTRODE TO TISSUE IN A BODY | 1 |
Ryan Kastner | US | La Jolla | 2016-01-28 / 20160026801 - METHOD AND SYSTEM PROVIDING MUTLI-LEVEL SECURITY TO GATE LEVEL INFORMATION FLOW | 2 |
Albert Kastner | DE | Hanau | 2016-03-10 / 20160070033 - LIGHT-ABSORBING LAYER AND LAYER SYSTEM CONTAINING THE LAYER, METHOD FOR PRODUCING THE LAYER SYSTEM AND A SPUTTER TARGET SUITED THEREFOR | 1 |
Christopher K. Kastner | US | Upland | 2008-09-25 / 20080230146 - Automated Fuel Quality Detection and Dispenser Control System and Method, Particularly for Aviation Fueling Applications | 1 |
Erwin Kastner | AT | Linz | 2015-12-24 / 20150368449 - HIGH-FLOW FIBER REINFORCED POLYPROPYLENE COMPOSITION | 8 |
Hans Gunter Kastner | DE | Wulfrath | 2008-11-13 / 20080276578 - Device for Strapping Packages with Strapping Material | 1 |
Mark Allen Kastner | US | New Berlin | 2008-12-04 / 20080298784 - Method of Sensing Speed of Electric Motors and Generators | 1 |
Marcus Kastner | DE | Regensburg | 2009-04-30 / 20090108440 - SEMICONDUCTOR DEVICE | 1 |
Jurgen Kastner | DE | Bochum | 2011-08-18 / 20110201749 - PIGMENT AND POLYMERIC MATERIALS DELUSTRED THEREWITH | 3 |
Bernd Kastner | DE | Steinen | 2009-11-05 / 20090274925 - Three components linked to each other by means of a welded connection | 1 |
Michael Kastner | DE | Keltern | 2014-01-09 / 20140012620 - CONSISTENT SET OF INTERFACES FOR BUSINESS OBJECTS ACROSS HETEROGENEOUS SYSTEMS | 3 |
Andreas Kastner | DE | Kirchhundem | 2013-10-03 / 20130256439 - DEVICE AND METHOD FOR HANDLING A METAL STRIP | 4 |
Oliver Kastner | DE | Donaustauf | 2010-02-18 / 20100037861 - Method for Reducing Pollutant Emissions and Consumption of an Engine | 2 |
Thomas Kastner | DE | Sinsheim | 2014-12-25 / 20140379664 - SYSTEM AND METHOD FOR AUTOMATIC CORRECTION OF A DATABASE CONFIGURATION IN CASE OF QUALITY DEFECTS | 1 |
Zoltan Kastner | DE | Goeppingen | 2014-05-01 / 20140122132 - Flight Accident Insurance | 3 |
Konrad Kastner | DE | Grafing | 2010-05-13 / 20100116531 - Component with Mechanically Loadable Connecting Surface | 2 |
Michael Kastner | DE | Erlangen | 2015-12-17 / 20150362048 - TENSIONING RAIL HAVING AN ALSI ALLOY SUPPORT BODY | 2 |
Raphael Kastner | IL | Hod Hasharon | 2013-08-08 / 20130201060 - METHODS AND APPARATUS FOR BEAM STEERING USING STEERABLE BEAM ANTENNAS WITH SWITCHED PARASITIC ELEMENTS | 1 |
Rainer Kastner | DE | Lauscha | 2010-08-05 / 20100193922 - Semiconductor chip package | 1 |
Thorsten Kastner | DE | Stockheim/reitsch | 2015-12-03 / 20150348559 - APPARATUS AND METHOD FOR SPATIAL AUDIO OBJECT CODING EMPLOYING HIDDEN OBJECTS FOR SIGNAL MIXTURE MANIPULATION | 5 |
Robert Kastner | DE | Frankfurt | 2010-12-16 / 20100315505 - OBJECT MOTION DETECTION SYSTEM BASED ON COMBINING 3D WARPING TECHNIQUES AND A PROPER OBJECT MOTION DETECTION | 2 |
Torsten Kastner | DE | Datteln | 2013-10-24 / 20130281219 - METHOD FOR PRODUCING A COUPLING SEGMENT OF A FLEXIBLE COUPLING | 1 |
Michael Kastner | DE | Hirschaid | 2011-01-20 / 20110015014 - MODULE FOR A TENSIONING ELEMENT MEANS DRIVE CONCEPT | 2 |
Wolfgang Kastner | DE | Buxtehude | 2011-02-03 / 20110024562 - METHOD FOR MANUFACTURING A FIBRE-COMPOSITE COMPONENT, FIBRE-COMPOSITE COMPONENT AND FIBRE-COMPOSITE FUSELAGE COMPONENT OF AN AIRCRAFT | 1 |
Franz Kastner | DE | Muenchen | 2014-05-22 / 20140140730 - DIGITAL PRINTER TO PRINT TO A RECORDING MATERIAL | 2 |
Friedrich Kastner | AT | Grieskirchen | 2011-12-01 / 20110291401 - FORGERY-PROOF SECURITY ELEMENT WITH COLOR SHIFT EFFECT | 4 |
Erwin Kastner | AT | Linz | 2015-12-24 / 20150368449 - HIGH-FLOW FIBER REINFORCED POLYPROPYLENE COMPOSITION | 8 |
Stefan Kastner | IT | Brescia | 2010-07-15 / 20100177275 - RIMLESS EYEGLASSES | 2 |
Mark Kastner | US | New Berlin | 2012-03-08 / 20120055951 - POWERED DISPENSING TOOL AND METHOD FOR CONTROLLING SAME | 2 |
Hans Günther Kastner | DE | Wulfrath | 2016-04-28 / 20160114918 - DEVICE AND SYSTEM FOR APPLYING EDGE PROTECTORS ON A STRAPPED PACKAGE | 1 |
Marc A. Kastner | US | Newton | 2015-09-17 / 20150260769 - Contact-Independent Electrical Conductance Measurement | 1 |
Peter Kastner | DE | Benediktbeuern | 2015-12-10 / 20150355199 - AGENTS, KITS AND METHODS FOR COMPLEMENT FACTOR H-RELATED PROTEIN 1 DETECTION | 1 |
Nikolas Kastor | US | Arlington | 2015-01-15 / 20150013546 - BEVERAGE MACHINE WITH CARAFE COMPATIBLE DRIP TRAY | 2 |
Jeffrey P. Kastra | US | Andover | 2012-04-05 / 20120083441 - Pharmaceutical Compositions | 1 |
Adnan Kastrati | DE | München | 2009-07-09 / 20090177280 - Implant With Multiple Coating | 1 |
Adnan Kastrati | DE | Munchen | 2014-06-26 / 20140180433 - STENT WITH ROUGH SURFACE AND ITS MANUFACTURE | 3 |
Tammy Kastre | US | Tucson | 2014-08-07 / 20140222465 - BEDSIDE PATIENT INTERACTION SYSTEM AND METHOD | 1 |
Kostas Kastrinogiannis | DK | Charlottenlund | 2014-11-20 / 20140344732 - AUTOMATIC DISCOVERY OF SYSTEM BEHAVIOR | 1 |
William G. Kastritis | US | Algonquin | 2010-12-30 / 20100328250 - Implementation of Touchpad on Rear Surface of Single-Axis Hinged Device | 1 |
Yaniv Kastro | US | 2013-08-08 / 20130203568 - Apparatus for push-up exercises combined with weightlifting platform | 1 | |
Mitchell Kastros | US | Carmel | 2012-11-22 / 20120296252 - Head Trauma Bandage Cap and Method | 4 |
Christian Kastrup | US | Cambridge | 2010-09-09 / 20100227767 - STOCHASTIC CONFINEMENT TO DETECT, MANIPULATE, AND UTILIZE MOLECULES AND ORGANISMS | 1 |
Lars Kastrup | DE | Goettingen | 2016-02-18 / 20160047798 - FLUORESCENT DYES WITH PHOSPHORYLATED HYDROXYMETHYL GROUPS AND THEIR USE IN LIGHT MICROSCOPY AND IMAGING TECHNIQUES | 2 |
Lars Kastrup | DE | Göttingen | 2010-06-10 / 20100142054 - Wavelength or polarization sensitive optical assembly and use thereof | 1 |
Michael Kastrup | DE | Bad Krozingen | 2014-08-28 / 20140238171 - GEAR WITH RIGIDLY CONNECTED DRIVESHAFT | 1 |
Jens Kastrup | DK | Birkerød | 2011-03-24 / 20110070601 - CLASSIFICATION OF INDIVIDUALS SUFFERING FROM CARDIOVASCULAR DISEASES ACCORDING TO SURVIVAL PROGNOSES AS FOUND BY MEASURING THE LEVELS OF BIOMARKER YKL-40 | 1 |
Christian J. Kastrup | US | Cambridge | 2010-10-28 / 20100273259 - SUBSTRATES AND METHODS FOR CULTURING STEM CELLS | 1 |
Christian Kastrup | US | Waltham | 2011-03-31 / 20110077216 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF ATHEROSCLEROSIS AND OTHER RELATED DISEASES | 1 |
Michael Kastrup | DE | Bielefeld | 2010-04-01 / 20100080939 - EMBLEM HAVING COLORED AND SILVERY SURFACES | 1 |
Norbert Kastrup | DE | Bayreuth | 2015-12-24 / 20150369256 - Centrifugal Pump and Series of Centrifugal Pumps | 1 |
Lars Kastrup | DE | Göttingen | 2010-06-10 / 20100142054 - Wavelength or polarization sensitive optical assembly and use thereof | 1 |
David Allen Kastrup | US | West Chester | 2010-09-02 / 20100221567 - BLAZE CLADDING FOR DIRECT METAL LASER SINTERED MATERIALS | 3 |
Bernardo Kastrup | NL | Veldhoven | 2015-12-10 / 20150356233 - COMPUTATIONAL WAFER INSPECTION | 4 |
Horst Kastrup | DE | Muenster | 2012-03-29 / 20120076739 - Novel combination of anticholinergics-b2-adrenoceptor agonists, antileukotrienes (leukotriene receptor antagonists), glucocorticoids and/or phosphodiesterase 4 inhibitors for the treatment of inflammatory diseases | 1 |
Jette Sandholm Kastrup | DK | Allerod | 2010-01-28 / 20100022756 - Trimerising Module | 2 |
Christian J. Kastrup | US | Chicago | 2009-04-23 / 20090104637 - Method and Apparatus for Assaying Blood Clotting | 1 |
Bernardo Kastrup | NL | Eindhoven | 2012-08-02 / 20120194797 - LITHOGRAPHIC APPARATUS AND METHODS FOR DETERMINING AN IMPROVED CONFIGURATION OF A LITHOGRAPHIC APPARATUS | 1 |
Jesper Kastrup | DK | Stenlose | 2013-01-24 / 20130023447 - Method for Cloning Cognate Antibodies | 2 |
Christian J. Kastrup | CA | Vancouver | 2016-02-04 / 20160030359 - Multi-Layer Hydrogel Capsules for Encapsulation of Cells and Cell Aggregates | 1 |
Jesper Kastrup | DK | Stenloese | 2014-10-02 / 20140294853 - ANTAGONISTIC DR3 LIGANDS | 3 |
Ravi Kumar Kasturi | IN | Hyderabad | 2010-03-11 / 20100063291 - PROCESS FOR PREPARATION OF SOLID MONTELUKAST | 2 |
Sri Sai Kameswara Pavan Kumar Kasturi | US | Redmond | 2012-06-21 / 20120158665 - SYSTEM RESET AND SYSTEM RESTORE | 3 |
Tejaswi Kasturi | US | Alhambra | 2013-04-18 / 20130097285 - MEDIA ENRICHMENT SYSTEM AND METHOD | 1 |
Sunil Kasturi | US | Seattle | 2010-07-22 / 20100185787 - DYNAMIC CALL HANDLING FROM MULTIPLE ATTACHED DEVICES | 1 |
Rohini Kasturi | US | Livermore | 2015-04-23 / 20150109931 - FLOW CONTROL SCHEME FOR PARALLEL FLOWS | 9 |
Prakash S. Kasturi | US | Rancho Santa Margarita | 2014-05-01 / 20140121844 - METHODS AND DEVICES FOR ACTIVE VIBRATION DAMPING OF AN OPTICAL STRUCTURE | 2 |
Ravindra Kasturi | US | Redmond | 2016-02-04 / 20160034514 - PROVIDING SEARCH RESULTS BASED ON AN IDENTIFIED USER INTEREST AND RELEVANCE MATCHING | 2 |
Rohini Kumar Kasturi | US | Sunnyvale | 2015-12-17 / 20150363219 - OPTIMIZATION TO CREATE A HIGHLY SCALABLE VIRTUAL NETORK SERVICE/APPLICATION USING COMMODITY HARDWARE | 15 |
Sreenivas Kasturi | US | Hillsboro | 2016-03-31 / 20160094054 - WIRELESS LOAD MODULATION | 7 |
Sudhir Pai Kasturi | US | Austin | 2009-04-30 / 20090110719 - Polyamine modified particles | 1 |
Rohini Kumar Kasturi | US | Sunnyvale | 2015-12-17 / 20150363219 - OPTIMIZATION TO CREATE A HIGHLY SCALABLE VIRTUAL NETORK SERVICE/APPLICATION USING COMMODITY HARDWARE | 15 |
Sri Sai Kameswara Pavan Kumar Kasturi | US | Bellevue | 2014-11-27 / 20140351640 - SYSTEM RESET | 2 |
Kiran Swarup Kasturi | IN | Bangalore | 2014-10-23 / 20140311160 - HYBRID TURBOMACHINE ENCLOSURE | 1 |
Nitin Kasturi | US | San Diego | 2012-10-11 / 20120257657 - DYNAMIC ELECTROMAGNETIC RADIATION EMISSION CONTROL IN WIRELESS COMMUNICATION DEVICES | 3 |
Rohini Kasturi | US | Livermore | 2015-04-23 / 20150109931 - FLOW CONTROL SCHEME FOR PARALLEL FLOWS | 9 |
Nitin Kasturi | US | Saratoga | 2015-03-12 / 20150071081 - APPARATUSES AND METHODS FOR UPLINK POWER CONTROL IN WIRELESS COMMUNICATION | 9 |
Udayashankar Bangalore Kasturi | IN | Bangalore | 2014-10-30 / 20140320154 - FIELD DEVICE WITH SELF-TESTING OF A PIEZOELECTRIC TRANSDUCER | 2 |
Sreenivas Kasturi | US | San Diego | 2014-03-13 / 20140071571 - PROTECTION DEVICE AND METHOD FOR POWER TRANSMITTER | 10 |
Prakash Kasturi | US | Rancho Santa Margarita | 2012-03-08 / 20120057153 - MACHINE AND METHOD FOR MEASURING A CHARACTERISTIC OF AN OPTICAL SIGNAL | 1 |
Srinivas Kasturi | GB | London | 2012-03-15 / 20120066758 - Online User Authentication | 1 |
Vijay Kasturi | US | Hillsboro | 2013-01-17 / 20130017733 - HIGH PERFORMANCE, SMALL FORM FACTOR CONNECTOR WITH COMMON MODE IMPEDANCE CONTROL | 2 |
Sreenivas Kasturi | US | San Diego | 2014-03-13 / 20140071571 - PROTECTION DEVICE AND METHOD FOR POWER TRANSMITTER | 10 |
Srivatsan Kasturi | US | Saratoga | 2012-06-07 / 20120142333 - Systems and Methods for Information Exchange and Synchronization Using DTMF Over Telephone Communication Channels | 1 |
Nitin Kasturi | US | Los Gatos | 2011-07-07 / 20110165907 - APPARATUS, SYSTEM, AND METHOD FOR MANAGING TRANSMISSION POWER IN A WIRELESS COMMUNICATION SYSTEM | 5 |
Sreenivas Kasturi | US | Tigard | 2015-04-30 / 20150115727 - SYSTEMS, APPARATUS, AND METHOD FOR A DUAL MODE WIRELESS POWER RECEIVER | 1 |
Tilak B. Kasturi | US | Palo Alto | 2011-05-12 / 20110112863 - ROUTING A REMOTE TREATMENT PLAN REQUEST | 3 |
Jyotsna Kasturi | US | Bedminster | 2011-11-03 / 20110270528 - Method for Random Grouping of Multiple Parameters | 1 |
Pavan Kasturi | US | Redmond | 2011-07-14 / 20110173501 - MEMORY MANAGEMENT TECHNIQUES SELECTIVELY USING MITIGATIONS TO REDUCE ERRORS | 4 |
Nitin Kasturi | US | Saratoga | 2015-03-12 / 20150071081 - APPARATUSES AND METHODS FOR UPLINK POWER CONTROL IN WIRELESS COMMUNICATION | 9 |
Sudhir Kasturi | US | Atlanta | 2011-05-05 / 20110104293 - SYNERGISTIC INDUCTION OF HUMORAL AND CELLULAR IMMUNITY BY COMBINATORIAL ACTIVATION OF TOLL-LIKE RECEPTORS | 3 |
Chandrika Kasturi | US | Cincinnati | 2011-03-03 / 20110053824 - LIQUID DETERGENT COMPOSITION EXHIBITING ENHANCED ALPHA-AMYLASE ENZYME STABILITY | 2 |
Sujatha Kasturirangan | US | San Francisco | 2015-07-16 / 20150200966 - DEPENDENT ENTITY PROVISIONING | 1 |
Srinath Kasturirangan | US | Germantown | 2014-02-13 / 20140044733 - ANTIBODY BASED REAGENT THAT SPECIFICALLY RECOGNIZES TOXIC OLIGOMERIC FORM OF BETA-AMYLOID | 4 |
Ankur Kasturiya | US | Issaquah | 2014-10-16 / 20140310278 - CREATING GLOBAL AGGREGATED NAMESPACES FOR STORAGE MANAGEMENT | 2 |
Kumar Kastury | US | San Jose | 2011-10-27 / 20110263461 - METHODS AND DEVICES FOR COLLECTING SAMPLES IN A HIGH THROUGHPUT FORMAT | 3 |
Raghu Rami Reddy Kasu | IN | Maharashtra | 2009-12-10 / 20090304755 - PHARMACEUTICAL FORMULATION OF LOSARTAN | 1 |
Makoto Kasu | JP | Atsugi-Shi | 2012-02-09 / 20120034737 - DIAMOND SEMICONDUCTOR ELEMENT AND PROCESS FOR PRODUCING THE SAME | 4 |
Raghu Rami Reddy Kasu | IN | Pune | 2014-06-12 / 20140161881 - REDUCED DOSE PHARMACEUTICAL COMPOSITIONS OF FENOFIBRATE | 3 |
Makoto Kasu | JP | Kanagawa-Ken | 2010-11-18 / 20100289030 - DIAMOND SEMICONDUCTOR ELEMENT AND PROCESS FOR PRODUCING THE SAME | 2 |
Yoshitake Kasubata | JP | Tokyo | 2015-11-12 / 20150321308 - POLISHING APPARATUS AND METHOD THEREFOR | 6 |
Yoshitake Kasubata | JP | Hyogo | 2009-11-05 / 20090274400 - Rotating -Body Support Structure | 2 |
Robert V. Kasubick | US | Red Oak | 2009-11-19 / 20090286750 - USE OF RIBOSE IN LESSENING THE CLINICAL SYMPTOMS OF ABERRANT FIRING OF NEURONS | 1 |
Catherine M. Kasubowski | US | Charlotte | 2009-10-22 / 20090261005 - PACKAGE FOR A SAW BLADE OR SIMILAR PRODUCT | 1 |
Kiyotaka Kasubuchi | JP | Kyoto | 2009-10-29 / 20090271661 - STATUS TRANSITION TEST SUPPORT DEVICE, STATUS TRANSITION TEST SUPPORT METHOD, AND RECORDING MEDIUM | 2 |
Takahiro Kasuga | JP | Tochigi | 2014-03-27 / 20140084554 - VEHICLE HEIGHT ADJUSTING DEVICE FOR MOTORCYCLE | 5 |
Takafumi Kasuga | JP | Nagano | 2008-09-04 / 20080212214 - FIXING STRUCTURE OF OPTICAL ELEMENT | 1 |
Shunsuke Kasuga | JP | Susono-Shi | 2012-02-16 / 20120036825 - ENGINE | 1 |
Takahiro Kasuga | JP | Haga-Gun | 2015-08-27 / 20150239526 - VEHICLE HEIGHT ADJUSTMENT APPARATUS | 6 |
Haruka Kasuga | JP | Nagoya-Shi | 2012-10-04 / 20120246909 - ACCESSORY MAKING DEVICE, ACCESSORY MAKING KIT, AND METHOD FOR MAKING ACCESSORY | 1 |
Azusa Kasuga | JP | Sumida-Ku | 2014-05-29 / 20140144456 - CLEANING AGENT COMPOSITION | 2 |
Nobukazu Kasuga | JP | Nagano-Ken | 2013-01-24 / 20130022698 - WAVEFORM MONITOR APPARATUS OF INJECTION MOLDING MACHINE | 1 |
Yasunori Kasuga | JP | Tokyo | 2010-06-10 / 20100140563 - CURRENT-VOLTAGE NON-LINEAR RESISTOR AND METHOD OF MANUFACTURE THEREOF | 2 |
Jun Kasuga | JP | Sapporo-Shi | 2011-04-07 / 20110078916 - SUPERCOOLING PROMOTING AGENT | 2 |
Takafumi Kasuga | JP | Ibi-Gun | 2015-03-12 / 20150068176 - HONEYCOMB FILTER | 11 |
Toshihiro Kasuga | JP | Kiyosu | 2013-07-18 / 20130180627 - COMPOSITE MATERIAL FOR DENTAL PROSTHESIS AND METHOD FOR MANUFACTURING THE SAME | 5 |
Hiroto Kasuga | JP | Hyogo | 2011-02-10 / 20110034603 - Polyacrylic acid (salt)-based water-absorbent resin and method for producing same | 3 |
Nobuyuki Kasuga | JP | Yokohama-Shi | 2011-01-20 / 20110016385 - EDITING APPARATUS, EDITING METHOD AND EDITING PROGRAM | 1 |
Akio Kasuga | JP | Toyokawa-Shi | 2010-12-30 / 20100329726 - IMAGE FORMING APPARATUS | 2 |
Kuniaki Kasuga | JP | Mishima-Shi | 2013-08-15 / 20130209130 - IMAGE FORMING APPARATUS | 14 |
Toshiyuki Kasuga | JP | Ibi-Gun | 2012-03-29 / 20120073977 - PLATING APPARATUS AND PLATING METHOD | 2 |
Osamu Kasuga | JP | Suwa | 2014-05-08 / 20140125728 - EJECTION INSPECTION METHOD AND LIQUID EJECTION DEVICE | 6 |
Osamu Kasuga | JP | Suwa-Shi | 2014-07-10 / 20140192110 - TEST METHOD | 3 |
Yuzo Kasuga | JP | Fukuoka | 2010-10-14 / 20100261933 - METHOD FOR PREPARING CARBOXYLIC ACID COMPOUND | 1 |
Azusa Kasuga | JP | Yoshikawa-Shi | 2014-03-20 / 20140079658 - AQUEOUS HAIR CLEANSING AGENT | 1 |
Yosuke Kasuga | JP | Aichi | 2013-07-25 / 20130189509 - FIBER-REINFORCED MOLDED PRODUCT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Shinya Kasuga | JP | Osaka-Shi | 2010-08-19 / 20100209364 - Emulsified Composition | 1 |
Kenji Kasuga | JP | Tokyo | 2012-02-09 / 20120036269 - COMMUNICATION SYSTEM | 2 |
Kyoji Kasuga | JP | Osaka-Shi | 2013-01-31 / 20130027602 - CAMERA MODULE | 2 |
Hirofumi Kasuga | JP | Matsumoto-Shi | 2015-08-13 / 20150227033 - ILLUMINATION APPARATUS | 12 |
Takashi Kasuga | JP | Osaka-Shi | 2014-11-13 / 20140332258 - DOUBLE-SIDED PRINTED WIRING BOARD AND METHOD FOR PRODUCING THE SAME | 4 |
Yoshiko Kasuga | JP | Tokyo | 2011-12-01 / 20110289970 - PREFORMS FOR PRECISION PRESS MOLDING, OPTICAL ELEMENTS, AND METHODS OF MANUFACTURING THE SAME | 2 |
Toshihiro Kasuga | JP | Kiyosu-Shi | 2014-08-28 / 20140242186 - GUIDED BONE REGENERATION MEMBRANE AND MANUFACTURING METHOD THEREOF | 3 |
Tomoyuki Kasuga | JP | Aichi-Ken | 2012-03-08 / 20120057929 - FASTENING STRUCTURE OF POWER UNIT | 2 |
Takeo Kasuga | JP | Sendai-Shi | 2012-02-09 / 20120032301 - SEMICONDUCTOR DEVICE | 7 |
Hiroto Kasuga | JP | Himeji-Shi | 2009-05-07 / 20090118549 - Process for Production of Acrolein | 1 |
Takeo Kasuga | JP | Miyagi | 2008-09-11 / 20080218943 - SOLID ELECTROLYTIC CAPACITOR | 1 |
Nobuyuki Kasuga | JP | Kanagawa | 2008-09-11 / 20080218797 - INFORMATION PROCESSING APPARATUS HAVING PRINTER DRIVER AND SHEET PARAMETER SETTING METHOD FOR PRINTER DRIVER | 1 |
Kyoji Kasuga | JP | Kizugawa-Shi | 2008-11-20 / 20080285163 - Actuator, method for manufacturing same, imaging device, and mobile electronic apparatus | 1 |
Yousuke Kasuga | JP | Tokyo | 2013-05-09 / 20130116431 - Novel HSP90 Inhibitor | 3 |
Takahiro Kasuga | JP | Nagano-Shi | 2008-10-23 / 20080258287 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Shinji Kasuga | JP | Anjo-Shi | 2008-10-16 / 20080254935 - Hybrid driving apparatus with multistage transmission provided in power transmission path and control method thereof | 1 |
Koji Kasuga | JP | Kanagawa | 2013-08-15 / 20130206627 - TRANSPORT TRAY, AND TRANSPORTING PACKAGE AND METHOD USING THE SAME | 3 |
Kyoji Kasuga | JP | Osaka-Shi, Osaka | 2015-12-24 / 20150370034 - CAMERA MODULE | 2 |
Kazunori Kasuga | JP | Yokohama | 2014-12-11 / 20140362005 - INFORMATION TERMINAL DEVICE, KEY CORRECTION METHOD, AND RECORDING MEDIUM | 3 |
Yoshiko Kasuga | JP | Koganei-Shi | 2013-12-19 / 20130337992 - OPTICAL GLASS, GLASS MATERIAL FOR PRESS MOLDING, OPTICAL ELEMENT, AND METHOD OF MANUFACTURING SAME | 1 |
Shigetaka Kasuga | JP | Osaka | 2015-04-16 / 20150103219 - SOLID-STATE IMAGING DEVICE | 16 |
Kazutaka Kasuga | JP | Tokyo | 2014-12-25 / 20140375552 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM | 4 |
Akio Kasuga | JP | Tokyo | 2013-02-14 / 20130038012 - SHEET PROCESSING APPARATUS AND IMAGE FORMING SYSTEM | 1 |
Toshihiro Kasuga | JP | Aichi-Ken | 2015-09-24 / 20150265750 - FIBER WADDING FOR FILLING BONE DEFECTS | 3 |
Ken Kasuga | JP | Tokyo | 2011-12-29 / 20110320590 - DATA TRANSMISSION METHOD AND SERVER FOR SUBSTATION MONITORING CONTROL SYSTEM | 1 |
Susumu Kasuga | JP | Tokyo | 2012-12-13 / 20120313838 - INFORMATION PROCESSOR, INFORMATION PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Takako Kasuga | JP | Nagano-Ken | 2014-07-31 / 20140211236 - Printer, Printing Control Method, And Recording Medium Storing A Printing Control Program | 1 |
Daisuke Kasuga | JP | Shizuoka | 2014-06-05 / 20140150254 - ELECTRONIC COMPONENT MOUNTING METHOD AND SURFACE MOUNTING APPARATUS | 1 |
Takafumi Kasuga | JP | Tokyo | 2015-10-08 / 20150284001 - VEHICLE PERIPHERY DISPLAY DEVICE | 4 |
Takako Kasuga | JP | Shiojiri-Shi | 2015-09-10 / 20150251469 - PRINTER, PRINTING METHOD, AND PRINTING SYSTEM | 2 |
Takafumi Kasuga | JP | Ibi-Gun | 2015-03-12 / 20150068176 - HONEYCOMB FILTER | 11 |
Hirofumi Kasuga | JP | Matsumoto-Shi | 2015-08-13 / 20150227033 - ILLUMINATION APPARATUS | 12 |
Hiroyuki Kasuga | JP | Tokyo | 2015-06-11 / 20150163935 - DISPLAY DEVICE EQUIPPED WITH HEIGHT ADJUSTMENT STAND | 7 |
Takafumi Kasuga | JP | Suwa-Gun, Nagano | 2015-12-10 / 20150357881 - MOTOR DEVICE | 1 |
Toshinori Kasuga | JP | Kawasaki | 2011-10-06 / 20110240059 - CLEANING APPARATUS AND METHOD | 6 |
Kazunori Kasuga | JP | Kawasaki | 2011-12-22 / 20110309811 - REGULATOR APPARATUS | 6 |
Teruyuki Kasuga | JP | Atsugi-Shi | 2012-09-20 / 20120234188 - LUBRICANT SUPPLY DEVICE, IMAGE FORMING APPARATUS, AND PRESSING DEVICE | 1 |
Yosuke Kasuga | JP | Anjo-Shi | 2012-09-20 / 20120237754 - FIBER-REINFORCED MOLDED PRODUCT AND METHOD FOR MANUFACTURING THE SAME | 1 |
Susumu Kasuga | JP | Fuchu-Shi | 2013-09-05 / 20130232569 - INFORMATION PROCESSING APPARATUS AND DISPLAY CONTROL METHOD | 2 |
Takao Kasuga | US | Oakland | 2012-05-24 / 20120129221 - BIOCHEMICAL PLATFORM FOR FUELS AND CHEMICALS PRODUCTION FROM CELLULOSIC BIOMASS | 1 |
Hiroshi Kasuga | JP | Osaka-Shi | 2016-05-12 / 20160128364 - LOOSENING METHOD AND LOOSENING DEVICE FOR COOKED RICE | 1 |
Hisae Kasuga | JP | Kanagawa | 2015-09-17 / 20150257423 - CHICKEN FLAVOR COMPOSITION AND CHICKEN FLAVOR ENHANCER | 1 |
Tomoyuki Kasuga | JP | Nagoya-Shi | 2014-12-04 / 20140357171 - GRINDING WHEEL MANUFACTURING METHOD AND GRINDING WHEEL | 2 |
Ken Kasuga | JP | Saitama | 2011-05-26 / 20110122903 - Wide-Band Wavelength-Variable Laser Device | 1 |
Hirofumi Kasuga | JP | Mastumoto-Shi | 2012-06-21 / 20120154543 - DOCUMENT CAMERA, METHOD FOR CONTROLLING DOCUMENT CAMERA, PROGRAM, AND DISPLAY PROCESSING SYSTEM | 1 |
Nobukazu Kasuga | JP | Hanishina-Gun | 2012-06-14 / 20120146260 - MOLDING METHOD OF INJECTION MOLDING MACHINE | 1 |
Azusa Kasuga | JP | Taito-Ku | 2016-02-25 / 20160051452 - SHEET-SHAPED HAIR COSMETIC AND HAIR TREATMENT METHOD AND SCALP-WIPING METHOD USING SAME | 1 |
Tomoyuki Kasuga | JP | Osaka | 2009-12-10 / 20090305618 - TRUING APPARATUS OF GRINDING WHEEL | 1 |
Ken Kasuga | JP | Matsusaka-Shi | 2016-03-31 / 20160090322 - Broadband Emission Material and White Light Emission Material | 1 |
Takashi Kasuga | JP | Osaka | 2011-01-06 / 20110000712 - SEAL STRUCTURE, METHOD OF FORMING SEAL STRUCTURE, WIRE BODY, AND ELECTRONIC APPARATUS | 1 |
Hiroshi Kasuga | JP | Osaka | 2011-02-17 / 20110036673 - Load weighting control apparatus | 1 |
Toshihiro Kasuga | JP | Aichi | 2016-05-05 / 20160121024 - BONE DEFECT FILLING MATERIAL, AND PRODUCTION METHOD THEREFOR | 2 |
Masato Kasuga | JP | Tokyo | 2011-06-23 / 20110151456 - TEST METHOD FOR TYPE-2 DIABETES USING GENE POLYMORPHISM | 1 |
Kuniaki Kasuga | JP | Mishima-Shi | 2013-08-15 / 20130209130 - IMAGE FORMING APPARATUS | 14 |
Masao Kasuga | JP | Utsunomiya | 2015-05-28 / 20150145971 - DISPLAY DEVICE AND DISPLAY DEVICE FRAME | 1 |
Takafumi Kasuga | JP | Suwa-Gun | 2015-12-24 / 20150372563 - MOTOR DEVICE | 1 |
Atsushi Kasugai | JP | Nagoya-Shi | 2016-01-28 / 20160026273 - Information Input Device | 14 |
Nobuyoshi Kasugai | JP | Kuwana-Shi | 2015-10-15 / 20150291533 - NOVEL BENZOAZEPINE DERIVATIVE AND MEDICAL USE THEREOF | 1 |
Keisuke Kasugai | JP | Ichinomiya-Shi | 2009-11-12 / 20090277365 - Sewing Machine | 1 |
Hideki Kasugai | JP | Shiga | 2015-08-13 / 20150226389 - LIGHT SOURCE AND IMAGE PROJECTION APPARATUS | 5 |
Atsushi Kasugai | JP | Nagoya-Shi | 2016-01-28 / 20160026273 - Information Input Device | 14 |
Masakuni Kasugai | JP | Yokkaichi | 2015-08-27 / 20150243450 - ELECTRICITY STORAGE MODULE | 3 |
Masakuni Kasugai | JP | Yokkaichi-Shi | 2013-06-27 / 20130161053 - BATTERY CONNECTING ASSEMBLY | 3 |
Kiyotaka Kasugai | JP | Ogaki-Shi | 2014-09-04 / 20140246102 - FLOW CONTROL VALVE | 1 |
Masakuni Kasugai | JP | Osaka-Shi | 2014-07-17 / 20140199868 - CONNECTOR | 5 |
Takao Kasugai | JP | Otawara-Shi | 2011-08-04 / 20110187365 - MAGNETIC RESONANCE IMAGING APPARATUS AND MAGNETIC RESONANCE IMAGING METHOD | 2 |
Yasushi Kasugai | JP | Tokyo | 2016-03-24 / 20160087879 - COMMUNICATION SYSTEM, NODE DEVICE, NODE PROGRAM, AND COMMUNICATION PROGRAM | 1 |
Hideki Kasugai | JP | Osaka | 2011-11-03 / 20110268144 - NITRIDE SEMICONDUCTOR LASER DEVICE | 2 |
Masaki Kasugai | JP | Aichi-Ken | 2010-10-14 / 20100257886 - REFRIGERATION DEVICE | 1 |
Atsushi Kasugai | JP | Aichi-Ken | 2010-08-05 / 20100196077 - TAPE PRINTER | 1 |
Junya Kasugai | JP | Kariya-Shi | 2010-07-22 / 20100183218 - OBJECT DETERMINING DEVICE AND PROGRAM THEREOF | 2 |
Shohei Kasugai | JP | Tokyo | 2014-05-29 / 20140147813 - DENTAL PROSTHESIS COMPONENT AND METHOD FOR PRODUCING DENTAL PROSTHESIS COMPONENT | 1 |
Hiroshi Kasugai | JP | Toyoake-City | 2009-09-24 / 20090236321 - Laser welding structure and laser welding method | 1 |
Makoto Kasugai | JP | Tokyo | 2014-11-20 / 20140339900 - POWER SUPPLY SWITCHING DEVICE AND SWITCH BOARD | 1 |
Yasushi Kasugai | JP | Ninomiya | 2014-03-06 / 20140068045 - NETWORK SYSTEM AND VIRTUAL NODE MIGRATION METHOD | 4 |
Nobuyoshi Kasugai | JP | Aichi | 2012-04-26 / 20120101137 - NOVEL THIOPHENECARBOXAMIDE DERIVATIVE AND PHARMACEUTICAL USE THEREOF | 1 |
Junya Kasugai | JP | Aichi-Ken | 2009-10-22 / 20090265063 - HEADREST ADJUSTING DEVICE AND METHOD OF SAME | 1 |
Kentarou Kasugai | JP | Inagi-Shi | 2014-01-16 / 20140016833 - BIOMETRICS AUTHENTICATION APPARATUS, BIOMETRICS AUTHENTICATION SYSTEM, AND BIOMETRICS AUTHENTICATION METHOD | 4 |
Junichiro Kasuka | JP | Isesaki-Shi | 2013-09-05 / 20130227979 - Heat Pump Type Air-Warming Device | 1 |
Hiroto Kasuka | JP | Hyogo | 2010-04-29 / 20100105957 - PROCESS FOR PRODUCING ACROLEIN AND GLYCERIN-CONTAINING COMPOSITION | 1 |
Susumu Kasukabe | JP | Yokohama -Shi | 2009-08-20 / 20090209053 - CONNECTION DEVICE AND TEST SYSTEM | 1 |
Susumu Kasukabe | JP | Yokohama | 2011-07-14 / 20110169518 - PROBE CARD, SEMICONDUCTOR INSPECTING APPARATUS, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 4 |
Yoshihisa Kasukabe | JP | Otsu-Shi | 2012-02-09 / 20120036598 - PLANTS WITH IMPROVED MORPHOGENESIS AND METHOD OF CONSTRUCTING THE SAME | 3 |
Susumu Kasukabe | JP | Kyoto | 2013-02-07 / 20130033278 - INSPECTION CONTACT ELEMENT AND INSPECTING JIG | 1 |
Yoshihisa Kasukabe | JP | Osaka | 2011-09-29 / 20110236512 - STRESS-REDUCING AGENT INCLUDING PLANT-DERIVED POLYAMINE-CONTAINING EXTRACT SERVING AS ACTIVE COMPONENT | 2 |
Minoru Kasukawa | JP | Kanagawa | 2011-06-30 / 20110159313 - DISSIMILAR METAL JOINING METHOD FOR MAGNESIUM ALLOY AND STEEL | 2 |
Hiroaki Kasukawa | JP | Kanagawa | 2014-12-04 / 20140358122 - DRUG COATING LAYER | 1 |
Akihiko Kasukawa | JP | Tokyo | 2013-06-13 / 20130149804 - OPTICAL SEMICONDUCTOR DEVICE AND PUMPING LIGHT SOURCE FOR OPTICAL FIBER AMPLIFIER | 5 |
Hiroaki Kasukawa | JP | Ashigarakami-Gun | 2014-02-20 / 20140052104 - TREATMENT DEVICE | 2 |
Kazuhisa Kasukawa | JP | Tokyo | 2009-11-12 / 20090277806 - ELECTROCHEMICAL CELL SYSTEM GAS SENSOR | 1 |
Tsutomu Kasukawa | JP | Tokyo | 2009-02-19 / 20090045711 - THIN SLIDE UNIT | 1 |
Tomoaki Kasukawa | JP | Tokyo | 2012-06-28 / 20120164483 - METHOD FOR PRODUCING DECORATIVE METALLIC ARTICLE, AND DECORATIVE METALLIC ARTICLE | 5 |
Minoru Kasukawa | JP | Tokyo | 2011-05-05 / 20110100962 - DISSIMILAR METAL JOINING METHOD | 3 |
Bhaskar Kasukhela | US | San Marcos | 2014-01-02 / 20140007138 - STATELESS-AGENTLESS SYSTEM AND METHOD OF MANAGING DATA IN A COMPUTING ENVIRONMENT | 2 |
Anand Kasukurthi | US | Portland | 2009-01-29 / 20090030791 - METHOD FOR IDENTIFYING A SPORT FIELD BOUNDARY OR FEATURE AND REALIZING REVENUE THEREFROM | 1 |
Ramamurthy Kasula | IN | Nellore | 2011-06-23 / 20110151258 - PREPARATION OF RANOLAZINE | 1 |
Soji Kasumi | JP | Takasago | 2010-08-26 / 20100215148 - SPECIMEN COLLECTING METHOD AND BLADE TEMPERATURE ESTIMATING METHOD | 1 |
Kazuyuki Kasumi | JP | Utsunomiya-Shi | 2015-05-07 / 20150123301 - IMPRINTING APPARATUS AND ARTICLE MANUFACTURING METHOD | 11 |
Tetsuji Kasumi | JP | Osaka-Shi | 2011-07-14 / 20110167539 - GARMENT AND GARMENT MANUFACTURING METHOD | 1 |
Soji Kasumi | JP | Hyogo | 2011-10-27 / 20110262770 - THERMAL BARRIER COATING MATERIAL, THERMAL BARRIER COATING, TURBINE MEMBER, AND GAS TURBINE | 2 |
Makoto Kasumi | JP | Hachioji-Shi | 2015-05-28 / 20150145979 - RADIO COMMUNICATION SYSTEM AND COMMUNICATION METHOD | 3 |
Kazuyuki Kasumi | JP | Utsunomiya | 2008-10-16 / 20080254626 - PROCESSING APPARATUS | 1 |
Kazuyuki Kasumi | JP | Utsunomiya-Shi | 2015-05-07 / 20150123301 - IMPRINTING APPARATUS AND ARTICLE MANUFACTURING METHOD | 11 |
Kenichi Kasumi | JP | Otsu-Shi | 2009-10-08 / 20090252957 - THERMOPLASTIC POLYIMIDE, AND LAMINATED POLYIMIDE FILM AND METAL FOIL-LAMINATED POLYIMIDE FILM USING THE THERMOPLASTIC POLYIMIDE | 1 |
Thomas Kasun | US | Export | 2015-11-12 / 20150321232 - APPARATUS AND METHOD FOR ROLLING METAL | 2 |
Tim Kasun | US | Omaha | 2014-12-25 / 20140374422 - WASTE RECEPTACLE VENTILATION SYSTEM AND METHOD | 1 |
Tom J. Kasun | US | Export | 2014-03-06 / 20140060700 - APPARATUS AND METHOD FOR IMPARTING SELECTED TOPOGRAPHIES TO ALUMINUM SHEET METAL AND APPLICATION THERE FOR | 3 |
Thomas J. Kasun | US | Export | 2011-11-17 / 20110278120 - WEAR RESISTANT TRANSPORTATION SYSTEMS, METHODS, AND APPARATUS | 2 |
Ashok Kasundra | IN | Gujarat | 2012-08-09 / 20120202816 - NOVEL COMPOUNDS | 2 |
Keith J. Kasunic | US | Winter Garden | 2012-04-05 / 20120081511 - INTERLACED FOCAL PLANE ARRAY FOR WIDE-AREA SURVEILLANCE | 1 |
Ryutaro Kasunoki | JP | Mishima | 2015-10-08 / 20150283808 - INKJET PRINTER HEAD | 1 |
Tomoo Kasuo | JP | Hamura-Shi | 2010-07-22 / 20100182880 - ELECTRONIC TIMEPIECE | 3 |
Tomoo Kasuo | JP | Tokyo | 2010-03-11 / 20100061193 - ELECTRONIC TIMEPIECE | 1 |
Risto Kasurinen | FI | Lahti | 2010-11-04 / 20100275958 - Arrangement In A Hollow Walking Or Ski Stick | 1 |
Keiko Kasutani | JP | Shizuoka | 2015-11-05 / 20150315280 - PREVENTIVE OR REMEDY FOR INFLAMMATORY DISEASE | 7 |
Harel Kasuto | IL | Kibbutz Yifat | 2015-08-20 / 20150232797 - DEVICES AND METHODS FOR CULTURE OF CELLS | 2 |
Nozomu Kasuya | JP | Yokohama-Shi | 2016-03-10 / 20160069669 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Akira Kasuya | JP | Toyonaka-Shi | 2014-10-30 / 20140323004 - FIBER-REINFORCED RESIN MOLDED ARTICLE AND INTERIOR MATERIAL FOR VEHICLE USING THE SAME | 1 |
Yasumasa Kasuya | JP | Kyoto | 2015-07-16 / 20150200181 - SEMICONDUCTOR DEVICE | 15 |
Yoshihiro Kasuya | JP | Anjo-Shi | 2016-01-07 / 20160001433 - STRIKING TOOL | 14 |
Junichi Kasuya | JP | Ageo-Shi | 2012-06-21 / 20120154927 - LENS SYSTEM | 3 |
Yuichi Kasuya | JP | Takasaki-Shi | 2014-09-11 / 20140254063 - MULTILAYER CERAMIC CAPACITOR | 4 |
Tadashi Kasuya | JP | Tokyo | 2014-04-24 / 20140112758 - High Temperature Components With Thermal Barrier Coatings for Gas Turbine | 2 |
Tomotoshi Kasuya | JP | Mie-Gun | 2012-06-21 / 20120153769 - ROTOR CORE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hiroshi Kasuya | JP | Saitama | 2015-04-30 / 20150114889 - METHOD OF SEPARATING WORKPIECES FROM CHIPS | 4 |
Atsushi Kasuya | US | Los Altos Hills | 2010-11-04 / 20100280898 - Personalized Reward System | 1 |
Furetsu Kasuya | JP | Tokyo | 2012-11-15 / 20120285637 - SCREEN EXTENDING FRAME | 1 |
Yuuji Kasuya | JP | Kanagawa | 2015-01-29 / 20150029301 - TELECONFERENCE SYSTEM AND TELECONFERENCE TERMINAL | 16 |
Hiroyuki Kasuya | JP | Hitachinaka | 2012-05-17 / 20120124571 - ONLINE UPDATE METHOD FOR VEHICLE-MOUNTED DEVICE | 1 |
Yasunobu Kasuya | JP | Tokyo | 2011-09-15 / 20110222276 - ILLUMINATING DEVICE AND ILLUMINATING UNIT INCLUDING A PLURALITY OF THE SAME ILLUMINATING DEVICES | 2 |
Yuki Kasuya | JP | Ise-Shi | 2013-06-27 / 20130162101 - DIELECTRIC SINTERED BODY, METHOD FOR MANUFACTURING SAME, AND DIELECTRIC RESONATOR | 1 |
Takayuki Kasuya | JP | Ota | 2014-02-06 / 20140036647 - NEAR-FIELD LIGHT DEVICE, RECORDING APPARATUS USING THE SAME, AND RECORDING METHOD | 2 |
Satoru Kasuya | JP | Nishio-Shi | 2014-12-18 / 20140371025 - AUTOMATIC TRANSMISSION FOR VEHICLE | 4 |
Keigo Kasuya | JP | Kokubunji | 2013-03-14 / 20130063029 - CHARGED PARTICLE BEAM APPARATUS, AND METHOD OF CONTROLLING THE SAME | 3 |
Jinichi Kasuya | JP | Kokubunji-Shi | 2012-07-19 / 20120182854 - Objective Lens for Optical Pickup Device, Optical Pickup Device, and Optical Information Recording and Reproducing Device | 1 |
Jin Kasuya | JP | Kiyosu-Shi | 2014-10-02 / 20140295240 - BATTERY DEVICE | 3 |
Junichirou Kasuya | JP | Gunma | 2011-07-14 / 20110167822 - Fluid Machine | 1 |
Takashige Kasuya | JP | Numazu-Shi | 2015-12-10 / 20150355563 - TONER | 12 |
Narutaka Kasuya | JP | Kariya-Shi | 2012-05-03 / 20120107628 - Three-Metallic-Component Type Composite Nanometallic Paste, Method Of Bonding, And Electronic Component | 1 |
Takayuki Kasuya | JP | Kanagawa | 2015-02-12 / 20150043319 - OPTICAL DEVICE, MAGNETIC HEAD, MANUFACTURING METHOD, AND NEAR-FIELD LIGHT DEVICE | 3 |
Hiroshi Kasuya | JP | Iruma-Shi Saitama | 2014-01-16 / 20140015182 - WORKPIECE RECOVERY UNIT | 1 |
Kouji Kasuya | JP | Kakogawa-Shi | 2015-03-26 / 20150086808 - HIGH-STRENGTH COLD-ROLLED STEEL SHEET, HIGH-STRENGTH HOT-DIP GALVANIZED STEEL SHEET, AND HIGH-STRENGTH HOT-DIP GALVANNEALED STEEL SHEET EXCELLENT IN FORMABILITY AND SHAPE FIXABILITY, AND METHODS FOR MANUFACTURING THEM | 2 |
Makoto Kasuya | JP | Kyoto | 2011-08-11 / 20110193125 - ADHESION METHOD, ADHESION STRUCTURE, METHOD OF MANUFACTURING OPTICAL MODULE, AND OPTICAL MODULE | 1 |
Tadashi Kasuya | JP | Yokohama | 2014-12-04 / 20140356186 - Method for Manufacturing Gas Turbine Blade, and Gas Turbine Blade | 2 |
Yuji Kasuya | JP | Setagaya-Ku | 2014-06-05 / 20140152170 - SPARK PLUG | 1 |
Kei Kasuya | JP | Hitachi-Shi | 2013-07-04 / 20130168859 - POSITIVE PHOTOSENSITIVE RESIN COMPOSITION, METHOD OF CREATING RESIST PATTERN, AND ELECTRONIC COMPONENT | 1 |
Masahiko Kasuya | JP | Osaka | 2015-11-26 / 20150339875 - MEDICINE DISPENSING SYSTEM AND MEDICINE DISPENSING DEVICE | 8 |
Satoru Kasuya | JP | Nishio | 2016-05-19 / 20160138683 - MULTIPLE SPEED TRANSMISSION | 40 |
Akira Kasuya | JP | Osaka | 2011-08-18 / 20110198016 - FIBER REINFORCED THERMOPLASTIC RESIN MOLDING | 1 |
Shigeru Kasuya | JP | Yokohama | 2011-05-26 / 20110122964 - Binary Arithmetic Coding Device | 1 |
Toshiyasu Kasuya | JP | Anjo-Shi | 2016-03-03 / 20160065084 - ELECTRIC DEVICE AND METHOD OF CONTROLLING THE SAME | 2 |
Yuma Kasuya | JP | Tokyo | 2014-12-18 / 20140371667 - GAS DELIVERY SYSTEM AND SURGERY SYSTEM | 2 |
Kenji Kasuya | JP | Tokyo | 2015-10-29 / 20150306045 - METHOD OF REGULATING CIRCADIAN RHYTHM, AND METHOD OF PREPARING CIRCADIAN RHYTHM REGULATORY AGENT | 2 |
Tadashi Kasuya | JP | Hitachinaka-Shi | 2014-01-16 / 20140017511 - THERMAL BARRIER COATING FOR INDUSTRIAL GAS TURBINE BLADE, AND INDUSTRIAL GAS TURBINE USING THE SAME | 2 |
Hiromitsu Kasuya | JP | Tokyo | 2014-05-08 / 20140125477 - BIOLOGICAL INFORMATION DISPLAYING APPARATUS AND BIOLOGICAL INFORMATION DISPLAYING SYSTEM | 2 |
Makoto Kasuya | JP | Ayabe | 2014-04-17 / 20140103198 - SENSOR DEVICE | 2 |
Yuichi Kasuya | JP | Shizuoka | 2013-05-02 / 20130105327 - MANUFACTURING METHOD AND MANUFACTURING APPARATUS OF SUPPORT FOR PLANOGRAPHIC PRINTING PLATE | 1 |
Toru Kasuya | JP | Komaki-Shi | 2013-11-28 / 20130313071 - Article Transport Facility | 1 |
Yasumasa Kasuya | JP | Kyoto-Shi | 2016-01-07 / 20160007464 - ELECTRONIC DEVICE AND MOUNTING STRUCTURE OF THE SAME | 2 |
Takashi Kasuya | JP | Wako-Shi | 2014-02-20 / 20140049067 - DOOR ASSEMBLY FOR VEHICLE | 1 |
Satoru Kasuya | JP | Nishio | 2016-05-19 / 20160138683 - MULTIPLE SPEED TRANSMISSION | 40 |
Junichi Kasuya | JP | Kanagawa-Ken | 2011-11-03 / 20110268421 - RECORDING/REPRODUCING DEVICE | 1 |
Yuji Kasuya | JP | Tokyo | 2016-04-21 / 20160107587 - WIRE HARNESS | 5 |
Yasumasa Kasuya | JP | Kyoyo | 2009-11-26 / 20090289342 - Semiconductor Device and Semiconductor Device Manufacturing Method | 1 |
Yasumasa Kasuya | JP | Kyoto | 2015-07-16 / 20150200181 - SEMICONDUCTOR DEVICE | 15 |
Akira Kasuya | JP | Neyagawa-Shi | 2013-03-21 / 20130072335 - TOOTHED BELT AND METHOD OF MANUFACTURING THE SAME | 3 |
Katsutoshi Kasuya | JP | Asaka-Shi | 2011-06-23 / 20110152002 - METHOD OF MANUFACTURING GOLF CLUB HEAD, GOLF CLUB HEAD, AND HEAD BASE BODY | 1 |
Satoru Kasuya | JP | Hazu | 2011-10-06 / 20110239818 - HYBRID DRIVE DEVICE | 3 |
Satoru Kasuya | JP | Aichi-Ken | 2009-10-22 / 20090264237 - Automatic transmission | 1 |
Hiroshi Kasuya | JP | Tokyo | 2016-05-12 / 20160129656 - MOLD FOR BRAKE PAD FRICTION MATERIAL, MANUFACTURING APPARATUS, MANUFACTURING METHOD, PREFORM, AND BRAKE PAD | 2 |
Satoru Kasuya | JP | Aichi | 2009-05-07 / 20090115263 - POWER TRANSMISSION DEVICE AND METHOD OF ASSEMBLING THE SAME | 2 |
Satoru Kasuya | JP | Hozu | 2009-07-02 / 20090170616 - Automatic transmission | 1 |
Satoru Kasuya | JP | Hazu-Shi | 2009-01-29 / 20090029820 - Lubricating oil supply device for automatic transmission | 1 |
Satoru Kasuya | JP | Anjo | 2008-10-23 / 20080258569 - Driving apparatus for vehicle | 2 |
Satoru Kasuya | JP | Anjo-Shi | 2013-12-19 / 20130337964 - AUTOMATIC TRANSMISSION | 2 |
Yuuji Kasuya | JP | Kanagawa | 2015-01-29 / 20150029301 - TELECONFERENCE SYSTEM AND TELECONFERENCE TERMINAL | 16 |
Kei Kasuya | JP | Ibaraki | 2014-10-30 / 20140322635 - PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR MANUFACTURING PATTERNED CURED FILM, AND ELECTRONIC COMPONENT | 3 |
Kiyoshi Kasuya | JP | Koga-Shi | 2011-01-20 / 20110011226 - METHOD FOR EXPOSING RESIN-COATED METAL PIPE | 1 |
Takashige Kasuya | JP | Numazu-Shi | 2015-12-10 / 20150355563 - TONER | 12 |
Tadashi Kasuya | JP | Atsugi-Shi | 2013-03-28 / 20130075132 - ELECTRICAL COMPONENT | 1 |
Kei Kasuya | JP | Hitachi-Shi, Ibaraki | 2015-11-12 / 20150325431 - PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR PRODUCING PATTERNED CURED FILM, SEMICONDUCTOR ELEMENT AND ELECTRONIC DEVICE | 1 |
Toru Kasuya | JP | Kani-Gun | 2012-03-29 / 20120076623 - ARTICLE TRANSPORT FACILITY | 1 |
Hiroshi Kasuya | JP | Toshima-Ku | 2015-04-02 / 20150090084 - PROCESSING MACHINE | 1 |
Tomomi Kasuya | JP | Tokyo | 2013-11-07 / 20130293274 - BIT GENERATION APPARATUS AND BIT GENERATION METHOD | 2 |
Kenji Kasuya | JP | Yokohama-Shi | 2015-06-04 / 20150156344 - IMAGE PROCESSING SYSTEM INCLUDING IMAGE PROCESSING DEVICE AND INFORMATION PROCESSING DEVICE WHICH COMMUNICATE WITH EACH OTHER | 4 |
Yoshihiro Kasuya | JP | Anjo-Shi | 2016-01-07 / 20160001433 - STRIKING TOOL | 14 |
Yasufumi Kasuya | JP | Tokyo | 2008-09-18 / 20080227509 - Field Unit of Game Machine | 1 |
Yoshihiro Kasuya | JP | Anjo | 2012-08-02 / 20120193879 - CHUCK MECHANISM OF STRIKING TOOL | 3 |
Takuya Kasuya | JP | Osaka | 2008-09-25 / 20080235753 - BROADCASTING RECEIVER AND VOLUME CONTROL METHOD THEREOF | 1 |
Yuichi Kasuya | JP | Shizuoka-Ken | 2008-10-02 / 20080237059 - Electrolysis treatment apparatus, support for planographic printing plate, planographic printing plate, and electrolysis treatment process | 1 |
Kenji Kasuya | JP | Kanagawa-Ken | 2008-12-04 / 20080297828 - Image Processing System Including Image Processing Device and Information Processing Device Which Communicate With Each Other | 2 |
Koji Kasuya | JP | Okazaki-Shi | 2008-12-11 / 20080305928 - TRANSMISSION | 4 |
Natsuki Kasuya | JP | Saitama | 2009-01-08 / 20090008329 - Separating agent for enantiomeric isomers | 1 |
Takashige Kasuya | JP | Suntoh-Gun | 2009-02-05 / 20090035679 - MAGNETIC TONER | 1 |
Furetsu Kasuya | JP | Kodaira-Shi | 2009-02-05 / 20090031593 - Extending Tool of Sheet-Like Material | 1 |
Yuichi Kasuya | JP | Nasushiobara-Shi | 2009-03-05 / 20090060122 - X-RAY CT APPARATUS AND A METHOD OF CONTROLLING THE SAME | 1 |
Tadashi Kasuya | JP | Kanagawa | 2012-02-23 / 20120045907 - ELECTRICAL COMPONENT STRUCTURE | 1 |
Yuichi Kasuya | JP | Gunma | 2009-03-12 / 20090067117 - MULTI-LAYER CERAMIC CAPACITOR AND MANUFACTURING METHOD THEREOF | 1 |
Ryo Kasuya | JP | Kanagawa | 2009-05-21 / 20090127510 - Fine particle and red fluorescence conversion medium using the same | 1 |
Makoto Kasuya | JP | Hyogo | 2009-06-11 / 20090148610 - Anticorrosion Coating Method for Zinc-Plated Steel Structure | 1 |
Masayuki Kasuya | JP | Isshiki | 2009-06-11 / 20090148357 - APPARATUS AND CATALYST FOR PURIFYING EXHAUST GAS | 1 |
Shoji Kasuya | JP | Odawara-Shi | 2009-06-25 / 20090159436 - Electrolyzed water generating and spraying device | 1 |
Kazusato Kasuya | JP | Kariya-Shi | / - | 1 |
Shoji Kasuya | JP | Iwate | 2009-10-22 / 20090261185 - Spraying apparatus | 1 |
Fumihiko Kasuya | JP | Hyogo | 2011-08-04 / 20110190534 - METHOD FOR DECOMPOSING AND RECOVERING ISOCYANATE COMPOUND | 2 |
Tadashi Kasuya | JP | Hitachi | 2012-05-03 / 20120107103 - GAS TURBINE SHROUD WITH CERAMIC ABRADABLE LAYER | 3 |
Daisuke Kasuya | JP | Tokyo | 2009-12-10 / 20090301861 - Apparatus and Method for Manufacturing Carbon Nanohorns | 1 |
Takashige Kasuya | JP | Suntou-Gun | 2012-01-26 / 20120021349 - TONER | 6 |
Masahiro Kasuya | JP | Kariya-Shi | 2010-02-04 / 20100027232 - BOARD | 1 |
Yutaka Kasuya | JP | Hiratsuka-Shi | 2010-02-18 / 20100038252 - METHOD OF PLATING A WAFER | 1 |
Ryo Kasuya | JP | Yokohama-Shi | 2010-02-25 / 20100047561 - METAL OXIDE PHOSPHOR MICROPARTICLE AND PROCESS FOR PRODUCING THE SAME; UTILIZING THE SAME, DISPERSION LIQUID, FLUORESCENCE CONVERSION MEMBRANE, METHOD OF SEPARATING METAL OXIDE PHOSPHOR MICROPARTICLE, FLUORESCENT LIQUID, FLUORESCENT PASTE, PHOSPHOR AND PROCESS FOR PRODUCING THE SAME; AND FLUORESCENCE CONVERTER | 1 |
Kouji Kasuya | JP | Hyogo | 2010-04-01 / 20100080728 - HIGH-STRENGTH THIN STEEL SHEET | 2 |
Takeshi Kasuya | JP | Nerima-Ku | 2010-07-29 / 20100188947 - Automatic DTS CD Versus CD-DA Detection Within a File System-Based Device | 1 |
Kuri Kasuya | JP | Saitama | 2013-03-14 / 20130065149 - COUNTER-FREEZE CONTROL METHOD FOR FUEL CELL SYSTEM | 4 |
Yoshisuke Kasuya | JP | Susono-Shi | 2015-05-21 / 20150139680 - CONNECTOR FOR HEATER, AND FIXING APPARATUS | 5 |
Tomohiko Kasuya | JP | Hyogo | 2014-07-17 / 20140198967 - PAPER SHEET PROCESSING APPARATUS | 6 |
Kunihiko Kasuya | JP | Nankoku-Shi | 2010-09-09 / 20100225874 - LIQUID CRYSTAL DISPLAY APPARATUS FORMING ASSEMBLY, LIQUID CRYSTAL CELL, AND LIQUID CRYSTAL DISPLAY APPARATUS, AND MANUFACTURING METHOD THEREOF | 1 |
Hiroshi Kasuya | JP | Nagano | 2011-06-30 / 20110158775 - WORK PROCESSING APPARATUS AND WORK PROCESSING METHOD | 3 |
Takashi Kasuya | JP | Tokyo | 2008-10-30 / 20080265645 - HEADREST | 1 |
Masahiko Kasuya | JP | Osaka | 2015-11-26 / 20150339875 - MEDICINE DISPENSING SYSTEM AND MEDICINE DISPENSING DEVICE | 8 |
Junichiro Kasuya | JP | Gunma | 2012-05-10 / 20120111003 - Waste Heat Utilization Device for Internal Combustion Engine | 5 |
Yuichi Kasuya | JP | Tochigi-Ken | 2010-12-02 / 20100303197 - X-ray CT apparatus and a method for detecting magnetic pole position thereof | 1 |
Nobutaka Kasuya | JP | Yokkaichi | 2015-09-17 / 20150262969 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Makoto Kasuya | JP | Ayabe City | 2015-09-17 / 20150263185 - PHOTOSENSOR | 1 |
Yoshikazu Kasuya | JP | Sakata | 2015-06-04 / 20150155232 - SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING THE SAME, METHOD FOR GENERATING MASK DATA, MASK AND COMPUTER READABLE RECORDING MEDIUM | 6 |
Yuuji Kasuya | JP | Yokohama City | 2010-12-23 / 20100324946 - TELECONFERENCE SUPPORT SYSTEM | 1 |
Yuuji Kasuya | JP | Yokohama-Shi | 2010-12-23 / 20100322519 - IMAGE EXTRACTION DEVICE AND IMAGE EXTRACTION METHOD | 1 |
Yuichi Kasuya | JP | Otawara-Shi | 2009-03-26 / 20090080599 - X-RAY COMPUTED TOMOGRAPHIC APPARATUS | 1 |
Shigeko Kasuya | JP | Tokyo | 2010-12-30 / 20100327562 - SHOPPING CAR | 1 |
Yuji Kasuya | JP | Nagoya | 2012-12-13 / 20120313503 - SPARK PLUG | 1 |
Tadashi Kasuya | JP | Chiyoda-Ku | 2013-06-20 / 20130153092 - METHOD OF PRODUCTION OF WELDED JOINT | 1 |
Jinichi Kasuya | JP | Tokyo | 2011-02-10 / 20110033634 - PRODUCTION METHOD OF OPTICAL ELEMENT AND OPTICAL ELEMENT | 2 |
Junichiro Kasuya | JP | Isesaki-Shi | 2015-05-07 / 20150121930 - VEHICULAR AIR-CONDITIONING UNIT | 5 |
Yoshitoshi Kasuya | JP | Chiba | 2015-10-01 / 20150275173 - NEURAL STEM CELL HAVING INCREASED PASSAGE ABILITY, METHOD FOR MANUFACTURING NEURAL STEM CELL HAVING SAID INCREASED PASSAGE ABIILITY, AND METHOD FOR CULTURING NEURAL STEM CELLS FOR INCREASING PASSAGE ABILITY OF NEURAL STEM CELLS | 1 |
Dino Kasvikis | US | Middletown | 2016-05-12 / 20160128692 - JAW RESTRAINT | 22 |
Athena Kasvikis | US | Cincinnati | 2010-05-06 / 20100112022 - Antiperspirant Products and Methods of Merchandising the Same | 1 |
Dino Kasvikis | US | Mansfield | 2016-02-11 / 20160038142 - PIN LOCKING MECHANISM FOR A SURGICAL INSTRUMENT | 16 |
Dino Kasvikis | CT | Mansfield | 2015-04-23 / 20150108200 - SURGICAL FASTENER-APPLYING APPARATUSES WITH SEQUENTIAL FIRING | 1 |
Dino Kasvikis | US | Newton | 2012-07-05 / 20120168485 - Surgical Fastener-Applying Apparatuses With Sequential Firing | 3 |
Dino Kasvikis | US | Mansfield | 2016-02-11 / 20160038142 - PIN LOCKING MECHANISM FOR A SURGICAL INSTRUMENT | 16 |
Robert David Kaswen | US | Watsonville | 2015-11-12 / 20150321084 - METHODS AND APPARATUS FOR VIRTUAL COMPETITION | 2 |
Christopher W. Kaswer | US | Avon | 2015-02-05 / 20150038993 - DEVICES, SYSTEMS, AND METHODS FOR WOUND CLOSURE | 1 |
Christopher William Kaswer | US | Avon | 2015-01-15 / 20150014392 - SURGICAL DEVICE, SURGICAL ADAPTERS FOR USE BETWEEN SURGICAL HANDLE ASSEMBLY AND SURGICAL LOADING UNITS, AND METHODS OF USE | 1 |
Dmitry A. Kasyanov | RU | Nizhny Novgorod | 2014-08-07 / 20140216727 - HYDRAULIC DRILLSTRING SOUND GENERATOR | 1 |
Oksana Kasyutich | GB | Bristol | 2010-02-25 / 20100047290 - FUNCTIONAL PROTEIN CRYSTALS CONTAINING A CORE NANO-PARTICLE AND USES THEREOF | 1 |
Krzysztof Kasza | PL | Krakow | 2015-04-30 / 20150116937 - ELECTRONIC DEVICE WITH WATERPROOF ENCLOSURE | 2 |
Krysztof Kasza | PL | Krakow | 2014-05-22 / 20140138357 - POWER DISTRIBUTION SWITCHGEAR CIRCUIT BREAKER | 1 |
Jamie Dennis Kasza | CA | Vancouver | 2015-12-24 / 20150366341 - BOTTLE RACK AND KIT FOR BOTTLE-SUPPORTING ASSEMBLY | 2 |
Karen Kasza | US | Palos Park | 2008-12-25 / 20080316575 - Aberration correction of optical traps | 1 |
Kenneth E. Kasza | US | Palos Park | 2009-10-15 / 20090255276 - ENHANCED INTEGRATED OPERATION BLENDER BASED STERILE MEDICAL ICE SLURRY PRODUCTION DEVICE | 3 |
Ildikó Kasza | HU | Tata | 2015-04-23 / 20150111770 - QUANTITATIVE DETERMINATION OF BIOMARKERS IN THE ERYTHROCYTE MEMBRANE | 1 |
Gabor Kaszas | CA | Ontario | 2009-01-15 / 20090018297 - Halogenated terpolymers of isobutylene, diolefin monomer and styrenic monomer | 1 |
Gabor Kaszas | US | Akron | 2016-03-10 / 20160068031 - PNEUMATIC TIRE WITH POST CURE SEALANT LAYER | 15 |
George Kaszas | US | Redondo Beach | 2008-12-04 / 20080296089 - PLATFORM LIFT APPARATUS FOR ATTIC STORAGE SPACE | 1 |
Gabor Kaszas | CA | London | 2009-01-29 / 20090030169 - Process for production of high-isoprene butyl rubber | 2 |
Attila Kaszas | HU | Szeged | 2011-11-17 / 20110279667 - METHOD AND MEASURING SYSTEM FOR SCANNING MULTIPLE REGIONS OF INTEREST | 1 |
Attila Kaszas | HU | Budapest | 2015-03-26 / 20150085346 - Method for Scanning Along a Continuous Scanning Trajectory With a Scanner System | 2 |
Cameron J. Kaszas | US | Minneapolis | 2008-12-04 / 20080300497 - METHOD AND APPARATUS FOR DETECTING NOISE IN AN IMPLANTABLE MEDICAL DEVICE | 1 |
Gabor Kaszas | US | Akron | 2016-03-10 / 20160068031 - PNEUMATIC TIRE WITH POST CURE SEALANT LAYER | 15 |
György Kaszás | HU | Budapest | 2010-03-18 / 20100065202 - Procedure of Manufacturing a Neutron-Guiding Flat Surface | 1 |
György Kaszás | HU | Budapest | 2010-03-18 / 20100065202 - Procedure of Manufacturing a Neutron-Guiding Flat Surface | 1 |
György Kaszás | HU | Budapest | 2010-03-18 / 20100065202 - Procedure of Manufacturing a Neutron-Guiding Flat Surface | 1 |
György Kaszás | HU | Budapest | 2010-03-18 / 20100065202 - Procedure of Manufacturing a Neutron-Guiding Flat Surface | 1 |
Linda A. Kaszczuk | US | Webster | 2010-06-24 / 20100157394 - THERMAL DYE ELEMENTS USEFUL FOR COLOR PROOFING | 1 |
Marcin Kaszkiel | AU | Delahey | 2011-11-03 / 20110271095 - Embedded Communication of Link Information | 1 |
Efrat Kasznik | US | Stanford | 2011-11-17 / 20110282808 - UTILITY CONSUMPTION RECOMMENDATION | 1 |
Thomas Kasztelan | DE | Erlangen | 2008-09-18 / 20080226108 - Receiver with an additional shielding facility | 2 |
Thomas Kasztelan | DE | Berlin | 2011-05-12 / 20110109277 - Electric circuit for generating electric power | 6 |
Thomas Kasztelan | DE | Bestensee | 2009-05-14 / 20090123008 - Hearing apparatus chip with a separate EMC ground and corresponding hearing apparatus | 1 |
Bogdan Z. Kasztenny | CA | Markham | 2016-03-17 / 20160077150 - FAULT DETECTION IN ELECTRIC POWER DELIVERY SYSTEMS USING UNDERREACH, DIRECTIONAL, AND TRAVELING WAVE ELEMENTS | 24 |
Andrzej Kaszuba | PL | Lodz | 2013-05-02 / 20130108655 - CYCLIC TETRAPEPTIDES AND THERAPEUTIC APPLICATIONS THEREOF | 1 |
Deborah Mary Kaszuba | GB | Bromborough | 2010-11-18 / 20100291263 - METHOD OF PREPARING A LOW SODIUM FLOUR-BASED DOUGH WITH IMPROVED HANDLING PROPERTIES | 1 |
Philip V. Kaszuba | US | Essex Junction | 2009-09-03 / 20090219508 - SYSTEM AND METHOD FOR DETECTING LOCAL MECHANICAL STRESS IN INTEGREATED DEVICES | 2 |
Andrzij Kaszuba | PL | Lodz | 2013-08-29 / 20130224232 - CYCLIC TETRAPEPTIDES AND THERAPEUTIC APPLICATIONS THEREOF | 1 |
Andrzej Kaszuba | US | San Jose | 2014-10-30 / 20140318442 - HIGH THROUGHPUT EPITAXIAL DEPOSITION SYSTEM FOR SINGLE CRYSTAL SOLAR DEVICES | 10 |
Andrzei Kaszuba | US | San Jose | 2012-01-05 / 20120003398 - APPARATUS AND METHOD FOR EXPOSING A SUBSTRATE TO UV RADIATION USING A REFLECTOR HAVING BOTH ELLIPTICAL AND PARABOLIC REFLECTIVE SECTIONS | 2 |
Andrzej Kaszuba | US | San Jose | 2014-10-30 / 20140318442 - HIGH THROUGHPUT EPITAXIAL DEPOSITION SYSTEM FOR SINGLE CRYSTAL SOLAR DEVICES | 10 |
Robert J. Kaszynski | US | Mendota Heights | 2010-09-30 / 20100246630 - Thermocouple temperature sensor with connection detection circuitry | 1 |
Michael E. Kaszynski | US | Stillwater | 2013-08-29 / 20130222461 - INKJET PRINT CALIBRATION USING TEST PATCHES AND DENSITOMETER | 1 |
Marcin Kaszynski | PL | Warsaw | 2014-01-09 / 20140012852 - DATA PROCESSING | 4 |
Piotr Kaszynski | US | Brentwood | 2012-10-04 / 20120248373 - POLAR NEMATIC COMPOUNDS | 3 |
Anne Kaszynski | FR | Ablis | 2008-10-30 / 20080270103 - Method for Functional Verification of an Integrated Circuit Model for constituting a Verification Platform, Equipment Emulator and Verification Platform | 1 |
Ronen I. Kat | IL | Kraf-Saba | 2015-11-05 / 20150317381 - REAL-TIME IDENTIFICATION OF DATA CANDIDATES FOR CLASSIFICATION BASED COMPRESSION | 4 |
Ronen Itshak Kat | IL | Kfar-Saba | 2011-11-24 / 20110286130 - ENERGY-SAVING OPERATION OF A STORAGE DEVICE | 2 |
Ronen Itshak Kat | IL | Haifa | 2012-08-02 / 20120198254 - CAPPING POWER CONSUMPTION IN A DATA STORAGE SYSTEM | 3 |
Dirk Evert Kat | NL | Uitgeest | 2015-06-11 / 20150157677 - NUTRITIONAL COMPOSITION FOR EQUINE REPRODUCTIVE HEATH | 2 |
Pieter Lucas Kat | NL | Alkmaar | 2014-12-11 / 20140363126 - Fiber Bragg Grating Interrogator Assembly and Method for the Same | 1 |
Arjen David Kat | NZ | Auckland | 2015-07-23 / 20150202402 - HUMIDIFICATION CHAMBER FOR A RESPIRATORY ASSISTANCE APPARATUS | 2 |
Ronen I. Kat | IL | Kfar-Saba | 2014-10-02 / 20140297594 - Restarting a Batch Process From an Execution Point | 2 |
Akira Kata | JP | Tachikawa | 2009-05-14 / 20090122609 - SEMICONDUCTOR DEVICE | 1 |
Akira Kata | JP | Toyama | 2016-05-12 / 20160129551 - ABRASIVE NOZZLE HEAD | 1 |
Akira Kata | JP | Toyama-Shi | 2009-04-02 / 20090084235 - JETTING APPARATUS AND ORIGIN CORRECTION METHOD THEREFOR | 1 |
Keiichiro Kata | JP | Kanagawa | 2011-01-06 / 20110003472 - WIRING SUBSTRATE FOR MOUNTING SEMICONDUCTORS, METHOD OF MANUFACTURING THE SAME, AND SEMICONDUCTOR PACKAGE | 2 |
Yuka Kataba | JP | Kawasaki-Shi | 2013-02-14 / 20130041000 - NOVEL AZOLE COMPOUND | 2 |
Kousuke Katabe | JP | Higashiohmi-Shi | 2013-08-08 / 20130200784 - LIGHT-EMITTING DEVICE | 1 |
Kousuke Katabe | JP | Shiga | 2008-10-23 / 20080262316 - Light Source Apparatus and Endoscope Provided with Light Source Apparatus | 1 |
Kousuke Katabe | JP | Higashiomi-Shi | 2012-08-23 / 20120212124 - LIGHT-EMITTING DEVICE | 4 |
Kousuke Katabe | JP | Higashiomi | 2009-02-26 / 20090052157 - LIGHT EMITTING DEVICE AND LIGHTING APPARATUS | 1 |
Dina Katabi | US | Cambridge | 2016-04-28 / 20160119798 - ADAPTIVE COMMUNICATION FOR MOBILE ROUTER SYSTEMS | 14 |
Boaz Katabi | IL | Ganey Tikva | 2012-11-22 / 20120297310 - SHOP FLOOR INTERACTION CENTER | 1 |
Dina Katabi | US | Cambridge | 2016-04-28 / 20160119798 - ADAPTIVE COMMUNICATION FOR MOBILE ROUTER SYSTEMS | 14 |
Hidetaka Katabuchi | JP | Kumamoto | 2012-06-21 / 20120157535 - PROPHYLACTIC AND/OR THERAPEUTIC AGENT FOR DYSMENORRHEA | 1 |
Naochika Katada | JP | Osaka | 2012-05-10 / 20120111512 - SUNSHADE DEVICE | 1 |
Futoshi Katada | JP | Tokyo | 2015-12-10 / 20150358903 - COMMUNICATION SYSTEM | 3 |
Yoshinori Katada | JP | Saitama | 2010-02-18 / 20100039767 - EXPANSION TANK DEVICE, PROCESS FOR FABRICATING EXPANSION TANK DEVICE, AND LIQUID COOLING RADIATOR | 1 |
Hidetoshi Katada | JP | Ise-Shi | 2012-08-23 / 20120215405 - A/D CONVERSION DEVICE AND VIBRATION DAMPING APPARATUS FOR AUTOMOBILE USING THE A/D CONVERSION DEVICE | 2 |
Hiroyuki Katada | JP | Odawara | 2016-01-07 / 20160005428 - GRADED SIDE SHIELD GAP READER | 9 |
Kanji Katada | JP | Hirakata-Shi | 2010-11-18 / 20100288742 - ARC WELDING METHOD | 1 |
Masamichi Katada | JP | Osaka | 2009-01-15 / 20090015330 - VARIABLE TRANSCONDUCTANCE CIRCUIT | 1 |
Jun Katada | JP | Yokohama-City Kanagawa | 2008-11-27 / 20080292754 - Instant Food Comprising Flavour Capsules | 1 |
Yoshiki Katada | JP | Mie | 2011-05-05 / 20110100530 - Method and Device for Preliminarily Bonding Laminated Glass Using Curved Roll | 1 |
Yasuhiro Katada | JP | Ibaraki-Ken | 2010-07-22 / 20100183353 - Sheet reversing mechanism and image forming apparatus having sheet reversing mechanism | 1 |
Yoshito Katada | JP | Shiga | 2010-01-28 / 20100018691 - FIN FOR HEAT EXCHANGER, GUIDE, AND METHOD OF USING THE GUIDE | 1 |
Yuji Katada | JP | Mie | 2011-02-24 / 20110043419 - Glass Antenna for Vehicle | 2 |
Keisuke Katada | JP | Tokyo | 2009-10-01 / 20090247820 - TREATMENT INSTRUMENT FOR ENDOSCOPIC USE | 1 |
Mitsutaka Katada | JP | Toyokawa-City | 2009-05-07 / 20090114974 - Semiconductor device including a plurality of memory cells and method of manufacturing semiconductor device | 1 |
Ryo Katada | JP | Sodegaura-Shi | 2014-10-23 / 20140314343 - SHAPE-RETAINING TAPE, ZIPPER TAPE, PACKAGING BAG, METHOD FOR MANUFACTURING SHAPE-RETAINING TAPE, MADHOD FOR MANUFACTURING ZIPPER TAPE | 5 |
Hiroyuki Katada | JP | Kanagawa | 2010-05-13 / 20100118448 - DIFFERENTIAL HEAD HAVING A BALANCED OUTPUT AND METHOD OF MANUFACTURING THEREOF | 4 |
Junichi Katada | JP | Ashigarakami-Gun | 2013-05-30 / 20130137190 - IMMUNOCHROMATOGRAPHIC DEVICE | 10 |
Yuko Katada | JP | Kanagawa | 2010-07-29 / 20100188454 - DROPLET EJECTION APPARATUS | 3 |
Natsuki Katada | JP | Kanagawa | 2010-07-29 / 20100188454 - DROPLET EJECTION APPARATUS | 2 |
Hidetoshi Katada | JP | Tokyo | 2015-11-19 / 20150334853 - ELECTRONIC MODULE AND METHOD FOR MANUFACTURING ELECTRONIC MODULE | 2 |
Daichi Katada | JP | Kanagawa | 2010-07-29 / 20100188454 - DROPLET EJECTION APPARATUS | 2 |
Junichi Katada | JP | Kanagawa | 2013-06-13 / 20130150562 - METHOD FOR IMMOBILIZATION, PHYSIOLOGICALLY ACTIVE SUBSTANCE-IMMOBILIZED CARRIER, CARRIER FOR IMMOBILIZATION, CARRIER, AND PROCESS FOR PRODUCING CARRIER | 4 |
Masahito Katada | JP | Kanagawa-Ken | 2010-03-25 / 20100073437 - INKJET RECORDING APPARATUS | 5 |
Masahito Katada | JP | Kanagawa | 2010-07-29 / 20100188454 - DROPLET EJECTION APPARATUS | 3 |
Masahito Katada | JP | Ashigarakami-Gun | 2010-09-30 / 20100245495 - DROPLET EJECTION DEVICE | 2 |
Daichi Katada | JP | Ebina-Shi | 2010-09-30 / 20100245495 - DROPLET EJECTION DEVICE | 3 |
Natsuki Katada | JP | Ebina-Shi | 2010-09-30 / 20100245495 - DROPLET EJECTION DEVICE | 3 |
Yuko Katada | JP | Ebina-Shi | 2010-09-30 / 20100245495 - DROPLET EJECTION DEVICE | 4 |
Kazuto Katada | JP | Osaka | 2015-07-23 / 20150206310 - IMAGE GENERATING APPARATUS AND IMAGE GENERATING METHOD | 1 |
Hidetoshi Katada | JP | Mie | 2011-03-03 / 20110048118 - VIBRATION DAMPING DEVICE AND VEHICLE | 1 |
Hiroyuki Katada | JP | Odawara | 2016-01-07 / 20160005428 - GRADED SIDE SHIELD GAP READER | 9 |
Naochika Katada | JP | Settsu-Shi | 2013-11-07 / 20130292961 - SHADE DEVICE | 4 |
Kazunori Katada | JP | Hino-Shi | 2009-08-13 / 20090202267 - IMAGE FORMING APPARATUS | 1 |
Yasuyuki Katada | JP | Tsukuba-Shi | 2013-11-14 / 20130304184 - NICKEL-FREE STAINLESS STEEL STENT | 2 |
Hiroyuki Katada | JP | Odawara-Shi | 2015-05-14 / 20150131183 - MICROWAVE-ASSISTED MAGNETIC RECORDING HEAD WITH HIGH SATURATION MAGNETIZATION MATERIAL SIDE SHIELD | 5 |
Tomohiro Katada | JP | Anjo | 2009-06-04 / 20090139370 - Automatic Transmission | 1 |
Hitoshi Katada | JP | Shizuoka | 2016-02-18 / 20160046693 - Antigen-Binding Molecule for Promoting Disappearance of Antigen via Fc gamma RIIB | 7 |
Kazuhiro Katada | JP | Tokyo | 2013-10-03 / 20130260977 - SEALED LETTER PRODUCING DEVICE AND ENVELOPE SHEET | 1 |
Arinobu Katada | JP | Tokyo | 2016-05-05 / 20160122457 - NITRILE GROUP-CONTAINING COPOLYMER RUBBER, CROSS-LINKABLE RUBBER COMPOSITION, AND CROSS-LINKED RUBBER | 3 |
Yoshinori Katada | JP | Tokyo | 2015-05-21 / 20150137627 - VIBRATION ACTUATOR AND MOBILE INFORMATION TERMINAL | 1 |
Toshiharu Katada | JP | Matsumoto | 2014-05-08 / 20140126019 - IMAGE READING SYSTEM, IMAGE READING DEVICE, AND JOB MANAGEMENT PROGRAM | 1 |
Yasuhiro Katada | JP | Ibaraki | 2014-07-03 / 20140187400 - ENVELOPING MACHINE | 1 |
Yoshinori Katada | JP | Kitaadati-Gun | 2014-06-26 / 20140175955 - COMPACT MOTOR | 1 |
Yoshinori Katada | JP | Saitama-Ken | 2014-08-21 / 20140232211 - LINEAR VIBRATION ACTUATOR AND MOBILE COMMUNICATION DEVICE OR GAMING DEVICE INCLUDING THE SAME | 1 |
Jun Katada | JP | Tokyo | 2015-10-22 / 20150304476 - ELECTRONIC DEVICE | 1 |
Mari Katada | US | Evanston | 2014-01-02 / 20140001112 - METHOD AND COMPOSITION FOR REMOVING UREMIC TOXINS IN DIALYSIS PROCESSES | 5 |
Yasuyuki Katada | JP | Ibaraki | 2015-01-15 / 20150013820 - METHOD FOR ROLLING/DRAWING NICKEL-FREE HIGH-NITROGEN STAINLESS STEEL MATERIAL, THIN SEAMLESS TUBE OF NICKEL-FREE HIGH-NITROGEN STAINLESS STEEL, AND METHOD OF MANUFACTURING THE SAME | 2 |
Shigeyuki Katada | JP | Tokyo | 2010-07-22 / 20100180552 - POWDER FEEDER, POWDER FILLING AND PACKAGING MACHINE, AND METHOD OF MANUFACTURING POWDER PACKAGE | 1 |
Ryo Katada | JP | Chiba | 2010-09-09 / 20100226599 - Fastener Tape and Packaging Bag with Fastener Tape | 4 |
Arinobu Katada | JP | Kanagawa-Ken | 2015-02-19 / 20150050555 - POSITIVE ELECTRODE FOR SECONDARY BATTERY, AND SECONDARY BATTERY | 1 |
Kazunori Katada | JP | Tokyo | 2016-03-31 / 20160091842 - IMAGE FORMING APPARATUS | 1 |
Junichi Katada | JP | Ashigarakami-Gun | 2013-05-30 / 20130137190 - IMMUNOCHROMATOGRAPHIC DEVICE | 10 |
Mitsutaka Katada | JP | Hoi-Gun | 2010-10-21 / 20100264510 - SOI (SILICON ON INSULATOR) STRUCTURE SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Junichi Katada | JP | Kanagawa-Ken | 2012-03-08 / 20120058465 - METHOD AND DEVICE FOR ASSAY | 1 |
Gautam Katada | IN | Hyderabad | 2015-02-12 / 20150046358 - IMPORTING TESTED OBJECTS INTO BENEFITS PROGRAMS DEPLOYED ON PRODUCTION SYSTEMS | 1 |
Jinichi Katada | JP | Kanagawa-Ken | 2010-03-25 / 20100075440 - ASSAY METHOD | 1 |
Kenichi Katae | JP | Kariya-Shi | 2009-11-19 / 20090283346 - HYBRID INDUSTRIAL VEHICLE | 1 |
Takeshi Katae | JP | Tokyo | 2013-01-03 / 20130002582 - ELECTRONIC DEVICE | 1 |
Kenichi Katae | JP | Aichi | 2010-01-14 / 20100009802 - REDUCTION GEAR | 1 |
Nobuyuki Katae | JP | Kawasaki | 2014-07-31 / 20140214428 - VOICE INPUT AND OUTPUT DATABASE SEARCH METHOD AND DEVICE | 4 |
Tooru Katafuchi | JP | Kariya-Shi | 2013-11-21 / 20130306475 - GAS SENSOR | 1 |
Takeshi Katafuchi | JP | Saga-Shi | 2014-05-08 / 20140125376 - GENERATED POWER OUTPUT MEASURING APPARATUS | 1 |
Tadashi Katafuchi | JP | Chiba | 2013-01-03 / 20130005623 - LUBRICATING OIL COMPOSITION FOR DIESEL ENGINE | 5 |
Masayuki Katafuchi | JP | Kawasaki-Shi | 2009-04-23 / 20090100827 - EXHAUST GAS PURIFICATION APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Tahei Katagai | JP | Tokyo | 2011-08-18 / 20110201425 - GAME MACHINE AND GAME PROGRAM | 1 |
Tahei Katagai | JP | Minato-Ku | 2014-01-02 / 20140007118 - COMPARISON DEVICE, COMPARISON METHOD, NON-TRANSITORY RECORDING MEDIUM, AND SYSTEM | 1 |
Tahei Katagai | JP | Yokohama-Shi | 2014-11-13 / 20140337831 - APPLICATION SYSTEM, APPLICATION SERVER, AND PROGRAM | 2 |
Satoru Katagami | JP | Matsumoto | 2015-06-25 / 20150174918 - RECORDING APPARATUS | 6 |
Satoru Katagami | JP | Hara | 2012-02-09 / 20120033005 - METHOD FOR SETTING UP DRIVE SIGNAL | 4 |
Satoru Katagami | JP | Haru-Mura | 2008-09-04 / 20080210944 - Ejecting method and ejecting apparatus | 1 |
Satoru Katagami | JP | Matsumoto-Shi | 2016-05-12 / 20160129702 - PRINTING METHOD AND PRINTING APPARATUS | 4 |
Satoru Katagami | JP | Hara-Mura | 2009-07-30 / 20090191326 - THIN FILM FORMING METHOD AND COLOR FILTER MANUFACTURING METHOD | 3 |
Kenta Katagata | JP | Tokyo | 2015-04-16 / 20150103411 - EYEPIECE OPTICAL SYSTEM AND ELECTRONIC APPARATUS | 1 |
Yohtaro Katagata | JP | Aomori | 2014-03-20 / 20140080761 - PROTEOGLYCAN-CONTAINING MATERIAL | 1 |
Yohtaro Katagata | JP | Hirosaki-Shi | 2013-11-21 / 20130310540 - EXTRACT OF AQUATIC ANIMAL CARTILAGE | 2 |
Satoshi Katagata | JP | Tokyo | 2012-02-09 / 20120031006 - RAILWAY PLATFORM DOOR DEVICE | 1 |
Masahiro Katagata | JP | Shioya-Gun | 2015-10-08 / 20150285169 - FUEL INJECTION CONTROL DEVICE | 1 |
Koichi Katagawa | JP | Tokyo | 2014-01-30 / 20140028741 - DISPLAY UNIT, DISPLAY DRIVING CIRCUIT, AND DISPLAY DRIVING METHOD | 4 |
Minetaka Katagawa | JP | Yokohama-Shi | 2013-02-28 / 20130049379 - VEHICLE DOOR LATCH DEVICE | 1 |
Kohichi Katagawa | JP | Zama | 2010-08-19 / 20100207859 - Liquid Crystal Display Device and Driving Method of the Same | 1 |
Atsushi Katagawa | JP | Kure-Shi | 2015-06-25 / 20150174526 - Wet Type Flue-Gas Desulfurization Method | 2 |
Hironori Katagawa | JP | Tokyo | 2009-05-21 / 20090131603 - HIGHLY CROSSLINKABLE LOW-VISCOSITY POLYISOCYANATE COMPOSITION AND COATING COMPOSITION CONTAINING SAME | 1 |
Atsushi Katagawa | JP | Hiroshima | 2010-04-01 / 20100077925 - WET FLUE-GAS DESULFURIZATION EQUIPMENT | 4 |
Hiroyasu Katagawa | JP | Fussa-Shi | 2010-03-04 / 20100053372 - IMAGE REPRODUCTION APPARATUS | 1 |
Hiroyasu Katagawa | JP | Tokyo | 2012-08-09 / 20120200735 - IMAGE-PICKUP APPARATUS AND METHOD OF CONTROLLING THE SAME | 2 |
Koichi Katagawa | JP | Kanagawa | 2008-11-20 / 20080284707 - Liquid crystal driver, liquid crystal driving method and liquid crystal display device | 1 |
Kouichi Katagawa | JP | Zama | 2010-12-23 / 20100321416 - Liquid crystal display device | 1 |
Koichi Katagawa | JP | Kawasaki | 2010-09-09 / 20100225681 - APPARATUS AND METHOD TO IMPROVE QUALITY OF MOVING IMAGE DISPLAYED ON LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Masanobu Katagi | JP | Tokyo | 2011-07-28 / 20110184580 - ELECTRONIC WATERMARK GENERATING APPARATUS, ELECTRONIC WATERMARK VERIFYING APPARATUS, METHOD OF GENERATING ELECTRONIC WATERMARK, AND METHOD OF VERIFYING ELECTRONIC WATERMARK | 1 |
Masanobu Katagi | JP | Kanagawa | 2015-07-30 / 20150214776 - ELECTRICAL CHARGING/DISCHARGING CONTROL APPARATUS, ELECTRIC-POWER MANAGEMENT APPARATUS, ELECTRIC-POWER MANAGEMENT METHOD AND ELECTRIC-POWER MANAGEMENT SYSTEM | 29 |
Hideyuki Katagi | JP | Tsukuba-Shi | 2014-09-25 / 20140283972 - RESIN COMPOSITION, RESIN SHEET, AND CURED RESIN MATERIAL AND METHOD FOR PRODUCING THE SAME | 5 |
Hitomi Katagi | JP | Mitaka-Shi | 2015-03-19 / 20150079663 - SPECIMEN PROCESSOR | 1 |
Hideyuki Katagi | JP | Chikusei-Shi | 2014-01-16 / 20140015000 - RESIN COMPOSITION, RESIN SHEET, CURED RESIN SHEET, RESIN SHEET LAMINATE, CURED RESIN SHEET LAMINATE AND METHOD FOR PRODUCING SAME, SEMICONDUCTOR DEVICE AND LED DEVICE | 1 |
Hideyuki Katagi | JP | Ibaraki | 2011-09-15 / 20110223539 - PHOTOSENSITIVE RESIN COMPOSITION FOR PROTECTIVE FILM OF PRINTED WIRING BOARD FOR SEMICONDUCTOR PACKAGE | 1 |
Masanobu Katagi | JP | Kanagawa | 2015-07-30 / 20150214776 - ELECTRICAL CHARGING/DISCHARGING CONTROL APPARATUS, ELECTRIC-POWER MANAGEMENT APPARATUS, ELECTRIC-POWER MANAGEMENT METHOD AND ELECTRIC-POWER MANAGEMENT SYSTEM | 29 |
Hidekazu Katagi | JP | Sennan-City | 2013-10-31 / 20130287990 - ELASTIC COMPOSITE TWISTED YARN, METHOD FOR PREPARING ELASTIC COMPOSITE TWISTED YARN, AND PILE FIBER PRODUCT USING THE SAME | 1 |
Hiroshi Katagiri | JP | Kasugai-Shi | 2009-09-24 / 20090236138 - MULTILAYER WIRING BOARD AND METHOD OF MANUFACTURING THE SAME | 2 |
Yoshihide Katagiri | JP | Nisshin-Shi | 2013-05-23 / 20130131252 - RESIN COMPOSITION AND ELECTRICALLY INSULATING PART OBTAINED FROM THE SAME | 2 |
Satoshi Katagiri | JP | Yama-Gun | 2011-08-11 / 20110192502 - Zinc Base Alloy | 1 |
Hiroki Katagiri | JP | Kanagawa | 2011-11-24 / 20110285057 - METHOD OF MANUFACTURING PIPE WITH BRANCH | 2 |
Nobuchika Katagiri | JP | Saitama | 2011-12-01 / 20110294375 - OUTBOARD MOTOR CONTROL APPARATUS | 2 |
Baku Katagiri | JP | Miyagi | 2011-12-22 / 20110310330 - THIN BACKLIGHT SYSTEM AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 2 |
Tetsu Katagiri | JP | Saitama | 2012-05-31 / 20120136603 - TEST APPARATUS AND DEBUG METHOD | 2 |
Masaki Katagiri | JP | Tokai | 2012-11-08 / 20120280132 - PIXEL-TYPE TWO-DIMENSIONAL IMAGE DETECTOR | 2 |
Kimie Katagiri | JP | Tokyo | 2010-06-03 / 20100138382 - COMMUNICATION MANAGEMENT SYSTEM, COMMUNICATION MANAGEMENT METHOD AND COMMUNICATION CONTROL DEVICE | 1 |
Tomoyuki Katagiri | JP | Tokyo | 2012-07-05 / 20120167462 - METHOD AND APPARATUS FOR CONTROLLING TEMPERATURE IN COMBUSTION FURNACE IN GASIFICATION EQUIPMENT | 3 |
Noriko Katagiri | JP | Tokyo | 2009-11-05 / 20090273167 - Curtain Airbag Apparatus | 1 |
Tetsu Katagiri | JP | Tokyo | 2008-10-23 / 20080262778 - RECORDING MEDIUM, TEST APPARATUS AND PROGRAM | 1 |
Satoshi Katagiri | JP | Tokyo | 2013-03-07 / 20130058154 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 5 |
Masahiro Katagiri | JP | Tokyo | 2014-09-04 / 20140248424 - METHOD FOR PRODUCING GLASS SUBSTRATE FOR MAGNETIC DISK AND METHOD FOR MANUFACTURING MAGNETIC DISK | 3 |
Yoshito Katagiri | JP | Tokyo | 2009-06-04 / 20090141139 - Imaging Device | 2 |
Haruyoshi Katagiri | JP | Tokyo | 2009-02-12 / 20090039471 - SEMICONDUCTOR DEVICE | 1 |
Hironori Katagiri | JP | Nagaoka-Shi | 2011-12-15 / 20110303879 - SULFIDE AND PHOTOELECTRIC ELEMENT | 1 |
Toshikatsu Katagiri | JP | Wako | 2011-12-22 / 20110311889 - FUEL CELL SYSTEM | 1 |
Norikazu Katagiri | JP | Gunma-Ken | 2013-02-14 / 20130038805 - LIQUID CRYSTAL DRIVING CIRCUIT | 2 |
Baku Katagiri | JP | Itabashi-Ku, Tokyo | 2016-02-04 / 20160033692 - COMPOSITION FOR LIGHT DIFFUSION FILM, AND LIGHT DIFFUSION FILM | 2 |
Hiroki Katagiri | JP | Gunma | 2012-03-22 / 20120068388 - METHOD OF MANUFACTURING HOLLOW BODY | 1 |
Shota Katagiri | JP | Minato-Ku | 2014-10-16 / 20140309034 - GAME MACHINE, CONTROL METHOD USED THEREFOR, AND A NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM STORING A COMPUTER PROGRAM | 7 |
Kazuhiko Katagiri | JP | Tokyo | 2012-04-12 / 20120084967 - POLISHING JIG AIR INJECTION APPARATUS AND METHOD | 1 |
Tetsuya Katagiri | JP | Kyoto | 2012-04-19 / 20120092539 - Image Pickup Apparatus | 2 |
Toshikatsu Katagiri | JP | Wako-Shi | 2012-05-10 / 20120111967 - HOLLOW-FIBER MEMBRANE MODULE FOR MOISTURE EXCHANGE | 1 |
Toyomasa Katagiri | JP | Tokyo | 2013-09-05 / 20130230521 - Tumor-Targeting Monoclonal Antibodies to FZD10 and Uses Thereof | 18 |
Toyomasa Katagiri | JP | Shinagawa-Ku | 2010-08-12 / 20100204060 - HYPOXIA-INDUCIBLE PROTEIN 2 (HIG 2), A DIAGNOSTIC MARKER FOR CLEAR CELL RENAL CELL CARCINOMA | 3 |
Noritaka Katagiri | JP | Nagano-Shi | 2015-01-29 / 20150029689 - BUMP STRUCTURE, WIRING SUBSTRATE, SEMICONDUCTOR APPARATUS AND BUMP STRUCTURE MANUFACTURING METHOD | 2 |
Takahiro Katagiri | JP | Tokyo | 2014-08-07 / 20140221392 - CYCLOHEXANE DERIVATIVE COMPOUND | 6 |
Tomoaki Katagiri | JP | Tsukuba-Shi | 2012-07-05 / 20120172569 - THERMOSETTING RESIN HAVING BENZOXAZINE RING AND METHOD FOR PRODUCING THE SAME | 1 |
Takashi Katagiri | JP | Yamato-Shi | 2015-10-01 / 20150277777 - WRITE PROCEDURE USING ESTIMATED BEST SETTING IN FIRST RUN | 2 |
Takashi Katagiri | JP | Kanagawa-Ken | 2016-04-14 / 20160104506 - APPARATUS AND METHOD FOR CONTROLLING TRANSPORTATION OF TAPE MEDIUM | 9 |
Takashi Katagiri | JP | Sendai-Shi | 2012-07-12 / 20120177332 - Optical Pulse Compressor | 6 |
Takashi Katagiri | JP | Yamato | 2012-11-22 / 20120293887 - APPARATUS AND METHOD FOR CONTROLLING DATA WRITING TO A TAPE MEDIUM | 7 |
Takashi Katagiri | JP | Yokohama-Shi | 2015-10-29 / 20150309738 - ADAPTING TO PREDICTED CHANGES IN HOST TRANSMISSION RATES | 20 |
Hiroomi Katagiri | JP | Tokyo | 2012-07-26 / 20120189828 - OPTICAL LAYERED BODY, POLARIZER AND IMAGE DISPLAY DEVICE | 1 |
Shinya Katagiri | JP | Aichi | 2015-12-24 / 20150369336 - CARBON FIBER CORD FOR REINFORCING RUBBER PRODUCT AND RUBBER PRODUCT USING THE SAME | 1 |
Takashi Katagiri | JP | Kanagawa-Ken | 2016-04-14 / 20160104506 - APPARATUS AND METHOD FOR CONTROLLING TRANSPORTATION OF TAPE MEDIUM | 9 |
Takashi Katagiri | JP | Kanagawa | 2015-11-26 / 20150340056 - APPARATUS AND METHOD FOR CONTROLLING TRANSPORTATION OF TAPE MEDIUM | 3 |
Yoshihiro Katagiri | JP | Kariya-Shi | 2015-02-05 / 20150037633 - BATTERY PACK | 2 |
Daisuke Katagiri | JP | Tokyo | 2012-10-04 / 20120250292 - DISPLAY PANEL UNIT, DISPLAY PANEL MODULE AND DISPLAY DEVICE | 1 |
Kazuhiro Katagiri | JP | Saitama | 2012-10-04 / 20120253813 - SPEECH SEGMENT DETERMINATION DEVICE, AND STORAGE MEDIUM | 1 |
Kensuke Katagiri | JP | Ashigarakami-Gun | 2016-03-17 / 20160081184 - TRANSPARENT CONDUCTIVE FILM AND METHOD FOR PRODUCING TRANSPARENT CONDUCTIVE FILM | 2 |
Masayuki Katagiri | JP | Kawasaki-Shi | 2014-09-25 / 20140284802 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 7 |
Masayuki Katagiri | JP | Tsukuba-Shi | 2012-11-22 / 20120291695 - METHOD FOR PRODUCING HEXAGONAL BORON NITRIDE SINGLE CRYSTALS | 2 |
Masayuki Katagiri | JP | Kanagawa | 2015-12-03 / 20150349060 - SEMICONDUCTOR DEVICE | 12 |
Masayuki Katagiri | JP | Niigata | 2016-04-28 / 20160115271 - CYANATE ESTER COMPOUND, CURABLE RESIN COMPOSITION CONTAINING SAID COMPOUND, AND CURED PRODUCT OF SAID COMPOSITION | 4 |
Masayuki Katagiri | JP | Niigata-Shi | 2014-10-16 / 20140308530 - NOVEL CYANATE ESTER COMPOUND AND METHOD FOR PRODUCING THE SAME, AND CURABLE RESIN COMPOSITION COMPRISING THE COMPOUND, AND CURED PRODUCT THEREOF COMPOSITION | 5 |
Shiro Katagiri | JP | Tsukuba-Shi | 2012-10-18 / 20120263882 - RESIN-IMPREGNATED BASE SUBSTRATE AND METHOD FOR PRODUCING THE SAME | 1 |
Noriyuki Katagiri | JP | Yokohama-Shi | 2013-03-21 / 20130070886 - HOLDING DEVICE | 2 |
Tsuyoshi Katagiri | JP | Kyoto | 2012-12-20 / 20120319578 - DIELECTRIC BARRIER DISCHARGE LAMP AND LAMP UNIT | 1 |
Noriyuki Katagiri | JP | Kanagawa | 2013-07-04 / 20130170598 - NUCLEAR REACTOR CONTAINMENT VESSEL | 2 |
Yoshihiro Katagiri | JP | Aichi-Ken | 2013-01-10 / 20130011712 - BATTERY TEMPERATURE REGULATOR | 1 |
Kiyoshi Katagiri | JP | Wako-Shi | 2013-01-17 / 20130015633 - SADDLE TYPE VEHICLEAANM NAKABAYASHI; ShunichiAACI Wako-shiAACO JPAAGP NAKABAYASHI; Shunichi Wako-shi JPAANM SAKAGAMI; KojiAACI Wako-shiAACO JPAAGP SAKAGAMI; Koji Wako-shi JPAANM KATAGIRI; KiyoshiAACI Wako-shiAACO JPAAGP KATAGIRI; Kiyoshi Wako-shi JPAANM NAKAHIRA; KotaAACI Wako-shiAACO JPAAGP NAKAHIRA; Kota Wako-shi JPAANM YAMAMOTO; YoshikazuAACI Wako-shiAACO JPAAGP YAMAMOTO; Yoshikazu Wako-shi JPAANM OKUBO; KiyoharuAACI Wako-shiAACO JPAAGP OKUBO; Kiyoharu Wako-shi JP | 1 |
Tatsuya Katagiri | JP | Tokyo | 2013-02-14 / 20130038012 - SHEET PROCESSING APPARATUS AND IMAGE FORMING SYSTEM | 1 |
Shota Katagiri | JP | Tokyo | 2013-02-28 / 20130053143 - DATA GENERATION SYSTEM, DATA GENERATION METHOD, AND A STORAGE MEDIUM STORING A COMPUTER PROGRAM | 4 |
Takao Katagiri | JP | Kitanagoya-Shi | 2013-03-21 / 20130069270 - GRANULATING METHOD AND GRANULATING DEVICE | 1 |
Tetsuya Katagiri | JP | Tokyo | 2013-04-04 / 20130083157 - Imaging Device | 1 |
Gen-Ichi Katagiri | JP | Yokohama-City | 2013-04-11 / 20130090512 - RESIN VOLUME REDUCTION PROCESSING SYSTEM AND RESIN VOLUME REDUCTION PROCESSING METHOD | 1 |
Mitsuaki Katagiri | JP | Chuo-Ku Tokyo | 2016-01-28 / 20160027754 - SEMICONDUCTOR DEVICE | 1 |
Satoshi Katagiri | JP | Yokohama-Shi | 2013-06-20 / 20130157438 - SUBSTRATE HOLDING UNIT, SUBSTRATE BONDING APPARATUS, MULTI-LAYERED SUBSTRATE MANUFACTURING APPARATUS, SUBSTRATE BONDING METHOD, MULTI-LAYER SUBSTRATE MANUFACTURING METHOD, AND MULTI-LAYERED SEMICONDUCTOR APPARATUS MANUFACTURING METHOD | 1 |
Baku Katagiri | JP | Warabi-Shi | 2013-07-04 / 20130170028 - SCREEN AND PROJECTION SYSTEM | 1 |
Tsutomu Katagiri | JP | Kanagawa | 2013-07-11 / 20130177496 - REACTOR FOR SYNTHESIZING HYDROGEN SULFIDE, APPARATUS FOR PRODUCING HYDROGEN SULFIDE, APPARATUS FOR PRODUCING SODIUM HYDROGEN SULFIDE, METHOD FOR PRODUCING HYDROGEN SULFIDE, AND METHOD FOR PRODUCING SODIUM HYDROGEN SULFIDE | 1 |
Naoya Katagiri | JP | Yamaguchi | 2013-07-18 / 20130184494 - Oxidation Catalyst for Hydrocarbon Compound, and Method and Apparatus for Producing Oxide of Hydrocarbon Compound Using Same | 1 |
Baku Katagiri | JP | Tokyo | 2016-01-28 / 20160025907 - LIGHT DIFFUSION FILM AND LIGHT DIFFUSION FILM MANUFACTURING METHOD | 1 |
Mikine Katagiri | JP | Toyota-Shi | 2013-11-07 / 20130292082 - SET OF MEMBERS FOR AN EVAPORATIVE PATTERN AND AN EVAPORATIVE PATTERN | 1 |
Gen-Ichi Katagiri | JP | Yokohama-Shi | 2013-11-28 / 20130313227 - APPARATUS FOR REDUCING VOLUME OF RESIN CONTAINING RADIOACTIVE MATERIAL, AND METHOD FOR OPERATING THE APPARATUS | 1 |
Takashi Katagiri | JP | Sakado-Shi | 2013-12-19 / 20130337146 - BAKED CONFECTIONERY | 1 |
Yukio Katagiri | JP | Hiroshima | 2016-02-04 / 20160032438 - HIGH-STRENGTH PLATED STEEL PLATE FOR WELDED STRUCTURAL MEMBER, AND METHOD FOR PRODUCING THE SAME | 6 |
Yukinori Katagiri | JP | Tokyo | 2015-05-21 / 20150135712 - Activation Control Device | 5 |
Masaki Katagiri | JP | Anpachi-Gun | 2014-05-01 / 20140118551 - VEHICLE SURROUNDING-AREA MONITORING APPARATUS | 1 |
Nobuchika Katagiri | JP | Wako-Shi | 2014-02-27 / 20140057507 - OUTBOARD ENGINE UNIT | 8 |
Shinji Katagiri | JP | Yokohama-Shi | 2016-02-18 / 20160048096 - IMAGE FORMING APPARATUS | 23 |
Takashi Katagiri | JP | Yokohama-Shi | 2015-10-29 / 20150309738 - ADAPTING TO PREDICTED CHANGES IN HOST TRANSMISSION RATES | 20 |
Mitsuaki Katagiri | JP | Tokyo | 2016-01-28 / 20160027758 - SEMICONDUCTOR DEVICE | 37 |
Yoko Katagiri | JP | Shinagawa-Ku | 2015-01-08 / 20150012387 - DEVICE FOR PROVIDING INFORMATION, METHOD FOR PROVIDING INFORMATION, PROGRAM FOR PROVIDING INFORMATION, AND COMPUTER-READABLE RECORDING MEDIUM STORING PROGRAM FOR SAME | 4 |
Noriaki Katagiri | JP | Hyogo-Ken | 2014-03-06 / 20140065829 - TRENCH FORMATION METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Yusuke Katagiri | KR | Seongnam-Si | 2014-01-30 / 20140032684 - METHOD AND SYSTEM FOR PROVIDING ON-AIR SERVICE USING OFFICIAL ACCOUNT | 1 |
Masaki Katagiri | JP | Naka | 2014-01-23 / 20140021359 - Neutron Detector and Neutron Image Detector with Scintillator | 1 |
Akira Katagiri | JP | Aichi | 2013-11-21 / 20130311040 - ACTIVE NOISE CANCELLATION APPARATUS | 1 |
Kiyoshi Katagiri | JP | Wako | 2013-09-12 / 20130234845 - INFORMATION DISPLAY SYSTEM FOR VEHICLE, INFORMATION DISPLAY METHOD FOR VEHICLE, VEHICLE AND MOUNTING MEMBER | 1 |
Hiroto Katagiri | JP | Shizuoka | 2013-09-05 / 20130229603 - DISPLAY DEVICE AND ANISOTROPIC SCATTERER | 1 |
Takashi Katagiri | JP | Tokyo | 2016-04-28 / 20160117111 - READING ORDER SEARCH METHOD AND PROGRAM FOR RECORDING | 8 |
Toyomasa Katagiri | JP | Tokushima | 2015-02-19 / 20150051379 - METHOD FOR TREATING SYNOVIAL SARCOMA | 3 |
Hiroshi Katagiri | JP | Osaka | 2014-06-12 / 20140159031 - ORGANIC LIGHT-EMITTING ELEMENT | 1 |
Tetsuya Katagiri | JP | Niigata | 2012-04-12 / 20120088425 - ORGANIC EL ELEMENT PRODUCING METHOD | 2 |
Toshiyuki Katagiri | JP | Ashigarakami-Gun | 2010-08-26 / 20100215986 - FUNCTIONAL FILM AND METHOD FOR MANUFACTURING THE FUNCTIONAL FILM | 1 |
Takato Katagiri | JP | Kumagaya-Shi | 2010-03-18 / 20100066925 - Head Up Display | 2 |
Souichi Katagiri | JP | Kodaira | 2013-03-14 / 20130063029 - CHARGED PARTICLE BEAM APPARATUS, AND METHOD OF CONTROLLING THE SAME | 5 |
Norikazu Katagiri | JP | Ora-Gun | 2010-04-29 / 20100103157 - LIQUID CRYSTAL DISPLAY DRIVE CIRCUIT | 1 |
Yukinori Katagiri | JP | Hitachi | 2014-02-06 / 20140033720 - Controller for Gas Turbine Power Plant | 3 |
Tetsuya Katagiri | JP | Kyoto-Shi | 2013-08-15 / 20130208117 - Imaging Device | 6 |
Kazuaki Katagiri | JP | Hyogo | 2011-01-06 / 20110000336 - HIGHLY HEAT-CONDUCTIVE COMPOSITE MATERIAL | 2 |
Yasuharu Katagiri | JP | Hamamatsu-Shi | 2010-12-23 / 20100319519 - Electronic Percussion Instrument | 1 |
Nobuchika Katagiri | JP | Wako-Shi | 2014-02-27 / 20140057507 - OUTBOARD ENGINE UNIT | 8 |
Kenji Katagiri | JP | Kamisato | 2010-04-29 / 20100101601 - CLEANING EQUIPMENT AND CLEANING METHOD OF DEPOSITION MASK | 1 |
Hiroki Katagiri | JP | Ota-Shi | 2013-09-12 / 20130236591 - HOLLOW BODY MOLDING DEVICE | 2 |
Masahiro Katagiri | JP | Nagano-Ken | 2010-09-30 / 20100245935 - Image Processing Apparatus and Image Processing Method | 2 |
Yukinori Katagiri | JP | Hitachinaka | 2010-09-23 / 20100236255 - GAS TURBINE EQUIPMENT UTILIZING HIGH HUMIDITY | 2 |
Teruaki Katagiri | JP | Kirishima | 2010-09-16 / 20100234207 - ABRASION-RESISTANT SINTERED BODY, SLIDING MEMBER, AND PUMP | 1 |
Satoshi Katagiri | JP | Yamato-Shi | 2010-08-19 / 20100206454 - SUBSTRATE HOLDING UNIT, SUBSTRATE BONDING APPARATUS, MULTI-LAYERED SUBSTRATE MANUFACTURING APPARATUS, SUBSTRATE BONDING METHOD, MULTI-LAYERED SUBSTRATE MANUFACTURING METHOD, AND MULTI-LAYERED SEMICONDUCTOR APPARATUS MANUFACTURING METHOD | 1 |
Hiroshi Katagiri | JP | Kawasaki | 2010-08-19 / 20100209063 - PATCH PANEL SYSTEM | 1 |
Tomoaki Katagiri | JP | Chiba | 2010-08-19 / 20100210810 - METHOD FOR PRODUCING THERMOSETTING RESIN HAVING BENZOXAZINE RING | 1 |
Yasushi Katagiri | JP | Narashino-Shi | 2015-12-31 / 20150375531 - INKJET RECORDING APPARATUS | 3 |
Mitsuaki Katagiri | JP | Chuo-Ku | 2011-09-29 / 20110234249 - TEST METHOD AND INTERPOSER USED THEREFOR | 3 |
Kensuke Katagiri | JP | Kanagawa | 2010-06-24 / 20100157426 - POLARIZING FILM, METHOD FOR PRODUCING POLARIZING FILM, POLARIZING PLATE, METHOD FOR PRODUCING POLARIZING PLATE, AND ANTI-GLARE VEHICULAR FILM | 2 |
Junichi Katagiri | JP | Naka | 2010-05-20 / 20100122967 - VACUUM SWITCHGEAR | 1 |
Baku Katagiri | JP | Itabashi-Ku | 2016-02-18 / 20160047952 - LIGHT DIFFUSION FILM AND LIGHT DIFFUSION FILM MANUFACTURING METHOD | 1 |
Baku Katagiri | JP | 2016-03-17 / 20160077246 - OPTICAL-DIFFUSION FILM FOR DISPLAY AND REFLECTIVE DISPLAY DEVICE USING SAME | 2 | |
Yuichiro Katagiri | JP | Inagi | 2016-04-07 / 20160099716 - CONTROL DEVICE FOR CLOCK GENERATION CIRCUIT, CONTROL METHOD FOR CLOCK GENERATION CIRCUIT, AND CLOCK GENERATION CIRCUIT | 1 |
Yoshitaka Katagiri | JP | Osaka | 2010-05-20 / 20100124033 - TERMINAL STRUCTURE OF AN ELECTRONIC COMPONENT | 1 |
Chika Katagiri | JP | Yokohama-Shi, Kanagawa | 2010-05-06 / 20100113450 - Agent for Preventing and Alleviating Wrinkles | 1 |
Airi Katagiri | JP | Minamisaitama-Gun | 2015-09-17 / 20150259803 - DISPERSION OF METAL NANOPARTICLES, METHOD FOR PRODUCING THE SAME, AND METHOD FOR SYNTHESIZING METAL NANOPARTICLES | 2 |
Toshiyuki Katagiri | JP | Minami-Ashigara-Shi | 2010-03-25 / 20100075889 - FILM AND METHOD FOR PRODUCING THE SAME | 1 |
Naohiko Katagiri | JP | Okayama | 2010-03-11 / 20100062139 - DRIED VEGETABLES COMPRISING SACCHARIDES, THEIR PREPARATION AND USES | 2 |
Hideaki Katagiri | JP | Kawasaki | 2012-02-02 / 20120030640 - DESIGN SUPPORT APPARATUS, CONTROL METHOD, AND CONTROL PROGRAM | 3 |
Atsushi Katagiri | JP | Kanagawa | 2010-01-28 / 20100020497 - SUBSTRATE STRUCTURE | 2 |
Fujio Katagiri | JP | Hiroshima | 2010-01-28 / 20100021250 - WATER TREATMENT PAD FOR DRILLING TOOL | 1 |
Namio Katagiri | JP | Hamura | 2010-01-21 / 20100015797 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Hideki Katagiri | JP | Miyagi | 2012-10-25 / 20120269796 - Method for Enhancing Pancreatic Beta Cell Proliferation, Increasing Serum Insulin Concentration, Decreasing Blood Glucose Concentration And Treating And/Or Preventing Diabetes | 3 |
Hiroaki Katagiri | JP | Sammu-Shi | 2009-12-10 / 20090303406 - METHOD FOR FORMING WIRING FILM, TRANSISTOR AND ELECTRONIC DEVICE | 4 |
Shinji Katagiri | JP | Yokohama-Shi | 2016-02-18 / 20160048096 - IMAGE FORMING APPARATUS | 23 |
Soichi Katagiri | JP | Kodaira | 2009-11-26 / 20090289186 - Small electron gun | 1 |
Hisaaki Katagiri | JP | Kawasaki-Shi | 2009-11-26 / 20090292837 - PROPAGATION PATH SPECIFYING DEVICE, PROPAGATION PATH SPECIFYING METHOD AND COMPUTER PROGRAM PRODUCT | 1 |
Shinichiro Katagiri | JP | Kawasaki-Shi | 2011-10-06 / 20110241467 - PERMANENT MAGNET MOTOR | 2 |
Yo Katagiri | JP | Kyoto | 2009-11-12 / 20090279775 - METHOD OF INSPECTING MOUNTING STATES OF ELECTRONIC COMPONENTS | 1 |
Chika Katagiri | JP | Kanagawa | 2009-09-17 / 20090233319 - Method for Evaluating Skin Condition Using Squamous Cell Carcinoma Antigen as Marker | 2 |
Hirofumi Katagiri | JP | Nirasaki City | 2009-08-27 / 20090212804 - NEEDLE TRACE TRANSFER MEMBER AND PROBE APPARATUS | 1 |
Yasushi Katagiri | JP | Kashiwa-Shi | 2009-08-20 / 20090208231 - ELECTRONIC PHOTOGRAPH PRINTER | 1 |
Dai Katagiri | JP | Saitama-Shi | 2015-10-01 / 20150279260 - VEHICLE DISPLAY DEVICE | 2 |
Fumimasa Katagiri | JP | Nagano | 2009-06-11 / 20090145648 - MULTILAYER WIRING BOARD, MANUFACTURING METHOD THEREOF, AND SEMICONDUCTOR DEVICE | 1 |
Chiharu Katagiri | JP | Saitama-Shi | 2009-06-04 / 20090140607 - Driving apparatus | 1 |
Chiraru Katagiri | JP | Saitama-Shi | 2009-05-21 / 20090128933 - Driving device | 1 |
Chika Katagiri | JP | Yokohama-Shi | 2012-11-08 / 20120283302 - SCCA-1 PRODUCTION INHIBITOR HAVING A CARBOXAMIDE DERIVATIVE AND/OR A SALT THEREOF AS AN ACTIVE INGREDIENT | 3 |
Katsuhiro Katagiri | JP | Nishikasugai-Gun | 2009-04-30 / 20090110507 - Clip | 1 |
Tsutomu Katagiri | JP | Higashi Ibaraki-Gun | 2009-03-19 / 20090071616 - METHOD OF UPGRADING BIOMASS, UPGRADED BIOMASS, BIOMASS WATER SLURRY AND METHOD OF PRODUCING SAME, UPGRADED BIOMASS GAS, AND METHOD OF GASIFYING BIOMASS | 1 |
Takayuki Katagiri | JP | Yokohama-Shi | 2009-02-26 / 20090054515 - SKIN-WHITENING COSMETIC | 1 |
Kaoru Katagiri | JP | Kanagawa-Ken | 2008-10-09 / 20080248266 - METHOD OF MANUFACTURING LAMINATED MATERIAL FOR SECURITY TAG | 1 |
Toshikatsu Katagiri | JP | Saitama | 2011-06-02 / 20110129741 - FUEL CELL SYSTEM AND FUEL CELL AUTOMOTIVE VEHICLE | 2 |
Taku Katagiri | JP | Kawasaki | 2008-09-25 / 20080232717 - IMAGE RECOGNITION DEVICE AND IMAGE ROTATING METHOD | 1 |
Tadao Katagiri | JP | Tokyo | 2014-05-22 / 20140137992 - THICK-WALLED HIGH-STRENGTH SEAMLESS STEEL PIPE WITH EXCELLENT SOUR RESISTANCE FOR PIPE FOR PIPELINE, AND PROCESS FOR PRODUCING SAME | 1 |
Souichi Katagiri | JP | Tokyo | 2015-02-05 / 20150034835 - CHARGED PARTICLE BEAM APPARATUS | 2 |
Hideaki Katagiri | JP | Fujisawa | 2014-09-11 / 20140258959 - SUPPORT TECHNIQUE | 1 |
Shingo Katagiri | JP | Fukushima-Ken | 2014-09-18 / 20140282631 - OPTICAL DISC APPARATUS | 1 |
Masayuki Katagiri | JP | Kanagawa | 2015-12-03 / 20150349060 - SEMICONDUCTOR DEVICE | 12 |
Yukitoshi Katagiri | JP | Nagano | 2014-09-25 / 20140289910 - SEALED AFM CELL | 1 |
Haruki Katagiri | JP | Atsugi | 2015-01-22 / 20150022957 - ELECTRONIC DEVICE | 1 |
Morio Katagiri | JP | Toyohashi-Shi | 2015-02-12 / 20150044470 - CARBON FIBER THERMOPLASTIC RESIN PREPREG, CARBON FIBER COMPOSITE MATERIAL AND PRODUCING METHOD | 1 |
Takashi Katagiri | JP | Yokohama | 2016-01-28 / 20160026389 - TAPE DRIVE BUFFER UTILIZATION | 11 |
Kazuhiro Katagiri | JP | Tokyo | 2015-03-05 / 20150063590 - SOUND SOURCE SEPARATING APPARATUS, SOUND SOURCE SEPARATING PROGRAM, SOUND PICKUP APPARATUS, AND SOUND PICKUP PROGRAM | 1 |
Masaki Katagiri | JP | Mito | 2015-09-24 / 20150267109 - ZNS Fluorescent Material and Manufacturing Method Thereof | 2 |
Masaki Katagiri | JP | Mito-Shi | 2015-04-09 / 20150097122 - NEUTRON DETECTOR | 1 |
Takashi Katagiri | JP | Kanagawaken | 2015-04-30 / 20150116859 - WRITING AND READING DATA HAVING MULTIPLE ACCESS PATTERNS ON TAPE MEDIA | 2 |
Yusuke Katagiri | JP | Toyohashi-Shi | 2016-05-19 / 20160137081 - CHARGING INLET LID STRUCTURE | 1 |
Kenichi Katagiri | JP | Saitama | 2015-07-02 / 20150182366 - JOINT MECHANISM | 1 |
Toru Katagiri | JP | Okazaki-Shi | 2015-07-09 / 20150192717 - OPTICAL ARTICLE FOR RECEIVING AND EMITTING INFRARED RAY AND INFRARED RAY RECEIVING AND EMITTING UNIT | 1 |
Fumiaki Katagiri | US | San Diego | 2009-07-16 / 20090183283 - RICE PROMOTERS FOR REGULATION OF PLANT EXPRESSION | 1 |
Fumimasa Katagiri | US | Tempe | 2011-09-22 / 20110227214 - WIRING BOARD AND METHOD OF MANUFACTURING THE SAME, AND SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 5 |
Fumiaki Katagiri | US | St. Paul | 2010-10-28 / 20100275333 - ABIOTIC STRESS RESPONSIVE POLYNUCLEOTIDES AND POLYPEPTIDES | 1 |
Kazuo Katagiri | JP | Hatano-Shi | 2015-07-23 / 20150206665 - SWITCH | 1 |
Kensuke Katagiri | JP | Ashigara-Kami-Gun | 2015-08-20 / 20150234271 - MANUFACTURING METHOD OF CONDUCTIVE SHEET AND CONDUCTIVE SHEET | 1 |
Takashi Katagiri | JP | Tokyo | 2016-04-28 / 20160117111 - READING ORDER SEARCH METHOD AND PROGRAM FOR RECORDING | 8 |
Masayuki Katagiri | JP | Kawasaki | 2016-03-24 / 20160086891 - GRAPHENE WIRING AND METHOD FOR MANUFACTURING THE SAME | 3 |
Naoki Katagiri | JP | Osaka | 2015-12-03 / 20150348808 - DUST COLLECTING MECHANISM FOR GROOVE MACHINING HEAD AND GROOVE MACHINING APPARATUS | 2 |
Yasuhiro Katagiri | US | Bethesda | 2015-10-01 / 20150274815 - TREATMENT OF CENTRAL NERVOUS SYSTEM (CNS) INJURY | 1 |
Katsuhiko Katagiri | JP | Komaki-Shi | 2016-04-28 / 20160116018 - VIBRATION DAMPING DEVICE | 1 |
Toru Katagiri | JP | Kawasaki | 2015-09-03 / 20150249874 - OPTICAL COMMUNICATION APPARATUS AND OPTICAL COMMUNICATION METHOD | 41 |
Fumimasa Katagiri | JP | Nagano-Shi | 2010-10-07 / 20100252921 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 9 |
Toshiharu Katagiri | JP | Aichi | 2015-06-04 / 20150152958 - SHIFT DEVICE | 36 |
Takashi Katagiri | JP | Yokohama | 2016-01-28 / 20160026389 - TAPE DRIVE BUFFER UTILIZATION | 11 |
Hiroo Katagiri | JP | Kanagawa | 2015-11-26 / 20150337079 - POLYARYLATE AND MOLDED ARTICLE USING SAME | 1 |
Hiroto Katagiri | JP | Shizuoka-Shi | 2015-11-26 / 20150338698 - DISPLAY APPARATUS | 1 |
Toyomasa Katagiri | JP | Tokyo | 2013-09-05 / 20130230521 - Tumor-Targeting Monoclonal Antibodies to FZD10 and Uses Thereof | 18 |
Katsuhiro Katagiri | JP | Aichi-Ken | 2010-12-02 / 20100300824 - SHOCK ABSORBING APPARATUS | 6 |
Toshiharu Katagiri | JP | Aichi-Ken | 2016-05-19 / 20160138704 - SHIFT DEVICE | 3 |
Yosihide Katagiri | JP | Aichi-Ken | 2010-02-25 / 20100047582 - LAMINATED BODY FOR RESIN GLASS AND METHOD FOR MANUFACTURING THE SAME | 1 |
Baku Katagiri | JP | Sendai-Shi | 2013-08-08 / 20130201424 - BACKLIGHT SYSTEM AND LCD DEVICE USING THE SAME | 5 |
Mitsuaki Katagirl | JP | Tokyo | 2010-04-08 / 20100084773 - SEMICONDUCTOR DEVICE AND METHOD OF BONDING WIRES BETWEEN SEMICONDUCTOR CHIP AND WIRING SUBSTRATE | 1 |
Yuuichi Katagishi | JP | Tokyo | 2011-11-10 / 20110274424 - Lens barrel, photographic device, and production method of same | 1 |
Makoto Katagishi | JP | Tokyo | 2016-01-28 / 20160028467 - Wireless Transport System | 4 |
Kazuki Katagishi | JP | Tsukuba | 2008-08-28 / 20080207160 - Discrete Signal Processing Device and Processing Method | 1 |
Kazuki Katagishi | JP | Tukuba | 2008-10-23 / 20080258958 - Signal processing device and method, signal processing program, and recording medium where the program is recorded | 2 |
Makoto Katagishi | JP | Chigasaki | 2014-03-20 / 20140078931 - Wireless Communication Device, Wireless Communication System, And Wireless Communication Control Method | 5 |
Naoki Katagiyama | JP | Tokyo | 2015-09-24 / 20150268424 - CONNECTOR AND PLUG THAT INCORPORATES SAME | 21 |
Muneki Kataguchi | JP | Nasushiobara-Shi | 2015-12-17 / 20150363104 - DIAGNOSTIC IMAGING APPARATUS, DIAGNOSTIC ULTRASONIC APPARATUS, AND MEDICAL IMAGE DISPLAYING APPARATUS | 9 |
Ryoko Kataguchi | JP | Toyama | 2015-05-21 / 20150135494 - Buckle | 1 |
Muneki Kataguchi | JP | Nasushiobara-Shi | 2015-12-17 / 20150363104 - DIAGNOSTIC IMAGING APPARATUS, DIAGNOSTIC ULTRASONIC APPARATUS, AND MEDICAL IMAGE DISPLAYING APPARATUS | 9 |
Muneki Katagushi | JP | Nasushiobara-Shi | 2011-03-03 / 20110054320 - ULTRASONIC DIAGNOSIS APPARATUS | 1 |
Kazutoshi Katahira | JP | Saitama | 2013-11-14 / 20130302872 - PRODUCTION OF CELL TISSUE HAVING THREE-DIMENSIONAL STRUCTURE USING ELECTROSTATIC INK JET PHENOMENON | 2 |
Yuko Katahira | JP | Kawaguchi-Shi | 2012-11-29 / 20120302423 - Glass for Light Guide Fiber | 1 |
Yoshiaki Katahira | JP | Yokohama-Shi | 2015-06-04 / 20150156344 - IMAGE PROCESSING SYSTEM INCLUDING IMAGE PROCESSING DEVICE AND INFORMATION PROCESSING DEVICE WHICH COMMUNICATE WITH EACH OTHER | 9 |
Natsuhiko Katahira | JP | Toyota-Shi | 2013-03-28 / 20130078439 - STRUCTURE OF FIBER-REINFORCED COMPOSITE MATERIAL-MADE COMPONENT PART, AND PRODUCTION METHOD FOR THE COMPONENT PART | 3 |
Manabu Katahira | JP | Ibaraki | 2011-09-01 / 20110211608 - SEMICONDUCTOR LASER ELEMENT | 1 |
Satoshi Katahira | JP | Nagoya-Shi | 2016-05-05 / 20160122784 - RECOMBINANT YEAST AND METHOD FOR PRODUCING ETHANOL USING THE SAME | 9 |
Toru Katahira | JP | Matsumoto-Shi | 2016-04-07 / 20160100144 - PROJECTION SYSTEM AND PROJECTOR | 10 |
Kousuke Katahira | JP | Kanagawa-Ken | 2010-10-14 / 20100258026 - DEVICE ATTACHED TO GUIDED VEHICLE TO REMOVE OBSTACLES ON GUIDEWAY | 1 |
Masahiro Katahira | JP | Kanagawa | 2014-04-24 / 20140112689 - IMAGE FORMING APPARATUS | 3 |
Yasuhiro Katahira | JP | Fukushima-Shi | 2011-04-07 / 20110080599 - PRINT APPARATUS AND PRINT METHOD | 1 |
Kousuke Katahira | JP | Tokyo | 2016-03-10 / 20160069033 - SNOW REMOVAL DEVICE, VEHICLE, AND TRACK TRANSPORTATION SYSTEM | 14 |
Natsuhiko Katahira | JP | Aichi | 2010-08-05 / 20100196639 - FLANGED FIBER-REINFORCED RESIN HOLLOW PART AND METHOD OF MOLDING THE SAME | 2 |
Chisato Katahira | JP | Nara-Shi | 2010-05-27 / 20100128589 - COMPOSITION FOR HOLOGRAPHIC RECORDING MEDIUM | 1 |
Kousuke Katahira | JP | Kanagawa | 2012-05-03 / 20120103227 - GUIDE RAIL TYPE VEHICLE WITH GUIDE DEVICE | 11 |
Kousuke Katahira | JP | Tokyo | 2016-03-10 / 20160069033 - SNOW REMOVAL DEVICE, VEHICLE, AND TRACK TRANSPORTATION SYSTEM | 14 |
Yoshiaki Katahira | JP | Yokohama-Shi | 2015-06-04 / 20150156344 - IMAGE PROCESSING SYSTEM INCLUDING IMAGE PROCESSING DEVICE AND INFORMATION PROCESSING DEVICE WHICH COMMUNICATE WITH EACH OTHER | 9 |
Kousuke Katahira | JP | Hiroshima-Ken | 2010-02-11 / 20100036553 - TRACK TYPE TRANSPORTATION SYSTEM | 3 |
Satoshi Katahira | JP | Nagoya-Shi, Aichi | 2016-01-07 / 20160002674 - METHOD FOR PRODUCING ETHANOL USING RECOMBINANT YEAST | 1 |
Kousuke Katahira | JP | Hiroshima | 2011-08-04 / 20110187317 - NON-CONTACT TYPE POWER FEEDER SYSTEM FOR MOBILE OBJECT | 2 |
Yuko Katahira | JP | Tokyo | 2012-05-24 / 20120127598 - OBJECTIVE LENS AND ENDOSCOPE USING THE SAME | 1 |
Yasuhiro Katahira | JP | Tokyo | 2012-06-28 / 20120163892 - PRINT APPARATUS | 1 |
Satoshi Katahira | JP | Nagakute-Shi | 2013-04-18 / 20130095538 - XYLOSE ISOMERASE AND USE THEREOF | 1 |
Kosuke Katahira | JP | Hiroshima | 2011-05-19 / 20110114742 - STRUCTURE OF BIFURVATION AND CROSSOVER SITE OF GUIDEWAY IN GUIDED VEHICLE TRANSPORTATION SYSTEM | 4 |
Satoshi Katahira | JP | Tokyo | 2013-03-28 / 20130077825 - IMAGE PROCESSING APPARATUS | 2 |
Natsuhiko Katahira | JP | Aichi-Ken | 2012-06-07 / 20120141765 - FIBER REINFORCED RESIN, MANUFACTURING METHOD FOR FIBER REINFORCED RESIN, AND MANUFACTURING SYSTEM FOR FIBER REINFORCED RESIN | 2 |
Masato Katahira | JP | Kanagawa | 2009-03-26 / 20090081756 - Nucleic acid-enzyme complex | 1 |
Kousuke Katahira | JP | Mihara-Shi | 2014-07-10 / 20140190366 - VEHICLE PICK-UP AND DELIVERY DEVICE AND TRACK-BASED TRANSPORTATION SYSTEM PROVIDED THEREWITH | 1 |
Yoshiaki Katahira | JP | Kanagawa-Ken | 2008-12-04 / 20080297828 - Image Processing System Including Image Processing Device and Information Processing Device Which Communicate With Each Other | 2 |
Toru Katahira | JP | Matsumoto-Shi | 2016-04-07 / 20160100144 - PROJECTION SYSTEM AND PROJECTOR | 10 |
Satoshi Katahira | JP | Nagoya-Shi | 2016-05-05 / 20160122784 - RECOMBINANT YEAST AND METHOD FOR PRODUCING ETHANOL USING THE SAME | 9 |
Kousuke Katahira | JP | Kanagawa | 2012-05-03 / 20120103227 - GUIDE RAIL TYPE VEHICLE WITH GUIDE DEVICE | 11 |
Yuko Katahira | JP | Hachioji-Shi | 2012-06-21 / 20120154932 - ENDOSCOPE OBJECTIVE LENS AND ENDOSCOPE USING THE SAME | 1 |
Kenichi Katahira | JP | Utsunomiya-Shi, Tochigi-Ken | 2016-03-10 / 20160067772 - CASTING MOLD | 1 |
Kousuke Katahira | JP | Kawasaki-Shi | 2012-07-19 / 20120181805 - GUIDE WHEEL DEVICE FOR GUIDE RAIL VEHICLE | 1 |
Masaru Katai | JP | Kawanishi-Shi | 2014-07-17 / 20140196367 - HYDROPONICS ATTACHMENT AND HYDROPONICS KIT | 1 |
Hiroshi Katai | JP | Toyohashi-City | 2014-01-23 / 20140021831 - ELECTRIC MOTOR | 2 |
Yukihiro Katai | JP | Kanagawa | 2009-05-07 / 20090117218 - Apparatus for producing dope | 3 |
Lonnie Katai | US | Murphy | 2015-10-01 / 20150281235 - SELF-ACTIVATION OF USER DEVICE | 6 |
Hiroshi Katai | JP | Okazaki-Shi | 2014-07-31 / 20140210284 - MOTOR, METHOD FOR MANUFACTURING MAGNETIC PLATE, AND METHOD FOR MANUFACTURING STATOR | 1 |
Lonnie Hiroshi Katai | US | Murphy | 2013-12-12 / 20130332839 - CROSS-PLATFORM SCHEDULE MANAGEMENT INTERFACE | 4 |
Kazuo Katai | JP | Tokyo | 2013-03-21 / 20130071743 - METHOD OF MANUFACTURING LITHIUM-ION SECONDARY BATTERY POSITIVE ELECTRODE, METHOD OF MANUFACTURING LITHIUM-ION SECONDARY BATTERY, LITHIUM-ION SECONDARY BATTERY POSITIVE ELECTRODE, AND LITHIUM-ION SECONDARY BATTERY | 14 |
Yukihiro Katai | JP | Minami-Ashigara-Shi | 2008-10-16 / 20080251967 - SOLUTION CASTING METHOD AND APPARATUS | 1 |
Takashi Kataigi | JP | Tokyo | 2012-04-19 / 20120092506 - Image processing device, image processing system, image processing method and program | 1 |
Takashi Kataigi | JP | Handa-City | 2016-04-07 / 20160099164 - STRUCTURE FOR JOINING CERAMIC PLATE TO METAL CYLINDRICAL MEMBER | 6 |
Takashi Kataigi | JP | Handa-Shi | 2009-09-24 / 20090235866 - CERAMIC HEATER | 1 |
Makoto Kataigi | JP | Tokyo | 2016-02-18 / 20160048597 - EXTRACTION DEVICE, SEARCH SERVER, INFORMATION PROCESSING DEVICE, EXTRACTION SYSTEM, EXTRACTION METHOD, AND NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM | 2 |
Katalin Kataine Fadgyas | HU | Budaors | 2013-11-07 / 20130296353 - CRYSTALLINE PHARMACEUTICALLY ACTIVE INGREDIENTS | 1 |
Riikka Katainen | FI | Helsinki | 2015-09-17 / 20150260738 - LIPIDOMIC BIOMARKERS FOR THE PREDICTION OF CARDIOVASCULAR OUTCOMES IN CORONARY ARTERY DISEASE PATIENTS UNDERGOING STATIN TREATMENT | 6 |
Riikka Katainen | FI | Espoo | 2014-01-30 / 20140031332 - Biomarkers for Sensitive Detection of Statin-Induced Muscle Toxicity | 1 |
Sami Katainen | FI | Vantaa | 2009-07-23 / 20090187633 - Capability broker and messaging system | 1 |
Riha Kataishi | JP | Lsehara | 2016-03-24 / 20160087226 - Light-Emitting Element, Display Module, Lighting Module, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device | 1 |
Takumi Kataishi | JP | Aichi | 2012-12-20 / 20120322315 - WIRE ARRAY RUBBER CONNECTOR AND METHOD FOR PRODUCING THE SAME | 1 |
Riho Kataishi | JP | Atsugi | 2013-08-22 / 20130214271 - P-Type Semiconductor Material and Semiconductor Device | 8 |
Tomoyuki Kataishi | JP | Hitachinaka | 2013-12-12 / 20130329017 - VEHICLE-MOUNTED CAMERA DEVICE | 1 |
Riho Kataishi | JP | Isehara | 2016-05-05 / 20160126500 - Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 3 |
Riho Kataishi | JP | Atsugi | 2013-08-22 / 20130214271 - P-Type Semiconductor Material and Semiconductor Device | 8 |
Yuichi Kataishi | JP | Kanagawa-Ken | 2009-05-28 / 20090138035 - Filter for Thrombus Capture Catheter | 1 |
Kari Kataja | FI | Oulu | 2010-11-11 / 20100284005 - SPECTROMETER FOR MEASURING MOVING SAMPLE MATERIAL AND THE METHOD | 1 |
Kirsi Kataja | FI | Espoo | 2012-09-13 / 20120227633 - MATERIAL TO BE USED AS A CONCRETE ADDITIVE | 2 |
Kari Kataja | FI | Espoo | 2009-10-29 / 20090269767 - MICROFLUIDIC CHIP DEVICES AND THEIR USE | 2 |
Jari Kataja | FI | Lahti | 2015-07-16 / 20150201473 - METHOD AND CONVERTER FOR SUPPLYING CURRENT TO SERIES CONNECTION OF LEDS | 1 |
Aulis Kataja | FI | Tampere | 2010-01-07 / 20100000797 - DRILLING TOOL | 1 |
Kirsi Kataja | FI | Helsinki | 2009-10-15 / 20090255441 - Starch-Based Filler and Coating Pigment Composition for Fibre Webs and Method for the Manufacture Thereof | 1 |
Tero Katajainen | FI | Helsinki | 2008-10-30 / 20080268772 - Personalized radio engine for mobile devices | 2 |
Pasi Katajainen | FI | Tampere | 2015-04-23 / 20150111605 - MANAGING GROUP OF LOCATION BASED TRIGGERS | 6 |
Seppo Katajamaki | FI | Tampere | 2010-03-11 / 20100059190 - Method of Manufacturing a Multilayer Fibrous Product | 1 |
Matti Katajamaki | US | Sunnyvale | 2013-03-28 / 20130080925 - Handheld wireless devices and methods for sharing information among and between individuals and groups | 3 |
Olavi Katajisto | FI | Pori | 2011-03-10 / 20110056637 - PREHYDROLYSIS SULFATE COOKING PROCESS | 1 |
Masahito Kataka | JP | Ashigarakami-Gun | 2010-04-08 / 20100085396 - INKJET RECORDING APPARATUS | 1 |
Hiroshi Katakabe | JP | Okinawa | 2013-06-27 / 20130161773 - DETECTOR ELEMENT, RADIATION DETECTOR, MEDICAL DEVICE, AND METHOD FOR PRODUCING SUCH A DETECTOR ELEMENT | 1 |
Ichiro Katakabe | JP | Kanagawa-Ken | 2010-02-25 / 20100043839 - SUBSTRATE PROCESSING METHOD | 1 |
Toru Katakabe | JP | Fujimi-Machi | 2015-01-15 / 20150015934 - ELECTROPHORETIC APPARATUS, MANUFACTURING METHOD OF ELECTROPHORETIC APPARATUS, AND ELECTRONIC APPARATUS | 1 |
Noboru Katakabe | JP | Kyoto | 2009-09-17 / 20090232565 - HEATING APPARATUS | 3 |
Ichiro Katakabe | JP | Tokyo | 2009-01-29 / 20090026068 - Revolution member supporting apparatus and semiconductor substrate processing apparatus | 1 |
Hironori Katakai | JP | Tokyo | 2011-11-03 / 20110269960 - TRIAZOLE DERIVATIVE | 3 |
Ryoichi Katakai | JP | Gunma | 2010-04-22 / 20100099846 - DEPSIPEPTIDE CONTAINING LACTIC ACID RESIDUE | 2 |
Hironori Katakai | JP | Toshima-Ku | 2010-09-16 / 20100234594 - INHIBITOR OF BINDING OF S1P1 | 3 |
Ryoichi Katakai | JP | Kiryu-Shi | 2009-11-05 / 20090275730 - Temperature responsive depsipeptide polymer | 1 |
Akio Katakai | JP | Takasaki-Shi | 2008-11-06 / 20080271277 - Rubber product for wiping, rubber for wiper blade, method for producing rubber for wiper blade, and wiper unit | 1 |
Hari Krishna Katakam | IN | Bangalore | 2015-02-26 / 20150055655 - SYSTEM AND METHOD FOR FORWARDING OF A PACKET | 1 |
Aparna Katakam | US | Silver Spring | 2012-06-21 / 20120157757 - System and Method of Monitoring the Physiological Condition of an Infant | 1 |
Aparna Katakam | US | Wilmington | 2012-06-21 / 20120157796 - SYSTEM AND METHOD OF MONITORING THE PHYSIOLOGICAL CONDITIONS OF A GROUP OF INFANTS | 1 |
Ramakrishna Katakam | IN | Bangalore | 2015-08-20 / 20150233992 - SYSTEM AND METHOD FOR ANALYZING ARRANGEMENT OF VEHICLE AND BUILDING WIRE HARNESSES FOR EMI | 2 |
Hirokazu Katakame | JP | Osaka-Shi | 2010-04-08 / 20100085480 - IMAGE PROCESSOR, AND IMAGE PROCESSING METHOD | 1 |
Hirokazu Katakame | JP | Osaka | 2010-11-18 / 20100289941 - IMAGE PICKUP APPARATUS AND OPTICAL-AXIS CONTROL METHOD | 1 |
Hirokazu Katakame | JP | Otawara-Shi | 2010-11-25 / 20100295466 - LED DRIVING DEVICE, ILLUMINATING DEVICE, AND DISPLAY DEVICE | 1 |
Masayuki Katakami | JP | Nara-Shi | 2011-10-27 / 20110261268 - Liquid crystal display and television receiver | 1 |
Takurou Katakami | JP | Sakai-Shi, Osaka | 2016-04-28 / 20160114440 - HEAT TRANSFER TUBE EXPANSION APPARATUS AND HEAT TRANSFER TUBE EXPANSION METHOD | 1 |
Akira Katakami | JP | Yokohama | 2012-10-18 / 20120261760 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SEMICONDUCTOR DEVICE | 3 |
Tetsufumi Katakami | JP | Tokyo | 2010-04-01 / 20100077846 - METHOD AND SYSTEM FOR TESTING ROAD LOAD DURABILITY OF TRUCK REAR BED | 1 |
Akihiro Katakami | JP | Fujisawa-Shi | 2015-02-12 / 20150043132 - ELECTRIC UNIT | 1 |
Eishi Katakami | JP | Chiba | 2013-11-14 / 20130302539 - DISPLAY PANEL WITH FLAT PLATE, METHOD FOR MANUFACTURING DISPLAY PANEL WITH FLAT PLATE, AND RESIN COMPOSITION | 1 |
Masayuki Katakami | JP | Nara | 2009-06-11 / 20090147186 - Liquid Crystal Display and Television Receiver | 1 |
Masayuki Katakami | JP | Mie | 2009-02-26 / 20090051707 - Liquid Crystal Display Device Drive Method, Liquid Crystal Display Device, and Television Receiver | 1 |
Seiki Katakami | JP | Gunma | 2011-01-06 / 20110001719 - Touch Panel | 1 |
Yasuyuki Katakami | JP | Chiba-Shi | 2008-10-30 / 20080269345 - Quarternary Ammonium Compound, Process for Producing the Same, Therapeutic Agent for Cerebrovascular Disorder, and Therapeutic Agent for Heart Disease | 1 |
Akira Katakami | JP | Kuwana | 2014-12-18 / 20140367791 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Akira Katakami | JP | Kawasaki | 2016-05-12 / 20160133527 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 13 |
Eishi Katakami | JP | Kisaradu-Shi | 2013-04-18 / 20130095339 - DISPLAY PANEL EQUIPPED WITH FRONT PLATE, DISPLAY DEVICE, AND RESIN COMPOSITION | 1 |
Akira Katakami | JP | Kawasaki-Shi | 2010-05-27 / 20100129971 - SEMICONDUCTOR DEVICE AND PRODUCTION METHOD THEREOF | 1 |
Akira Katakami | JP | Kawasaki | 2016-05-12 / 20160133527 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 13 |
Yasuyuki Katakami | JP | Osaka | 2014-12-11 / 20140364552 - AQUEOUS RESIN COMPOSITION COMPRISING FILM-FORMING AID, AND STEEL SHEET SURFACE TREATMENT AGENT CONTAINING THE SAME | 1 |
Yoshifumi Katakawa | JP | Fujieda-Shi | 2011-03-17 / 20110065788 - AQUEOUS FORMULATIONS OF (2-HYDROXYMETHYL-INDANYL-4-OXY)-PHENYL-4,4,4-TRIFLUOROBUTANE-1-SULFONATE | 1 |
Yoshifumi Katakawa | DE | Fujieda-Shi | 2013-09-12 / 20130237611 - AQUEOUS FORMULATIONS OF (2-HYDROXYMETHYL-INDANYL-4-OXY)-PHENYL-4,4,4-TRIFLUOROBUTANE-1-SULFONATE | 1 |
Yoshifumi Katakawa | JP | Chuo-Ku | 2012-08-23 / 20120214753 - PHARMACEUTICAL COMPOSITION FOR ORAL ADMINISTRATION | 1 |
Jun Katakawa | JP | Tokyo | 2015-12-10 / 20150356654 - INFORMATION SYSTEM AND INFORMATION PROCESSING METHOD | 1 |
Jun Katakawa | JP | Shinagawa-Ku | 2015-12-24 / 20150370534 - MANAGING DEVICE, MANAGEMENT METHOD, RECORDING MEDIUM, AND PROGRAM | 3 |
Ioannis Katakis | ES | Tarragona | 2012-09-27 / 20120242748 - SCREEN PRINTED FUNCTIONAL MICROSYSTEMS | 1 |
Santosh Katakol | IN | Mumbai | 2015-02-05 / 20150039359 - Component Based Mobile Architecture with Intelligent Business Services to Build Extensible Supply Chain Ready Procurement Platforms | 2 |
Hiroshi Katakura | JP | Numazu Shizuoka | 2016-05-19 / 20160139545 - FIXING DEVICE THAT FIXES IMAGES OF DECOLORABLE AND NON-DECOLORABLE MATERIALS | 2 |
Yasuyuki Katakura | JP | Odawara | 2011-11-10 / 20110273835 - STORAGE APPARATUS | 4 |
Toshihiko Katakura | JP | Susono-Shi | 2015-12-31 / 20150378275 - METHOD FOR PRODUCING TONER PARTICLES | 1 |
Hitoshi Katakura | JP | Miyagi | 2011-12-22 / 20110310480 - STEREOSCOPIC IMAGE OBSERVATION OPTICAL-ELEMENT, STEREOSCOPIC IMAGE OBSERVATION GLASSES, AND STEREOSCOPIC IMAGE DISPLAY SYSTEM | 2 |
Rie Katakura | JP | Tokyo | 2014-10-09 / 20140302230 - METHOD TO MAKE ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL | 19 |
Hitoshi Katakura | JP | Kanagawa | 2013-02-28 / 20130052341 - ALIGNMENT FILM AND METHOD OF MANUFACTURING THE ALIGNMENT FILM, AND RETARDATION FILM AND METHOD OF MANUFACTURING THE RETARDATION FILM | 1 |
Shusaku Katakura | JP | Kanagawa | 2015-02-26 / 20150057860 - DRIVING FORCE CONTROL DEVICE FOR HYBRID VEHICLE AND DRIVING FORCE CONTROL METHOD FOR HYBRID VEHICLE | 1 |
Teruo Katakura | KR | Suwon-Si | 2009-05-21 / 20090128545 - DISPLAY DEVICE AND CONTROL METHOD THEREOF | 1 |
Katsumi Katakura | JP | Itabashi-Ku | 2011-05-26 / 20110121085 - ELECTRONIC CIRCUIT AND IC TAG | 2 |
Yukio Katakura | JP | Kawasaki | 2012-02-02 / 20120030350 - PROCESSING APPARATUS, PROCESSING METHOD, AND COMMUNICATION SYSTEM | 2 |
Hisao Katakura | JP | Musashino-Shi | 2010-05-20 / 20100124624 - CAPSULE AND CHEMICAL REACTION CARTRIDGE | 3 |
Katsumi Katakura | JP | Nara | 2008-12-04 / 20080296170 - Method and Apparatus for Synthesizing Hypochlorous Acid | 1 |
Yumiko Katakura | JP | Tokyo | 2010-09-16 / 20100233364 - PROCESS FOR PRODUCING ZEOLITE SEPARATION MEMBRANE | 1 |
Masayuki Katakura | JP | Kanagawa | 2014-02-27 / 20140055204 - DIFFERENTIAL RING OSCILLATION CIRCUIT, DEVICE, AND OSCILLATION CONTROL METHOD | 4 |
Hiroshi Katakura | JP | Numazu-Shi | 2015-12-31 / 20150378292 - IMAGE FORMING APPARATUS | 1 |
Masahiro Katakura | JP | Chofu-Shi | 2014-12-18 / 20140371602 - OPTICAL MEASURING DEVICE AND FIBER BUNDLE ASSOCIATION METHOD | 7 |
Tsutomu Katakura | JP | Osaka | 2009-05-14 / 20090122575 - Surface Light Emitting Apparatus and Method of Light Emission for Surface Light Emitting Apparatus | 1 |
Masahiro Katakura | JP | Tokyo | 2015-03-26 / 20150085376 - Endoscope Optical System | 13 |
Rie Katakura | JP | Hino-Shi | 2014-04-03 / 20140091299 - ORGANIC ELECTROLUMINESCENCE ELEMENT, ILLUMINATION DEVICE, AND DISPLAY DEVICE | 2 |
Hitoshi Katakura | JP | Miaygi | 2010-05-13 / 20100117503 - METAL OXIDE NANOPARTICLES, PRODUCTION METHOD THEREOF, LIGHT-EMITTING ELEMENT ASSEMBLY, AND OPTICAL MATERIAL | 1 |
Shuusaku Katakura | JP | Fujisawa-Shi | 2013-11-07 / 20130297190 - OUTPUT CHARACTERISTIC CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Hiroshi Katakura | JP | Shizuoka | 2015-03-12 / 20150071668 - IMAGE FORMING APPARATUS | 4 |
Masahiro Katakura | JP | Tokyo-To | 2009-08-20 / 20090207500 - Zoom lens and image pickup apparatus using the same | 2 |
Yoshinori Katakura | JP | Fukuoka | 2015-08-20 / 20150231164 - SIRTUIN GENE POTENTIATOR, AND PHARMACEUTICAL PRODUCT, COSMETIC PRODUCT, AND FOOD PRODUCT USING SAME | 1 |
Hiroshi Katakura | JP | Kawasaki | 2010-09-30 / 20100244142 - SEMICONDUCTOR DEVICE | 1 |
Hisao Katakura | JP | Tokyo | 2011-12-29 / 20110318824 - CARTRIDGE SYSTEM FOR CHEMICAL PROCESSING | 5 |
Kazuhiko Katakura | JP | Saitama-Shi | 2011-08-18 / 20110199500 - IMAGE OBTAINING METHOD AND IMAGE CAPTURING APPARATUS | 1 |
Takashi Katakura | JP | Chiba | 2014-08-07 / 20140217511 - SEMICONDUCTOR DEVICE HAVING AN ESD PROTECTION CIRCUIT | 1 |
Hideaki Katakura | JP | Matsumoto | 2015-12-10 / 20150357463 - SEMICONDUCTOR DEVICE | 1 |
Hideaki Katakura | JP | Matsumoto-Shi | 2015-10-29 / 20150311339 - SEMICONDUCTOR DEVICE | 5 |
Shusaku Katakura | JP | Fujisawa-Shi | 2013-10-31 / 20130289830 - REGENERATION CONTROL DEVICE FOR VEHICLE | 3 |
Hiroshi Katakura | JP | Izunokuni-Shi | 2008-10-09 / 20080247772 - XEROGRAPHIC COPYING APPARATUS AND METHOD OF CONTROLLING MOTOR | 1 |
Masahiko Katakura | JP | Tokyo | 2011-04-28 / 20110094974 - Method and device for cleaning filter cloths of filter press dewaterer | 1 |
Rie Katakura | JP | Hino-Shi, Tokyo | 2016-03-17 / 20160079548 - ELECTRONIC DEVICE, ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC THIN-FILM SOLAR CELL, AND DYE-SENSITIZED SOLAR CELL | 3 |
Kazuhiko Katakura | JP | Ashigarakami-Gun | 2011-09-29 / 20110237895 - IMAGE CAPTURING METHOD AND APPARATUS | 1 |
Toshiyasu Katakura | JP | Matsudo-Shi | 2009-12-03 / 20090297077 - Fluid dynamic pressure bearing and production method for the same | 1 |
Masahiro Katakura | JP | Tokyo | 2015-03-26 / 20150085376 - Endoscope Optical System | 13 |
Takahiro Katakura | JP | Nagano | 2008-11-06 / 20080273048 - LIQUID CONTAINER | 1 |
Masahiro Katakura | JP | Hino-Shi | 2009-04-16 / 20090097132 - Three-unit zoom lens and image pickup apparatus equipped with same | 7 |
Hiroshi Katakura | JP | Shizuoka-Ken | 2013-04-25 / 20130101305 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND FIXING DEVICE | 3 |
Kazuhiko Katakura | JP | Kanagawa-Ken | 2011-09-22 / 20110230719 - IMAGE CAPTURING METHOD AND APPARATUS | 1 |
Seiji Katakura | JP | Tokyo | 2011-03-10 / 20110056747 - TERMINAL STRUCTURE FOR PLATE-SHAPED MEMBER HAVING CONDUCTING FUNCTION, AND PLATE-SHAPED MEMBER HAVING CONDUCTING FUNCTION | 2 |
Smita Katakwar | US | Schaumburg | 2015-05-14 / 20150134429 - WIRELESS IDENTIFIER DEVICE ENABLED INTERACTIVE CONSUMER EXPERIENCE | 1 |
Ritu Kataky | GB | Durham | 2010-05-13 / 20100116690 - Detection of Chiral Alcohols and Other Analytes | 1 |
Ritu Kataky | GB | Crossgate Moor | 2010-02-25 / 20100044224 - BIOLOGICAL FLUID ANALYSIS SYSTEM | 1 |
Saeid Katal | US | Los Angeles | 2010-10-07 / 20100252552 - Adjustable Depth Electrical Components | 1 |
Dmitriy Katalichenko | US | Bradford | 2008-10-16 / 20080252682 - Apparatus and Methods for Servicing 3D Printers | 1 |
Jinya Katamachi | JP | Ibaraki | 2014-11-06 / 20140329290 - NOVEL BRANCHED POLYETHYLENE GLYCOL AND USE THEREOF | 1 |
Sriharsha Katamaneni | KR | Suwon-Si | 2016-01-28 / 20160029027 - DEVICE AND METHOD FOR PROCESSING IMAGE | 1 |
Sriharsha Katamaneni | KR | Gyeonggi-Do | 2015-05-14 / 20150131716 - APPARATUS AND METHOD FOR PROCESSING IMAGE | 1 |
Hirofumi Katami | JP | Osaka | 2014-10-30 / 20140322440 - METHOD FOR MANUFACTURING OPTICAL MEMBER | 6 |
Hirofumi Katami | JP | Ibaraki-Shi | 2015-12-31 / 20150376477 - PRESSURE-SENSITIVE ADHESIVE COMPOSITION, PRESSURE-SENSITIVE ADHESIVE LAYER, PRESSURE-SENSITIVE ADHESIVE SHEET, OPTICAL COMPONENT, AND TOUCH PANEL | 2 |
Hirofumi Katami | JP | Tokyo | 2014-05-08 / 20140126153 - SEMICONDUCTOR MEMORY DEVICE | 2 |
Hirofumi Katami | JP | Mihara-Shi, Hiroshima | 2016-04-28 / 20160115357 - PRESSURE-SENSITIVE ADHESIVE SHEET HAVING A TRANSPARENT PRESSURE-SENSITIVE ADHESIVE LAYER | 2 |
Ibrahim Katampe | US | Kettering | 2011-05-12 / 20110111145 - LASER OR DYE SUBLIMATION PRINTABLE IMAGE TRANSFER PAPER | 3 |
Subba Reddy Katamreddy | US | Durham | 2012-03-29 / 20120077812 - BICYCLIC COMPOUNDS AND USE AS ANTIDIABETICS | 9 |
Junji Katamura | JP | Kanagawa | 2011-07-28 / 20110183166 - BIPOLAR SECONDARY BATTERY, BATTERY PACK, AND VEHICLE EQUIPPED WITH THE SAME | 1 |
Yukio Katamura | JP | Mie-Gun | 2011-10-27 / 20110263133 - SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Yukio Katamura | JP | Mie | 2012-06-14 / 20120149151 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, MANUFACTURING PROGRAM, AND MANUFACTURING APPARATUS | 2 |
Kohichi Katamura | JP | Kobe City | 2008-10-09 / 20080249277 - Polyurethane elastomer for cleaning blade of electronic copying machine | 1 |
Junji Katamura | JP | Kanagawa-Ken | 2008-10-09 / 20080248355 - Hydrogen Storage Material, Hydrogen Storage Structure, Hydrogen Storage, Hydrogen Storage Apparatus, Fuel Cell Vehicle, and Method of Manufacturing Hydrogen Storage Material | 1 |
Yukio Katamura | JP | Mie-Ken | 2012-12-20 / 20120318431 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MANUFACTURING APPARATUS | 4 |
Atniel Katan | US | Portland | 2013-11-28 / 20130314545 - LOW LIGHT VISION AND THERMAL IMAGING DEVICES WITH COOL CHIP COOLING | 2 |
Foo Katan | US | Beverly Hills | 2014-09-18 / 20140278863 - SYSTEMS, METHODS AND APPARATUS FOR PROVIDING UNIQUELY TRACK ABLE ITEMS WHICH PROVIDES ADDITIONAL REVENUE STREAMS AND BENEFITS FOR RETAILERS AND AFFILIATES | 2 |
Joseph Meir Katan | GB | North Finchley | 2012-08-02 / 20120192358 - BODY SUPPORT PLATFORM | 1 |
Elad Katan | IL | Bet Shemesh | 2009-05-21 / 20090126473 - Method and device to indicate the content of garbage cans and vessels | 1 |
Joe Katan | GB | London | 2014-12-18 / 20140366275 - A TIE ARRANGEMENT | 1 |
Uri Katan | IL | Kfar Sava | 2014-02-13 / 20140045142 - ENDODONTIC FILE | 2 |
Ashley Anne Katana | US | San Mateo | 2016-03-24 / 20160083394 - ANTIVIRAL COMPOUNDS | 11 |
Ashley Anne Katana | US | San Mateo | 2016-03-24 / 20160083394 - ANTIVIRAL COMPOUNDS | 11 |
Thomas E. Katana | US | Baltimore | 2009-12-17 / 20090313128 - Patronage incentive saving system and method for retail businesses | 1 |
Ashley A. Katana | US | San Mateo | 2016-05-12 / 20160130300 - INHIBITORS OF HEPATITIS C VIRUS | 2 |
Tadahiro Katane | JP | Hitachiota-Shi | 2013-03-21 / 20130070084 - Foreign Matter Inspection Device and Foreign Matter Inspection Method | 1 |
Sachiko Kataniwa | JP | Kanuma | 2013-12-05 / 20130323585 - NEGATIVE ELECTRODE FOR POWER STORAGE DEVICE AND POWER STORAGE DEVICE | 2 |
Masatoshi Kataniwa | JP | Tochigi | 2015-03-05 / 20150060890 - Light Emitting Device and Electronic Device | 3 |
Masatoshi Kataniwa | JP | Kanuma | 2013-09-19 / 20130240855 - Method for Manufacturing Light-Emitting Device | 5 |
Masatoshi Kataniwa | JP | Isehara | 2015-02-26 / 20150055118 - LIGHT-EMITTING DEVICE AND CAMERA | 1 |
Yuichi Kataniwa | JP | Shiojiri-Shi | 2014-03-20 / 20140078228 - PRINTER | 5 |
Makoto Kataniwa | JP | Sakura | 2013-07-18 / 20130184882 - POWER SUPPLY AND DEMAND LEVELING SYSTEM | 2 |
Koji Katano | JP | Aichi | 2010-12-23 / 20100323263 - FUEL CELL SYSTEM | 4 |
Seiichi Katano | US | Cupertino | 2013-04-04 / 20130083363 - FAULT TOLERANT PRINTING SYSTEM | 10 |
Yasuo Katano | JP | Kawasaki-Shi | 2014-07-17 / 20140198962 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM | 16 |
Koji Katano | JP | Aichi-Ken | 2010-06-17 / 20100151343 - Fuel cell system and gas leakage detection device | 5 |
Chikako Katano | JP | Chiyoda-Ku | 2014-06-26 / 20140178666 - VINYLIDENE CHLORIDE-BASED RESIN COMPOSITION, MANUFACTURING METHOD THEREOF, AND VINYLIDENE CHLORIDE-BASED RESIN MOLDED PRODUCT | 1 |
Akio Katano | JP | Yokohama-Shi | 2012-11-29 / 20120300356 - ION/OZONE WIND GENERATION DEVICE AND METHOD | 1 |
Hiroshi Katano | JP | Nagoya-Shi | 2012-01-05 / 20120005553 - TRANSMITTING APPARATUS AND TRANSMISSION METHOD | 1 |
Makiko Katano | JP | Yokohama-Shi | 2013-09-19 / 20130244349 - IMPURITY ANALYSIS DEVICE AND METHOD | 4 |
Satoshi Katano | JP | Chiba-Shi | 2013-12-26 / 20130345341 - MODIFIED CELLULOSE NANOFIBERS, PRODUCTION METHOD THEREOF, AND RESIN COMPOSITION USING SAME | 1 |
Koji Katano | JP | Toyota-Shi | 2016-05-19 / 20160137098 - VEHICLE | 40 |
Shingo Katano | JP | Tokyo | 2015-08-27 / 20150242290 - COMPUTER SWITCHING METHOD, COMPUTER SYSTEM, AND MANAGEMENT COMPUTER | 1 |
Toshio Katano | JP | Miyagi | 2009-01-29 / 20090027514 - IMAGE PICKUP APPARATUS AND METHOD | 1 |
Yoshito Katano | JP | Kanagawa | 2012-03-29 / 20120079150 - Bus system and deadlock avoidance circuit thereof | 2 |
Shingo Katano | JP | Kawasaki | 2011-11-03 / 20110271140 - METHOD AND COMPUTER SYSTEM FOR FAILOVER | 2 |
Yoshiharu Katano | JP | Anjo-City | 2012-04-19 / 20120093664 - CONTINUOUSLY VARIABLE TRANSMISSION APPARATUS AND AIR CONDITIONING SYSTEM HAVING THE SAME | 3 |
Shinichi Katano | JP | Tokyo | 2014-01-02 / 20140004634 - METHOD FOR MANUFACTURING LIGHT EMITTING APPARATUS, LIGHT EMITTING APPARATUS, AND MOUNTING BASE THEREOF | 2 |
Tomokazu Katano | JP | Tokyo | 2008-11-20 / 20080286565 - Method For Manufacturing Epitaxial wafer | 1 |
Kiyoshi Katano | JP | Chiba-Shi | 2016-01-28 / 20160028795 - APPARATUS, METHOD, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 6 |
Tomotaka Katano | JP | Nagoya-Shi | 2015-08-27 / 20150240399 - EMBROIDERY DATA GENERATING DEVICE AND NON-TRANSITORY COMPUTER-READABLE MEDIUM STORING EMBROIDERY DATA GENERATING PROGRAM | 7 |
Kenichi Katano | JP | Tokyo | 2011-02-03 / 20110026143 - RETRACTABLE LENS BARREL UNIT | 5 |
Gen Katano | JP | Kyoto | 2011-03-10 / 20110056591 - BRASS ALLOY POWDER, BRASS ALLOY EXTRUDED MATERIAL, AND METHOD FOR PRODUCING THE BRASS ALLOY EXTRUDED MATERIAL | 1 |
Yukio Katano | JP | Kanagawa | 2011-02-10 / 20110032254 - SHEET METAL MODEL CREATION DEVICE AND SHEET METAL MODEL CREATION METHOD | 1 |
Hikaru Katano | JP | Tokyo | 2015-12-17 / 20150362193 - COMBUSTOR AND GAS TURBINE | 5 |
Makiko Katano | JP | Kanagawa | 2014-08-21 / 20140230522 - CLEANLINESS MEASURING CARRIAGE AND CLEANLINESS MEASURING SYSTEM | 2 |
Akio Katano | JP | Yokohama-Shi, Kanagawa | 2016-04-21 / 20160111859 - ION/OZONE WIND GENERATION DEVICE AND METHOD | 1 |
Atsushi Katano | JP | Adachi | 2015-10-01 / 20150278052 - STORAGE SYSTEM, STORAGE DEVICE, AND MONITORING SERVER | 4 |
Yasuo Katano | JP | Yokohama-Shi | 2010-03-25 / 20100073697 - ELECTRIC CHARGING APPARATUS AND IMAGE FORMING APPARATUS USING THE SAME | 2 |
Shingo Katano | JP | Toride-Shi | 2016-03-17 / 20160077481 - SHEET PROCESSING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Yasuo Katano | JP | Kanagawa | 2014-11-20 / 20140340443 - IMAGE FORMATION APPARATUS | 20 |
Kenji Katano | JP | Osaka | 2015-03-05 / 20150064749 - METHODS FOR PRODUCING POLYUNSATURATED FATTY ACID AND LIPID CONTAINING POLYUNSATURATED FATTY ACID | 3 |
Koichi Katano | JP | Tainai | 2010-04-08 / 20100084796 - IRON CORE ANNEALING FURNACE | 1 |
Yuichi Katano | JP | Yokohama | 2009-01-22 / 20090021613 - Video camera apparatus | 1 |
Chikara Katano | JP | Tokyo | 2014-07-24 / 20140206250 - ADHESIVE COMPOSITION | 4 |
Junichi Katano | JP | Sodegaura-Shi | 2010-02-25 / 20100047561 - METAL OXIDE PHOSPHOR MICROPARTICLE AND PROCESS FOR PRODUCING THE SAME; UTILIZING THE SAME, DISPERSION LIQUID, FLUORESCENCE CONVERSION MEMBRANE, METHOD OF SEPARATING METAL OXIDE PHOSPHOR MICROPARTICLE, FLUORESCENT LIQUID, FLUORESCENT PASTE, PHOSPHOR AND PROCESS FOR PRODUCING THE SAME; AND FLUORESCENCE CONVERTER | 1 |
Isao Katano | JP | Isehara | 2013-04-11 / 20130089413 - Screw Compressor | 1 |
Satsuki Katano | JP | Kawasaki | 2014-12-25 / 20140380079 - OPERATION MANAGEMENT DEVICE, OPERATION MANAGEMENT METHOD, AND RECORDING MEDIUM | 1 |
Takashi Katano | JP | Osaka-Shi | 2010-08-19 / 20100209364 - Emulsified Composition | 1 |
Tomomi Katano | JP | Nagoya-Shi | 2012-07-26 / 20120188266 - SCANNING IMAGE DISPLAY DEVICE AND METHOD OF CONTROLLING THE SAME | 1 |
Yuko Katano | JP | Ichihara | 2015-10-01 / 20150275089 - LIQUID CRYSTAL DISPLAY ELEMENT AND METHOD FOR MANUFACTURING SAME | 1 |
Tomonori Katano | JP | Nagano | 2010-08-05 / 20100196527 - Imprinting device | 2 |
Takashi Katano | JP | Yokohama-Shi | 2013-05-30 / 20130133936 - Bonded Board and Manufacturing Method Thereof | 1 |
Yuko Katano | JP | Chiba | 2015-04-30 / 20150119522 - LIQUID CRYSTAL ALIGNING AGENTS FOR FORMING PHOTO-ALIGNING LIQUID CRYSTAL ALIGNMENT LAYERS, LIQUID CRYSTAL ALIGNMENT LAYERS AND LIQUID CRYSTAL DISPLAY DEVICES USING THE SAME | 2 |
Hiroki Katano | JP | Kobe-Shi | 2016-01-28 / 20160028215 - INTERNAL COMBUSTION ENGINE AND IGNITION COIL | 1 |
Kenichi Katano | JP | Kawasaki-Shi | 2015-06-04 / 20150153538 - LENS BARREL AND IMAGE PICKUP APPARATUS | 4 |
Hiroshi Katano | JP | Aichi | 2012-02-02 / 20120029675 - NUMERICAL CONTROL PROGRAMMING METHOD, APPARATUS THEREFOR, AND PROGRAM FOR CAUSING A COMPUTER TO EXECUTE THE METHOD | 1 |
Junichi Katano | JP | Chiba | 2009-05-21 / 20090127510 - Fine particle and red fluorescence conversion medium using the same | 3 |
Tomonori Katano | JP | Matsumoto-City | 2015-07-30 / 20150213825 - MAGNETIC RECORDING MEDIUM FOR HEAT-ASSISTED RECORDING SYSTEM AND METHOD FOR MANUFACTURING SAME | 3 |
Hirotomo Katano | JP | Hyogo | 2011-01-20 / 20110014447 - Flame retardant resin composition | 1 |
Akiko Katano | JP | Kawasaki-Shi | 2012-07-12 / 20120176632 - PRINTING SYSTEM, PRINT CONTROL APPARATUS AND CONTROL METHOD THEREOF | 1 |
Chikako Katano | JP | Yokosuka-Shi | 2013-01-10 / 20130010509 - POWER SUPPLY DEVICE | 2 |
Tetsuya Katano | JP | Kanagawa | 2015-09-24 / 20150270631 - Connector Assembly and Connector | 3 |
Atsushi Katano | JP | Kawasaki | 2012-01-05 / 20120005442 - STORAGE DEVICE, ACCESS CONTROL PROGRAM RECORDING MEDIUM, AND CONTROL METHOD OF STORAGE DEVICE | 3 |
Mamoru Katano | JP | Muko-Shi | 2014-07-17 / 20140200857 - BODY MOVEMENT AMOUNT MEASURING APPARATUS | 1 |
Ryoichi Katano | JP | Kawasaki-Shi | 2011-11-24 / 20110285770 - INKJET PRINTING APPARATUS | 3 |
Masaaki Katano | JP | Mie | 2009-04-16 / 20090096659 - ELECTROMAGNETIC WAVE ABSORPTION BOARD TO BE USED IN WIRELESS LAN | 1 |
Tetsu Katano | JP | Tokyo | 2012-04-26 / 20120098833 - Image Processing Program and Image Processing Apparatus | 2 |
Ryouichirou Katano | JP | Osaka | 2010-08-19 / 20100206458 - ADHESIVE TAPE APPLYING APPARATUS AND TAPE SPLICING METHOD | 4 |
Katsuhisa Katano | JP | Kawasaki | 2014-02-20 / 20140051272 - ELECTRONIC DEVICE, CONNECTION STRUCTURE OF ELECTRONIC DEVICE, AND ELECTRONIC APPARATUS | 2 |
Tomonori Katano | JP | Kawasaki-Shi | 2012-05-03 / 20120107524 - THIN-FILM MANUFACTURING METHOD AND APPARATUS | 1 |
Masahiko Katano | JP | Shizuoka-Shi | 2015-08-06 / 20150221443 - METHOD FOR MANUFACTURING ELECTRODE FOR ALUMINUM ELECTROLYTIC CAPACITOR | 1 |
Syougo Katano | JP | Kanagawa | 2013-09-12 / 20130234360 - METHOD AND APPARATUS FOR PRODUCING CELLULOSE ACYLATE FILM | 1 |
Masahiko Katano | JP | Shizuoka | 2009-12-10 / 20090303661 - ALUMINUM ELECTRODE PLATE FOR ELECTROLYTIC CAPACITOR | 2 |
Tomoaki Katano | JP | Kanagawa | 2008-08-28 / 20080208557 - SIMULATION METHOD AND SIMULATION SYSTEM | 1 |
Kunihiko Katano | JP | Tokyo | 2014-09-18 / 20140268338 - REFLECTOR ARRAY OPTICAL DEVICE AND METHOD FOR MANUFACTURING THE SAME | 7 |
Nobuhisa Kataoka | JP | Tokyo | 2011-01-13 / 20110007625 - DEMODULATOR AND FRAME SYNCHRONIZATION METHOD | 2 |
Akihiko Kataoka | JP | Kyoto-Shi | 2012-05-03 / 20120107535 - ORNAMENTAL ARTICLE | 1 |
Takaharu Kataoka | JP | Tokyo | 2010-12-30 / 20100326984 - INDUCTION HEATING APPARATUS AND INDUCTION HEATING METHOD | 2 |
Kunishige Kataoka | JP | Ishikawa-Ken | 2010-02-18 / 20100040910 - ELECTROCATALYST AND ENZYMATIC ELECTRODE | 1 |
Kenichi Kataoka | JP | Yamanashi | 2013-08-01 / 20130193087 - WATER TREATMENT DEVICE AND WATER TREATMENT METHOD | 4 |
Kazuto Kataoka | JP | Tokyo | 2013-12-19 / 20130335033 - Charging Control System, Charging Control Method, And Recording Medium | 3 |
Kunishige Kataoka | JP | Kanazawa-Shi | 2010-08-19 / 20100205754 - Multi-Copper Oxidase CueO with Dyeability | 1 |
Yosuke Kataoka | JP | Izumisano-Shi | 2009-11-26 / 20090292028 - Menthol-containing formulation | 1 |
Yuji Kataoka | JP | Kusatsu-Shi | 2011-01-20 / 20110013349 - ELECTRONIC COMPONENT MODULE AND METHOD OF MANUFACTURING THE ELECTRONIC COMPONENT MODULE | 1 |
Yuki Kataoka | JP | Sapporo-Shi | 2009-05-07 / 20090117259 - Processing system, processing method, and computer program | 1 |
Kohichiroh Kataoka | JP | Tokyo | 2011-10-20 / 20110253337 - CONTINUOUS CASTING METHOD AND NOZZLE HEATING DEVICE | 1 |
Yoshiyuki Kataoka | JP | Takatsuki-Shi | 2013-11-07 / 20130294577 - WAVELENGTH DISPERSIVE X-RAY FLUORESCENCE SPECTROMETER | 2 |
Naoki Kataoka | JP | Okayama | 2009-09-17 / 20090235208 - LIGHTING APPARATUS | 4 |
Motoshi Kataoka | JP | Hiroshima | 2010-05-20 / 20100122686 - DIESEL ENGINE | 1 |
Motoshi Kataoka | JP | Hiroshima-Shi | 2015-04-02 / 20150089941 - EXHAUST GAS RECIRCULATION CONTROL DEVICE OF ENGINE | 4 |
Makoto Kataoka | JP | Tokyo | 2014-07-03 / 20140184211 - HALL DEVICE, MAGNETIC SENSOR HAVING SAME, AND SIGNAL CORRECTING METHOD THEREOF | 3 |
Shinichi Kataoka | JP | Tokyo | 2009-10-08 / 20090254029 - BREAST PUMP | 1 |
Akira Kataoka | JP | Shiga | 2014-08-28 / 20140238978 - NON-CONTACT POWER RECEIVING DEVICE AND NON-CONTACT POWER TRANSMISSION DEVICE | 13 |
Mizuho Kataoka | JP | Tokyo | 2009-06-18 / 20090156805 - Cycloalkane Carboxamide Derivatives and Production Process of Same | 1 |
Ichiro Kataoka | JP | Hitachi | 2009-01-01 / 20090003507 - METHOD AND APPARATUS FOR SUPPRESSING CORROSION OF CARBON STEEL, METHOD FOR SUPPRESSING DEPOSIT OF RADIONUCLIDE ONTO CARBON STEEL MEMBERS COMPOSING A NUCLEAR POWER PLANT, AND FILM FORMATION APPARATUS | 1 |
Takuji Kataoka | JP | Shizuoka | 2011-11-24 / 20110284766 - LIGHT IRRADIATION DEVICE AND LIGHT MEASUREMENT DEVICE | 1 |
Masao Kataoka | JP | Osaka | 2012-10-25 / 20120267743 - SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 3 |
Yoshihiro Kataoka | JP | Shiga | 2012-01-26 / 20120019092 - COMPRESSOR | 5 |
Yoshiharu Kataoka | JP | Mie | 2010-05-27 / 20100128200 - LIQUID CRYSTAL DISPLAY DEVICE AND VIEWING ANGLE CONTROL PANEL | 12 |
Atsushi Kataoka | JP | Shiga | 2008-11-06 / 20080273981 - Windmill | 1 |
Yuzo Kataoka | JP | Hiratsuka-Shi | 2009-02-26 / 20090053849 - PHOTOELECTRIC CONVERSION DEVICE AND IMAGE PICKUP SYSTEM WITH PHOTOELECTRIC CONVERSION DEVICE | 1 |
Eiichi Kataoka | JP | Tokyo | 2009-01-08 / 20090011758 - System Using International Roaming-Capable Mobile Communication Network | 1 |
Ryoji Kataoka | JP | Shizuoka-Ken | 2011-07-07 / 20110166533 - PROTECTOR AND PROTECTOR ASSEMBLY | 1 |
Yuta Kataoka | JP | Hitachi | 2015-12-17 / 20150364230 - CABLE WITH RESIN MOLDED BODY AND METHOD OF MANUFACTURING THE SAME | 32 |
Masaharu Kataoka | JP | Tokyo | 2008-12-25 / 20080317720 - Fat-Derived Progenitor Cell and Use Thereof | 1 |
Masayuki Kataoka | JP | Kyoto-Shi | 2012-06-21 / 20120154500 - IMAGE RECORDING APPARATUS | 1 |
Yoshihiro Kataoka | JP | Tokyo | 2013-12-05 / 20130325914 - FILE STORAGE SYSTEM AND FILE STORAGE METHOD | 2 |
Yukinori Kataoka | JP | Shiga | 2015-06-04 / 20150152573 - METHOD FOR PRODUCING POROUS CALCIUM PHOSPHATE BODY, AND POROUS CALCIUM PHOSPHATE BODY PRODUCED THEREBY | 3 |
Kiminori Kataoka | JP | Saitama | 2012-03-15 / 20120061314 - Porous Composite Membrane | 1 |
Shuji Kataoka | JP | Sagamihara-Shi | 2014-09-04 / 20140246259 - BATTERY PACK FOR DRIVING ELECTRIC VEHICLE | 2 |
Naoto Kataoka | JP | Kyoto-Shi | 2011-09-29 / 20110235087 - Multifunctional Peripheral | 2 |
Yoshirou Kataoka | JP | Osaka-Shi | 2011-06-30 / 20110157065 - TOUCH PANEL, DISPLAY DEVICE, AND ELECTRONIC DEVICE | 1 |
Shingo Kataoka | JP | Osaka | 2014-07-17 / 20140198274 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 4 |
Keita Kataoka | JP | Tokyo | 2012-03-15 / 20120062731 - DISTANCE IMAGE OBTAINING SYSTEM FOR TRACK | 1 |
Yumi Kataoka | JP | Tokyo | 2014-11-13 / 20140337852 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, PROGRAM, AND INFORMATION STORAGE MEDIUM | 3 |
Teruo Kataoka | JP | Kobe-Shi | 2012-06-21 / 20120159026 - SYNCHRONOUS CONTROL SYSTEM INCLUDING A MASTER DEVICE AND A SLAVE DEVICE, AND SYNCHRONOUS CONTROL METHOD FOR CONTROLLING THE SAME | 1 |
Eri Kataoka | JP | Tokyo | 2013-03-14 / 20130067166 - VIRTUAL COMPUTER SYSTEM AND METHOD OF CONTROLLING THE SAME | 3 |
Kenichi Kataoka | JP | Osaka | 2015-02-05 / 20150037578 - PRESSURE-SENSITIVE ADHESIVE SHEET AND OPTICAL MEMBER | 11 |
Noboru Kataoka | JP | Oamishirasato | 2009-06-25 / 20090160829 - Display device | 1 |
Takeshi Kataoka | JP | Tokyo | 2012-07-12 / 20120179953 - Semiconductor Integrated Circuit | 5 |
Hiroshi Kataoka | JP | Tokyo | 2011-12-15 / 20110306677 - Pressure Sensitive Adhesive Composition and Pressure Sensitive Adhesive Tape | 2 |
Kimio Kataoka | JP | Kyoto-Shi, Kyoto | 2016-05-19 / 20160137394 - TWO-LIQUID DISCHARGE CONTAINER | 1 |
Koichi Kataoka | JP | Shiga | 2009-09-24 / 20090236150 - WEIGHING DEVICE | 1 |
Noboru Kataoka | JP | Ibara-Shi | 2012-08-23 / 20120212954 - ILLUMINATION DEVICE | 1 |
Masahiro Kataoka | JP | Tama | 2016-01-07 / 20160006455 - DECODING METHOD, DECODING APPARATUS, AND COMPUTER-READABLE RECORDING MEDIUM | 28 |
Kazunori Kataoka | JP | Nakano-Ku | 2013-08-08 / 20130202711 - Substance-encapsulating vesicle and process for producing the same | 1 |
Nobuyuki Kataoka | JP | Chichibu-Shi | 2008-12-25 / 20080318709 - GOLF BALL | 1 |
Norihiko Kataoka | JP | Toyama-Shi | 2011-12-08 / 20110301739 - CONTROL SYSTEM OF SUBSTRATE PROCESSING APPARATUS, COLLECTING UNIT, SUBSTRATE PROCESSING APPARATUS AND CONTROL METHOD OF THE SUBSTRATE PROCESSING APPARATUS | 2 |
Yoshikazu Kataoka | JP | Kyoto-Shi | 2011-03-24 / 20110069136 - APPARATUS FOR GENERATING SPATIALLY MODULATED LIGHT AND IMAGE RECORDING APPARATUS | 1 |
Hidehiko Kataoka | JP | Shiga | 2011-01-20 / 20110011943 - HEATING INSTALLATION AND METHOD FOR CONTROLLING THE HEATING INSTALLATION | 3 |
Hiroyo Kataoka | JP | Aichi | 2012-04-26 / 20120101137 - NOVEL THIOPHENECARBOXAMIDE DERIVATIVE AND PHARMACEUTICAL USE THEREOF | 1 |
Takumi Kataoka | JP | Okazaki-City | 2012-08-30 / 20120216779 - FUEL SUPPLY DEVICE | 1 |
Yoshiharu Kataoka | JP | Osaka-Shi | 2014-10-16 / 20140306225 - THIN FILM TRANSISTOR AND SHIFT REGISTER | 16 |
Kohzoh Kataoka | JP | Yamato-Shi | 2013-03-14 / 20130063842 - EARTH LEAKAGE DETECTOR WITH SUFFERED CURRENT-BLOCKING FUNCTION | 1 |
Tsugio Kataoka | JP | Kusatsu-Shi | 2016-05-05 / 20160126557 - CURRENT COLLECTOR, ELECTRODE STRUCTURE, NONAQUEOUS ELECTROLYTE BATTERY, AND ELECTRICAL STORAGE DEVICE | 13 |
Junnosuke Kataoka | JP | Yokohama-Shi | 2013-09-12 / 20130236205 - IMAGE PROCESSING APPARATUS OPERABLE BY AC POWER AND DC POWER, METHOD OF CONTROLLING THE APPARATUS, AND STORAGE MEDIUM | 4 |
Toyotaka Kataoka | JP | Kanagawa | 2015-02-05 / 20150035109 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, SEMICONDUCTOR WAFER, AND ELECTRONIC EQUIPMENT | 4 |
Akira Kataoka | JP | Hyogo | 2011-01-20 / 20110011851 - INDUCTION COOKING DEVICE | 5 |
Hiroyuki Kataoka | US | Chelmsford | 2008-12-11 / 20080307422 - SHARED MEMORY FOR MULTI-CORE PROCESSORS | 1 |
Yoshikuni Kataoka | JP | Chiyoda-Ku | 2012-01-19 / 20120012297 - AIR CONDITIONER | 1 |
Daisuke Kataoka | JP | Aichi | 2012-04-26 / 20120101137 - NOVEL THIOPHENECARBOXAMIDE DERIVATIVE AND PHARMACEUTICAL USE THEREOF | 1 |
Satoshi Kataoka | JP | Takaoka-Gun | 2009-02-12 / 20090038145 - Method for manufacturing a battery pack | 1 |
Hideaki Kataoka | JP | Osaka | 2009-05-28 / 20090138035 - Filter for Thrombus Capture Catheter | 1 |
Satoshi Kataoka | JP | Ome-Shi | 2012-02-16 / 20120038647 - DATA REPRODUCTION APPARATUS AND DATA REPRODUCTION CONTROL METHOD | 2 |
Kenichi Kataoka | JP | Osaka | 2015-02-05 / 20150037578 - PRESSURE-SENSITIVE ADHESIVE SHEET AND OPTICAL MEMBER | 11 |
Hiroaki Kataoka | JP | Tokyo | 2013-01-24 / 20130024750 - TRANSMISSION SYSTEM | 2 |
Seiji Kataoka | JP | Ota-Shi | 2008-08-28 / 20080205044 - Showcase | 5 |
Shinichiro Kataoka | JP | Osaka | 2015-01-22 / 20150022089 - LIGHT-EMITTING ELEMENT DRIVING DEVICE | 9 |
Hiroshi Kataoka | JP | Fujisawa-Shi | 2013-03-14 / 20130064719 - SELECTIVE CATALYTIC REDUCTION SYSTEM | 1 |
Hidenao Kataoka | JP | Osaka | 2011-04-28 / 20110095003 - FUSION WELDING METHOD AND FUSION WELDING APPARATUS | 1 |
Hiroharu Kataoka | JP | Kyoto | 2011-04-21 / 20110092484 - THERAPEUTIC OR PROPHYLACTIC AGENT FOR CEREBRAL ANEURYSM | 1 |
Ichiro Kataoka | JP | Hitachinaka | 2010-11-11 / 20100286809 - ANALYTICAL MODEL GENERATING APPARATUS | 1 |
Kouzo Kataoka | JP | Osaka | 2014-10-30 / 20140319440 - ELECTRIC HOIST | 2 |
Shohei Kataoka | JP | Shizuoka | 2016-03-10 / 20160070174 - PATTERN FORMING METHOD, ACTIVE LIGHT SENSITIVE OR RADIATION SENSITIVE RESIN COMPOSITION, ACTIVE LIGHT SENSITIVE OR RADIATION SENSITIVE FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE | 18 |
Yoshiharu Kataoka | JP | Tsu-Shi | 2010-04-08 / 20100085516 - COLOR FILTER SUBSTRATE AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Makoto Kataoka | JP | Hamamatsu-Shi | 2013-01-31 / 20130025997 - POWER TRANSMITTING APPARATUS | 3 |
Junji Kataoka | JP | Kanagawa-Ken | 2013-06-20 / 20130153850 - NONVOLATILE MEMORY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Shiro Kataoka | JP | Takasaki-Shi | 2008-08-21 / 20080200654 - ANTI-A33 ANTIBODY | 1 |
Yuki Kataoka | JP | Osaka | 2016-04-14 / 20160101827 - BICYCLE TRANSMISSION CONTROL DEVICE | 1 |
Shinichiro Kataoka | JP | Osaka | 2015-01-22 / 20150022089 - LIGHT-EMITTING ELEMENT DRIVING DEVICE | 9 |
Yasuhiko Kataoka | JP | Omuta-Shi | 2010-05-13 / 20100119821 - SOLVENTLESS LAMINATING ADHESIVE AND PROCESS FOR PRODUCTION OF COMPOSITE FILM | 1 |
Motofumi Kataoka | JP | Isehara-Shi | 2015-12-17 / 20150362342 - DISPLACEMENT DETECTING DEVICE | 1 |
Arata Kataoka | JP | Kusatsu-Shi | 2011-09-15 / 20110221571 - TAG COMMUNICATION APPARATUS, TAG COMMUNICATION APPARATUS CONTROL METHOD, AND TAG COMMUNICATION APPARATUS CONTROL PROGRAM | 1 |
Yusuke Kataoka | JP | Kanagawa | 2015-04-02 / 20150091787 - LIGHT EMITTING APPARATUS, LIGHT EMITTING UNIT, DISPLAY APPARATUS, ELECTRONIC DEVICE AND LIGHT EMITTING ELEMENT | 1 |
Kunimitsu Kataoka | JP | Ibaraki | 2011-09-15 / 20110223098 - TITANIUM OXIDE AND METHOD OF PRODUCING THE SAME | 1 |
Shigeto Kataoka | JP | Yokkaichi-City | 2015-02-26 / 20150056846 - CONNECTOR | 13 |
Yohei Kataoka | JP | Osaka | 2009-04-30 / 20090110799 - Method for modification of pectin and application thereof | 2 |
Takaharu Kataoka | JP | Kitakyushu-Shi | 2013-02-14 / 20130039722 - METAL STRIPS WITH METALLIC-APPEARANCE EXCELLENT IN FORMING STABILITY AND SEAMLESSLY-FORMED CAN BODY AND MANUFACTURING METHOD THEREOF | 1 |
Tooru Kataoka | JP | Tokyo | 2013-09-26 / 20130249780 - PROJECTION DISPLAY AND LACK OF BRIGHTNESS UNIFORMITY COMPENSATION METHOD | 3 |
Masaki Kataoka | JP | Osaka-Fu | 2009-10-08 / 20090250396 - DRAINAGE WATER-TREATING METHOD AND DRAINAGE WATER-TREATING APPARATUS | 2 |
Motoaki Kataoka | JP | Kariya-Shi | 2016-05-12 / 20160129935 - ELECTRIC POWER STEERING SYSTEM WITH MOTOR CONTROLLER | 9 |
Ichiro Kataoka | JP | Kudamatsu | 2009-04-30 / 20090112529 - ANALYSIS MODEL GENERATION SYSTEM | 2 |
Motoaki Kataoka | JP | Kariya-City | 2009-04-30 / 20090112406 - Electric power steering controller | 3 |
Yuzuru Kataoka | JP | Tokyo | 2015-06-04 / 20150152516 - PEARLITE RAIL, FLASH BUTT WELDING METHOD FOR PEARLITE RAIL, AND METHOD OF MANUFACTURING PEARLITE RAIL | 5 |
Shohei Kataoka | JP | Haibara-Gun | 2016-03-10 / 20160070167 - PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE, ELECTRONIC DEVICE AND COMPOUND | 12 |
Yoshiyuki Kataoka | JP | Osaka | 2009-05-07 / 20090116613 - X-RAY FLUORESCENCE SPECTROMETER | 1 |
Shiro Kataoka | JP | Chiyodu-Ku | 2009-12-03 / 20090299039 - ANTI-A33 ANTIBODY | 1 |
Toshio Kataoka | JP | Chiyoda-Ku | 2015-03-05 / 20150063810 - OPTICAL 90-DEGREE HYBRID CIRCUIT AND OPTICAL RECEIVER USING SAME | 3 |
Shingo Kataoka | JP | Chita-Gun, Aichi | 2016-01-07 / 20160002437 - URETHANE CURABLE COMPOSITION | 1 |
Tomonori Kataoka | JP | Oonojyo | 2008-12-11 / 20080307198 - SIGNAL-PROCESSING APPARATUS AND ELECTRONIC APPARATUS USING SAME | 1 |
Tadashi Kataoka | JP | Tokyo | 2016-03-03 / 20160065018 - ROTARY ELECTRICAL MACHINE HAVING PERMANENT MAGNET ROTOR | 2 |
Tomoki Kataoka | JP | Tokyo | 2015-12-24 / 20150372413 - WATERPROOF CONNECTOR | 1 |
Katsuki Kataoka | JP | Kariya-Shi | 2014-06-26 / 20140176124 - CURRENT SENSOR AND MANUFACTURING METHOD FOR THE SAME | 1 |
Shiro Kataoka | JP | Shibuya-Ku | 2010-01-07 / 20100004429 - AGONIST ANTIBODY TO HUMAN THROMBOPOIETIN RECEPTOR | 1 |
Minoru Kataoka | JP | Tokyo | 2012-04-05 / 20120084418 - COMMUNICATION DEVICE SETTING APPARATUS, COMMUNICATION DEVICE SETTING METHOD, AND RECORDING MEDIUM | 2 |
Eiji Kataoka | JP | Aizuwakamatsu-Shi | 2012-04-05 / 20120081840 - Process For Manufacturing Agglomerated Particles Of Tantalum, Mixed Tantalum Powder And Process For Manufacturing Same, Tantalum Pellet And Process For Manufacturing Same, And Capacitor | 1 |
Shin Kataoka | JP | Yokohama-Shi | 2011-12-01 / 20110290892 - CONTACTLESS IC LABEL | 1 |
Tsugio Kataoka | JP | Kusatsu-Shi | 2016-05-05 / 20160126557 - CURRENT COLLECTOR, ELECTRODE STRUCTURE, NONAQUEOUS ELECTROLYTE BATTERY, AND ELECTRICAL STORAGE DEVICE | 13 |
Akira Kataoka | JP | Osaka | 2011-08-18 / 20110198342 - INDUCTION HEATING COOKER | 2 |
Masaki Kataoka | JP | Amagasaki-Shi | 2016-02-25 / 20160052817 - PARISON FORMING APPARATUS | 1 |
Takuji Kataoka | JP | Hamamatsu-Shi | 2015-12-24 / 20150369790 - AGGREGATED CELL EVALUATION METHOD AND AGGREGATED CELL EVALUATION DEVICE | 10 |
Masaki Kataoka | JP | Kanagawa | 2013-08-15 / 20130208038 - LIQUID SUPPLYING MECHANISM, COMPUTER READABLE MEDIUM AND IMAGE FORMING APPARATUS | 15 |
Yuuki Kataoka | JP | Kuwana-Shi | 2011-04-07 / 20110081106 - MANUFACTURING METHOD OF THIN COMPONENT, BEARING RING, THRUST NEEDLE ROLLER BEARING, MANUFACTURING METHOD OF ROLLING BEARING RING, ROLLING BEARING RING, AND ROLLING BEARING | 1 |
Shinji Kataoka | JP | Tokyo | 2014-05-01 / 20140116663 - HEAT EXCHANGER | 1 |
Takeyoshi Kataoka | JP | Kawasaki | 2011-12-01 / 20110292776 - OPTICAL DISC APPARATUS AND OPTICAL DISC DISCRIMINATING METHOD | 1 |
Shiro Kataoka | JP | Tokyo-To | 2014-02-06 / 20140037636 - ANTI-CD98 ANTIBODY PROCESSES | 3 |
Mikio Kataoka | JP | Tokyo | 2014-07-17 / 20140201332 - COMPUTER SYSTEM | 1 |
Kazunori Kataoka | JP | Tokyo | 2016-02-25 / 20160051484 - SUBSTANCE-CONTAINING VESICLE, AND PRODUCTION METHOD THEREFOR | 37 |
Masaki Kataoka | JP | Kanagawa-Ken | 2011-01-20 / 20110015916 - SIMULATION METHOD, SYSTEM AND PROGRAM | 1 |
Nakaba Kataoka | JP | Kyoto | 2011-01-27 / 20110018384 - MOTOR | 9 |
Shuichi Kataoka | JP | Nagano-Ken | 2011-04-14 / 20110083581 - INK SET, RECORDING METHOD, RECORDING APPARATUS, RECORDING SYSTEM AND RECORDED MATTER | 5 |
Ryouichi Kataoka | JP | Sakuragawa | 2009-11-19 / 20090285687 - Blade, impeller, turbo fluid machine, method and apparatus for manufacturing blade | 1 |
Tatsuo Kataoka | JP | Ageo-Shi | 2010-09-30 / 20100244281 - FLEXIBLE PRINTED WIRING BOARD AND SEMICONDUCTOR DEVICE EMPLOYING THE SAME | 2 |
Masaki Kataoka | JP | Kanagawa | 2013-08-15 / 20130208038 - LIQUID SUPPLYING MECHANISM, COMPUTER READABLE MEDIUM AND IMAGE FORMING APPARATUS | 15 |
Hisashi Kataoka | JP | Osaka | 2014-07-24 / 20140203001 - WELD LINE-DETECTING METHOD AND INDUSTRIAL ROBOT | 1 |
Kyoko Kataoka | JP | Chigasaki-Shi | 2010-12-02 / 20100304120 - Self-cleaning member and coating composition | 1 |
Masaki Kataoka | JP | Ebina-Shi | 2012-02-02 / 20120024395 - LIQUID SUPPLYING APPARATUS, LIQUID EJECTING APPARATUS AND PRESSURE CONTROL METHOD | 3 |
Yoshifumi Kataoka | JP | Kanagawa | 2012-04-12 / 20120087032 - PULSE POWER DURING A SHUT DOWN IN A HARD DISK DRIVE | 1 |
Masahito Kataoka | JP | Takasago-Shi | 2010-12-02 / 20100303608 - Two-shaft gas turbine | 1 |
Masatoshi Kataoka | JP | Kagawa | 2013-07-04 / 20130171692 - SUGAR-CHAIN MODIFIED YEAST AND METHOD FOR PRODUCING GLYCOPROTEIN USING THE SAME | 2 |
Takuya Kataoka | JP | Okazaki-City | 2016-03-10 / 20160068044 - RADIANT HEATER AIR-CONDITIONING SYSTEM | 8 |
Takuya Kataoka | JP | Saitama | 2009-05-28 / 20090137989 - Ophthalmic laser treatment device | 1 |
Yuya Kataoka | JP | Chino | 2012-10-11 / 20120258835 - REDUCTION GEAR | 2 |
Masahiro Kataoka | JP | Tama | 2016-01-07 / 20160006455 - DECODING METHOD, DECODING APPARATUS, AND COMPUTER-READABLE RECORDING MEDIUM | 28 |
Satoshi Kataoka | JP | Fukaya-Shi | 2011-12-22 / 20110311195 - METHOD AND APPARATUS FOR VIDEO RECORDING AND PLAYBACK | 1 |
Hiroyuki Kataoka | JP | Itami City | 2012-04-12 / 20120088849 - OIL-ADSORBING COMPOSITION, METHOD FOR ITS PRODUCTION, AND METHOD FOR USING THE OIL-ADSORBING COMPOSITION | 1 |
Hideaki Kataoka | JP | Higashiomi-Shi | 2012-04-12 / 20120087753 - DRILL AND CUTTING METHOD USING SAME | 1 |
Tatsuo Kataoka | JP | Saitama | 2009-12-24 / 20090317591 - Metal Composite Laminate for Producing Flexible Wiring Board and Flexible Wiring Board | 4 |
Kaoru Kataoka | JP | Kanagawa | 2013-08-08 / 20130202319 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 2 |
Kenichiro Kataoka | JP | Tokyo | 2009-02-26 / 20090054472 - Pyrazolopyrimidine Derivatives or Pharmaceutically Acceptable Salts Thereof | 2 |
Kohtaroh Kataoka | JP | Osaka-Shi | 2014-01-30 / 20140028375 - SEMICONDUCTOR DEVICE, AND INVERTER, CONVERTER AND POWER CONVERSION DEVICE EMPLOYING THE SAME | 5 |
Hajime Kataoka | JP | Kanagawa | 2015-09-03 / 20150249126 - SEMICONDUCTOR DEVICE | 1 |
Akira Kataoka | JP | Tokyo | 2010-06-24 / 20100154562 - ULTRASONIC METER | 1 |
Chiho Kataoka | JP | Ibaraki | 2014-05-08 / 20140124383 - ELECTRODE CHIP FOR DETECTING BIOLOGICAL MOLECULE, AND METHOD FOR DETECTING BIOLOGICAL MOLECULE | 1 |
Yasutaka Kataoka | JP | Osaka-Shi | 2014-08-21 / 20140231665 - ION GENERATING UNIT AND ELECTRIC DEVICE | 3 |
Masahiko Kataoka | JP | Kuwana-Shi | 2013-01-10 / 20130011090 - BEARING DEVICE FOR AXLE OF RAILWAY VEHICLE | 1 |
Koji Kataoka | JP | Odawara-Shi | 2013-07-04 / 20130171475 - Magnetic Head Having Stable Shield Domain Structure | 2 |
Yoshikazu Kataoka | JP | Seto-Shi | 2012-12-13 / 20120313584 - VEHICLE | 4 |
Yoshikazu Kataoka | JP | Toyota-Shi | 2011-12-08 / 20110300753 - PLUG CONVERSION ADAPTOR | 1 |
Takeshi Kataoka | JP | Kanagawa | 2009-03-12 / 20090068379 - DIOXETANE COMPOUND, CATIONICALLY POLYMERIZABLE COMPOSITION, OPTICAL FILM, AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Osamu Kataoka | JP | Osaka | 2008-12-25 / 20080319077 - Remedy for Diabetes | 1 |
Akira Kataoka | JP | Kanagawa | 2015-07-16 / 20150201168 - IMAGING DEVICE, IMAGING METHOD, IMAGE PROCESSING DEVICE, AND RECORDING MEDIUM STORING IMAGING PROGRAM | 3 |
Shin Kataoka | JP | Kobe-Shi | 2011-10-20 / 20110253847 - CABLE GUIDE UNIT WATER-PROOF DEVICE FOR RAILWAY VEHICLE | 4 |
Koichi Kataoka | JP | Osaka | 2015-06-11 / 20150159757 - GLAND PACKING | 4 |
Rie Kataoka | JP | Tokyo | 2015-04-16 / 20150104846 - METHOD FOR PRESERVING COMPONENTS OF PANCREATIC JUICE IN A SAMPLE, AND KIT FOR PRESERVING COMPONENTS OF PANCREATIC JUICE IN A SAMPLE | 6 |
Masaki Kataoka | JP | Tokyo | 2014-01-16 / 20140013746 - COAL-FIRED POWER GENERATING SYSTEM AND COAL-FIRED POWER GENERATING METHOD | 1 |
Yohei Kataoka | JP | Utsunomiya-Shi | 2015-12-31 / 20150380746 - FUEL CELL AND METHOD OF PRODUCING THE FUEL CELL | 2 |
Mitsunobu Kataoka | JP | Kyoto | 2009-07-02 / 20090169530 - Plant disease controlling agent and controlling method | 1 |
Yuta Kataoka | JP | Hitachi | 2015-12-17 / 20150364230 - CABLE WITH RESIN MOLDED BODY AND METHOD OF MANUFACTURING THE SAME | 32 |
Kouji Kataoka | JP | Osaka | 2011-06-30 / 20110155421 - Wired circuit board assembly sheet | 4 |
Yoshiharu Kataoka | JP | Osaka | 2012-01-19 / 20120013595 - DISPLAY DEVICE AND METHOD OF OPERATION THEREOF | 11 |
Tetsuji Kataoka | JP | Shizuoka | 2013-04-18 / 20130094149 - STRUCTURE FOR MOUNTING HEAT SINK, AND HEAT SINK MOUNTED USING THE STRUCTURE | 1 |
Kazushi Kataoka | JP | Neyagawa-Shi | 2009-10-29 / 20090267165 - WAFER LEVEL PACKAGE STRUCTURE, AND SENSOR DEVICE OBTAINED FROM THE SAME PACKAGE STRUCTURE | 4 |
Mikihiro Kataoka | JP | Kakegawa-Shi | 2015-10-15 / 20150295250 - ELECTRODE CATALYST FOR FUEL CELL AND METHOD OF PRODUCING THE SAME, AND CATHODE, ANODE, AND FUEL CELL INCLUDING ELECTRODE CATALYST | 3 |
Chie Kataoka | JP | Tokyo | 2015-09-10 / 20150253322 - IMMUNOLOGICAL DETECTION METHOD AND IMMUNOLOGICAL DETECTION REAGENT | 1 |
Satoshi Kataoka | JP | Tokyo | 2015-12-31 / 20150378983 - INCREMENTAL MULTI-WORD RECOGNITION | 10 |
Yasutaka Kataoka | JP | Osaka | 2011-06-02 / 20110128738 - ION GENERATING UNIT AND LIGHTING APPARATUS | 1 |
Taijirou Kataoka | JP | Shizuoka | 2013-04-18 / 20130094149 - STRUCTURE FOR MOUNTING HEAT SINK, AND HEAT SINK MOUNTED USING THE STRUCTURE | 1 |
Yasuto Kataoka | JP | Kobe-Shi | 2015-08-20 / 20150231692 - MOLD DESIGNING METHOD AND MOLD | 7 |
Kazuhide Kataoka | JP | Osaka | 2013-06-06 / 20130144071 - METHOD OF PRODUCING IODIZING AGENT, AND METHOD OF PRODUCING AROMATIC IODINE COMPOUND | 3 |
Norikatsu Kataoka | JP | Tokyo | 2011-08-11 / 20110197135 - MANIPULATION-MONITORING DEVICE | 2 |
Satoshi Kataoka | JP | Tokyo | 2015-12-31 / 20150378983 - INCREMENTAL MULTI-WORD RECOGNITION | 10 |
Hiroyasu Kataoka | JP | Tokyo | 2010-06-10 / 20100140727 - MAGNETIC THIN FILM AND METHOD OF MANUFACTURING THE SAME, AND VARIOUS APPLICATION DEVICES USING THE SAME | 1 |
Shinya Kataoka | JP | Kasai-City | 2012-12-13 / 20120313562 - BATTERY CONTROL DEVICE, BATTERY SYSTEM, ELECTRIC VEHICLE, CHARGE CONTROL DEVICE, BATTERY CHARGER, MOVABLE BODY, POWER SUPPLY SYSTEM, POWER STORAGE DEVICE, AND POWER SUPPLY DEVICE | 1 |
Nariyuki Kataoka | JP | Kariya-Shi | 2013-07-11 / 20130174658 - IMBALANCE CORRECTION METHOD AND IMBALANCE CORRECTION AMOUNT CALCULATION DEVICE FOR ROTOR | 1 |
Kuniaki Kataoka | JP | Kanagawa | 2011-05-19 / 20110115958 - Solid-State imaging device and load current source circuit | 1 |
Tomoyoshi Kataoka | JP | Yokohama-Shi | 2010-07-08 / 20100172653 - Optical Transmission System, Optical Transmitter for Optical Transmission System, and Optical Receiver for Optical Transmission System | 1 |
Naruki Kataoka | JP | Tokyo | 2011-05-19 / 20110114378 - MULTILAYER CERAMIC CAPACITOR MOUNTING STRUCTURE AND MULTILAYER CERAMIC CAPACITOR | 1 |
Yasutaka Kataoka | JP | Toyama | 2011-06-30 / 20110155554 - METAL DOME PUSH BUTTON SWITCH | 2 |
Yuichiro Kataoka | JP | Osaka | 2016-02-04 / 20160031153 - Seal Device | 1 |
Masaki Kataoka | JP | Chuo-Ku | 2013-07-11 / 20130174436 - INDIRECTLY HEATING ROTARY DRYER | 1 |
Mikihiro Kataoka | JP | Toyota-Shi | 2016-01-07 / 20160006042 - SUPPORTED CATALYST FOR FUEL CELL, METHOD OF MANUFACTURING THEREOF, AND FUEL CELL | 2 |
Kotaro Kataoka | JP | Fujisawa | 2009-11-05 / 20090274151 - BROADCAST-CONTENT TRANSMISSION APPARATUS, AND BROADCAST-CONTENT TRANSMISSION METHOD | 4 |
Tohru Kataoka | JP | Tokyo | 2015-12-03 / 20150347077 - DISPLAY DEVICE, MULTI-DISPLAY SYSTEM AND MULTI-DISPLAY METHOD | 1 |
Yoshirou Kataoka | JP | Osaka | 2012-05-24 / 20120127109 - PORTABLE DISPLAY DEVICE, METHOD OF CONTROLLING PORTABLE DISPLAY DEVICE, PROGRAM, AND RECORDING MEDIUM | 1 |
Naoto Kataoka | JP | Aichi | 2013-03-07 / 20130057049 - WHEEL FOR AUTOMOBILE | 1 |
Goshu Kataoka | JP | Yokohama | 2012-12-27 / 20120324685 - SEATBELT BUCKLE APPARATUS | 1 |
Shigeto Kataoka | JP | Yokkaichi-City | 2015-02-26 / 20150056846 - CONNECTOR | 13 |
Ryuhei Kataoka | JP | Saitama | / - | 1 |
Noriyasu Kataoka | JP | Ota-Ku | 2014-07-24 / 20140206705 - CRYSTALS OF SALTS OF PHENYLALANINE DERIVATIVES | 2 |
Kenji Kataoka | JP | Yokohama | 2013-09-19 / 20130242804 - PATH CALCULATION METHOD | 4 |
Tatsunori Kataoka | JP | Hamamatsu-Shi | 2013-09-26 / 20130247878 - ENGINE START CONTROL SYSTEM | 1 |
Kenji Kataoka | JP | Kanagawa | 2010-05-06 / 20100115008 - FILE SYSTEM MIGRATION IN STORAGE SYSTEM | 1 |
Tsugio Kataoka | JP | Chuo-Ku | 2014-10-23 / 20140315095 - COLLECTOR, ELECTRODE STRUCTURE, NONAQUEOUS ELECTROLYTE BATTERY, AND ELECTRICAL STORAGE DEVICE | 2 |
Kenji Kataoka | JP | Tokyo | 2012-08-02 / 20120197466 - OPERATION SUPPORT DEVICE AND AUTOMATIC OPERATION DEVICE | 5 |
Kenji Kataoka | JP | Osaka | 2010-09-16 / 20100231212 - MAGNETIC DETECTOR AND INPUT DEVICE USING THE SAME | 1 |
Kenji Kataoka | JP | Susono-Shi | 2009-11-26 / 20090289498 - POWER SUPPLY CONTROL DEVICE FOR VEHICLE | 1 |
Kenji Kataoka | JP | Okayama | 2014-05-01 / 20140115910 - ROTARY ENCODER | 3 |
Kotaro Kataoka | JP | Nara | 2009-03-19 / 20090073158 - SEMICONDUCTOR ELEMENT AND DEVICE USING THE SAME | 1 |
Makoto Kataoka | JP | Nagoya-Shi | 2012-11-01 / 20120273975 - SHEET FOR PROTECTING SURFACE OF SEMICONDUCTOR WAFER, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR WAFER PROTECTION METHOD USING SHEET | 1 |
Masaru Kataoka | JP | Tokyo | 2009-04-16 / 20090097673 - VEHICLE-MOUNTED DEVICE | 1 |
Tomoyoshi Kataoka | JP | Tokyo | 2016-03-24 / 20160087727 - Optical Modulator Driver Circuit and Optical Transmitter | 1 |
Hiroaki Kataoka | JP | Miyazaki-Shi | 2011-11-24 / 20110288164 - AGENT FOR INHIBITING PRODUCTION OF HEPATITIS C VIRUS AND ITS USE | 2 |
Kenichi Kataoka | JP | Yokohama-Shi | 2014-10-02 / 20140292233 - DRIVING CIRCUIT FOR VIBRATION-TYPE ACTUATOR | 12 |
Junichi Kataoka | JP | Yokohama-Shi | 2009-08-06 / 20090194675 - OPTICAL RECEIVER APPLICABLE TO GPON SYSTEM | 1 |
Miho Kataoka | JP | Kumamoto | 2009-12-17 / 20090313710 - Nonalcoholic Steatohepatitis Model Animal and Fatty Liver Model Animal | 1 |
Jun Kataoka | JP | Tokyo | 2015-01-29 / 20150028218 - RADIATION DETECTOR | 1 |
Hiroaki Kataoka | JP | Aichi-Ken | 2010-09-02 / 20100219947 - VEHICLE-INSTALLED DISPLAY SYSTEM AND CONTROL METHOD THEREOF | 1 |
Hiroaki Kataoka | JP | Nishikamo-Gun | 2012-11-22 / 20120293657 - Road Surface Division Mark Recognition Apparatus, And Lane Departure Prevention Apparatus | 3 |
Hiroaki Kataoka | JP | Susono-Shi | 2010-01-07 / 20100004822 - STEERING SUPPORT DEVICE | 7 |
Makoto Kataoka | JP | Shunan-Shi | 2012-06-28 / 20120165433 - METHOD OF PRODUCING A VINYL CHLORIDE RESIN FORMED ARTICLE | 1 |
Chiaki Kataoka | JP | Nagakute-Shi | 2016-04-21 / 20160107520 - FUEL TANK | 8 |
Takeyoshi Kataoka | JP | Yokohama | 2012-05-03 / 20120106313 - Optical Disk Device and Optical Disk Discriminating Method | 11 |
Naoki Kataoka | JP | Osaka | 2012-01-26 / 20120017881 - INTERNAL COMBUSTION ENGINE CONTROL SYSTEM | 1 |
Yoshinori Kataoka | JP | Saitama | 2008-09-04 / 20080212437 - Switch Device, Information Processing Device, and Reproduction Device | 1 |
Shingo Kataoka | JP | Kawasaki | 2013-06-27 / 20130162921 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 6 |
Chiaki Kataoka | JP | Nagakute-Shi | 2016-04-21 / 20160107520 - FUEL TANK | 8 |
Kaoru Kataoka | JP | Ebina-Shi | 2010-03-25 / 20100074642 - IMAGE FORMING APPARATUS EMPLOYING FIXING DEVICE AND CONTROL METHOD THEREFOR | 1 |
Shingo Kataoka | JP | Kawasaki-Shi | 2012-08-30 / 20120219729 - LIQUID CRYSTAL DISPLAY AND METHOD OF MANUFACTURING THE SAME | 6 |
Keiji Kataoka | JP | Okazaki Shi | 2012-04-05 / 20120080063 - WASHING APPARATUS COMPRISING A CAPSULE-SHAPED WASHING CHAMBER | 2 |
Tomoyoshi Kataoka | JP | Yokosuka-Shi | 2015-12-24 / 20150372766 - OPTICAL TRANSMISSION SYSTEM, PHASE COMPENSATION METHOD, AND OPTICAL RECEPTION APPARATUS | 4 |
Hiroaki Kataoka | JP | Miyazaki | 2011-04-21 / 20110091907 - METHOD FOR DETECTION OF LIVER CANCER CELL USING ANTI-GLYPICAN-3 ANTIBODY | 1 |
Kouta Kataoka | JP | Shimane | 2014-09-25 / 20140283619 - METHOD FOR EVALUATING CORROSION-FATIGUE LIFE OF STEEL MATERIAL | 1 |
Iichiro Kataoka | JP | Hitachi | 2009-11-26 / 20090290675 - METHOD AND APPARATUS FOR SUPPRESSING CORROSION OF CARBON STEEL, METHOD FOR SUPPRESSING DEPOSIT OF RADIONUCLIDE ONTO CARBON STEEL MEMBERS COMPOSING A NUCLEAR POWER PLANT, AND FILM FORMATION APPARATUS | 1 |
Hisayuki Kataoka | JP | Kashiwa-City | 2014-05-15 / 20140131278 - SYSTEMS AND METHODS FOR CONDITIONING A FILTER ASSEMBLY | 2 |
Hiroaki Kataoka | JP | Aichi | 2012-01-26 / 20120019375 - ALARM OUTPUT CONTROL APPARATUS | 1 |
Hisayuki Kataoka | JP | Chiba | 2009-08-13 / 20090200227 - PLEATED FLUID TREATMENT ARRANGEMENTS AND METHODS FOR STABILIZING THE PLEATS | 1 |
Kenji Kataoka | JP | Toyko | 2009-01-01 / 20090002203 - Mobile Device | 1 |
Hideo Kataoka | JP | Tokyo | 2015-03-19 / 20150081673 - ELECTRONIC APPARATUS, PROGRAM RECOMMENDATION SYSTEM, PROGRAM RECOMMENDATION METHOD, AND PROGRAM RECOMMENDATION PROGRAM | 21 |
Shingo Kataoka | JP | Tsukuba-Shi | 2010-04-01 / 20100081780 - DIOL (METH) ACRYLATE COMPOUND HAVING URETHANE BOND, METHOD FOR PRODUCING THE SAME, AND POLYMER THEREOF | 5 |
Yasuhiro Kataoka | JP | Kanagawa | 2012-03-15 / 20120062522 - INFORMATION INPUT DEVICE, AND ELECTRONIC APPARATUS USING SAME | 3 |
Takaaki Kataoka | JP | Hirakata | 2012-01-26 / 20120020086 - LIGHT-EMITTING DEVICE WITH ELECTRICALLY INSULATED LED MODULE | 1 |
Kenji Kataoka | JP | Yokkaichi | 2013-08-15 / 20130209809 - METHOD FOR PREVENTING A PHOTOCATALYST FROM DECREASING IN HYDROPHILICITY | 2 |
Yoshio Kataoka | JP | Kawasaki-Shi | 2009-07-02 / 20090172643 - PROGRAM VERIFICATION APPARATUS, PROGRAM VERIFICATION METHOD, AND PROGRAM STORAGE MEDIUM | 2 |
Keiji Kataoka | JP | Aichi-Ken | 2011-01-13 / 20110005555 - WORK WASHING APPARATUS | 1 |
Hisataka Kataoka | JP | Yokohama-Shi | 2016-03-24 / 20160087130 - COMPOSITION FOR SOLAR CELL SEALING FILM, METHOD FOR PRODUCING SAME AND SOLAR CELL SEALING FILM | 18 |
Motoaki Kataoka | JP | Kariya-Shi | 2016-05-12 / 20160129935 - ELECTRIC POWER STEERING SYSTEM WITH MOTOR CONTROLLER | 9 |
Shingo Kataoka | JP | Tokyo | 2015-09-24 / 20150268494 - LIQUID CRYSTAL DISPLAY AND MANUFACTURING METHOD THEREOF | 3 |
Takuji Kataoka | JP | Hamamatsu-Shi | 2015-12-24 / 20150369790 - AGGREGATED CELL EVALUATION METHOD AND AGGREGATED CELL EVALUATION DEVICE | 10 |
Kohtaroh Kataoka | JP | Osaka | 2015-12-03 / 20150349692 - SOLAR ENERGY UTILIZATION SYSTEM, AND COOL BOX, AIR CONDITIONER OR PUMP INCLUDED THEREIN | 6 |
Ryohei Kataoka | JP | Okazaki-City | 2015-08-13 / 20150229021 - VEHICLE-MOUNTED ANTENNA DEVICE | 4 |
Hiroki Kataoka | JP | Tokyo | 2013-02-28 / 20130047869 - PAPER PROCESSING APPARATUS AND PAPER PROCESSING SYSTEM | 1 |
Miho Kataoka | JP | Kumamoto-Shi | 2009-03-05 / 20090060882 - Method Of Treating Mouse Carrying Human Hepatocytes | 1 |
Shiro Kataoka | JP | Tokyo | 2015-10-29 / 20150307621 - ANTI-CD98 ANTIBODY PROCESSES | 2 |
Chiaki Kataoka | JP | Aichi-Gun Aichi | 2013-09-26 / 20130248525 - LIQUID LEVEL DETECTING DEVICE AND FUEL TANK STRUCTURE | 1 |
Rieko Kataoka | SG | Singapore | 2014-05-22 / 20140141371 - ELECTROSTATIC IMAGE DEVELOPING CARRIER, PROCESS OF MAKING THE SAME, ELECTROSTATIC IMAGE DEVELOPER, PROCESS CARTRIDGE, IMAGE FORMING METHOD, AND IMAGE FORMING APPARATUS | 6 |
Hiroaki Kataoka | JP | Miyoshi-Shi | 2012-12-13 / 20120314055 - LANE DEPARTURE PREVENTION SUPPORT APPARATUS, METHOD OF DISPLAYING A LANE BOUNDARY LINE AND PROGRAM | 3 |
Keiko Kataoka | JP | Ageo-Shi | 2009-10-08 / 20090253644 - Radiosensitizer | 1 |
Yuki Kataoka | JP | Hokkaido | 2013-06-13 / 20130148817 - ABNORMALITY DETECTION APPARATUS FOR PERIODIC DRIVING SYSTEM, PROCESSING APPARATUS INCLUDING PERIODIC DRIVING SYSTEM, ABNORMALITY DETECTION METHOD FOR PERIODIC DRIVING SYSTEM, AND COMPUTER PROGRAM | 2 |
Teruyuki Kataoka | JP | Tokyo | 2012-07-05 / 20120169953 - LED LIGHTING APPARATUS | 1 |
Shoei Kataoka | JP | Tokyo | 2012-07-05 / 20120169953 - LED LIGHTING APPARATUS | 1 |
Masayuki Kataoka | JP | Shizuoka | 2016-02-25 / 20160056590 - CONNECTOR | 4 |
Ken Kataoka | JP | Himeji-Shi | 2013-03-28 / 20130075697 - ULTRAVIOLET IRRADIATION APPARATUS | 3 |
Kouta Kataoka | JP | Yasugi | 2010-08-05 / 20100193089 - HOT-WORKING TOOL STEEL HAVING EXCELLENT TOUGHNESS AND HIGH-TEMPERATURE STRENGTH AND METHOD FOR PRODUCTION THEREOF | 1 |
Kiyotaka Kataoka | JP | Chigasaki | 2009-07-30 / 20090191879 - WIRELESS COMMUNICATION SYSTEM AND SOFT HANDOFF CONTROL METHOD IN WIRELESS COMMUNICATION SYSTEM | 1 |
Mikihiro Kataoka | JP | Aichi-Ken | 2012-05-03 / 20120107724 - ELECTRODE CATALYST FOR FUEL CELL, METHOD FOR PRODUCING THE SAME, AND POLYMER ELECTROLYTE FUEL CELL USING THE SAME | 1 |
Koji Kataoka | JP | Kanagawa-Ken | 2010-02-25 / 20100046121 - CPP MAGNETORESISTIVE HEAD | 1 |
Fuminori Kataoka | JP | Aichi | 2008-08-28 / 20080203673 - Sealing Device With Sound Insulating Seal | 1 |
Chiaki Kataoka | JP | Aichi-Gun | 2016-04-21 / 20160108872 - FUEL SUPPLY DEVICE | 4 |
Shohei Kataoka | JP | Shizuoka | 2016-03-10 / 20160070174 - PATTERN FORMING METHOD, ACTIVE LIGHT SENSITIVE OR RADIATION SENSITIVE RESIN COMPOSITION, ACTIVE LIGHT SENSITIVE OR RADIATION SENSITIVE FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE | 18 |
Kouichi Kataoka | JP | Tokyo | 2012-11-15 / 20120285632 - SOLAR RADIATION SHIELDING APPARATUS | 1 |
Shohei Kataoka | JP | Haibara-Gun | 2016-03-10 / 20160070167 - PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE, ELECTRONIC DEVICE AND COMPOUND | 12 |
Ichiro Kataoka | JP | Tokyo | 2015-07-09 / 20150193557 - DESIGN SUPPORT SYSTEM, DESIGN SUPPORT PROCESSING METHOD, AND DESIGN SUPPORT PROCESSING PROGRAM | 2 |
Ryouichi Kataoka | JP | Tokyo | 2013-05-02 / 20130104356 - BLADE, IMPELLER, TURBO FLUID MACHINE, METHOD AND APPARATUS FOR MANUFACTURING BLADE | 1 |
Yuichi Kataoka | JP | Tokyo | 2014-01-02 / 20140002896 - MICROSCOPE FOCUSING MECHANISM | 1 |
Masamichi Kataoka | JP | Tokyo | 2013-11-14 / 20130300045 - HOLDING TABLE | 1 |
Masahito Kataoka | JP | Tokyo | 2016-02-25 / 20160052756 - ROTATING SHAFT LIFTING JIG AND ROTATING SHAFT LIFTING METHOD | 2 |
Yusuke Kataoka | JP | Tsu-Shi | 2013-04-25 / 20130102433 - GEAR TRANSMISSION | 1 |
Naoyuki Kataoka | JP | Kyoto | 2013-04-25 / 20130102644 - Prophylactic or Ameliorating Agent for Genetic Diseases | 1 |
Noriyasu Kataoka | JP | Kawasaki-Shi | 2011-12-22 / 20110313154 - METHOD FOR PREPARING PHENYLALANINE DERIVATIVES HAVING QUINAZOLINE-DIONE SKELETON AND INTERMEDIATES FOR USE IN THE PREPARATION OF THE DERIVATIVES | 5 |
Kenji Kataoka | JP | Gotenba-Shi | 2013-09-19 / 20130244834 - VEHICLE BRAKE SYSTEM | 1 |
Michihiko Kataoka | JP | Kyoto-Shi | 2010-12-16 / 20100317075 - Novel Carbonyl Reductase, Gene Thereof and Method of Using the Same | 1 |
Michihiko Kataoka | JP | Kyoto | 2009-05-28 / 20090137012 - Process for producing lactonase and utilitzation thereof | 2 |
Kenichi Kataoka | JP | Yokohama-Shi | 2014-10-02 / 20140292233 - DRIVING CIRCUIT FOR VIBRATION-TYPE ACTUATOR | 12 |
Masahiko Kataoka | JP | Shizuoka | 2011-04-21 / 20110088506 - PUMP TAPPET | 1 |
Yousuke Kataoka | JP | Kobe-Shi | 2012-07-12 / 20120177571 - PANCREATIC ENDOCRINE CELL INDICATING AGENT AND UTILIZATION OF SAME | 1 |
Yoshiharu Kataoka | JP | Osaka-Shi | 2014-10-16 / 20140306225 - THIN FILM TRANSISTOR AND SHIFT REGISTER | 16 |
Wataru Kataoka | JP | Osaka | 2012-01-05 / 20120003071 - COUNTERWEIGHT | 1 |
Shigekazu Kataoka | JP | Aichi-Gun | 2010-03-25 / 20100072608 - Semiconductor device | 2 |
Shohei Kataoka | JP | Kanagawa | 2009-01-29 / 20090029169 - COMPOSITION, ARTICLE AND THEIR PRODUCTION METHOD, AND FILM AND ITS PRODUCTION METHOD | 1 |
Eiji Kataoka | JP | Kanagawa | 2009-08-20 / 20090209302 - MOBILE TERMINAL HAVING A LIGHT SOURCE | 1 |
Katsuhisa Kataoka | JP | Kanagawa-Ken | 2009-10-15 / 20090259625 - METHODS INVOLVING TAGGING | 1 |
Naoki Kataoka | JP | Toyokawa-Shi | 2015-09-24 / 20150268607 - FIXING DEVICE | 4 |
Yusuke Kataoka | JP | Echizen-City | 2009-07-02 / 20090168321 - DISPLAY DEVICE | 3 |
Kazunori Kataoka | JP | Kanagawa | 2008-11-20 / 20080286914 - Display device, method of production of the same, and projection type display device | 1 |
Hisaki Kataoka | JP | Toyama-Shi | 2014-06-26 / 20140176701 - SUBSTRATE PROCESSING DEVICE | 1 |
Hideo Kataoka | JP | Tokyo | 2015-03-19 / 20150081673 - ELECTRONIC APPARATUS, PROGRAM RECOMMENDATION SYSTEM, PROGRAM RECOMMENDATION METHOD, AND PROGRAM RECOMMENDATION PROGRAM | 21 |
Goushu Kataoka | JP | Kanagawa | 2010-10-14 / 20100257708 - BUCKLE DEVICE FOR SEAT BELT AND MANUFACTURING METHOD THEREOF | 1 |
Yasufumi Kataoka | JP | Kyoto | 2013-04-04 / 20130083334 - PRINT DATA PROCESSING APPARATUS, A PRINT DATA PROCESSING METHOD, A PRINTING SYSTEM AND PROGRAM, A PAGE DATA CREATING APPARATUS, AND A RASTERIZATION PROCESSING APPARATUS | 1 |
Yoshikazu Kataoka | JP | Nagoya | 2014-09-18 / 20140265817 - SPARK PLUG | 2 |
Masami Kataoka | JP | Anjo-City | 2010-07-22 / 20100182354 - DISPLAY SYSTEM | 1 |
Masayuki Kataoka | JP | Kakegawa-Shi | 2015-07-30 / 20150214655 - CONNECTOR | 7 |
Tohru Kataoka | JP | Kobe-Shi | 2014-07-10 / 20140194412 - THIOXOTHIAZOLIDINE DERIVATIVE HAVING RAS FUNCTION INHIBITORY EFFECT | 3 |
Mikihiro Kataoka | JP | Shizuoka | 2011-09-29 / 20110236791 - ANODE CATALYST LAYER FOR POLYMER ELECTROLYTE FUEL CELL | 2 |
Kiyoshi Kataoka | JP | Tokyo | 2010-10-21 / 20100266726 - PACKAGED COFFEE BEVERAGE | 2 |
Toyoki Kataoka | JP | Kyoto-Shi | 2014-12-18 / 20140370988 - GAME APPARATUS FOR PERFORMING GAME PROCESSING ACCORDING TO AN ATTITUDE OF AN INPUT DEVICE AND GAME PROGRAM | 3 |
Kanako Kataoka | JP | Tokyo | 2010-03-25 / 20100076258 - CAPSULE MEDICAL APPARATUS AND METHOD OF MANUFACTURING CAPSULE MEDICAL APPARATUS | 1 |
Akitoshi Kataoka | JP | Tokyo | 2011-02-24 / 20110044405 - CODING METHOD, DECODING METHOD, APPARATUSES THEREOF, PROGRAMS THEREOF, AND RECORDING MEDIUM | 2 |
Masanobu Kataoka | JP | Miyazaki-Shi | 2014-03-20 / 20140078299 - HARDNESS TESTER AND METHOD FOR HARDNESS TEST | 2 |
Hiroshige Kataoka | JP | Kanagawa | 2011-11-10 / 20110275567 - AQUEOUS COMPOSITION CONTAINING FOLLICLE-STIMULATING HORMONE | 1 |
Dai Kataoka | JP | Wako-Shi | 2013-10-03 / 20130255611 - VARIABLE VALVE GEAR FOR INTERNAL COMBUSTION ENGINE | 3 |
Tomoyuki Kataoka | JP | Tokyo | 2010-03-11 / 20100058963 - METHOD FOR DISPOSING OF ORGANIC WASTE OF HIGH WATER CONTENT AND DISPOSAL APPARATUS THEREFOR | 1 |
Toshio Kataoka | JP | Tokyo | 2016-03-03 / 20160062155 - Optical Modulator | 5 |
Yoshikuni Kataoka | JP | Tokyo | 2012-05-17 / 20120123732 - AN AIR CONDITIONER | 3 |
Emiko Kataoka | JP | Tokyo | 2010-06-03 / 20100137578 - CELLULOSE ESTER FILM FOR OPTICAL USE, AND POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY USING SUCH CELLULOSE ESTER FILM FOR OPTICAL USE | 1 |
Tetsurou Kataoka | JP | Tokyo | 2010-03-04 / 20100050327 - CHEST PROTECTOR | 1 |
Nobuyuki Kataoka | JP | Tokyo | 2011-01-20 / 20110013909 - Optical Code Division Multiplexing Access System | 1 |
Hisashi Kataoka | JP | Tokyo | 2011-09-01 / 20110212219 - FEED | 2 |
Masaki Kataoka | JP | Fukuyama-Shi | 2008-10-30 / 20080264843 - Manufacturing method, manufacturing device, and application device for liquid containing micro-nano bubbles | 1 |
Akio Kataoka | JP | Hyogo | 2009-05-14 / 20090121070 - TAKE-UP REEL FOR METALLIC FILAMENT | 1 |
Atsushi Kataoka | JP | Yokohama-Shi | 2011-03-03 / 20110052214 - METHOD AND APPARATUS FOR VISIBLE LIGHT COMMUNICATION WITH IMAGE PROCESSING | 1 |
Takuya Kataoka | JP | Okazaki-City | 2016-03-10 / 20160068044 - RADIANT HEATER AIR-CONDITIONING SYSTEM | 8 |
Mitsuteru Kataoka | JP | Osaka | 2015-01-22 / 20150023589 - IMAGE RECORDING DEVICE, THREE-DIMENSIONAL IMAGE REPRODUCING DEVICE, IMAGE RECORDING METHOD, AND THREE-DIMENSIONAL IMAGE REPRODUCING METHOD | 18 |
Tatsu Kataoka | JP | Nagano | 2012-10-25 / 20120268880 - ELECTRONIC APPARATUS | 1 |
Souichi Kataoka | JP | Tokyo | 2011-05-26 / 20110122875 - STS FRAME-ATM CELL CIRCUIT EMULATION APPARATUS AND FRAME LENGTH COMPENSATION METHOD FOR THE SAME | 2 |
Masanori Kataoka | JP | Fukuoka | 2009-10-15 / 20090257432 - TERMINAL | 1 |
Chiwa Kataoka | JP | Kyoto-Shi | 2009-11-26 / 20090292477 - Method and Apparatus for Screening and Assaying Environmental Sample | 1 |
Masaki Kataoka | JP | Hiroshima | 2008-12-04 / 20080296229 - Liquid treatment method and apparatus | 3 |
Masaki Kataoka | JP | Hyogo | 2013-01-03 / 20130000359 - GOB FORMING DEVICE | 2 |
Hisataka Kataoka | JP | Kanagawa | 2014-10-02 / 20140296400 - SOLAR CELL SEALING FILM AND SOLAR CELL USING THE SEALING FILM | 5 |
Tomoharu Kataoka | JP | Susono-Shi | 2013-09-19 / 20130240775 - METHOD OF PRODUCTION OF NANOCOMPOSITE THERMOELECTRIC CONVERSION MATERIAL | 1 |
Hiroyo Kataoka | JP | Kuwana-Shi | 2015-10-15 / 20150291533 - NOVEL BENZOAZEPINE DERIVATIVE AND MEDICAL USE THEREOF | 1 |
Motoaki Kataoka | JP | Kariya-Shi, Aichi-Ken | 2016-05-12 / 20160129933 - ELECTRIC POWER STEERING SYSTEM WITH MOTOR CONTROLLER | 1 |
Tadahito Kataoka | JP | Atsugi-Shi | 2009-06-25 / 20090160166 - AIRBAG APPARATUS AND METHODS | 1 |
Eiji Kataoka | JP | Yokohama-Shi | 2009-08-06 / 20090196048 - MOBILE TERMINAL HAVING A LIGHT SOURCE | 1 |
Fumio Kataoka | JP | Kamisato | 2010-04-29 / 20100101601 - CLEANING EQUIPMENT AND CLEANING METHOD OF DEPOSITION MASK | 1 |
Takashi Kataoka | JP | Kanagawa | 2011-04-21 / 20110091742 - FUNCTIONAL FILM AND METHOD FOR PRODUCING THE SAME | 2 |
Yukiko Kataoka | JP | Kobe-Shi | 2014-02-20 / 20140051071 - BLOOD ANALYZER, BLOOD ANALYSIS METHOD, AND COMPUTER PROGRAM PRODUCT | 5 |
Kazunorii Kataoka | JP | Tokyo | 2011-05-26 / 20110123458 - POLYMER-METAL COMPLEX COMPOSITE HAVING MRI CONTRAST ABILITY AND MRI CONTRASTING AND/OR ANTITUMOR COMPOSITION USING THE SAME | 1 |
Mikio Kataoka | JP | Ikoma-Shi | 2012-07-26 / 20120190123 - STRUCTURAL ANALYSIS DEVICE AND STRUCTURAL ANALYSIS METHOD | 1 |
Masanori Kataoka | JP | Okazaki-Shi | 2010-02-11 / 20100036108 - Universal Base | 1 |
Hiroki Kataoka | JP | Fukuroi-Shi | 2011-02-10 / 20110030647 - INTERNAL COMBUSTION ENGINE | 1 |
Hisataka Kataoka | JP | Yokohama-Shi | 2016-03-24 / 20160087130 - COMPOSITION FOR SOLAR CELL SEALING FILM, METHOD FOR PRODUCING SAME AND SOLAR CELL SEALING FILM | 18 |
Kenichi Kataoka | JP | Nirasaki City | 2012-08-02 / 20120194213 - PROBE CARD | 1 |
Hideki Kataoka | JP | Mie | 2012-08-02 / 20120194628 - EXPOSURE DEVICE AND IMAGE FORMING APPARATUS | 1 |
Masanori Kataoka | JP | Aichi | 2010-09-02 / 20100222563 - METHOD FOR MODIFICATION OF NUCLEOTIDES IN NUCLEIC ACID, AND NUCLEIC ACID HAVING MODIFIED NUCLEOTIDE THEREIN | 1 |
Mikihiko Kataoka | JP | Kobe-Shi | 2013-10-03 / 20130257371 - BATTERY MODULE CHARGING SYSTEM | 1 |
Hiroki Kataoka | JP | Ise-Shi | 2011-02-24 / 20110042951 - WINDMILL ROTATION DETECTION/MANAGEMENT DEVICE AND WIND POWER GENERATION SYSTEM | 1 |
Hiromi Kataoka | JP | Kochi | 2010-02-11 / 20100036791 - EXAMINATION VALUE PREDICTING DEVICE USING ELECTROPHORESIS WAVEFORM, PREDICTION METHOD, AND PREDICTING PROGRAM | 2 |
Hiromi Kataoka | JP | Kochi-Shi | 2010-05-27 / 20100129855 - BLOOD CELL ANALYZER, BLOOD CELL ANALYZING METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Hiromi Kataoka | JP | Shimizu | 2010-12-02 / 20100300671 - HEAT SINK AND METHOD FOR MANUFACTURING SAME | 1 |
Hiroyasu Kataoka | JP | Shinagawa-Ku | 2010-03-04 / 20100055503 - MAGNETIC THIN FILM AND METHOD FOR FORMING THE FILM, AND MAGNETIC THIN FILM-APPLIED DEVICE | 1 |
Yasuyuki Kataoka | JP | Kanagawa | 2010-01-28 / 20100021742 - Water-Based Primer Compositions | 3 |
Masao Kataoka | JP | Toyamaken | 2011-01-20 / 20110012329 - AIR-BAG DEVICE, AND VEHICLE OCCUPANT'S LOWER LIMB PROTECTING DEVICE AND DEVICE FOR PROTECTING OCCUPANT AT THE TIME OF VEHICLE SIDE COLLISION USING THE SAME | 1 |
Hiroyasu Kataoka | JP | Sendai | 2011-01-27 / 20110020669 - MAGNETIC RECORDING MEDIUM | 1 |
Hisashi Kataoka | JP | Aichi | 2008-12-18 / 20080309872 - Ophthalmologic Instrument | 1 |
Toyomi Kataoka | JP | Tochigi | 2010-12-02 / 20100303643 - Fan Drive System | 1 |
Satoru Kataoka | JP | Tokyo | 2009-12-24 / 20090314837 - ELECTRONIC APPARATUS CONTRIBUTING TO GLOBAL ENVIRONMENTAL CONSERVATION | 1 |
Takashi Kataoka | JP | Kanagawa-Ken | 2012-11-01 / 20120273793 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 3 |
Mikio Kataoka | JP | Tachikawa | 2011-01-13 / 20110007651 - NETWORK SYSTEM AND MONITORING NODE | 2 |
Mitsuhiro Kataoka | JP | Kasugai-City | 2015-03-19 / 20150075579 - THERMIONIC POWER GENERATOR | 5 |
Yasufumi Kataoka | JP | Fukuoka-Shi | 2014-09-11 / 20140256820 - THERAPEUTIC AGENT FOR BLOOD-BRAIN BARRIER DISRUPTION SYNDROME | 1 |
Kouji Kataoka | JP | Tokyo | 2011-07-21 / 20110176727 - METHOD FOR GENERATING BACKGROUND IMAGE AND SYSTEM FOR GENERATING BACKGROUND IMAGE | 2 |
Ichiro Kataoka | JP | Kawasaki-Shi | 2016-02-11 / 20160044796 - METHOD FOR PRODUCING A MODULE | 5 |
Masahiro Kataoka | JP | Kawasaki | 2014-07-31 / 20140214854 - EXTRACTING METHOD, COMPUTER PRODUCT, EXTRACTING SYSTEM, INFORMATION GENERATING METHOD, AND INFORMATION CONTENTS | 15 |
Akira Kataoka | JP | Shiga | 2014-08-28 / 20140238978 - NON-CONTACT POWER RECEIVING DEVICE AND NON-CONTACT POWER TRANSMISSION DEVICE | 13 |
Hiroaki Kataoka | JP | Shizuoka | 2009-01-29 / 20090030613 - Vehicle Departure Detecting Device | 1 |
Toshihisa Kataoka | JP | Tokyo | 2013-08-01 / 20130196167 - HYDROPHILIC FILM FORMED ON A SURFACE OF A METAL MATERIAL, HYDROPHILIZATION TREATMENT AGENT AND HYDROPHILIZATION TREATMENT METHOD | 2 |
Makoto Kataoka | JP | Shizuoka | 2009-03-19 / 20090071792 - POWER TRANSMITTING APPARATUS | 3 |
Yukihiro Kataoka | JP | Mie | 2011-01-06 / 20110000394 - RAILWAY VEHICLE DRIVE UNIT | 2 |
Nao Kataoka | JP | Osaka | 2015-06-25 / 20150181120 - IMAGING DEVICE | 2 |
Saori Kataoka | JP | Kawasaki-Shi | 2009-04-02 / 20090087887 - METHOD FOR PRODUCING L-AMINO ACID | 1 |
Akihito Kataoka | JP | Niwa-Gun | 2011-09-29 / 20110234141 - CONTROL DEVICE FOR MACHINE TOOL | 1 |
Srinivas Katar | US | Gainsville | 2013-06-20 / 20130160086 - SECURE CLIENT AUTHENTICATION AND SERVICE AUTHORIZATION IN A SHARED COMMUNICATION NETWORK | 2 |
Srinivas Katar | US | Ocala | 2014-07-31 / 20140211863 - SWITCHING COMMUNICATION DEVICES BETWEEN DIFFERENT COMMUNICATION MEDIA | 4 |
Srinivas Katar | US | Gainesville | 2015-12-03 / 20150350917 - OPPORTUNISTIC CHANNEL REUSE IN A SHARED COMMUNICATION MEDIUM | 49 |
Srinivas Katar | US | Fremont | 2016-05-19 / 20160142232 - ENHANCING MODULATION AND CODING SCHEME SELECTION AND MEDIUM ACCESS CONTROL (MAC) PROTOCOL DATA UNIT AGGREGATION USING MAC EFFICIENCY INFORMATION | 10 |
Srinivas Katar | US | Fremont | 2016-05-19 / 20160142232 - ENHANCING MODULATION AND CODING SCHEME SELECTION AND MEDIUM ACCESS CONTROL (MAC) PROTOCOL DATA UNIT AGGREGATION USING MAC EFFICIENCY INFORMATION | 10 |
Sailesh Katara | US | Bellevue | 2013-12-19 / 20130339489 - MOBILE COMPUTING APPLICATION FOR ROADWAY PAVEMENT DATA | 1 |
Ilia Katardjiev | SE | Uppsala | 2008-08-21 / 20080197750 - Piezoelectric Thin Film Resonator | 1 |
Shashank Katare | IN | Nagpur | 2014-07-10 / 20140195487 - REQUEST DE-DUPLICATION FOR ENTERPRISE SERVICE BUS | 1 |
Rajesh K. Katare | US | St. Paul | 2010-09-30 / 20100248592 - Buffing Composition and Method of Finishing a Surface of a Material | 1 |
Rajesh K. Katare | US | Cottage Grove | 2015-04-23 / 20150109693 - DURABLE SOLAR MIRROR FILMS | 5 |
Akash Katare | IN | Madinaguda | 2014-01-16 / 20140019244 - Generating A Ranked List of Offers in A Shopping Query | 1 |
Ashutosh Katari | US | Peoria | 2010-06-10 / 20100139260 - Fluid delivery system | 1 |
Venkatesh Katari | US | San Francisco | 2015-04-09 / 20150099493 - SYSTEMS AND METHODS FOR AUTOMATED ON-DEVICE PERFORMANCE TESTING OF MOBILE APPLICATIONS | 1 |
Ashutosh Katari | US | West Lafayette | 2016-05-19 / 20160138520 - Engine Piston | 4 |
Madhavi Katari | US | Sunnyvale | 2012-09-13 / 20120233221 - SEAMLESS MULTIPLE FORMAT METADATA ABSTRACTION | 3 |
Abhay T. Kataria | US | Longmont | 2015-04-30 / 20150121031 - ADAPTIVE GUARD BAND FOR IMPROVED DATA STORAGE CAPACITY | 4 |
Ranjit Singh Kataria | IN | Uttar Pradesh | 2011-03-17 / 20110065175 - Artificially synthesized peptide | 1 |
Abhay Tejmal Kataria | US | Longmont | 2013-07-18 / 20130185589 - MIRRORING DISK DRIVE SECTORS | 2 |
Anjali R. Kataria | US | San Carlos | 2010-12-09 / 20100312674 - SYSTEM AND METHOD FOR MANAGING THE DEVELOPMENT AND MANUFACTURING OF A PHARMACEUTICAL | 1 |
Om Kataria | IN | Sonepat Haryana | 2010-04-22 / 20100100035 - UTERINE STIMULANT STICKS | 1 |
Rupinder Kataria | AU | Darlinghurst | 2011-04-21 / 20110093533 - GENERATING SITE MAPS | 1 |
Mukesh Kataria | US | Folsom | 2009-06-25 / 20090164819 - TRI-LAYERED POWER SCHEME FOR ARCHITECTURES WHICH CONTAIN A MICRO-CONTROLLER | 1 |
Narendra Kataria | IN | Bangalore | 2015-10-22 / 20150301883 - SYSTEMS AND METHODS FOR PROPAGATING HEALTH OF A CLUSTER NODE | 3 |
Abhay Kataria | US | Longmont | 2012-02-16 / 20120042182 - FORCED IDLE CACHE | 1 |
Saurabh Kataria | IN | Jind | 2014-09-18 / 20140279627 - METHODS AND SYSTEMS FOR DETERMINING SKILLS OF AN EMPLOYEE | 2 |
Alok Kataria | US | Sunnyvale | 2014-09-25 / 20140289726 - FUNCTION EXIT INSTRUMENTATION FOR TAIL-CALL OPTIMIZED CODE | 3 |
Sangeeta D. Kataria | IN | Mumbai | 2014-01-02 / 20140007070 - Managing Software Product Lifecycle Across Multiple Operating System Platforms | 1 |
Narendra Kumar Kataria | IN | Bangalore | 2015-10-15 / 20150295830 - SYSTEMS AND METHODS OF EMULATING A NIC FOR PACKET TRANSMISSION ON HARDWARE RSS UNAWARE NICS IN A MULTI-CORE SYSTEM | 2 |
Mohit Kataria | IN | Bangalore | 2014-03-06 / 20140068426 - SYSTEM AND METHOD OF MODIFYING ORDER AND STRUCTURE OF A TEMPLATE TREE OF A DOCUMENT TYPE BY MERGING COMPONENTS OF THE TEMPLATE TREE | 3 |
Deepak Kataria | US | Bridgewater | 2014-09-11 / 20140258772 - UTILIZING BACKWARD DEFECT INDICATIONS IN Y-CABLE PROTECTION SWITCHING | 2 |
Sakshi Kataria | IN | New Delhi | 2016-02-04 / 20160036911 - POWER AND NETWORK TRAFFIC OPTIMIZATION IN COMMUNICATION SYNCHRONIZATION | 1 |
Atish Kataria | US | Durham | 2015-02-19 / 20150051428 - CATALYTIC BIOMASS PYROLYSIS PROCESS | 1 |
Mukesh Kataria | US | Fremont | 2015-05-07 / 20150127983 - TEST, VALIDATION, AND DEBUG ARCHITECTURE | 1 |
Saurabh Kataria | US | Rochester | 2015-05-21 / 20150142707 - METHOD AND SYSTEM FOR CLUSTERING, MODELING, AND VISUALIZING PROCESS MODELS FROM NOISY LOGS | 2 |
Mukesh Kataria | US | Santa Clara | 2015-12-31 / 20150381368 - TECHNOLOGIES FOR SECURE OFFLINE ACTIVATION OF HARDWARE FEATURES | 3 |
Nitin Kataria | US | Goleta | 2016-01-07 / 20160003946 - LADAR SENSOR FOR A DENSE ENVIRONMENT | 2 |
Saurabh Kataria | US | Webster | 2015-02-19 / 20150052120 - METHOD AND APPARATUS FOR EXTRACTING PORTIONS OF TEXT FROM LONG SOCIAL MEDIA DOCUMENTS | 2 |
Ajay Kataria | US | Westborough | 2013-02-28 / 20130054311 - SYSTEMS, METHODS AND COMPUTER PROGRAM PRODUCTS FOR RIDE SHARING BASED ON MILEAGES | 1 |
Manish Kataria | IN | Delhi | 2013-07-04 / 20130173703 - EFFICIENT SHARING OF ARTIFACTS BETWEEN COLLABORATION APPLICATIONS | 3 |
Bhupinder Kumar Kataria | US | Spring | 2012-06-21 / 20120152064 - CHEMICAL AGENTS FOR LEACHING POLYCRYSTALLINE DIAMOND ELEMENTS | 2 |
Devinder N. Katariya | US | Chandler | 2015-02-12 / 20150040535 - INLET PARTICLE SEPARATOR SYSTEM WITH HUB AND/OR SHROUD SUCTION | 1 |
Ashish S. Katariya | US | Atlanta | 2015-07-09 / 20150194914 - AUTOMATED MOTOR CONTROL | 2 |
Anup Katariya | US | Sunnyvale | 2009-12-31 / 20090327468 - Interactive Remote Command Execution Over a Stateless Client/Server Network Protocol | 1 |
Saransh Katariya | IN | Indore | 2015-11-05 / 20150317145 - METHOD AND APPARATUS FOR NOTIFYING AN ENTERPRISE ADMINISTRATOR OF NEW PRODUCTS AND UPDATES | 2 |
Sumeet Katariya | US | Madison | 2012-12-27 / 20120327943 - Media Transmission Over a Data Network | 1 |
Saransh Katariya | IN | Indore (madhya Pradesh) | 2015-12-31 / 20150378714 - Providing Context-Specific Software Updates to Client Applications | 1 |
Lalit Katariya | IN | Vadodara | 2011-11-24 / 20110288331 - PROCESS FOR THE PREPARATION OF CHOLINE SALT OF FENOFIBRIC ACID AND ITS NOVEL POLYMORPH | 1 |
Sanjeev Katariya | US | Bellevue | 2014-07-24 / 20140207741 - DATA RETENTION COMPONENT AND FRAMEWORK | 5 |
Lalitkumar Keshavial Katariya | IN | Gujarat | 2010-08-19 / 20100210852 - PROCESS FOR THE PREPARATION OF CANDESARTAN CILEXETIL | 1 |
Sumeet Katariya | IN | Pune | 2011-07-28 / 20110182055 - Photoluminescent Light Source | 5 |
Frank A. Katarow | US | Pewaukee | 2013-04-18 / 20130096389 - CHAIN OF CUSTODY FOR PHYSIOLOGICAL MONITORING SYSTEM | 1 |
Toru Katase | JP | Kakamigahara-Shi | 2012-05-31 / 20120135468 - BETA-GALACTOSIDASE DERIVED FROM BACILLUS CIRCULANS | 1 |
Makoto Katase | JP | Azumino | 2010-04-08 / 20100086484 - IN VIVO DRUG CONCENTRATION DISTRIBUTION MEASURING DEVICE, VARIABLE-WAVELENGTH FILTER USED FOR THE SAME, AND IN VIVO DRUG CONCENTRATION DISTRIBUTION MEASURING METHOD | 1 |
Takuma Katase | JP | Sanda-Shi | 2014-05-08 / 20140127109 - TIN(II) OXIDE POWDER FOR REPLENISHING TIN COMPONENT OF TIN-ALLOY PLATING SOLUTION AND METHOD FOR MANUFACTURING SAID POWDER | 2 |
Makoto Katase | JP | Azumino-Shi | 2015-11-12 / 20150322939 - LIQUID TRANSPORT DEVICE AND LIQUID TRANSPORT METHOD | 9 |
Natsuko Katase | JP | Kanagawa | 2012-01-26 / 20120021280 - COMPOSITE ELECTRODE AND ELECTRONIC DEVICE INCLUDING THE SAME | 1 |
Mitsuru Katase | JP | Izumisano-Shi | 2010-04-08 / 20100087629 - METHOD OF PRODUCING A CIDIC-SOLUBLE SOYBEAN PROTEIN | 2 |
Makoto Katase | JP | Azumino-Shi | 2015-11-12 / 20150322939 - LIQUID TRANSPORT DEVICE AND LIQUID TRANSPORT METHOD | 9 |
Yu Katase | JP | Kawasaki-Shi | 2015-04-30 / 20150116946 - ELECTRONIC COMPONENT, ELECTRONIC APPARATUS, AND METHOD FOR MANUFACTURING THE ELECTRONIC COMPONENT | 1 |
Yuji Katashiba | JP | Kawasaki-Shi | 2016-03-31 / 20160089024 - OPHTHALMOLOGIC APPARATUS | 6 |
Yuji Katashiba | JP | Utsunomiya-Shi | 2013-03-14 / 20130063650 - CATADIOPTRIC SYSTEM AND IMAGE PICKUP APPARATUS EQUIPPED WITH SAME | 4 |
Mikio Katashima | JP | Toyota-Shi | 2009-10-01 / 20090248204 - Cooling system and control method of cooling system | 1 |
Zhanna Iosifovna Katashkina | RU | Moscow | 2010-04-22 / 20100099153 - Method for producing L-amino acids using bacteria belonging to the genus escherichia | 1 |
Yosifovna Joanna Katashkina | RU | Moscow | 2015-10-01 / 20150275233 - METHOD OF PRODUCING ISOPRENE MONOMER | 1 |
Joanna Yosifovna Katashkina | RU | Moscow | 2014-08-28 / 20140242643 - The Use of Phosphoketolase for Producing Useful Metabolites | 4 |
Hiroyuki Katata | JP | Chiba | 2010-10-14 / 20100260264 - ADAPTIVE FILTERING BASED UPON BOUNDARY STRENGTH | 2 |
Haruki Katata | JP | Kyoto-Shi | 2015-02-19 / 20150051331 - THERMOSETTING FURAN RESIN COMPOSITION AND FURAN RESIN LAMINATED BODY USING THE SAME | 1 |
Hiroyuki Katata | JP | Osaka-Shi | 2012-08-23 / 20120213278 - MOVING IMAGE CODING DEVICE, MOVING IMAGE DECODING DEVICE, MOVING IMAGE CODING/DECODING SYSTEM, MOVING IMAGE CODING METHOD AND MOVING IMAGE DECODING METHOD | 1 |
Keiji Katata | JP | Saitama | 2012-06-21 / 20120155244 - INFORMATION RECORDING APPARATUS, INFORMATION RECORDING METHOD, AND INFORMATION RECORDING/PROCESSING PROGRAM | 8 |
Keiji Katata | JP | Saitama-Ken | 2012-05-17 / 20120120586 - DEVICE, AND DEVICE MOUNTING APPARATUS | 2 |
Tomio Katata | JP | Kanagawa | 2012-06-21 / 20120152168 - SEMICONDUCTOR DEVICE HAVING OXIDIZED METAL FILM AND MANUFACTURE METHOD OF THE SAME | 2 |
Keiji Katata | JP | Satamashi Saitamaken | 2012-07-05 / 20120170430 - INFORMATION RECORDING MEDIUM, INFORMATION RECORDING APPARATUS, AND INFORMATION REPRODUCING APPARATUS | 1 |
Keiji Katata | JP | Kanagawa | 2013-07-25 / 20130188929 - INFORMATION RECORDING APPARATUS AND METHOD | 1 |
Keiji Katata | JP | Tokorozawa-Shi | 2010-05-06 / 20100115026 - CONTENT DISTRIBUTION SYSTEM AND METHOD, AND SERVER DEVICE, AND CLIENT DEVICE | 5 |
Hiroyuki Katata | JP | Chiba-Shi | 2016-05-19 / 20160142708 - Adaptive Filtering Based Upon Boundary Strength | 4 |
Tomio Katata | JP | Yokohama-Shi | 2008-11-06 / 20080272494 - Semiconductor device | 1 |
Keiji Katata | JP | Saitama | 2012-06-21 / 20120155244 - INFORMATION RECORDING APPARATUS, INFORMATION RECORDING METHOD, AND INFORMATION RECORDING/PROCESSING PROGRAM | 8 |
Yoshimasa Kataumi | JP | Toshima-Ku | 2010-06-24 / 20100154494 - CONNECTING ADAPTOR FOR ELECTRIC CYLINDER CORRESPONDING TO MORTISE LOCK | 1 |
Yoshimasa Kataumi | JP | Kumagaya-Shi | 2011-04-07 / 20110079056 - Key Box Construction | 1 |
Hiromichi Kataura | JP | Ibaraki | 2013-07-18 / 20130180897 - METHOD AND MATERIAL FOR INEXPENSIVELY SEPARATING CARBON NANOTUBES, AND SEPARATION VESSEL | 7 |
Hiromichi Kataura | JP | Tsukuba-Shi | 2009-04-02 / 20090084445 - Nanojet Spouting Method and Nanojet Mechanism | 1 |
Tali Katav | IL | Sharon | 2009-09-17 / 20090234125 - Amorphous retapamulin and processes for preparation thereof | 1 |
Vesna Katavic | CA | Vancouver | 2010-05-13 / 20100122377 - LUNARIA ANNUA,CARDAMINE GRAECA AND TEESDALIA NUDICAULIS FAE GENES AND THEIR USE IN PRODUCING NERVONIC AND EICOSENOIC ACIDS IN SEED OILS | 1 |
Janos Katay | HU | Gyula | 2009-05-28 / 20090137384 - HYDROPHOBIC OIL ADSORBENT MATERIAL AND PROCESS FOR PRODUCTION AND USE | 1 |
Norihisa Kataya | JP | Kasugai-City | 2009-06-18 / 20090151973 - MOBILE DEVICE | 1 |
Norihisa Kataya | JP | Aichi | 2013-06-13 / 20130145803 - VEHICLE PORTABLE DEVICE | 1 |
Takeshi Kataya | JP | Ritto-Shi | 2013-12-19 / 20130334321 - RADIO IC DEVICE | 9 |
Miwa Katayama | JP | Hiroshima | 2016-02-25 / 20160056464 - Amorphous Carbon Material And Graphite Carbon Material For Negative Electrodes Of Lithium Ion Secondary Batteries, Lithium Ion Secondary Battery Using Same, And Method For Producing Carbon Material For Negative Electrodes Of Lithium Ion Secondary Batteries | 2 |
Satoshi Katayama | JP | Settsu-Shi | 2015-12-31 / 20150375480 - METHOD FOR PRODUCING RESIN MOLDED BODY AND GRAPHITE SHEET LAMINATE | 7 |
Tsutaki Katayama | JP | Kanagawa | 2015-10-22 / 20150299553 - DISPERSION SOLUTION FOR DRILLING AND METHOD OF EXTRACTING UNDERGROUND RESOURCES USING THE DISPERSION SOLUTION | 3 |
Yasunao Katayama | JP | Kanagawa-Ken | 2012-12-20 / 20120320786 - CONTROL AND MONITORING FOR FAST MILLIMETER-WAVE LINK USING OUT-OF-BAND WIRELESS CHANNEL | 4 |
Chuji Katayama | JP | Aichi | 2010-01-07 / 20100002842 - CATHODE ASSEMBLY FOR RAPID ELECTRON SOURCE REPLACEMENT IN A ROTATING ANODE X-RAY GENERATOR | 1 |
Shigeru Katayama | JP | Saitama | 2011-10-06 / 20110242035 - MOBILE ELECTRONIC DEVICE, METHOD FOR SWITCHING OPERATING MODES, AND RECORDING MEDIUM | 1 |
Seiki Katayama | JP | Tokyo | 2015-04-16 / 20150103954 - DIGITAL AMPLITUDE MODULATION APPARATUS AND CONTROL METHOD OF DIGITAL AMPLITUDE MODULATION APPARATUS | 2 |
Tatsuo Katayama | JP | Saitama | 2011-10-06 / 20110242035 - MOBILE ELECTRONIC DEVICE, METHOD FOR SWITCHING OPERATING MODES, AND RECORDING MEDIUM | 1 |
Junichi Katayama | JP | Shizuoka-Ken | 2011-10-06 / 20110243589 - IMAGE PROCESSING APPARATUS AND DENSITY CORRECTION METHOD | 1 |
Daisuke Katayama | JP | Amagasaki-Shi | 2011-03-03 / 20110053381 - METHOD FOR MODIFYING INSULATING FILM WITH PLASMA | 1 |
Eiji Katayama | JP | Shiga | 2009-12-03 / 20090297297 - BOOK BINDING APPARATUS FOR PERFECT BOOK BINDING | 1 |
Goichi Katayama | JP | Wako-Shi | 2015-02-12 / 20150044538 - STRUCTURE FOR SECURING BATTERY | 9 |
Keiichi Katayama | JP | Nagano | 2012-11-29 / 20120299523 - MOTOR DRIVING DEVICE, INTEGRATED CIRCUIT DEVICE, MOTOR APPARATUS AND MOTOR DRIVING SYSTEM | 1 |
Junta Katayama | JP | Aichi | 2012-01-12 / 20120009447 - POWER SUPPLY DEVICE | 1 |
Rintaro Katayama | JP | Fujisawa | 2014-12-11 / 20140364122 - WIRELESS COMMUNICATION SYSTEM AND METHOD FOR WIRELESS COMMUNICATION | 13 |
Takuya Katayama | US | North Andover | 2012-12-06 / 20120307266 - THREE COLOR NEUTRAL AXIS CONTROL IN A PRINTING DEVICE | 1 |
Junta Katayama | JP | Miyoshi-Shi | 2015-10-29 / 20150311484 - BATTERY, BATTERY PACK, AND METHOD OF MANUFACTURING BATTERY | 3 |
Akihiro Katayama | JP | Aichi-Ken | 2011-05-05 / 20110100344 - VEHICLE AND VEHICLE CONTROL METHOD | 2 |
Hajime Katayama | JP | Sakura-Shi | 2011-08-18 / 20110198892 - DEFLECTOR MECHANISM FOR SUNROOF APPARATUS | 3 |
Takeshi Katayama | JP | Yokohama | 2013-09-12 / 20130235355 - PROJECTION IMAGE DISPLAYING DEVICE | 4 |
Hajime Katayama | JP | Ichihara-Shi | 2008-10-30 / 20080267853 - PROCESS FOR PRODUCING INORGANIC SPHERES | 1 |
Hajime Katayama | JP | Tochigi | 2013-10-31 / 20130285418 - SUNROOF APPARATUS | 3 |
Junichi Katayama | JP | Shizuoka | 2012-01-05 / 20120002985 - Image Forming Apparatus and Method | 3 |
Takatomo Katayama | JP | Higashiosaka-Shi | 2012-05-24 / 20120128296 - THREE-WAVELENGTH OPTICAL MULTIPLEXER | 1 |
Mikio Katayama | JP | Yokohama-Shi, Kanagawa-Ken | 2012-05-24 / 20120128802 - BITTERNESS SUPPRESSANT | 1 |
Yasuyuki Katayama | JP | Iwata | / - | 1 |
Masaaki Katayama | JP | Susono-Shi Shizuoka-Ken | 2013-02-28 / 20130047602 - CONTROL APPARATUS AND CONTROL METHOD FOR STIRLING ENGINE | 1 |
Junta Katayama | JP | Aichi-Ken | 2011-12-29 / 20110318627 - TEMPERATURE ADJUSTING STRUCTURE FOR ELECTRIC STORAGE MODULE | 2 |
Akihiro Katayama | JP | Tokyo | 2016-01-07 / 20160000321 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 1 |
Yasushi Katayama | JP | Shizuoka | 2015-08-20 / 20150235404 - DISPLAY DEVICE FOR VEHICLE | 4 |
Seiji Katayama | JP | Shizuoka | 2011-02-17 / 20110039348 - METHOD OF EVALUATING REACTION BETWEEN DISSOLVED HYDROGEN AND DISSOLVED OXYGEN AND METHOD OF EVALUATING ABILITY OF DISSOLVED HYDROGEN TO SCAVENGE ACTIVE OXYGEN IN WATER | 1 |
Yumiko Katayama | JP | Shizuoka | 2011-02-17 / 20110039348 - METHOD OF EVALUATING REACTION BETWEEN DISSOLVED HYDROGEN AND DISSOLVED OXYGEN AND METHOD OF EVALUATING ABILITY OF DISSOLVED HYDROGEN TO SCAVENGE ACTIVE OXYGEN IN WATER | 1 |
Akihiko Katayama | JP | Shizuoka | 2015-05-14 / 20150131935 - ROLLER BEARING AND SHAFT SUPPORT STRUCTURE | 7 |
Yuki Katayama | JP | Shizuoka | 2010-02-18 / 20100041080 - METHOD FOR QUANTIFICATION OF CHOLESTEROL IN SMALL DENSE LOW-DENSITY LIPOPROTEIN | 2 |
Mikio Katayama | JP | Yokohama-Shi | 2013-11-28 / 20130316068 - HOP EXTRACT OXIDATION-REACTION PRODUCT, PREPARING METHOD THEREOF AND USE THEREOF | 2 |
Norihiro Katayama | JP | Kariya-Shi | 2009-04-23 / 20090102137 - SEALING MEMBER AND SEALING STRUCTURE | 1 |
Yoshifumi Katayama | JP | Musashimurayama-Shi | 2010-04-01 / 20100078125 - Method for securing a curved circuit board in die bonder and recording medium containing program for securing a curved circuit board in die bonder | 1 |
Yukihisa Katayama | JP | Aichi-Ken | 2010-01-28 / 20100021789 - Tubular Fuel Cell and Fuel Cell Module | 3 |
Junichiro Katayama | JP | Tatatsuki-Shi | 2012-05-31 / 20120132232 - PIPING CLEANING NOZZLE AND PIPING CLEANIGN METHOD USING PIPING CLEANING NOZZLE | 1 |
Norio Katayama | JP | Sakura-Shi | 2012-05-31 / 20120135067 - VACCINE FOR MYCOPLASMA INFECTION | 1 |
Takahiro Katayama | JP | Osaka | 2015-12-24 / 20150366743 - Walking Assist Cart | 5 |
Hajime Katayama | JP | Chiyoda-Ku | 2010-01-28 / 20100021712 - PROCESS FOR PRODUCING HYDROPHOBIZED SILICON OXIDE-COATED METAL OXIDE PARTICLES | 1 |
Takashi Katayama | JP | Osaka-Shi | 2013-03-21 / 20130069855 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Ryuhei Katayama | JP | Nagano | 2014-12-04 / 20140353174 - SOx GAS SENSOR AND METHOD OF MEASURING CONCENTRATION OF SOx GAS | 1 |
Masashi Katayama | JP | Tokyo | 2014-12-04 / 20140357715 - ANORECTIC AGENT | 1 |
Seiki Katayama | JP | Inagi Tokyo | 2015-11-12 / 20150326191 - DIGITAL AMPLITUDE MODULATION DEVICE AND DIGITAL AMPLITUDE MODULATION METHOD | 1 |
Hideki Katayama | JP | Okayama | 2010-09-16 / 20100230630 - Ferrite Magnetic Powder for Bond Magnet and Manufacturing Method of the Same, and Bond Magnet | 1 |
Jun Katayama | JP | Osaka | 2011-06-09 / 20110133098 - ION DIFFUSING APPARATUS AND ION GENERATING CARTRIDGE | 1 |
Shigeki Katayama | JP | Tokyo | 2015-05-28 / 20150148917 - Dynamic Facility Management System | 5 |
Hideki Katayama | JP | Munakata-Shi | 2011-02-17 / 20110038880 - PARASPORIN-1 RECEPTOR AND USE THEREOF | 1 |
Hisanobu Katayama | JP | Hirosaki-Shi, Aomori | 2016-05-19 / 20160137753 - METHOD OF EXTRACTING CERAMIDE AND/OR PECTIN FROM WHOLE APPLES OR APPLE JUICE RESIDUE | 1 |
Hiroyuki Katayama | JP | Ibaraki | 2009-06-11 / 20090148960 - Method for analysis of compound-binding ability of protein | 1 |
Haruyuki Katayama | JP | Susono-Shi | 2014-04-24 / 20140112833 - EXHAUST GAS CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 3 |
Masakazu Katayama | JP | Tokyo | 2015-07-02 / 20150183932 - DISPLAY DEVICE, METHOD FOR MANUFACTURING SAME, POLYIMIDE FILM FOR DISPLAY DEVICE SUPPORTING BASES, AND METHOD FOR PRODUCING POLYIMIDE FILM FOR DISPLAY DEVICE SUPPORTING BASES | 1 |
Takayoshi Katayama | JP | Kanagawa-Ken | 2012-01-26 / 20120021110 - FLAVOR OF UNFERMENTED BEER-FLAVORED MALT BEVERAGE | 1 |
Katsuyki Katayama | JP | Fukushima-Ken | 2010-04-22 / 20100097246 - INPUT DEVICE AND KEYBOARD DEVICE HAVING ILLUMINATION FUNCTION | 1 |
Kazuhiro Katayama | JP | Jyoetsu | 2010-03-04 / 20100055621 - Patterning process | 2 |
Kenichi Katayama | JP | Ogaki-Shi | 2009-12-03 / 20090297292 - SCREW FASTENER | 1 |
Kouji Katayama | JP | Nara | 2009-01-01 / 20090002084 - Oscillator | 1 |
Kenichi Katayama | JP | Fujisawa-Shi | 2013-02-14 / 20130040645 - MOBILE COMMUNICATION METHOD, RADIO ACCESS NETWORK APPARATUS AND MOBILE STATION | 2 |
Manabu Katayama | JP | Okazaki-Shi | 2008-10-02 / 20080237300 - Method and apparatus for soldering interconnectors to photovoltaic cells | 2 |
Yoshinori Katayama | JP | Kanagawa-Ken | 2011-10-20 / 20110255649 - JET PUMP BEAM AND METHOD FOR PRODUCING THE SAME | 1 |
Manabu Katayama | JP | Aichi | 2009-01-01 / 20090001140 - Method for Soldering Interconnector to Photovoltaic Cell | 1 |
Kazutoshi Katayama | JP | Odawara-Shi | 2010-09-30 / 20100246073 - Magnetic recording medium, magnetic signal reproduction system and magnetic signal reproduction method | 2 |
Mikio Katayama | JP | Gunma | 2012-06-07 / 20120141455 - INSOLUBLE DIETARY FIBER-CONTAINING MATERIALS DERIVED FROM CEREAL SEEDS | 1 |
Yoshinori Katayama | JP | Otsu-Shi | 2013-07-18 / 20130183719 - METHOD FOR PRODUCING VIRUS VECTOR | 2 |
Tomokazu Katayama | JP | Saitama | 2012-06-07 / 20120143426 - DRIVE CONTROLLER FOR VEHICLE | 1 |
Tsutaki Katayama | JP | Yokohama-Shi | 2013-10-31 / 20130288322 - Method for Degrading Biodegradable Resin | 2 |
Tatsuo Katayama | JP | Akaiwa-Shi | 2015-09-24 / 20150266629 - CORK STOPPER WITH RESIN COATING LAYER | 2 |
Isao Katayama | JP | Kanagawa | 2012-06-07 / 20120144477 - PROCESSOR AND SEMICONDUCTOR DEVICE | 1 |
Akihiro Katayama | JP | Zama-Shi | 2015-04-23 / 20150110378 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 13 |
Michita Katayama | JP | Osaka-Shi | 2014-11-20 / 20140344714 - DISPLAY DEVICE, INFORMATION TERMINAL DEVICE, DISPLAY METHOD, AND RECORDING MEDIUM | 1 |
Taiki Katayama | JP | Shiga | 2015-06-25 / 20150174862 - INTERMEDIATE FILM FOR LAMINATED GLASS AND LAMINATED GLASS | 1 |
Shinsaku Katayama | JP | Kodaira-Shi | 2015-11-12 / 20150321518 - PNEUMATIC TIRE | 8 |
Daisuke Katayama | JP | Nirasaki | 2012-12-13 / 20120315745 - CRYSTALLINE SILICON FILM FORMING METHOD AND PLASMA CVD APPARATUS | 1 |
Tetsuya Katayama | JP | Aichi-Ken | 2010-07-08 / 20100171666 - MICROSTRIP ARRAY ANTENNA | 2 |
Hiroyuki Katayama | JP | Osaka | 2016-03-24 / 20160087170 - METHOD AND SYSTEM FOR PRODUCING OPTICAL SEMICONDUCTOR DEVICE, PRODUCTION CONDITION DETERMINATION DEVICE AND PRODUCTION MANAGEMENT DEVICE | 50 |
Akihiro Katayama | JP | Kanagawa | 2009-01-08 / 20090009522 - INFORMATION PROCESSING APPARATUS AND METHOD | 1 |
Yasunao Katayama | JP | Kanagawa | 2013-09-19 / 20130242976 - PACKET COMMUNICATION SYSTEM, COMMUNICATION METHOD ADN PROGRAM | 8 |
Kazutaka Katayama | JP | Aichi-Ken | 2011-01-06 / 20110000571 - AUTOMOTIVE FUEL HOSE | 1 |
Shigeo Katayama | JP | Kanagawa | 2011-02-03 / 20110024245 - Shock absorber | 1 |
Hiroyuki Katayama | JP | Ibaraki-Shi | 2010-03-25 / 20100075158 - THERMOSETTING COMPOSITION | 10 |
Masaaki Katayama | JP | Susono-Shi | 2014-08-21 / 20140230428 - STIRLING ENGINE | 16 |
Masao Katayama | JP | Kanagawa | 2008-09-04 / 20080210934 - Semiconductor Device Using Titanium Dioxide as Active Layer and Method for Producing Semiconductor Device | 1 |
Noritada Katayama | JP | Kanagawa | 2009-01-29 / 20090030289 - Biological Information Monitoring System | 1 |
Yoichi Katayama | JP | Kanagawa | 2009-04-09 / 20090094303 - FILTER OPERATION UNIT AND MOTION-COMPENSATING DEVICE | 1 |
Hirofumi Katayama | JP | Kanagawa | 2009-05-28 / 20090136277 - Image forming apparatus | 1 |
Koji Katayama | JP | Osaka | 2011-06-30 / 20110158276 - GROUP-III NITRIDE SEMICONDUCTOR LASER DEVICE, AND METHOD OF FABRICATING GROUP-III NITRIDE SEMICONDUCTOR LASER DEVICE | 4 |
Taro Katayama | JP | Kanagawa | 2013-12-26 / 20130345932 - IN-VEHICLE CHARGER, AND PROGRAM THEREFOR | 3 |
Keiji Katayama | JP | Kanagawa | 2014-02-20 / 20140049225 - ELECTRIC CELLS FOR BATTERY PACK, BATTERY CONTROL SYSTEM, AND BATTERY CONTROL METHOD | 3 |
Koji Katayama | JP | Osaka-Shi | 2015-04-30 / 20150115312 - GROUP III NITRIDE SEMICONDUCTOR DEVICE, AND METHOD FOR FABRICATING GROUP III NITRIDE SEMICONDUCTOR DEVICE | 28 |
Masahiko Katayama | JP | Neyagawa-Shi | 2009-08-27 / 20090213624 - AUDIO APPARATUS, SWITCHING POWER SUPPLY, AND SWITCHING CONTROL METHOD | 1 |
Koji Katayama | JP | Nara | 2014-10-30 / 20140321197 - DRIVING METHOD OF NON-VOLATILE MEMORY ELEMENT AND NON-VOLATILE MEMORY DEVICE | 27 |
Koji Katayama | JP | Yamato-Shi | 2010-03-04 / 20100051543 - FINE CHANNEL DEVICE AND A CHEMICALLY OPERATING METHOD FOR FLUID USING THE DEVICE | 4 |
Yasuhiro Katayama | JP | Kanagawa | 2015-01-29 / 20150030189 - ELECTRONIC DEVICE | 2 |
Masahide Katayama | JP | Kanagawa | 2012-02-23 / 20120046060 - RADIO BASE STATION, RADIO RESOURCE ALLOCATION METHOD AND RADIO COMMUNICATION SYSTEM | 2 |
Keizo Katayama | JP | Kanagawa | 2010-08-12 / 20100202586 - RADIATION IMAGING SYSTEM, POWER SUPPLYING APPARATUS, CHARGING APPARATUS, AND RADIATION IMAGING METHOD | 2 |
Hiroo Katayama | US | Kansas City | 2011-03-03 / 20110053795 - Osmolyte Mixture for Protein Stabilization | 1 |
Koji Katayama | JP | Okayama | 2010-06-17 / 20100150308 - X-RAY INSPECTION APPARATUS | 1 |
Koji Katayama | JP | Itami-Shi | 2012-03-08 / 20120058583 - GROUP-III NITRIDE SEMICONDUCTOR LASER DEVICE, AND METHOD FOR FABRICATING GROUP-III NITRIDE SEMICONDUCTOR LASER DEVICE | 10 |
Yuki Katayama | JP | Kanagawa | 2010-10-14 / 20100260914 - METHOD FOR PRODUCING CARBONATED BEVERAGES | 1 |
Tomofumi Katayama | JP | Osaka-Shi | 2015-10-08 / 20150288058 - STRUCTURAL BODY AND WIRELESS COMMUNICATION APPARATUS | 7 |
Yasushi Katayama | JP | Kanagawa | 2013-09-26 / 20130249972 - LIGHT EMITTING ELEMENT DRIVE DEVICE, LIGHT EMITTING ELEMENT DRIVE METHOD, AND DISPLAY APPARATUS | 5 |
Masahiro Katayama | JP | Tochigi | 2016-05-05 / 20160126493 - Light-Emitting Device, Lighting Device, and Electronic Device | 18 |
Takatsugu Katayama | JP | Kanagawa | 2011-03-17 / 20110061628 - Internal combustion engine and starting method thereof | 1 |
Miho Katayama | JP | Kanagawa | 2010-05-27 / 20100130822 - CAPSULE-TYPE MEDICAL DEVICE AND METHOD OF MONITORING ESOPHAGUS INSIDE AND STOMACH INSIDE, METHOD OF MONITORING STOMACH INSIDE AND METHOD OF WASHING STOMACH USING THE SAME | 1 |
Masaki Katayama | JP | Hamamatsu-Shi | 2015-08-20 / 20150237446 - Speaker Device and Audio Signal Processing Method | 10 |
Erika Katayama | JP | Mito | 2010-10-21 / 20100265786 - FLUID MIXER | 2 |
Kunihiro Katayama | JP | Kanagawa | 2014-01-09 / 20140011451 - MOBILE COMMUNICATION TERMINAL DEVICE | 2 |
Kunihiro Katayama | JP | Chigasaki-Shi | 2014-07-03 / 20140185380 - SEMICONDUCTOR MEMORY DEVICE HAVING FAULTY CELLS | 7 |
Tsuyoshi Katayama | JP | Kyoto | 2012-07-05 / 20120169483 - REMOTE CONTROL DEVICE AND COMMUNICATION SYSTEM | 5 |
Kunihiro Katayama | JP | Yokohama-Shi | 2012-01-12 / 20120011307 - SEMICONDUCTOR STORAGE DEVICE | 3 |
Kunihiro Katayama | JP | Chigasaki | 2009-07-23 / 20090187703 - MEMORY CARD AND ITS INITIAL SETTING METHOD | 2 |
Kazuhiro Katayama | JP | Joetsu-Shi | 2016-05-19 / 20160139512 - PATTERN FORMING PROCESS AND SHRINK AGENT | 38 |
Kunihiro Katayama | JP | Kawasaki | 2009-01-08 / 20090013125 - MEMORY CARD | 1 |
Kazutaka Katayama | JP | Kasugai-Shi | 2015-09-10 / 20150255188 - CONDUCTIVE SHAFT AND CONDUCTIVE ROLL FOR OA EQUIPMENT USING THE SHAFT, AND METHOD OF PRODUCING CONDUCTIVE SHAFT | 10 |
Kana Katayama | JP | Tokyo | 2015-06-04 / 20150154042 - COMPUTER SYSTEM AND CONTROL METHOD FOR VIRTUAL MACHINE | 1 |
Masahide Katayama | JP | Yokohama-Shi | 2011-10-27 / 20110263284 - RADIO BASE STATION, RADIO RESOURCE ALLOCATION METHOD AND RADIO COMMUNICATION SYSTEM | 1 |
Masato Katayama | JP | Hyogo | 2009-05-21 / 20090131733 - Blasting system and blasting method | 1 |
Masaya Katayama | JP | Yokohama | 2012-10-25 / 20120270364 - SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING SAME | 3 |
Makoto Katayama | JP | Yokohama-Shi | 2010-02-11 / 20100033711 - METHOD OF MEASURING PHYSICAL QUANTITY OF OBJECT TO BE MEASURED, AND METHOD OF CONTROLLING THE SAME | 1 |
Masaya Katayama | JP | Kawasaki | 2009-01-01 / 20090001496 - PHOTODIODE, SOLID STATE IMAGE SENSOR, AND METHOD OF MANUFACTURING THE SAME | 1 |
Masayoshi Katayama | JP | Kagawa-Ken | 2011-12-08 / 20110297016 - CONCENTRATOR-INTEGRATED SCREW PRESS | 2 |
Masayoshi Katayama | JP | Kagawa | 2016-04-28 / 20160115066 - SLUDGE DEHYDRATION SYSTEM AND SLUDGE DEHYDRATION METHOD | 3 |
Masayuki Katayama | JP | Handa-City | 2014-03-20 / 20140077197 - ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Youji Katayama | JP | Ibaraki | 2011-09-01 / 20110210407 - DOUBLE-FACED ADHESIVE FILM AND ELECTRONIC COMPONENT MODULE USING SAME | 4 |
Yuusuke Katayama | JP | Toyota-City | 2015-12-03 / 20150347563 - INFORMATION PROVIDING APPARATUS | 2 |
Minoru Katayama | JP | Kurashiki-Shi | 2008-11-27 / 20080290573 - LIQUID-FILLED ANTI-VIBRATION MOUNTING DEVICE | 2 |
Takatsugu Katayama | JP | Isehara-Shi | 2012-10-11 / 20120255521 - FUEL SUPPLY DEVICE AND FUEL SUPPLY CONTROL METHOD FOR INTERNAL COMBUSTION ENGINE | 2 |
Tatsushi Katayama | JP | Kawasaki-Shi | 2013-01-17 / 20130016191 - IMAGING APPARATUS AND CONTROL METHOD THEREFORAANM Katayama; TatsushiAACI Kawasaki-shiAACO JPAAGP Katayama; Tatsushi Kawasaki-shi JP | 3 |
Tomohisa Katayama | JP | Hagagun | 2012-06-28 / 20120163948 - VEHICLE BODY DOOR OPENING METHOD AND DEVICE, DOOR REMOVING DEVICE AND METHOD, DOOR HOLDING DEVICE AND METHOD, AND SOCKET | 1 |
Atsushi Katayama | JP | Kyoto | 2010-11-25 / 20100296721 - INSPECTION APPARATUS AND INSPECTION METHOD | 6 |
Shigeru Katayama | JP | Nasushiobara-Shi | 2012-02-09 / 20120033783 - X-RAY COMPUTED TOMOGRAPHY APPARATUS AND X-RAY DIAGNOSTIC APPARATUS | 1 |
Tamotsu Katayama | JP | Kyoto | 2011-01-13 / 20110010155 - TRACHEAL INTUBATION TRAINING APPARATUS | 1 |
Yohei Katayama | JP | Yokohama-Shi | 2015-10-01 / 20150276000 - SHOCK ABSORBER | 6 |
Minoru Katayama | JP | Okayama | 2010-08-26 / 20100213650 - LIQUID-FILLED VIBRATION ISOLATOR | 1 |
Naoki Katayama | JP | Kariya-Shi | 2014-09-18 / 20140265558 - VEHICLE-MOUNTED POWER SUPPLY SYSTEM | 5 |
Nobuyuki Katayama | JP | Shiga | 2010-05-27 / 20100129580 - METHOD FOR THE MANUFACTURE OF A CYLINDRICAL LAMINATED BODY, AND LAMINATED BODY | 1 |
Osamu Katayama | JP | Nagoya-City | 2011-08-18 / 20110199184 - LOCK RELEASE APPARATUS | 3 |
Ryo Katayama | JP | Hyogo | 2011-01-13 / 20110007317 - METHOD AND APPARATUS FOR DETECTING SMALL BIOMOLECULES | 3 |
Motohiro Katayama | JP | Kanagawa | 2013-12-12 / 20130328398 - DISTRIBUTED POWER SUPPLY SYSTEM | 1 |
Satoshi Katayama | JP | Nabari-Shi | 2013-12-12 / 20130330664 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR, COATING LIQUID FOR UNDERCOAT LAYER OF ELECTROPHOTOGRAPHIC PHOTORECEPTOR, AND METHOD FOR PRODUCING THE SAME | 2 |
Toshimasa Katayama | JP | Ehime | 2011-12-01 / 20110290711 - FLUID SEPARATION ELEMENT, ANTI-TELESCOPING DEVICE FOR FLUID SEPARATION ELEMENT, AND FLUID SEPARATION DEVICE | 2 |
Satoshi Katayama | JP | Osaka-Shi | 2011-01-27 / 20110020739 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 1 |
Yasunao Katayama | JP | Kawasaki | 2014-03-13 / 20140075250 - INTEGRITY CHECK OF MEASURED SIGNAL TRACE DATA | 5 |
Seiji Katayama | JP | Suita-Shi | 2010-06-24 / 20100160304 - AROMATIC SULFONE COMPOUND AS ALDOSTERONE RECEPTOR MODULATOR | 1 |
Shingo Katayama | JP | Nagoya-Shi | 2010-06-24 / 20100156836 - Head mount display | 2 |
Shota Katayama | JP | Kawasaki-Shi | 2015-09-24 / 20150268553 - CHEMICALLY AMPLIFIED POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION | 3 |
Shinji Katayama | JP | Tamano-Shi | 2008-11-06 / 20080271847 - Gas diffusion electrode assembly, bonding method for gas diffusion electrodes, and electrolyzer comprising gas diffusion electrodes | 1 |
Takafumi Katayama | JP | Kashiwa-Shi | 2008-10-02 / 20080239415 - IMAGE READING APPARATUS AND IMAGE FORMATION APPARATUS | 1 |
Takuya Katayama | JP | Osaka-Fu | 2010-03-04 / 20100050413 - SINGLE-REEL TYPE TAPE CARTRIDGE | 1 |
Tatsuo Katayama | JP | Okayama | 2012-11-29 / 20120299250 - Method for processing rubber surface and sealing member | 5 |
Tetsuya Katayama | JP | Nishikamo-Ken | 2008-09-04 / 20080211604 - High frequency circuit board converting a transmission mode for mounting a semiconductor device | 1 |
Katsuhiro Katayama | JP | Tokyo | 2013-04-11 / 20130089871 - MARKER FOR DETECTION AND/OR DISCRIMINATION OF NON-ALCOHOLIC STEATOHEPATITIS, METHOD FOR DETECTION AND/OR DISCRIMINATION OF NON-ALCOHOLIC STEATOHEPATITIS, AND KIT FOR USE IN THE METHOD | 1 |
Tetsuya Katayama | JP | Itami-Shi | 2010-02-18 / 20100039707 - SI-O CONTAINING HYDROGENATED CARBON FILM, OPTICAL DEVICE INCLUDING THE SAME, AND METHOD FOR MANUFACTURING THE SI-O CONTAINING HYDROGENATED CARBON FILM AND THE OPTICAL DEVICE | 1 |
Tokiko Katayama | JP | Aichi | 2008-10-02 / 20080237768 - SOLID-STATE IMAGING APPARATUS | 1 |
Atsuhiro Katayama | JP | Tokyo | 2014-10-16 / 20140307216 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Toru Katayama | JP | Kanagawa-Ken | 2009-02-05 / 20090033952 - IMAGE PLOTTING APPARATUS AND IMAGE PLOTTING METHOD | 2 |
Toshihiko Katayama | JP | Higashichikuma-Gun | 2011-06-30 / 20110161531 - USB DEVICE APPARATUS | 2 |
Toshio Katayama | JP | Hitachi | 2009-08-06 / 20090195200 - ELECTRIC MOTOR DRIVE SYSTEM AND ELECTRIC MOTOR CONTROL METHOD | 1 |
Ryoko Katayama | JP | Nagaokakyo-Shi | 2015-05-21 / 20150137668 - CERAMIC ELECTRONIC COMPONENT AND METHOD OF MANUFACTURING CERAMIC ELECTRONIC COMPONENT | 1 |
Toshiyuki Katayama | JP | Hyougo | 2008-08-21 / 20080197624 - Connection Structure For Different Kinds of Metal Tubes | 1 |
Shinichi Katayama | JP | Tokyo | 2015-10-22 / 20150304884 - CONTROL DEVICE AND COMMUNICATION SYSTEM | 1 |
Junta Katayama | JP | Miyoshi-Shi, Aichi-Ken | 2015-10-22 / 20150303420 - TEMPERATURE REGULATION STRUCTURE | 1 |
Tsutao Katayama | JP | Kyotanabe-City | 2010-04-08 / 20100086727 - Method for molding fiber-reinforced thermoplastic composite material, intermediate thereof and composite sheet | 1 |
Hiroki Katayama | JP | Hitachi-Shi | 2011-11-03 / 20110267784 - TRANSMISSION/RECEPTION OPTICAL MODULE | 1 |
Tsutomu Katayama | JP | Yamaguchi | 2010-09-09 / 20100227972 - MATERIAL FOR SLIDING COMPONENT | 2 |
Tsutao Katayama | JP | Kyoto | 2012-07-05 / 20120168990 - RESIN MOLDING APPARATUS AND RESIN MOLDING METHOD | 1 |
Tsutomu Katayama | JP | Ube-Shi | 2009-08-13 / 20090203845 - HYDROGEN TANK LINER MATERIAL AND HYDROGEN TANK LINER | 2 |
Kiyokazu Katayama | JP | Chiba | 2014-10-16 / 20140309151 - 1-OCTENE/1-DECENE COPOLYMER AND LUBRICATING-OIL COMPOSITION CONTAINING SAME | 7 |
Yasuhiro Katayama | JP | Kawasaki-Shi | 2009-05-28 / 20090138634 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE WHICH EXECUTES DATA TRANSFER BETWEEN A PLURALITY OF DEVICES CONNECTED OVER NETWORK, AND DATA TRANSFER METHOD | 1 |
Yasushi Katayama | JP | Shiga | 2013-04-25 / 20130102048 - METHOD OF RETROVIRUS STORAGE | 2 |
Kiyokazu Katayama | JP | Ichihara-Shi | 2016-02-04 / 20160032033 - METHODS FOR PRODUCING ALPHA-OLEFIN POLYMER AND HYDROGENATED ALPHA-OLEFIN POLYMER | 2 |
Naohisa Katayama | JP | Osaka-Shi | 2014-04-03 / 20140093567 - Method for Producing Orodispersible Tablets | 4 |
Yoichi Katayama | JP | Kawasaki | / - | 1 |
Takashi Katayama | JP | Kurashiki-Shi | 2012-08-02 / 20120196515 - POLISHING PAD | 2 |
Yoshiki Katayama | JP | Fukuoka | 2009-05-21 / 20090131271 - METHOD FOR DELIVERING NUCLEIC ACID AND DEVICE FOR DELIVERING NUCLEIC ACID | 1 |
Goichi Katayama | JP | Wako | 2013-06-13 / 20130149582 - BATTERY COOLING STRUCTURE | 7 |
Rintaro Katayama | JP | Fujisawa | 2014-12-11 / 20140364122 - WIRELESS COMMUNICATION SYSTEM AND METHOD FOR WIRELESS COMMUNICATION | 13 |
Yoshiki Katayama | JP | Toyokawa-Shi | 2012-05-24 / 20120128386 - IMAGE FORMING APPARATUS CONTROLLING OPERATION NOISE VOLUME | 5 |
Tamotsu Katayama | JP | Tokyo | 2016-02-25 / 20160052319 - PRINTER COVER LOCKING MECHANISM | 2 |
Yoshinori Katayama | JP | Okegawa-Shi | 2010-12-09 / 20100308284 - PIGMENT DISPERSING COMPOSITION COLOR FILTER | 1 |
Naohisa Katayama | JP | Kusatsu-Shi | 2008-12-18 / 20080311195 - BASIS PARTICLES, METHOD FOR MANUFACTURING THE SAME, AND ORALLY-DISINTEGRATING TABLET | 2 |
Tetsuyuki Katayama | JP | Ayabe-Shi | 2011-11-10 / 20110272563 - PHOTOELECTRIC SENSOR | 1 |
Yoshinori Katayama | JP | Kawasaki | 2015-09-17 / 20150264085 - MESSAGE SENDING DEVICE, MESSAGE RECEIVING DEVICE, MESSAGE CHECKING METHOD, AND RECORDING MEDIUM | 4 |
Yoshio Katayama | JP | Hiroshima | 2010-05-13 / 20100116323 - Interconnector, Solar Cell String Using the Interconnector and Method of Manufacturing Thereof, and Solar Cell Module, Using The Solar Cell String | 3 |
Hideaki Katayama | JP | Osaka | 2012-07-12 / 20120174386 - ELECTROCHEMICAL DEVICE AND METHOD FOR PRODUCTION THEREOF | 8 |
Hideaki Katayama | JP | Ibaraki-Shi | 2015-08-20 / 20150236318 - SLURRY FOR FORMING INSULATING LAYER, SEPARATOR FOR ELECTROCHEMICAL DEVICE, METHOD FOR PRODUCING THE SAME, AND ELECTROCHEMICAL DEVICE | 2 |
Hideaki Katayama | JP | Hiroshima | 2010-09-30 / 20100247695 - LATERALLY INDEPENDENTLY OPERATED COLUMN-EQUIPPED VULCANIZER | 1 |
Taro Katayama | JP | Toyonaka-Shi | 2010-04-08 / 20100088103 - PLAYBACK APPARATUS AND PLAYBACK METHOD | 1 |
Shinji Katayama | JP | Numazu-Shi | 2015-01-15 / 20150013153 - HIGH FREQUENCY CABLE, HIGH FREQUENCY COIL AND METHOD FOR MANUFACTURING HIGH FREQUENCY CABLE | 2 |
Kyosuke Katayama | JP | Asaka-Shi | 2015-11-05 / 20150317229 - DEVICE STATE ESTIMATION APPARATUS, DEVICE POWER CONSUMPTION ESTIMATION APPARATUS, AND PROGRAM | 11 |
Hideaki Katayama | JP | Tokyo | 2009-08-06 / 20090195947 - PROTECTIVE CIRCUIT | 1 |
Hideaki Katayama | JP | Osaka | 2012-07-12 / 20120174386 - ELECTROCHEMICAL DEVICE AND METHOD FOR PRODUCTION THEREOF | 8 |
Taiki Katayama | JP | Annaka-Shi | 2016-03-24 / 20160083524 - ALUMINUM CHELATE COMPOUND AND ROOM TEMPERATURE-CURABLE RESIN COMPOSITION CONTAINING SAME | 1 |
Hiroyuki Katayama | JP | Saitama | 2012-07-12 / 20120178119 - METHOD FOR MEASURING AUTOPHAGY | 1 |
Hideki Katayama | JP | Shinagawa-Ku | 2012-07-12 / 20120179522 - ADVERTISEMENT DISTRIBUTION DEVICE, TERMINAL, ADVERTISEMENT DISTRIBUTION SYSTEM, ADVERTISEMENT DISTRIBUTION METHOD, AND DATA PROCESSING METHOD | 1 |
Hiroyuki Katayama | JP | Kawasaki | 2012-07-12 / 20120179828 - SERVER APPARATUS, SESSION MANAGEMENT APPARATUS, METHOD, SYSTEM, AND RECORDING MEDIUM OF PROGRAM | 1 |
Kazutaka Katayama | JP | Komaki-Shi | 2015-02-26 / 20150056393 - RESIN COMPOSITION FOR FUEL TUBES, AND FUEL TUBE | 13 |
Kazutaka Katayama | JP | Kasugai-Shi | 2015-09-10 / 20150255188 - CONDUCTIVE SHAFT AND CONDUCTIVE ROLL FOR OA EQUIPMENT USING THE SHAFT, AND METHOD OF PRODUCING CONDUCTIVE SHAFT | 10 |
Toshio Katayama | JP | Tokyo | 2014-05-01 / 20140117930 - Non-Contact Charging Apparatus for Mobile Body and Non-Contact Charging Method for Mobile Body | 1 |
Rintaro Katayama | JP | Tokyo | 2016-05-19 / 20160142276 - COMMUNICATION SYSTEM, MANAGMENT SERVER, AND MONITORING APPARATUS | 6 |
Kazuoki Katayama | JP | Tokyo | 2013-12-05 / 20130322895 - IMAGE FORMATION APPARATUS AND FIXATION DEVICE | 1 |
Takane Katayama | JP | Ishikawa | 2012-07-12 / 20120177687 - GENE EXPRESSING A BIFIDOBACTERIUM SURFACE-PRESENTED FUSION PROTEIN | 1 |
Kozo Katayama | JP | Kanagawa | 2014-07-31 / 20140213030 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 2 |
Chiaki Katayama | JP | Tokyo | 2015-05-28 / 20150147370 - METHOD OF MANUFACTURING METALLIC OXIDE PARTICLE-CONTAINING RESIN POWDER | 2 |
Masahiro Katayama | JP | Tochigi | 2016-05-05 / 20160126493 - Light-Emitting Device, Lighting Device, and Electronic Device | 18 |
Hideaki Katayama | JP | Kyoto | 2013-04-25 / 20130101888 - BATTERY SEPARATOR AND BATTERY | 3 |
Satoshi Katayama | JP | Kanagawa | 2016-04-28 / 20160113824 - DISPOSABLE PANT TYPE UNDERGARMENT AND METHOD FOR MANUFACTURING THE SAME | 2 |
Yoshiyuki Katayama | JP | Sakai-Shi | 2010-07-22 / 20100184551 - Speed Change Transmission System | 2 |
Asako Katayama | JP | Kawasaki | 2009-02-26 / 20090055801 - COMPUTER READABLE STORAGE MEDIUM THAT STORES A TEST SPECIFICATIONS CREATING PROGRAM, TEST SPECIFICATIONS CREATING APPARATUS AND TEST SPECIFICATIONS CREATING METHOD | 1 |
Takeaki Katayama | JP | Saitama | 2011-12-29 / 20110319671 - PROCESS FOR PRODUCING OPTICALLY ACTIVE ALIPHATIC FLUOROALCOHOL | 5 |
Ryuichi Katayama | JP | Minato-Ku | 2012-11-08 / 20120280265 - LIGHT EMITTING ELEMENT AND IMAGE DISPLAY DEVICE USING THE LIGHT EMITTING ELEMENT | 5 |
Kazuto Katayama | JP | Higashihiroshima-City | 2009-05-21 / 20090129105 - ILLUMINATION DEVICE OF VEHICLE | 1 |
Tamao Katayama | JP | Tokyo | 2014-09-18 / 20140281999 - DISPLAY DEVICE, TWO-WAY COMMUNICATION SYSTEM AND DISPLAY INFORMATION USING METHOD | 3 |
Yukihiro Katayama | JP | Hitachi | 2009-01-08 / 20090009000 - Adjustable Speed Drive System For Primary Loop Recirculation Pump | 1 |
Toshiharu Katayama | JP | Tokyo | 2008-10-16 / 20080251742 - Pressure Control Valve | 1 |
Shigeharu Katayama | JP | Nagoya-Shi | 2014-03-27 / 20140086659 - Configuration for an Image Forming Apparatus Having an Upright Recording Medium Storage Unit | 1 |
Hidekazu Katayama | JP | Tokyo | 2008-11-27 / 20080289446 - ENGINE STARTER | 1 |
Eri Katayama | JP | Fukuoka | 2015-05-07 / 20150125150 - OPTICAL RECEIVER AND OPTICAL RECEIVING METHOD | 3 |
Masanori Katayama | JP | Tokyo | 2009-06-18 / 20090154301 - Pointer Indication Type Timepiece | 1 |
Mikio Katayama | JP | Nara-Ken | 2010-03-11 / 20100060832 - Color filter layer and display device using the same | 1 |
Mikio Katayama | JP | Gunman-Ken | 2010-04-29 / 20100105766 - COMPOSITION FOR INHIBITION OR PREVENTION OF BONE DENSITY REDUCTION | 1 |
Masako Katayama | JP | Tokyo | 2009-07-30 / 20090190312 - Heat transfer film, semiconductor device, and electronic apparatus | 1 |
Noriyuki Katayama | JP | Tokyo | 2009-08-13 / 20090202384 - HIGH TENSILE STRENGTH HOT-ROLLED STEEL SHEET HAVING SUPERIOR STRAIN AGING HARDENABILITY AND METHOD FOR PRODUCING THE SAME | 1 |
Shinsaku Katayama | JP | Tokyo | 2015-10-15 / 20150290978 - PNEUMATIC TIRE | 3 |
Takayuki Katayama | JP | Tokyo | 2011-12-22 / 20110309769 - POWER CONTROL DEVICE FOR LED LIGHTING AND LIGHTING SYSTEM | 2 |
Yoshiki Katayama | JP | Tokyo | 2010-06-17 / 20100150581 - IMAGE FORMING APPARATUS | 2 |
Suguru Katayama | JP | Tokyo | 2016-01-07 / 20160003138 - ACTUATOR POWER TRANSMISSION MECHANISM AND TURBOCHARGER | 3 |
Mikio Katayama | JP | Ikoma-Shi | 2012-01-19 / 20120013826 - Liquid crystal display device | 3 |
Hiroki Katayama | JP | Tokyo | 2008-10-09 / 20080246354 - DYNAMOELECTRIC COIL PORTION INSULATING CONSTRUCTION | 1 |
Masahiro Katayama | JP | Tokyo | 2011-08-18 / 20110198312 - AIR CURRENT GENERATING APPARATUS AND METHOD FOR MANUFACTURING SAME | 3 |
Muneomi Katayama | JP | Tokyo | 2008-10-23 / 20080261189 - Internet Lesson System | 1 |
Teruyo Katayama | JP | Nagaokakyo-Shi | 2012-07-26 / 20120188683 - METHOD FOR MANUFACTURING CERAMIC ELECTRONIC COMPONENT AND CERAMIC ELECTRONIC COMPONENT | 1 |
Katsuo Katayama | JP | Tokyo | 2009-05-28 / 20090137114 - METHOD OF MAKING SEMICONDUCTOR DEVICE | 1 |
Akira Katayama | JP | Kanagawa-Ken | 2013-10-03 / 20130258787 - SEMICONDUCTOR DEVICE AND METHOD OF DRIVING THEREOF | 1 |
Yuki Katayama | JP | Tokyo | / - | 1 |
Kazuhiro Katayama | JP | Tokyo | 2009-09-24 / 20090239936 - Prophylactic and Therapeutic Agent for Cancer | 1 |
Miho Katayama | JP | Tokyo | 2009-10-08 / 20090253999 - CAPSULE MEDICAL APPARATUS AND MEDICAL SYSTEM | 1 |
Shigemasa Katayama | JP | Tokyo | 2009-12-31 / 20090327348 - JOB SUPPORT SYSTEM AND ITS METHOD | 1 |
Eisaku Katayama | JP | Tokyo | 2010-04-29 / 20100105882 - MOLECULAR MODULE | 1 |
Akira Katayama | JP | Tokyo | 2015-12-10 / 20150354864 - HEAT-PUMP AUTOMOTIVE AIR CONDITIONER AND DEFROSTING METHOD OF THE HEAT-PUMP AUTOMOTIVE AIR CONDITIONER | 4 |
Yoshiki Katayama | JP | Tokorozawa-Shi | 2013-10-03 / 20130256978 - DOCUMENT FEEDER, DOCUMENT READER, AND IMAGE FORMING APPARATUS WITH IMPROVED ACCURACY OF DOCUMENT SKEW CORRECTION | 1 |
Yasunao Katayama | US | 2011-03-31 / 20110075776 - Method and circuit for digitally filtering a signal | 1 | |
Hidefumi Katayama | JP | Anjo-Shi | 2014-06-26 / 20140179475 - DRIVING APPARATUS FOR VEHICLE | 4 |
Takeshi Katayama | JP | Kyoto | 2015-06-25 / 20150174924 - DRYING APPARATUS AND PRINTING APPARATUS | 2 |
Takumi Katayama | JP | Toyota-Shi | 2010-08-12 / 20100201052 - Gas Spring | 1 |
Takashi Katayama | JP | Ehime | 2013-06-20 / 20130156240 - HEARING ASSISTANCE SUITABILITY DETERMINING DEVICE AND HEARING ASSISTANCE SUITABILITY DETERMINING METHOD | 3 |
Masatoshi Katayama | JP | Tokyo | 2012-05-24 / 20120128348 - LIGHT-EMISSION ERROR PREVENTING CIRCUIT FOR OPTICAL TRANSMITTER | 3 |
Hideyuki Katayama | JP | Shizuoka | 2011-06-02 / 20110130154 - COMMUNICATION TERMINAL | 2 |
Kozo Katayama | JP | Tokyo | 2016-05-12 / 20160133641 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 10 |
Koji Katayama | JP | Osaka-Shi | 2015-04-30 / 20150115312 - GROUP III NITRIDE SEMICONDUCTOR DEVICE, AND METHOD FOR FABRICATING GROUP III NITRIDE SEMICONDUCTOR DEVICE | 28 |
Etsuji Katayama | JP | Tokyo | 2010-09-16 / 20100232464 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, COMMUNICATION APPARATUS, AND SEMICONDUCTOR LASER | 1 |
Kazuhiko Katayama | JP | Tokyo | 2016-03-17 / 20160073678 - MANUFACTURING METHOD OF COMPOSITION ELEMENT OF FAVORITE ITEM INCLUDING FLAVOR COMPONENT, AND COMPOSITION ELEMENT OF FAVORITE ITEM, INCLUDING FLAVOR COMPONENT | 11 |
Yoshiaki Katayama | JP | Tokyo | 2011-01-27 / 20110022832 - MULTI-OPERATING SYSTEM (OS) BOOTING APPARATUS, MULTI-OS BOOTING PROGRAM, RECORDING MEDIUM, AND MULTI-OS BOOTING METHOD | 1 |
Kyosuke Katayama | JP | Asaki-Shi | 2014-09-11 / 20140257583 - ENERGY MANAGEMENT SYSTEM, ENERGY MANAGEMENT METHOD, COMPUTER-READABLE MEDIUM, AND SERVER | 1 |
Atsuhiko Katayama | JP | Mobara | 2009-03-05 / 20090059155 - Liquid crystal display device | 1 |
Atsuhiko Katayama | JP | Kitakyushu-Shi | 2010-04-01 / 20100081785 - Cationically polymerizable composition and method for controlling cationic polymerization | 1 |
Atsuhiko Katayama | JP | Fukuoka | 2010-10-28 / 20100273941 - Thermally polymerizable resin composition | 3 |
Ryu Katayama | JP | Tokyo | 2011-05-05 / 20110106024 - CONTAINER WITH A SQUEEZED NOZZLE AND LEVER | 2 |
Shu Katayama | JP | Tokyo | 2008-11-20 / 20080287205 - Golf swing measurement device and golf swing measurement system | 1 |
Keishi Katayama | JP | Mishima-Shi | 2012-11-15 / 20120289502 - IMIDAZOLE DERIVATIVES | 3 |
Yasutaka Katayama | JP | Tokyo | 2009-10-01 / 20090244548 - LEVEL SENSOR | 2 |
Kyosuke Katayama | JP | Asaka-Shi | 2015-11-05 / 20150317229 - DEVICE STATE ESTIMATION APPARATUS, DEVICE POWER CONSUMPTION ESTIMATION APPARATUS, AND PROGRAM | 11 |
Yasunao Katayama | JP | Tokyo | 2015-10-29 / 20150309945 - ELECTRICAL AND OPTICAL MEMORY ACCESS | 11 |
Masaru Katayama | JP | Tokyo | 2010-03-18 / 20100070647 - FLOW RECORD RESTRICTION APPARATUS AND THE METHOD | 4 |
Kohei Katayama | JP | Wakayama-Shi | 2015-04-23 / 20150111143 - METHOD FOR PRODUCING POSITIVELY CHARGEABLE TONER | 2 |
Yoshihito Katayama | JP | Tokyo | 2010-10-07 / 20100255294 - HEAT REFLECTING GLASS AND PROCESS FOR PRODUCING HEAT REFLECTING GLASS | 3 |
Yasushi Katayama | JP | Tokyo | 2016-03-17 / 20160080825 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 6 |
Hajime Katayama | JP | Tokyo | 2012-08-23 / 20120213686 - MICROCHANNEL STRUCTURE, AND METHODS FOR PRODUCING EMULSION AND SOLID SPHERICAL PARTICLES | 2 |
Katsuhiro Katayama | JP | Chiba | 2009-11-05 / 20090275059 - Marker proteins for diagnosing liver disease and method of diagnosing liver disease using the same | 1 |
Taku Katayama | JP | Kanagawa-Ken | 2009-09-03 / 20090219238 - Liquid crystal display apparatus, and driving circuit and driving method thereof | 1 |
Shigeki Katayama | JP | Yokohama-Shi | 2015-10-01 / 20150281497 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND NON-TRANSITORY COMPUTER READABLE MEDIUM FOR CONTROLLING AN IMAGE FORMING APPARATUS | 1 |
Toshihiko Katayama | JP | Kobe-Shi | 2016-02-11 / 20160039974 - PROCESS FOR MANUFACTURING POLYAMIDE | 5 |
Toshihiko Katayama | JP | Kobe City | 2016-04-07 / 20160096925 - COVER MEMBER AND ELECTRONIC DEVICE | 5 |
Tsuyoshi Katayama | JP | Fukuoka | 2010-12-16 / 20100318258 - DRIVING RECORDER | 1 |
Noriyuki Katayama | JP | Chiyoda-Ku | 2013-09-12 / 20130233453 - HIGH STRENGTH STEEL SHEET HAVING EXCELLENT FORMABILITY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Akira Katayama | JP | Aichi | 2012-08-16 / 20120205088 - VEHICLE AIR-CONDITIONING SYSTEM AND OPERATION CONTROL METHOD THEREFOR | 1 |
Akio Katayama | JP | Haibara-Gun | 2012-08-16 / 20120205599 - COLOR FILTER AND IMAGE DISPLAY APPARATUS HAVING THE SAME | 1 |
Katsuhiro Katayama | JP | Koriyama | 2010-09-30 / 20100248393 - METHOD FOR DETERMINATION OF ANTIGEN AND ANTIBODY AGAINST THE ANTIGEN, AND DETERMINATION REAGENT FOR USE IN THE METHOD | 2 |
Junta Katayama | JP | Toyota-Shi | 2015-04-23 / 20150111094 - STORAGE BATTERY | 1 |
Rintaro Katayama | JP | Kokubunji | 2011-06-16 / 20110142007 - COMMUNICATION SYSTEM USING MULTIPLE WIRELESS RESOURCES DURING A SOFT HANDOFF | 6 |
Katsuhiro Katayama | JP | Fukushima | 2011-09-29 / 20110239313 - METHOD FOR PRODUCTION OF TRACP5b | 2 |
Yoshinobu Katayama | JP | Moriguchi-Shi | 2009-07-30 / 20090191449 - ALKALINE STORAGE BATTERY | 4 |
Tsuyoshi Katayama | JP | Osaka | 2009-05-28 / 20090137689 - Modified gum arabic | 3 |
Toshiaki Katayama | JP | Sakaide-Shi | 2012-08-23 / 20120211350 - PROCESSES AND APPARATUSES FOR PRODUCING SILICON | 1 |
Kyosuke Katayama | JP | Kawasaki-Shi | 2011-09-15 / 20110220561 - SEAWATER DESALINATION SYSTEM | 1 |
Kensei Katayama | JP | Kyoto-Shi | 2011-09-15 / 20110222244 - POWER CONDITIONER DEVICE AND MODULE SUBSTRATE STRUCTURE USING THE SAME | 1 |
Makoto Katayama | JP | Kanagawa | 2009-07-23 / 20090185165 - METHOD OF INSPECTING FOOD AND INSPECTION APPARATUS IMPLEMENTING THE SAME | 5 |
Yoshinobu Katayama | JP | Naruto City | 2010-12-23 / 20100323243 - HYDROGEN-ABSORBING ALLOY FOR ALKALINE STORAGE BATTERY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kenji Katayama | JP | Wako | 2012-08-30 / 20120216512 - EXHAUST EMISSION CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Shigeharu Katayama | JP | Kakamigahara-Shi | 2010-03-11 / 20100061757 - IMAGE-FORMING DEVICE AND ORNAMENTAL MEMBER THEREFOR | 3 |
Shohei Katayama | JP | Tokyo | 2015-10-01 / 20150276699 - RADIOACTIVE GAS MONITOR | 1 |
Shinichi Katayama | JP | Fukushima | 2012-12-20 / 20120321911 - NON-AQUEOUS ELECTROLYTE BATTERY, NON-AQUEOUS ELECTROLYTE BATTERY POSITIVE ELECTRODE, NON-AQUEOUS ELECTROLYTE BATTERY NEGATIVE ELECTRODE, NON-AQUEOUS ELECTROLYTE BATTERY SEPARATOR, ELECTROLYTE FOR NON-AQUEOUS ELECTROLYTE, AND METHOD OF MANUFACTURING NON-AQEUOUS ELECTROLYTE BATTERY SEPARATOR | 4 |
Shinichi Katayama | JP | Shinagawa | 2011-12-01 / 20110296210 - Power supply controlling system, control method for power supply controlling system, and power supply controlling apparatus | 11 |
Shinichi Katayama | JP | Kurashiki-Shi | 2009-09-24 / 20090236552 - Flow control valve | 1 |
Shinichi Katayama | JP | Shinagawa-Ku | 2009-02-26 / 20090055559 - KVM switch and method for controlling the same | 1 |
Kenji Katayama | JP | Kanagawa | 2016-03-24 / 20160085485 - IMAGE FORMING APPARATUS | 1 |
Akiyoshi Katayama | JP | Kobe-Shi | 2013-05-23 / 20130131944 - PUMPING BRAKE DETECTION AND CONTROL DEVICE AND METHOD FOR INTERNAL COMBUSTION ENGINE | 1 |
Akira Katayama | JP | Yamato-Shi | 2014-09-11 / 20140254254 - SEMICONDUCTOR STORAGE DEVICE AND DRIVING METHOD THEREOF | 5 |
Yohei Katayama | JP | Kawasaki-Shi | 2009-11-05 / 20090272611 - Damping force adjustable fluid pressure shock absorber | 3 |
Atsuhiro Katayama | JP | Mobara | 2016-02-11 / 20160041432 - LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Takeshi Katayama | JP | Ashigarakami-Gun | 2011-03-31 / 20110075173 - PROFILE GENERATING APPARATUS, PROFILE GENERATING METHOD, COMPUTER-READABLE RECORDING MEDIUM WITH PROFILE GENERATING PROGRAM RECORDED THEREIN, AND PRINTING SYSTEM | 2 |
Takashi Katayama | JP | Tenri-Shi | 2008-10-16 / 20080251762 - LIQUID CRYSTAL MEDIUM | 1 |
Yukari Katayama | JP | Chigasaki | 2013-02-21 / 20130044146 - DISPLAY DEVICE, DISPLAY DRIVER AND IMAGE DISPLAY METHOD | 15 |
Takashi Katayama | JP | Okayama | 2010-10-21 / 20100267888 - POLYVINYL ALCOHOL FIBER-CONTAINING POLYOLEFIN RESIN COMPOSITION AND MOLDED ARTICLE THEREOF | 2 |
Takashi Katayama | JP | Kawasaki | 2010-08-12 / 20100201249 - Plasma display panel and method for fabricating the same | 2 |
Kaoru Katayama | JP | Hatano | 2009-04-16 / 20090096957 - Liquid Crystal Display Device | 1 |
Takashi Katayama | JP | Tokyo | 2011-04-21 / 20110088354 - Packaging Apparatus and Processes | 5 |
Yukuo Katayama | JP | Tokyo | 2011-06-02 / 20110129890 - METHOD OF TREATING SUBSTANCE CONTAINING LIGNOCELLULOSE OR CELLULOSE | 1 |
Erika Katayama | JP | Tokyo | 2016-02-25 / 20160054011 - Outdoor Unit and Air Conditioner Having the Outdoor Unit | 1 |
Koichi Katayama | JP | Tokyo | 2016-03-10 / 20160067823 - LASER MACHINING APPARATUS | 1 |
Andrew S. Katayama | US | Cardiff-By-The-Sea | 2016-02-11 / 20160038040 - CONTINUOUS POSITIONING APPARATUS AND METHODS | 3 |
Toru Katayama | JP | Tokyo | 2016-03-03 / 20160065529 - DISPLAY CONTROL DEVICE, DISPLAY CONTROL METHOD, AND PROGRAM | 1 |
Hiromasa Katayama | JP | Toride-Shi | 2014-02-13 / 20140042687 - IMAGE FORMING APPARATUS | 15 |
Yoshinaga Katayama | JP | Kawasaki-Shi | 2015-09-24 / 20150269115 - ELECTRONIC DEVICE, MATHEMATICAL EXPRESSION DISPLAY CONTROL METHOD, AND STORAGE MEDIUM WHICH STORES MATHEMATICAL EXPRESSION CONTROL PROGRAM | 2 |
Tsunehiro Katayama | JP | Yoro-Gun | 2015-04-02 / 20150091417 - PIEZOELECTRIC CERAMICS, PIEZOELECTRIC CERAMIC COMPOSITIONS, AND PIEZOELECTRIC ELEMENTS | 1 |
Motomi Katayama | JP | Kai-Shi | 2010-01-28 / 20100022304 - VIDEO GAME DEVICE AND VIDEO GAME PROGRAM | 1 |
Kazuo Katayama | JP | Hyogo | 2011-06-09 / 20110133539 - SHOCK ABSORBING APPARATUS FOR AIRCRAFT SEAT | 1 |
Eric Naoto Katayama | US | San Jose | 2012-03-29 / 20120078942 - Supporting efficient partial update of hierarchically structured documents based on record storage | 1 |
Yasunao Katayama | JP | Tokyo | 2015-10-29 / 20150309945 - ELECTRICAL AND OPTICAL MEMORY ACCESS | 11 |
Akira Katayama | JP | Ehime | 2011-12-01 / 20110290711 - FLUID SEPARATION ELEMENT, ANTI-TELESCOPING DEVICE FOR FLUID SEPARATION ELEMENT, AND FLUID SEPARATION DEVICE | 1 |
Akihiro Katayama | JP | Zama-Shi | 2015-04-23 / 20150110378 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 13 |
Kaoru Katayama | JP | Hadano | 2009-01-15 / 20090014504 - Method of Supplying Paste Solder Material and Metal Mask Therefor | 2 |
Kouichi Katayama | JP | Shiga | 2011-07-21 / 20110179524 - METHOD FOR PRODUCTION OF MOTH ORCHID HAVING MODIFIED FLOWER COLOR | 1 |
Hirotaka Katayama | JP | Gifu-Shi | 2011-03-10 / 20110056560 - SOLAR CELL MODULE AND MANUFACTURING METHOD THEREOF | 1 |
Takashi Katayama | JP | Osaka | 2012-06-07 / 20120143764 - INFORMATION PROVIDING SYSTEM AND DESIGN INFORMATION PROVIDING SERVER | 20 |
Yukihisa Katayama | JP | Toyota-Shi | 2009-02-12 / 20090042087 - Fuel Cell Separator, Electrode Structure for a Fuel Cell, Methods of Manufacturing Both Thereof, and a Polymer Electrolyte Fuel Cell Comprising the Same | 1 |
Ryusuke Katayama | JP | Chiyoda-Ku | 2015-03-19 / 20150076950 - ROTOR FOR MAGNETIC ROTATING ELECTRICAL MACHINE, PRODUCTION METHOD FOR SAME, AND DEVICE | 1 |
Manabu Katayama | JP | Shizuoka | 2012-04-12 / 20120085833 - CONNECTION STRUCTURE OF LINEAR MOTOR TYPE TRANSPORT DEVICE | 1 |
Yukihisa Katayama | JP | Aichi | 2011-08-11 / 20110195341 - Method for synthesizing Polymer Electrolyte, Polymer Electrolyte Membrane, and Solid Polymer Electrolyte Fuel Cell | 4 |
Yoshitake Katayama | JP | Aichi | 2013-01-10 / 20130008267 - Self-Lubricating Transmission Device | 2 |
Youji Katayama | JP | Hitachi-Shi | 2012-09-27 / 20120244347 - METHOD FOR MANUFACTURING SEMICONDUCTOR CHIP, ADHESIVE FILM FOR SEMICONDUCTOR, AND COMPOSITE SHEET USING THE FILM | 1 |
Mika Katayama | JP | Kanagawa | 2013-01-10 / 20130011359 - SOLID OR POWDER HAIR STYLING COMPOSITION | 1 |
Susumu Katayama | JP | Hirakata-Shi | 2012-04-12 / 20120087210 - MOVING OBJECT DETECTING APPARATUS | 1 |
Yasuhiro Katayama | JP | Yokohama-Shi | 2015-01-15 / 20150018046 - ELECTRONIC DEVICE | 2 |
Mika Katayama | JP | Yokohama-Shi | 2014-04-17 / 20140105840 - Solid Cosmetic For Lips | 1 |
Kazuhiko Katayama | JP | Yokohama-Shi | 2011-12-08 / 20110297166 - NON-HEATING TOBACCO FLAVOR SUCTION DEVICE | 1 |
Haruyuki Katayama | JP | Toyota-Shi | 2011-09-22 / 20110225955 - EXHAUST APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Yoshinobu Katayama | JP | Naruto-Shi | 2011-09-22 / 20110229755 - HYDROGEN STORAGE ALLOY FOR ALKALINE STORAGE BATTERY, AND ALKALINE STORAGE BATTERY AND ALKALINE STORAGE BATTERY SYSTEM EACH INCLUDING NEGATIVE ELECTRODE HAVING THE ALLOY | 1 |
Atushi Katayama | JP | Setagaya-Ku | 2011-09-22 / 20110228972 - DIGITAL WATERMARK PADDING METHOD, DIGITAL WATERMARK PADDING DEVICE, DIGITAL WATERMARK DETECTING METHOD, DIGITAL WATERMARK DETECTING DEVICE, AND PROGRAM | 1 |
Takeshi Katayama | JP | Tokyo | 2014-07-17 / 20140198328 - COLOR PROCESSING METHOD, COLOR PROCESSING APPARATUS AND NON-TRANSITORY RECORDING MEDIUM | 4 |
Akiko Katayama | JP | Higashikagawa-Shi | 2015-10-22 / 20150297532 - Ropinirole-Containing Adhesive Patch | 5 |
Yohei Katayama | JP | Yokohamashi-Shi | 2012-10-04 / 20120247889 - DAMPING FORCE CONTROL TYPE SHOCK ABSORBER | 1 |
Eric N. Katayama | US | San Jose | 2011-12-08 / 20110302195 - Multi-Versioning Mechanism for Update of Hierarchically Structured Documents Based on Record Storage | 1 |
Yoshihiro Katayama | JP | Kyoto | 2011-02-03 / 20110027663 - NONAQUEOUS ELECTROLYTE BATTERY | 6 |
Yoshihiro Katayama | JP | Kyoto-Shi | 2015-01-22 / 20150024274 - ELECTRIC STORAGE DEVICE | 7 |
Yoshihiro Katayama | JP | Tokyo | 2011-12-29 / 20110319640 - LARGE-SCALE PURIFICATION OF 2-PYRONE-4,6-DICARBOXYLIC ACID | 3 |
Toru Katayama | JP | Kanagawa | 2012-10-04 / 20120249648 - INK JET RECORDING APPARATUS | 1 |
Masanobu Katayama | JP | Toyota-Shi | 2010-02-18 / 20100042307 - FUEL INJECTION DEVICE AND CONTROL METHOD THEREFOR | 1 |
Yoshihiro Katayama | JP | Fuchu-Shi | 2011-07-07 / 20110166301 - POLYESTERS, POLYURETHANES AND PROCESS FOR THEIR PRODUCTION | 3 |
Yoshihiro Katayama | JP | Fukuoka | 2009-10-01 / 20090246604 - Non-Aqueous Electrolyte Battery, Method of Manufacturing the Same and Method of Using the Same | 1 |
Takashi Katayama | JP | Kanagawa | 2011-06-16 / 20110142272 - HEARING ASSISTANCE SUITABILITY DETERMINING DEVICE, HEARING ASSISTANCE ADJUSTMENT SYSTEM, AND HEARING ASSISTANCE SUITABILITY DETERMINING METHOD | 2 |
Shinichi Katayama | JP | Shinagawa | 2011-12-01 / 20110296210 - Power supply controlling system, control method for power supply controlling system, and power supply controlling apparatus | 11 |
Hiroshi Katayama | JP | Nagano | 2013-04-04 / 20130083465 - PROTECTIVE COVER AND INFORMATION PROCESSOR | 3 |
Hidekazu Katayama | JP | Chiyoda-Ku | 2008-12-18 / 20080309182 - Motor | 1 |
Manabu Katayama | JP | Chigasaki-Shi | 2013-08-29 / 20130220041 - VARIABLY OPERATED VALVE SYSTEM AND TIGHTENING STRUCTURE BETWEEN CONTROL SHAFT AND ACTUATOR OF VARIABLY OPERATED VALVE SYSTEM | 1 |
Masaki Katayama | JP | Hamamatsu-Shi | 2015-08-20 / 20150237446 - Speaker Device and Audio Signal Processing Method | 10 |
Takane Katayama | JP | Kyoto-Shi | 2009-10-15 / 20090258400 - Mutant Tyrosine Repressor, a Gene Encoding the Same, and a Method for Producing L-Dopa | 1 |
Fumimasa Katayama | JP | Muko-Shi | 2008-10-16 / 20080252270 - PHASE CONTROL METHOD AND PHASE CONTROL DEVICE | 1 |
Yukihisa Katayama | JP | Nagoya-Shi | 2011-03-03 / 20110049745 - MANUFACTURING METHOD FOR SOLID ELECTROLYTE SHEET | 1 |
Masako Katayama | JP | Okayama Pref. | 2014-04-10 / 20140100333 - POLYVINYL ACETAL WITH HIGH FLOWABILITY AND PACTICIZER-CONTAINING FILMS PRODUCED THEREWITH | 2 |
Kazutoshi Katayama | JP | Kanagawa | 2009-05-21 / 20090130366 - Optical Information-Recording Medium and Information-Recording Method | 9 |
Akira Katayama | KR | Seoul | 2016-03-24 / 20160086647 - SEMICONDUCTOR STORAGE DEVICE | 9 |
Kozo Katayama | JP | Tokyo | 2016-05-12 / 20160133641 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 10 |
Yasutaka Katayama | JP | Itabashi-Ku | 2008-09-25 / 20080231839 - Power-saving control method in laser measuring system and laser measuring system | 2 |
Akira Katayama | JP | Kiyosu-Shi | 2014-10-09 / 20140298838 - AIR-CONDITIONING APPARATUS FOR VEHICLE | 1 |
Noriko Katayama | JP | Minato-Ku | 2009-04-30 / 20090109331 - PORTABLE DEVICE AND IMAGING DEVICE | 2 |
Mutsumi Katayama | JP | Suitama | 2008-10-02 / 20080238771 - Vehicle position detection system | 1 |
Mutsumi Katayama | JP | Wako-Shi | 2009-06-04 / 20090141509 - LIGHTING APPARATUS FOR MOTORCYCLE | 1 |
Mutsumi Katayama | JP | Saitama | 2012-12-06 / 20120310536 - WEATHER INFORMATION PROCESSING DEVICE AND WEATHER INFORMATION PROCESSING SYSTEM | 2 |
Kazuhiro Katayama | JP | Osaka | 2015-09-10 / 20150254411 - METHOD OF EVALUATING PANCREATIC CANCER, PANCREATIC CANCER-EVALUATING APPARATUS, PANCREATIC CANCER-EVALUATING METHOD, PANCREATIC CANCER-EVALUATING PROGRAM PRODUCT, PANCREATIC CANCER-EVALUATING SYSTEM, AND INFORMATION COMMUNICATION TERMINAL APPARATUS | 1 |
Madoka Katayama | JP | Tokyo | 2015-09-10 / 20150254277 - SCHEMATIC REPRESENTATION OF GEOGRAPHIC LOCATIONS | 1 |
Haruyuki Katayama | JP | Shizuoka-Ken | 2012-04-26 / 20120096841 - EXHAUST DEVICE OF INTERNAL-COMBUSTION ENGINE | 1 |
Seiji Katayama | JP | Yaizu-Shi | 2012-10-11 / 20120256329 - PROCESSING APPARATUS FOR DISPERSION, DISSOLUTION, SOLUBILIZATION, OR EMULSIFICATION OF GAS/LIQUID OR LIQUID/LIQUID | 1 |
Koji Katayama | JP | Nara | 2014-10-30 / 20140321197 - DRIVING METHOD OF NON-VOLATILE MEMORY ELEMENT AND NON-VOLATILE MEMORY DEVICE | 27 |
Yumiko Katayama | JP | Yaizu-Shi | 2012-10-11 / 20120256329 - PROCESSING APPARATUS FOR DISPERSION, DISSOLUTION, SOLUBILIZATION, OR EMULSIFICATION OF GAS/LIQUID OR LIQUID/LIQUID | 1 |
Makoto Katayama | JP | Osaka | 2012-11-15 / 20120288382 - SEALED COMPRESSOR | 2 |
Shingo Katayama | JP | Ome-Shi | 2013-10-10 / 20130266495 - MANUFACTURING METHOD AND MANUFACTURING DEVICE FOR MULTIPLE OXIDE | 1 |
Takanobu Katayama | JP | Wakayama | 2010-07-15 / 20100179338 - PROCESS FOR PRODUCING FATTY ACID ESTERS | 3 |
Nobuhide Katayama | JP | Hyogo | 2015-09-03 / 20150247246 - TUBE-TYPE OZONE GENERATOR AND MANUFACTURING METHOD THEREFOR | 1 |
Goichi Katayama | JP | Utsunomiya-Shi | 2015-09-03 / 20150246606 - ELECTRIC VEHICLE | 1 |
Tomoko Katayama | JP | Osaka | 2013-04-25 / 20130100132 - IMAGE RENDERING DEVICE, IMAGE RENDERING METHOD, AND IMAGE RENDERING PROGRAM FOR RENDERING STEREOSCOPIC IMAGES | 5 |
Mikio Katayama | JP | Tokyo | 2015-09-10 / 20150250223 - EFFERVESCENT BEVERAGE COMPRISING AN EXTRACT OF HOP OXIDATION-REACTION PRODUCTS | 2 |
Masaaki Katayama | JP | Susono-Shi | 2014-08-21 / 20140230428 - STIRLING ENGINE | 16 |
Tsuneto Katayama | JP | Tokyo | 2015-09-03 / 20150248593 - IMAGE PROCESSING DEVICE AND SPINAL CANAL EVALUATION METHOD | 1 |
Motohiro Katayama | JP | Hyogo | 2012-10-18 / 20120262957 - DISTRIBUTED POWER SUPPLY SYSTEM | 1 |
Takeshi Katayama | JP | Iwaki-Shi | 2009-08-06 / 20090196989 - SHARP BLADE AND ITS MANUFACTURING METHOD | 1 |
Ichiro Katayama | JP | Tokyo | 2012-04-26 / 20120100785 - METHOD FOR CHAMFERING WAFER | 1 |
Takeshi Katayama | JP | Osaka | 2009-06-18 / 20090152430 - Brace | 1 |
Takeshi Katayama | JP | Ibaraki | 2009-09-17 / 20090233413 - Method for fabricating semiconductor device | 1 |
Tomohide Katayama | JP | Shizuoka | 2014-01-30 / 20140030661 - UPPER SURFACE ANTIREFLECTIVE FILM FORMING COMPOSITION AND PATTERN FORMING METHOD USING SAME | 2 |
Daisuke Katayama | JP | Kyoto | 2012-12-13 / 20120314365 - COOLING STRUCTURE FOR ELECTRONIC DEVICE | 1 |
Takeshi Katayama | JP | Minato-Ku | 2012-04-05 / 20120081722 - COLOR VALUE ACQUIRING METHOD, IMAGE PROCESSING METHOD, COLOR VALUE ACQUIRING APPARATUS, IMAGE PROCESSING APPARATUS, AND RECORDING MEDIUM | 4 |
Yoshinori Katayama | JP | Yokohama-Shi | 2015-01-15 / 20150016583 - METHOD OF REPAIRING JET PUMP MEASURING PIPE AND REPAIR DEVICE THEREFOR | 3 |
Shigeo Katayama | JP | Yokohama-Shi | 2014-08-21 / 20140231200 - SHOCK ABSORBER | 2 |
Shintaro Katayama | JP | Yokohama-Shi | 2010-02-11 / 20100035249 - RNA SEQUENCING AND ANALYSIS USING SOLID SUPPORT | 1 |
Mutsumi Katayama | JP | Yokohama-Shi | 2010-02-11 / 20100035249 - RNA SEQUENCING AND ANALYSIS USING SOLID SUPPORT | 1 |
Atsushi Katayama | JP | Yokohama-Shi | 2010-06-10 / 20100141800 - IMAGING APPARATUS AND ZOOM CONTROL METHOD | 1 |
Miho Katayama | JP | Yokohama-Shi | 2011-10-06 / 20110245731 - MEDICAL APPARATUS SYSTEM, CAPSULE MEDICAL APPARATUS SYSTEM, AND METHOD OF DISPLAYING POSTURE ITEM OF SUBJECT | 6 |
Shinsaku Katayama | JP | Yokohama-Shi | 2010-11-11 / 20100282386 - PNEUMATIC TIRE FOR TWO-WHEELED VEHICLE | 1 |
Shigeki Katayama | JP | Kanagawa | 2015-02-12 / 20150043790 - IMAGE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 1 |
Taro Katayama | JP | Yokohama-Shi | / - | 1 |
Nobuhiro Katayama | JP | Hiroshima-Ken | 2011-12-29 / 20110315913 - SURFACE-TREATED RARE EARTH-BASED MAGNETIC PARTICLES, RESIN COMPOSITION FOR BONDED MAGNETS COMPRISING THE EARTH-BASED MAGNETIC PARTICLES AND BONDED MAGNET COMPRISING THE EARTH-BASED MAGNETIC PARTICLES | 1 |
Mikio Katayama | JP | Mimami-Ku Yokohama-Shi | 2012-10-25 / 20120270950 - ALKALINE DECOMPOSITION PRODUCT OF HOP EXTRACT AND USE THEREOF | 1 |
Yasunao Katayama | JP | Kanagawa | 2013-09-19 / 20130242976 - PACKET COMMUNICATION SYSTEM, COMMUNICATION METHOD ADN PROGRAM | 8 |
Tetsuro Katayama | JP | Fujiyoshida-Shi | 2009-08-13 / 20090201444 - Method and apparatus for cutting apart a glass substrate, liquid crystal panel, and apparatus for fabricating a liquid crystal panel | 1 |
Makoto Katayama | JP | Shiga | 2014-06-05 / 20140154111 - SEALED COMPRESSOR AND REFRIGERATION UNIT INCLUDING SEALED COMPRESSOR | 3 |
Kazuhiko Katayama | JP | Tokyo | 2016-03-17 / 20160073678 - MANUFACTURING METHOD OF COMPOSITION ELEMENT OF FAVORITE ITEM INCLUDING FLAVOR COMPONENT, AND COMPOSITION ELEMENT OF FAVORITE ITEM, INCLUDING FLAVOR COMPONENT | 11 |
Kazutaka Katayama | JP | Komaki-Shi | 2015-02-26 / 20150056393 - RESIN COMPOSITION FOR FUEL TUBES, AND FUEL TUBE | 13 |
Toshihiko Katayama | JP | Nishinomiya-Shi | 2016-03-17 / 20160075913 - SOLUTION OF AROMATIC POLYAMIDE FOR PRODUCING DISPLAY ELEMENT, OPTICAL ELEMENT, ILLUMINATION ELEMENT OR SENSOR ELEMENT | 6 |
Ryoko Katayama | JP | Higashiomi-Shi | 2008-11-27 / 20080290316 - PIEZOELECTRIC CERAMIC COMPOSITION | 1 |
Yoshiyuki Katayama | JP | Osaka | 2009-06-18 / 20090156345 - Speed Changing Transmission Apparatus | 3 |
Hiroyuki Katayama | JP | Osaka | 2016-03-24 / 20160087170 - METHOD AND SYSTEM FOR PRODUCING OPTICAL SEMICONDUCTOR DEVICE, PRODUCTION CONDITION DETERMINATION DEVICE AND PRODUCTION MANAGEMENT DEVICE | 50 |
Seiji Katayama | JP | Osaka | 2011-04-28 / 20110095002 - LASER LAP WELDING METHOD FOR GALVANIZED STEEL SHEETS | 3 |
Ryoko Katayama | JP | Higashiohmi-Shi | 2009-01-22 / 20090023578 - CERAMIC POWDER AND METHOD FOR PRODUCING CERAMIC POWDER | 1 |
Satoshi Katayama | JP | Tokyo | 2011-08-25 / 20110207174 - Peptide-containing culture medium for culturing animal cell | 2 |
Hajime Katayama | JP | Tochigi-Ken | 2011-08-04 / 20110187162 - VEHICLE ROOF SYSTEM | 4 |
Goichi Katayama | JP | Saitama | 2010-10-14 / 20100258078 - DIRECT FUEL-INJECTION ENGINE | 1 |
Takanobu Katayama | JP | Iwade-Shi | 2013-08-22 / 20130217924 - METHOD FOR PRODUCING HIGHER ALCOHOL | 1 |
Toyoko Katayama | JP | Osaka | 2010-05-06 / 20100113777 - INDOLE DERIVATIVES HAVING cPLA2 INHIBITING ACTIVITY AND APPLICATIONS AND PRODUCTION METHODS OF THE SAME | 1 |
Toshio Katayama | JP | Osaka | 2009-10-22 / 20090262233 - IMAGE PICKUP APPARATUS | 1 |
Takeaki Katayama | JP | Soka-Shi | 2015-01-29 / 20150031920 - PROCESS FOR PRODUCING OPTICALLY ACTIVE SECONDARY ALCOHOL | 1 |
Ryoko Katayama | JP | Shiga-Ken | 2010-08-19 / 20100207494 - PIEZOELECTRIC CERAMIC COMPOSITION AND PIEZOELECTRIC CERAMIC ELECTRONIC COMPONENT | 1 |
Goichi Katayama | JP | Wako-Shi | 2015-02-12 / 20150044538 - STRUCTURE FOR SECURING BATTERY | 9 |
Takao Katayama | JP | Osaka | 2011-03-17 / 20110062269 - SEATBELT RETRACTOR | 3 |
Satoshi Katayama | JP | Osaka | 2012-01-05 / 20120003577 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR AND IMAGE FORMATION DEVISE COMPRISING SAME | 3 |
Sakurako Katayama | JP | Iwata-Shi | 2013-05-16 / 20130122566 - NOVEL ENZYME PROTEIN, PROCESS FOR PRODUCTION OF THE ENZYME PROTEIN THE SAME, AND GENE ENCODING THE ENZYME PROTEIN THE SAME | 1 |
Shuhei Katayama | CZ | Prague | 2013-08-08 / 20130203206 - CONDUCTIVE PASTE FOR USE IN PHOTOVOLTAIC CELL AND METHOD OF PRODUCING PHOTOVOLTAIC CELL ELEMENT USING THE SAME | 1 |
Mami Katayama | JP | Tokyo-To | 2013-11-21 / 20130309607 - PHOTOSENSITIVE RESIN COMPOSITION, PATTERN FORMING MATERIAL COMPRISING THE PHOTOSENSITIVE RESIN COMPOSITION, AND PATTERN FORMING METHOD AND ARTICLE USING THE PHOTOSENSITIVE RESIN COMPOSITION | 4 |
Ryuichi Katayama | JP | Tokyo | 2013-01-24 / 20130021774 - LIGHT EMITTING ELEMENT AND IMAGE DISPLAY APPARATUS USING THE LIGHT EMITTING ELEMENT | 31 |
Yasuhisa Katayama | JP | Tottori-Shi | 2010-02-11 / 20100033286 - LAMINATED DEVICE | 1 |
Yasuyuki Katayama | JP | Shizuoka | 2015-08-20 / 20150233425 - RETAINER FOR BALL BEARING, AND BALL BEARING | 1 |
Taisuke Katayama | JP | Fukuoka | 2015-10-29 / 20150311800 - POWER CONVERTING APPARATUS, CONTROL DEVICE, AND METHOD FOR CONTROLLING POWER CONVERTING APPARATUS | 4 |
Yoshinori Katayama | JP | Tokyo | 2015-11-12 / 20150322275 - CONDUCTIVE INK COMPOSITION, METHOD FOR PRODUCING CONDUCTIVE PATTERNS, AND CONDUCTIVE CIRCUIT | 2 |
Iseo Katayama | JP | Osaka | 2008-12-18 / 20080310993 - Air purifier, Air Purifying Method, Formed Photocatalyst-Supporting Member and Method of Making Formed Photocatalyst-Supporting Member | 1 |
Naohisa Katayama | JP | Osaka | 2009-04-16 / 20090099071 - SUGAR CHAIN-CONTAINING ALBUMIN, PRODUCTION METHOD THEREOF AND USE THEREOF | 2 |
Shigenori Katayama | JP | Okaya-Shi | 2009-05-07 / 20090115691 - DISPLAY DEVICE | 1 |
Yoshinobu Katayama | JP | Osaka | 2010-07-01 / 20100167122 - ALKALINE STORAGE BATTERY | 1 |
Akihiro Katayama | JP | Yokohama-Shi | 2015-09-24 / 20150265254 - ULTRASONIC DIAGNOSTIC IMAGING SYSTEM AND CONTROL METHOD THEREOF | 20 |
Hiroshi Katayama | JP | Yokohama | 2016-04-28 / 20160115703 - SEISMIC ISOLATION DEVICE AND SEISMIC ISOLATION METHOD | 2 |
Shigenori Katayama | JP | Okaya | 2008-09-18 / 20080225193 - ELECTRO-OPTICAL DEVICE, METHOD FOR MANUFACTURING ELECTRO-OPTICAL DEVICE, AND ELECTRONIC APPARATUS | 1 |
Kazunori Katayama | JP | Ibaraki | 2011-09-29 / 20110236015 - METHOD OF SWITCHING OPTICAL PATH, AND APPARATUS THEREOF | 1 |
Kouhei Katayama | JP | Wakayama | 2010-01-14 / 20100009279 - TONER FOR ELECTROPHOTOGRAPHY | 1 |
Toshiaki Katayama | JP | Kanagawa | 2013-01-03 / 20130004908 - METHOD FOR PRODUCING SILICON AND JIG | 2 |
Koji Katayama | JP | Itami-Shi | 2012-03-08 / 20120058583 - GROUP-III NITRIDE SEMICONDUCTOR LASER DEVICE, AND METHOD FOR FABRICATING GROUP-III NITRIDE SEMICONDUCTOR LASER DEVICE | 10 |
Hiroshi Katayama | JP | Chiba | 2009-04-16 / 20090096921 - Image processing apparatus, method, program, and recording medium | 1 |
Hiroshi Katayama | JP | Himeji-Shi, Hyogo | 2016-04-21 / 20160111792 - THERMOPLASTIC RESIN COMPOSITION FOR MOLDED ARTICLE HAVING CAPABILITY OF SHIELDING MILLIMETER WAVES | 1 |
Hiroshi Katayama | JP | Kumamoto | 2009-10-01 / 20090243524 - Power Inverter | 1 |
Hiroshi Katayama | JP | Kanagawa | 2012-06-14 / 20120149005 - REACTION TREATMENT DEVICE AND REACTION TREATMENT METHOD | 2 |
Hiroshi Katayama | JP | Kawasaki | 2010-03-04 / 20100056227 - NOISE SUPPRESSING DEVICE, MOBILE PHONE, NOISE SUPPRESSING METHOD, AND RECORDING MEDIUM | 1 |
Kana Katayama | JP | Odawara | 2013-05-02 / 20130111170 - STORAGE APPARATUS AND METHOD OF CONTROLLING STORAGE APPARATUS | 1 |
Yoshihito Katayama | JP | Chiyoda-Ku | 2008-08-21 / 20080199670 - INFRARED REFLECTION GLASS PLATE AND LAMINATED GLASS FOR VEHICLE WINDOW | 1 |
Yuusuke Katayama | JP | Nishikamo-Gun | 2010-08-05 / 20100198093 - Voice recognition apparatus, method for recognizing voice, and navigation apparatus having the same | 1 |
Rintaro Katayama | JP | Tachikawa | 2011-03-17 / 20110064061 - BASE STATION, TERMINAL AND WIRELESS COMMUNICATION SYSTEM | 8 |
Yoshitada Katayama | JP | Handa-Shi | 2012-01-05 / 20120001107 - Solenoid valve | 1 |
Hiroshi Katayama | JP | Matsumoto-Shi | 2010-03-11 / 20100061787 - PRINTING APPARATUS AND TRAY CONTROL METHOD OF THE SAME | 1 |
Takuma Katayama | JP | Kyoto | 2015-05-07 / 20150124433 - VISIBLE LIGHT COMMUNICATION SYSTEM | 5 |
Hiroshi Katayama | JP | Suwa-Shi | 2010-05-27 / 20100129129 - RECORDING APPARATUS AND RECORDING METHOD USING THE SAME | 1 |
Hiroshi Katayama | JP | Kanagawa-Ken | 2010-08-12 / 20100202581 - VIBRATION EVALUATION APPARATUS AND VIBRATION EVALUATION METHOD | 1 |
Hiroshi Katayama | JP | Hyogo | 2010-09-09 / 20100228019 - Production Process of Glucan Derivative Modified with Cyclic Ester | 2 |
Akira Katayama | KR | Seoul | 2016-03-24 / 20160086647 - SEMICONDUCTOR STORAGE DEVICE | 9 |
Hiroshi Katayama | JP | Yokohama-Shi | 2010-09-30 / 20100248668 - POSITIONING RECEIVER AND POSITIONING METHOD FOR USER EQUIPMENT | 4 |
Risa Katayama | JP | Osaka | 2015-01-22 / 20150020490 - CERAMIC FILTER | 1 |
Kenichi Katayama | JP | Kanagawa | 2013-08-22 / 20130217393 - MOBILE COMMUNICATION METHOD, RADIO ACCESS NETWORK APPARATUS AND MOBILE STATION | 3 |
Michita Katayama | JP | Osaka | 2011-07-07 / 20110167457 - MOTION PICTURE REPRODUCING APPARATUS AND MOTION PICTURE REPRODUCING METHOD | 1 |
Hitoshi Katayama | JP | Osaka | 2012-04-12 / 20120088136 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 7 |
Hitoshi Katayama | JP | Tokyo | 2009-09-24 / 20090238558 - STATION TERMINAL DEVICE, COMMUNICATION SYSTEM, SUBSCRIBER DEVICE MANAGEMENT METHOD, AND RECORDING MEDIUM RECORDING PROGRAM FOR STATION TERMINAL DEVICE | 2 |
Hitoshi Katayama | JP | Yokohama-Shi | 2009-12-17 / 20090308848 - SOFT ALLOY LAYER FORMING APPARATUS AND SOFT ALLOY LAYER FORMING METHOD | 2 |
Shinsaku Katayama | JP | Kodaira-Shi | 2015-11-12 / 20150321518 - PNEUMATIC TIRE | 8 |
Seiji Katayama | JP | Osaka-Shi | 2013-05-09 / 20130116227 - BIARYL AMIDE DERIVATIVE OR PHARMACEUTICALLY ACCEPTABLE SALT THEREOF | 1 |
Hirotaka Katayama | JP | Gifu | 2011-07-14 / 20110168259 - THIN FILM SOLAR CELL AND MANUFACTURING METHOD THEREOF | 1 |
Masumi Katayama | JP | Kawasaki | 2008-12-25 / 20080314993 - RFID tag, RFID-tag antenna, RFID-tag antenna sheet, and method of manufacturing RFID tag | 1 |
Hitoshi Katayama | JP | Kanagawa | 2011-11-03 / 20110266895 - ROTATING ELECTRICAL MACHINE | 2 |
Akihiro Katayama | JP | Toyota-Shi | 2016-03-31 / 20160090926 - SUPERCHARGING SYSTEM | 7 |
Akira Katayama | JP | Kawasaki-Shi | 2011-01-13 / 20110007590 - SEMICONDUCTOR STORAGE DEVICE AND METHOD OF CONTROLLING WORD LINE POTENTIAL | 1 |
Hidetoshi Katayanagi | JP | Tokyo | 2010-04-15 / 20100092218 - IMAGE FORMING APPARATUS | 2 |
Hidetoshi Katayanagi | JP | Nakano-Ku | 2015-08-06 / 20150220031 - FUSER AND IMAGE FORMING APPARATUS | 1 |
Satoru Katayanagi | JP | Sagamihara-Shi | 2015-10-22 / 20150296819 - CONCENTRATE-TYPE MILK-BASED ACIDIC BEVERAGE AND METHOD FOR PRODUCING THE SAME | 1 |
Hitoshi Katayanagi | JP | Hirakata-Shi | 2014-09-11 / 20140252803 - WORK VEHICLE | 1 |
Yukio Katayanagi | JP | Kawasaki | 2012-10-04 / 20120250739 - TRANSMISSION DEVICE AND TRANSMISSION METHOD | 1 |
Masayuki Katayanagi | JP | Tokyo | 2015-09-10 / 20150255925 - CONNECTOR | 16 |
Satoshi Katayanagi | JP | Kanagawa | 2009-11-19 / 20090286498 - ANTENNA DIVERSITY RECEIVER AND ANTENNA SWITCHING CONTROL METHOD THEREFOR | 1 |
Genki Katayanagi | JP | Tochigi | 2014-04-10 / 20140097463 - ANISOTROPIC CONDUCTIVE ADHESIVE | 4 |
Masayuki Katayanagi | JP | Tokyo | 2015-09-10 / 20150255925 - CONNECTOR | 16 |
Hiroshi Katayanagi | JP | Chiba-Shi | 2014-05-15 / 20140132645 - LIQUID CRYSTAL DISPLAY DEVICE WITH INFLUENCES OF OFFSET VOLTAGES REDUCED | 4 |
Hiroshi Katayanagi | JP | Chiba | 2008-12-04 / 20080296576 - Display Device | 1 |
Masanori Katayanagi | JP | Uenohara-Shi, Yamanashi | 2010-01-21 / 20100016027 - MOBILE APPARATUS | 1 |
Masanori Katayanagi | JP | Kitatsuru-Gun | 2010-08-05 / 20100194289 - ELECTRONIC APPARATUS | 1 |
Kunio Katayanagi | JP | Tokyo | 2010-02-18 / 20100042249 - STORAGE SYSTEM AND STORAGE METHOD | 1 |
Yuki Katayanagi | JP | Fujimino-Shi | 2010-07-08 / 20100173025 - FAT ABSORPTION INHIBITORY COMPOSITION | 1 |
Yasutaka Katayanagi | JP | Tochigi-Shi | 2010-05-06 / 20100114429 - CONTROL SYSTEM | 1 |
Yukio Katayanagi | JP | Sano | 2013-12-12 / 20130330075 - TRANSMISSION APPARATUS AND TRANSMISSION METHOD | 1 |
Val Katayev | US | Roslyn | 2013-08-08 / 20130204709 - METHOD AND APPARATUS FOR PROVIDING ADS ON WEBSITES TO WEBSITE VISITORS BASED ON BEHAVIORAL TARGETING | 1 |
Satoshi Katayose | JP | Minato-Ku | 2015-02-12 / 20150045470 - ANTIBODY PURIFICATION METHOD, AND CARRIER FOR USE IN PURIFICATION OF ANTIBODY | 1 |
Kazuo Katayose | JP | Fukui | 2008-10-09 / 20080249280 - Process for Producing Polyester, Polyester Produced Using Said Process, and Polyester Molded Product | 1 |
Mitsuo Katayose | JP | Tsukuba | 2012-05-24 / 20120125670 - Cu-Al ALLOY POWDER, ALLOY PASTE UTILIZING SAME, AND ELECTRONIC COMPONENT | 5 |
Hideo Katayose | JP | Yokohama | 2015-09-17 / 20150262665 - MEMORY DEVICE | 1 |
Satoshi Katayose | JP | Tsukubamirai-Shi | 2013-06-27 / 20130164761 - CARRIER POLYMER PARTICLE, PROCESS FOR PRODUCING THE SAME, MAGNETIC PARTICLE FOR SPECIFIC TRAPPING, AND PROCESS FOR PRODUCING THE SAME | 3 |
Shingo Katayose | JP | Fukushima | 2009-03-19 / 20090075227 - ORTHODONTIC BRACKET AND CLIP RELEASE TOOL | 1 |
Manabu Katayose | JP | Yamagata | 2010-10-07 / 20100254213 - POWDER TREATING APPARATUS | 1 |
Shingo Katayose | JP | Futaba-Gun | 2013-07-04 / 20130171579 - ORTHODONTIC BRACKET | 1 |
Tadashi Katayose | JP | Chiyoda-Ku, Tokyo | 2016-04-14 / 20160101297 - PARTICLE BEAM TREATMENT DEVICE AND OPERATION METHOD THEREFOR | 1 |
Keita Katayose | JP | Osaka-Shi | 2014-04-03 / 20140091343 - COLOR FILTER SUBSTRATE MANUFACTURING METHOD, DISPLAY DEVICE MANUFACTURING METHOD, COLOR FILTER SUBSTRATE, AND DISPLAY DEVICE | 2 |
Satoshi Katayose | JP | Tokyo | 2012-07-05 / 20120171763 - DISSOCIATION METHOD AND DISSOCIATION AGENT FOR AVIDIN AND BIOTIN DERIVATIVES | 1 |
Satoshi Katayose | JP | Ibaraki-Ken | 2009-01-15 / 20090014682 - Carrier Polymer Particle, Process for Producing the Same, Magnetic Particle for Specific Trapping, and Process for Producing the Same | 1 |
Tadashi Katayose | JP | Tokyo | 2014-12-11 / 20140364677 - ROTATING GANTRY AND PARTICLE BEAM THERAPY SYSTEM | 2 |
Mitsuo Katayose | JP | Tokyo | 2013-01-10 / 20130008593 - ADHESIVE AGENT, ADHESIVE MATERIAL USING THE SAME, AND METHOD OF USE THEREOF | 1 |
Mitsuo Katayose | JP | Tsukuba-Shi | 2012-08-16 / 20120205573 - Rotating Machine with Sintered Magnet and Method for Producing Sintered Magnet | 4 |
Masahiko Katayose | JP | Toyoake-Shi | 2013-02-07 / 20130032473 - ELECTROLYZED WATER PRODUCING APPARATUS | 1 |
Satoshi Katayose | JP | Ibaraki | 2010-04-29 / 20100105879 - SUPPORT HAVING PROTEIN IMMOBILIZED THEREON AND METHOD OF PRODUCING THE SAME | 1 |
Masato Katayose | JP | Utsunomiya-Shi | 2012-12-27 / 20120327276 - Image Pickup Apparatus Equipped with Zoom Lens with Bent Optical Path | 2 |
Masato Katayose | JP | Tokyo | 2014-10-30 / 20140320703 - Zoom Lens Having Optical Path Bending Member and Image Pickup Apparatus Equipped with same | 6 |
Mitsuo Katayose | JP | Ibaraki | 2009-10-01 / 20090247670 - Epoxy Resin Molding Material for Sealing, and Electronic Component Device | 3 |
Tadashi Katayose | JP | Chiyoda-Ku | 2014-07-03 / 20140187847 - PARTICLE BEAM THERAPY SYSTEM | 3 |
Masato Katayose | JP | Tochigi | 2016-02-11 / 20160041367 - Image Pickup Apparatus Equipped with Zoom Lens with Bent Optical Path | 1 |
Yukihito Katazawa | JP | Ichikawa-Shi | 2011-03-03 / 20110050258 - DEVICE FOR DETECTING SPACE OBJECTS | 1 |
Eiichi Katazume | JP | Tokyo | 2009-03-19 / 20090074974 - Method for Manufacturing Organic Functional Layer and Organic Functional Device, and Organic Functional Device Manufacturing Apparatus | 1 |
Gregory J. Katch | US | Fenton | 2010-11-11 / 20100286869 - METHODS AND SYSTEMS INVOLVING RETURN TORQUE | 1 |
Jason S. Katcha | US | Whitefish Bay | 2010-06-17 / 20100148505 - CONTACT-LESS POWER AND SIGNAL TRANSMISSION DEVICE FOR A HIGH POWER LEVEL TRANSFORMER | 1 |
Jason Stuart Katcha | US | Waukesha | 2015-03-26 / 20150085969 - HIGH FREQUENCY POWER DISTRIBUTION UNIT FOR A CT SYSTEM | 2 |
Jason Stuart Katcha | US | Whitefish Bay | 2010-06-17 / 20100148903 - ELECTRICAL ENERGY TRANSFORMATION APPARATUS | 4 |
Dmitri Katchalov | AU | New South Wales | 2009-01-01 / 20090006102 - Effective Audio Segmentation and Classification | 1 |
Dmitri Katchalov | AU | Neutral Bay | 2009-06-25 / 20090161957 - CONSTELLATION DETECTION | 1 |
Tsvi Katchalski | IL | Binyamina | 2015-10-29 / 20150305698 - RADIATION BEAM INTENSITY PROFILE SHAPER | 1 |
Babu Katchapalayam | US | Cupertino | 2011-12-29 / 20110321139 - Online Protection Of Information And Resources | 1 |
Babu Katchapalayam | US | Santa Clara | 2015-07-23 / 20150207802 - SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR PERFORMING ONE OR MORE MAINTENANCE TASKS ON A REMOTELY LOCATED COMPUTER CONNECTED TO A SERVER COMPUTER VIA A DATA NETWORK | 5 |
Marc A. Katchay | US | Washington | 2015-07-09 / 20150195339 - ASYNCHRONOUS DATA PROTOCOL | 4 |
Theresa Katchen | US | Fort Mill | 2009-01-22 / 20090020575 - Adjustable accessory for attachment to a mobile device that enhances mobility of an individual and method of assembling same | 1 |
Matthew H. Katcher | US | Brookline | 2009-08-13 / 20090203684 - Tyrosine kinase inhibitors | 2 |
Keith Michael Katcher | US | Livermore | 2014-07-31 / 20140214342 - VERIFICATION OF TEST PROGRAM STABILITY AND WAFER FABRICATION PROCESS SENSITIVITY | 1 |
Robert Katcher | US | San Jose | 2013-05-16 / 20130124362 - SYSTEM, METHOD AND DEVICE FOR SHOPPING LIST GENERATION AND FULFILLMENT | 1 |
Matthew Katcher | US | Brookline | 2010-08-05 / 20100197634 - INHIBITORS OF JANUS KINASES | 1 |
Dan Katcher | US | Needham | 2013-07-04 / 20130170816 - METHOD AND APPARATUS FOR INTERACTION WITH HYPERLINKS IN A TELEVISION BROADCAST | 1 |
Robert M. Katcher | US | San Jose | 2009-05-07 / 20090117942 - MESSAGE ADDRESSING TECHNIQUES FOR A MOBILE COMPUTING DEVICE | 1 |
Thomas Edward Katcher | US | Euclid | / - | 1 |
Shigeharu Katchi | JP | Aomori | 2016-02-11 / 20160039443 - WALKING CONVEYANCE CART | 1 |
Stuart D. Katchis | US | Scarsdale | 2015-11-19 / 20150327895 - DYNAMIC BONE PLATE COMPRESSION DEVICE AND METHOD | 11 |
Stuart D. Katchis | US | Scarsdale | 2015-11-19 / 20150327895 - DYNAMIC BONE PLATE COMPRESSION DEVICE AND METHOD | 11 |
Helena Katchman | IL | Givataim | 2015-01-08 / 20150010512 - Disease treatment via developing non-syngeneic graft transplantation | 4 |
Vidyadhar Y. Katdare | US | Sugar Land | 2010-04-08 / 20100083830 - REMOVAL OF HEAVY METALS FROM HYDROCARBON GASES | 2 |
Ashok Katdare | US | Berkeley | 2015-08-06 / 20150216849 - DONEPEZIL COMPOSITIONS AND METHODS OF TREATING ALZHEIMERS DISEASE | 10 |
Rahul Katdare | US | Seattle | 2010-11-25 / 20100296713 - System and Method for Detecting Poor Quality in 3D Reconstructions | 1 |
Suhas Katdare | GB | Belfast | 2009-10-29 / 20090270248 - Basic ionic liquids | 2 |
Ashok Katdare | US | Berkeley | 2015-08-06 / 20150216849 - DONEPEZIL COMPOSITIONS AND METHODS OF TREATING ALZHEIMERS DISEASE | 10 |
Pradnya Mandar Katdare | IN | Ambarnath (e) | 2015-05-21 / 20150141682 - Method to Produce N-Acyl Amino Acid Surfactants Using N-Acyl Amino Acid Surfactants or the Corresponding Anhydrides as Catalysts | 1 |
Suhas Katdare | IN | Karvenagar | 2010-05-13 / 20100115802 - HYDRAULIC BACKHOE SHIFT MECHANISM | 1 |
Sameer Sharad Katdare | IN | Pune | 2012-08-09 / 20120202995 - PROCESS FOR THE PREPARATION OF 2-(2-AMINOETHOXY) ETHANOL (2AEE) AND MORPHOLINE WITH 2AEE: MORPHOLINE >3 | 1 |
Kiran Appasaheb Kate | SG | The Madeira | 2016-02-25 / 20160055424 - INTELLIGENT HORIZON SCANNING | 1 |
Shiv Kate | US | Fairfax | 2011-07-07 / 20110165649 - METHODS AND COMPOSITIONS TO IMPROVE THE HEALTH OF PLANTS, ANIMALS AND MICROBES BY MANIPULATING PROTEIN ENTRY INTO SYMBIONTS AND THEIR HOSTS | 1 |
Tomoaki Kate | JP | Nagoya | 2011-11-03 / 20110266940 - SPARK PLUG | 1 |
Ten Warner Rudolph Theophile Kate | NL | Eindhoven | 2009-06-18 / 20090157663 - MODELING QUALITATIVE RELATIONSHIPS IN A CAUSAL GRAPH | 1 |
Kiran Appasaheb Kate | IN | Mumbai | 2012-05-17 / 20120123887 - SYSTEMS AND METHODS FOR FACE-TO-FACE MOBILE PHONE MERCANTILE TRANSACTIONS | 1 |
Babak Kateb | US | Los Angeles | 2009-07-23 / 20090187159 - CHRONICALLY IMPLANTABLE HYBRID CANNULA-MICROELECTRODE SYSTEM FOR CONTINUOUS MONITORING ELECTROPHYSIOLOGICAL SIGNALS DURING INFUSION OF A CHEMICAL OR PHARMACEUTICAL AGENT | 1 |
Apostolos Katefides | DE | Gaertringen | 2010-11-18 / 20100291848 - Device for Separating Paint Overspray | 1 |
Apostolos Katefidis | DE | Gartringen | 2015-03-12 / 20150068453 - INSTALLATION FOR DIP COATING ARTICLES | 3 |
Apostolos Katefidis | DE | Gaertringen | 2014-10-30 / 20140322657 - THERMAL AFTERBURNING SYSTEM AND METHOD FOR OPERATING SUCH A SYSTEM | 6 |
Rajat Kateja | IN | Jaipur | 2015-07-23 / 20150205449 - SUMMARIZATION AND COMMUNICATION OF LARGE DATA SETS | 1 |
Jim F. Kateley | US | San Jose | 2014-07-24 / 20140208091 - METHOD AND SYSTEM FOR DYNAMICALLY RESIZING ENCLOSED STORAGE DEVICE PARTITIONS | 1 |
James F. Kateley | US | San Jose | 2013-09-26 / 20130253911 - Real-time Data Localization | 1 |
Richard Kateley | GB | London | 2015-12-31 / 20150382210 - MOBILE PHONE NETWORK MANAGEMENT SYSTEMS | 3 |
Arturas Katelnikovas | DE | Steinfurt | 2015-03-26 / 20150085472 - SILICATE PHOSPHORS | 3 |
Nadav Kately | IL | Raanana | 2013-06-13 / 20130149370 - HERBAL COMPOSITION FOR PREVENTING AND/OR TREATING ANXIETY RELATED CONDITIONS | 1 |
Thomas Richard Katen | US | Willshire | 2014-06-12 / 20140161958 - MEAT SUBSTITUTE PRODUCT | 3 |
Bob Katen | US | Keller | 2014-02-27 / 20140058746 - MEDICAL BILL ANALYSIS AND REVIEW | 1 |
Karen Katen | US | New York | 2010-04-08 / 20100088112 - LIFE INSURANCE FUNDED HEROIC MEDICAL EFFORTS TRUST FEATURE | 1 |
Dan Katen | US | New Waverly | 2015-01-29 / 20150028585 - Threadless Torque Connector | 1 |
Justin T. Katen | US | Foristell | 2015-02-19 / 20150052587 - SYSTEM AND METHOD FOR GRADUATED SECURITY IN USER AUTHENTICATION | 1 |
Venkateswarlu Katepalli | GB | Birmingham | 2012-08-09 / 20120202482 - Generating Measurement Reports Under Rapid Degradation of Radio Conditions | 1 |
Sundeep Katepalli | US | Mars | 2014-05-15 / 20140136698 - STATISTICAL PROFILING OF CLUSTER TASKS | 1 |
Jessie Kater | US | San Diego | 2012-06-07 / 20120141598 - BROAD SPECTRUM DECONTAMINATE AND DISPERSEMENT SYSTEM | 2 |
Bram Kater | NL | Eindhoven | 2011-03-10 / 20110057582 - STOCHASTIC DYNAMIC ATMOSPHERE | 3 |
Serge Kater | LU | Luxembourg | 2008-10-16 / 20080252211 - Electroluminescent Lamp | 1 |
Markus Kater | DE | Herrnburg | 2015-02-05 / 20150038773 - THERMOTHERAPY DEVICE | 1 |
Urs Kater | CH | Dietikon | 2015-06-25 / 20150176911 - METHOD AND DEVICE FOR COOLING A HEAT GENERATING COMPONENT | 1 |
Espen D. Kateraas | US | Aliso Viejo | 2015-03-12 / 20150073235 - PHYSICAL ACTIVITY MONITOR AND DATA COLLECTION UNIT | 7 |
James Alan Katerberg | US | Kettering | 2015-12-24 / 20150367659 - PRINTERS HAVING ENCODERS FOR MONITORING PAPER MISALIGNMENTS | 10 |
James A. Katerberg | US | Kettering | 2016-02-04 / 20160033916 - CONTROLLING AN ELECTROPHOTOGRAPHIC PRINTER USING AN IMAGE REGION DATABASE | 30 |
Kevin S. Katerberg | US | Madison | 2016-04-21 / 20160107870 - HYDRAULIC SYNCHRONIZER | 3 |
Kevin Scott Katerberg | US | Dublin | 2014-08-21 / 20140232142 - BRAKE SYSTEM AND METHOD | 2 |
Marcel Ronald Katerberg | NL | Deventer | 2014-01-16 / 20140013860 - CORIOLIS FLOW SENSOR | 4 |
Brian James Katerberg | US | Folsom | 2013-10-31 / 20130289731 - PROSTHETIC TIBIAL COMPONENT FOR KNEE JOINT PROSTHESIS | 1 |
James Alan Katerberg | US | Kettering | 2015-12-24 / 20150367659 - PRINTERS HAVING ENCODERS FOR MONITORING PAPER MISALIGNMENTS | 10 |
James A. Katerberg | US | Kettering | 2016-02-04 / 20160033916 - CONTROLLING AN ELECTROPHOTOGRAPHIC PRINTER USING AN IMAGE REGION DATABASE | 30 |
James A. Katerberg | US | Kattering | 2015-04-02 / 20150091996 - INTEGRATED VACUUM ASSIST WEB TRANSPORT SYSTEM | 2 |
Barak Katerbursky | IL | Ganey Tikva | 2011-11-03 / 20110266166 - Cigarette Package | 1 |
Witold Katerinak | DE | Wehr | 2011-10-06 / 20110244387 - LOW-SURFACE AREA FUMED SILICON DIOXIDE POWDER | 2 |
Atanas Katerski | EE | Tallinn | 2010-07-29 / 20100186805 - PHOTOVOLTAIC CELL BASED ON ZINC OXIDE NANORODS AND METHOD FOR MAKING THE SAME | 1 |
Lawrence Kates | US | Corona Del Mar | 2016-03-31 / 20160091220 - WIRELESS ZONE CONTROL VIA MECHANICALLY ADJUSTABLE AIRFLOW ELEMENTS | 81 |
James Mitchell Kates | US | Niwot | 2013-10-31 / 20130287236 - HEARING AID WITH IMPROVED COMPRESSION | 4 |
Richard Kates | US | Ridgewood | 2016-02-04 / 20160033995 - FLUID ANALYTE METER SYSTEM | 8 |
Sheridan Kates | US | San Francisco | 2012-02-02 / 20120030015 - AUTOMATIC ABSTRACTED CREATIVE GENERATION FROM A WEB SITE | 3 |
Jesse M. Kates | US | Kansas City | 2010-09-09 / 20100229100 - Methods and Systems for Storing and Accessing Application History | 1 |
Steven Kates | US | Needham | 2015-02-26 / 20150056263 - IMPLANTABLE MESHES FOR CONTROLLING THE MOVEMENT OF FLUIDS | 5 |
Joseph Kates | US | Kirkland | 2014-05-15 / 20140135276 - LIPOPEPTIDE INHIBITORS OF RAS ONCOPROTEINS | 1 |
Sheridan Kates | US | New York | 2016-05-05 / 20160125714 - VIDEO RECORDING WITH SECURITY/SAFETY MONITORING DEVICE | 2 |
James M. Kates | US | Niwot | 2008-09-04 / 20080212811 - BINAURAL SIGNAL ENHANCEMENT SYSTEM | 1 |
Jesse Kates | US | Kansas City | 2012-09-27 / 20120245937 - Voice Rendering Of E-mail With Tags For Improved User Experience | 1 |
Richard Kates | US | Ridgewood | 2016-02-04 / 20160033995 - FLUID ANALYTE METER SYSTEM | 8 |
Steven A. Kates | US | Needham | 2015-11-19 / 20150329519 - Formulations Comprising Lipoyl Compounds | 7 |
Steven Kates | US | Los Angeles | 2012-06-14 / 20120144912 - Portion Control System for Weight Loss and Maintenance | 1 |
Steven John Katesmark | GB | London | 2015-03-12 / 20150073973 - CONTROLLING PRICE CASCADE MOVEMENTS IN AN ELECTRONIC TRADING SYSTEM | 3 |
Kiyofumi Kateuchi | JP | Kitaadachi-Gun | 2015-05-07 / 20150124205 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Russell Kath | US | Lake Mary | 2011-10-20 / 20110252952 - Adjustable Muzzle Brake | 1 |
Gary S. Kath | US | Scotch Plains | 2016-01-21 / 20160015289 - FORM FACTORS FOR THE MULTI-MODAL PHYSIOLOGICAL ASSESSMENT OF BRAIN HEALTH | 3 |
John Charles Kath | US | La Mesa | 2015-10-15 / 20150291604 - DIHYDROPYRROLOPYRIMIDINE DERIVATIVES | 9 |
John Charles Kath | US | Lamesa | 2010-01-21 / 20100016353 - BENZOIMIDAZOLE DERIVATIVES USEFUL AS ANTIPROLIFERATIVE AGENTS | 1 |
John C. Kath | US | La Mesa | 2009-05-07 / 20090118316 - Methods for Augmenting Bone | 1 |
Randolph Edward Kath | US | Seattle | 2016-01-07 / 20160005064 - System and Method for Music-based Social Interaction | 1 |
John Charles Kath | US | La Mesa | 2015-10-15 / 20150291604 - DIHYDROPYRROLOPYRIMIDINE DERIVATIVES | 9 |
Pradeep Kumar Kathail | US | Los Altos | 2009-01-29 / 20090031166 - WARM REBOOT ENABLED KERNEL DUMPER | 1 |
Pradeep K. Kathail | US | Los Altos | 2009-08-27 / 20090213869 - BLADE SWITCH | 2 |
Kalyan Kathala | US | Monmouth Junction | 2016-01-28 / 20160022576 - METHYLPHENIDATE EXTENDED RELEASE CHEWABLE TABLET | 4 |
Larry Kathan | CA | Edmonton | 2010-04-08 / 20100083932 - ROTARY INTERNAL COMBUSTION ENGINE | 1 |
Kyle R Kathan | US | Land O'Lakes | 2014-11-13 / 20140332461 - Support Element | 2 |
Kyle Kathan | US | Land O' Lakes | 2015-12-31 / 20150375143 - HELICALLY WRAPPED FILTER | 1 |
Markus Kathan | AT | Salzburg | 2010-10-21 / 20100263626 - Device for injecting fuel into the combustion chamber of an internal combustion engine | 1 |
Benno Kathan | DE | Kressbronn | 2014-05-29 / 20140146848 - Temperature Sensor for Hygienic Applications with Clampable Process Connector | 1 |
Philipp Kathan | CH | Langwiesen | 2015-05-21 / 20150136564 - FEEDING DEVICE FOR PACKAGING MACHINE | 1 |
Nicole Kathan | DE | Leutkirch | 2010-11-04 / 20100276234 - PUMP ELEMENT, HYDRAULIC BLOCK WITH PUMP ELEMENT, AND INSTALLATION METHOD | 1 |
Gulzar Ahmed Kathawala | US | Santa Clara | 2010-08-19 / 20100208527 - SELECTIVE APPLICATION OF WORD LINE BIAS TO MINIMIZE FRINGE EFFECTS IN ELECTROMAGNETIC FIELDS DURING ERASE OF NONVOLATILE MEMORY | 4 |
Gulzar A. Kathawala | US | Campbell | 2015-04-16 / 20150103601 - MULTI-PASS SOFT PROGRAMMING | 1 |
Gulzar Kathawala | US | Campbell | 2014-12-18 / 20140369141 - Screening for Reference Cells in a Memory | 1 |
Gulzar A. Kathawala | US | Santa Clara | 2010-08-26 / 20100213535 - ADJACENT WORDLINE DISTURB REDUCTION USING BORON/INDIUM IMPLANT | 1 |
Gulzar Kathawala | US | Santa Clara | 2010-05-27 / 20100128521 - APPLYING NEGATIVE GATE VOLTAGE TO WORDLINES ADJACENT TO WORDLINE ASSOCIATED WITH READ OR VERIFY TO REDUCE ADJACENT WORDLINE DISTURB | 2 |
Ulrich Kathe | DE | Ludwigsburg | 2016-03-03 / 20160061791 - Automatic Ammonium Analyzer | 7 |
Mandar Kathe | US | Columbus | 2015-08-27 / 20150238915 - SYSTEMS AND METHODS FOR PARTIAL OR COMPLETE OXIDATION OF FUELS | 1 |
Ulrich Kathe | DE | Leonberg | 2015-03-12 / 20150068985 - Method and Apparatus for Removing Chloride from Samples Containing Volatile Organic Carbon | 7 |
Ryan Kather | US | Cicero | 2015-06-25 / 20150178535 - INDICIA READING APPARATUS | 6 |
Jens Kather | US | 2014-01-16 / 20140018834 - Curved Burr Surgical Instrument | 2 | |
Jens Kather | CH | Benglen | 2014-01-16 / 20140018834 - Curved Burr Surgical Instrument | 2 |
Kristian Kather | DE | Langenfeld | 2014-09-04 / 20140249149 - Compounds with Nematicidal Activity | 4 |
Lutz Kather | DE | Zulpich | 2011-12-22 / 20110312460 - HYBRID DRIVE UNIT AND METHOD FOR ITS OPERATION | 3 |
Srikanth Kathika | IN | Bangalore | 2012-08-30 / 20120219410 - AIRFOIL SHAPE FOR A COMPRESSOR BLADE | 1 |
Kiran Kumar Kathireddy | IN | Hyderabad | 2010-03-04 / 20100057974 - Optimal Representation Of Information Of Communication Paths To I/O Pins During Testing Of An Integrated Circuit | 1 |
Ganesh Kathiresan | GB | Osterley | 2015-08-13 / 20150223706 - SYSTEM AND METHOD FOR SAVING BATTERY POWER IN A PATIENT MONITORING SYSTEM | 6 |
Sekar Kathiresan | US | Newton | 2012-11-15 / 20120289593 - METHODS FOR RISK ASSESSMENT, TREATING, AND DIAGNOSING MYOCARDIAL INFARCTION | 1 |
Andy Kathiresan | US | Dearborn | 2008-08-28 / 20080202472 - Fuel rail support bracket | 1 |
Nagarajan Kathiresan | IN | Bangalore | 2013-05-30 / 20130139175 - PROCESS MAPPING PARALLEL COMPUTING | 3 |
Parthasarathi Kathiresan | IN | Chennai | 2016-01-28 / 20160029316 - LOW BATTERY INDICATION FOR CALLERS TO MOBILE DEVICE | 2 |
Ganesh Kathiresan | GB | Oxfordshire | 2010-12-23 / 20100321113 - LOW NOISE AMPLIFIER | 2 |
Saravanan Kathiresan | US | Fords | 2012-06-14 / 20120150662 - Centralized Deployment of Advertising Code | 1 |
Poopathy Kathirgamanathan | GB | North Harrow | 2015-03-05 / 20150065725 - ELECTROLUMINESCENT DEVICE USING AZOMETHINE-LITHIUM-COMPLEX AS ELECTRON INJECTION LAYER | 12 |
Satish Kathirisetti | IN | Hyderabad | 2010-07-01 / 20100169085 - MODEL BASED REAL TIME PITCH TRACKING SYSTEM AND SINGER EVALUATION METHOD | 1 |
Atul Kathiriya | IN | Gujarat | 2010-12-09 / 20100310652 - COATED EXTENDED RELEASE PHARMACEUTICAL COMPOSITIONS OF LEVETIRACETAM | 1 |
Kannan Kathirvel | IN | Tamil Nadu | 2016-03-24 / 20160083118 - METHOD AND SYSTEM FOR PREPARING AIRCRAFT MAINTENANCE APPLICATION DATA FOR PORTABLE DEVICES | 2 |
Sandeep Kathju | US | Coraopolis | 2015-05-14 / 20150132370 - Composition and Methods for Reduced Scarring and Treatment of Fibrosis | 2 |
Alan D. Kathman | US | Charlotte | 2014-04-24 / 20140112619 - OPTICAL ELEMENT AND SYSTEM USING THE SAME | 12 |
Alan D. Kathman | US | Charlotte | 2014-04-24 / 20140112619 - OPTICAL ELEMENT AND SYSTEM USING THE SAME | 12 |
Ansgar Kathmann | DE | Hoxter | 2011-04-14 / 20110086525 - Circuit Board Arrangement and Electric Connection Module | 1 |
Peter-Bernhard Kathmann | LU | Luxemburg | 2009-10-01 / 20090246045 - Device for Concentrating a Liquid, and Differential Piston Pump | 1 |
Joerg Kathmann | DE | Salzkotten | 2012-08-23 / 20120212133 - Lighting device for vehicles | 3 |
Kevin J. Kathmann | US | Rochester | 2014-04-10 / 20140101164 - EFFICIENT SELECTION OF QUERIES MATCHING A RECORD USING A CACHE | 7 |
Daniela Kathmann | DE | Halle/saale | 2015-03-26 / 20150087020 - NOVEL PRONGF MUTANTS AND USES THEREOF IN THE PRODUCTION OF BETA-NGF | 1 |
Kevin James Kathmann | US | Rochester | 2010-02-11 / 20100036805 - System Maintainable and Reusable I/O Value Caches | 4 |
Thomas Kathmann | DE | Boeblingen | 2014-12-25 / 20140373625 - SENSOR SYSTEM INCLUDING TWO INERTIAL SENSORS | 1 |
Eric Kathmann | NL | Waalre | 2010-10-14 / 20100262969 - DATA PROCESSING SYSTEM AND METHOD FOR SCHEDULING THE USE OF AT LEAST ONE EXCLUSIVE RESOURCE | 1 |
Andreas Kathol | US | El Cerrito | 2015-10-22 / 20150302003 - GENERIC VIRTUAL PERSONAL ASSISTANT PLATFORM | 1 |
Ulrich Kathol | DE | Moers | 2011-01-13 / 20110005179 - Separating Apparatus for Separating Out Particles | 1 |
Meinolf Kathol | DE | Finnentrop | 2013-05-02 / 20130106200 - Method for Detecting a Switching Position of a Switching Device | 1 |
Nathan Kathol | CA | Chestermere | 2016-04-28 / 20160115762 - DOWNHOLE PACKER | 7 |
Katholieke Universiteit Leuven, K.u Leuven R&d | BE | Leuven | 2013-07-25 / 20130187680 - Complementary Logic Device Comprising Metal-to-Insulator Transition Material | 8 |
Praveen H. Kathpal | US | Arlington | 2010-04-15 / 20100090532 - Frequency Responsive Charge Sustaining Control of Electricity Storage Systems for Ancillary Services on an Electrical Power Grid | 1 |
Atish Kathpal | IN | Bangalore | 2016-03-10 / 20160070766 - METHODS FOR FACILITATING BATCH ANALYTICS ON ARCHIVED DATA AND DEVICES THEREOF | 3 |
Atish Kathpal | IN | Bareilly | 2014-04-24 / 20140114933 - MIGRATING DEDUPLICATED DATA | 1 |
Prateek Kathpal | US | San Jose | 2011-01-13 / 20110010397 - MANAGING ANNOTATIONS DECOUPLED FROM LOCAL OR REMOTE SOURCES | 2 |
Rakesh Kathpalia | US | Victoria | 2015-10-29 / 20150305443 - ARTICLE OF FOOTWEAR FOR SUBSTANTIALLY REDUCING FRICTION AND RELATED COMPONENTS AND APPARATUS | 1 |
Vijay Kathuria | US | North Andover | 2014-09-25 / 20140287760 - APPARATUS, SYSTEMS, AND METHODS FOR PROVIDING INTERWORKING GATEWAY | 3 |
Sushant Kathuria | IN | Bangalore | 2015-07-09 / 20150195926 - METHOD AND AN ELECTRONIC DEVICE FOR AUTOMATICALLY CHANGING SHAPE BASED ON AN EVENT | 1 |
Gaurav Gopal Kathuria | US | San Diego | 2016-05-19 / 20160142219 - eMBMS Multicast Routing for Routers | 4 |
Manan Kathuria | IN | New Delhi | 2009-10-08 / 20090251226 - LOCK DETECTION CIRCUIT FOR PHASE LOCKED LOOP | 1 |
Humayun Khalid Kathuria | SA | Dhahran | 2015-06-25 / 20150181436 - COOPERATIVE COGNITIVE RADIO SPECTRUM SENSING USING A HYBRID DATA-DECISION METHOD | 2 |
Sagar V. Kathuria | US | Worcester | 2015-09-10 / 20150253239 - MICROPLATE FOR HIGH PERFORMANCE SPECTROSCOPIC APPLICATIONS | 1 |
Manan Kathuria | US | Allentown | 2016-03-31 / 20160091957 - POWER MANAGEMENT FOR MEMORY ACCESSES IN A SYSTEM-ON-CHIP | 1 |
Vishal Kathuria | US | Palo Alto | 2016-05-19 / 20160142475 - SHARD MANAGEMENT SERVICE | 4 |
Vishal Kathuria | US | Woodinville | 2014-07-10 / 20140195489 - LOGICAL REPLICATION IN CLUSTERED DATABASE SYSTEM WITH ADAPTIVE CLONING | 5 |
Omar Kathwari | US | New York | 2012-09-20 / 20120239544 - SOLUTIONS SERVER | 2 |
Warren M. Kati | US | Gurnee | 2015-08-20 / 20150232455 - ANTI-VIRAL COMPOUNDS | 40 |
Warren M. Kati | US | Gurnee | 2015-08-20 / 20150232455 - ANTI-VIRAL COMPOUNDS | 40 |
Ammar Katib | NL | Oud Beijerland | 2012-08-23 / 20120210748 - CHARM JEWELRY ADORNMENT | 1 |
Mohsen Katiba | US | Dearborn | 2014-07-31 / 20140210606 - AUTOMATIC SENSOR DETECTION | 2 |
Moshen Katiba | US | Dearborn Heights | 2010-01-21 / 20100013618 - Tire Pressure Monitoring System Auto Learn Algorithm | 1 |
Edwin Katibah | US | Lafayette | 2009-01-08 / 20090012994 - SYSTEM AND PROGRAM FOR OPTIMIZATION OF TEMPORAL AND SPATIAL DATA PROCESSING | 1 |
Mo Katibeh | US | Richardson | 2011-06-16 / 20110145714 - SYSTEM AND METHOD FOR WEB-INTEGRATED STATISTICAL ANALYSIS | 1 |
Behnam Katibian | US | Irvine | 2012-01-12 / 20120008642 - SYSTEMS AND METHODS FOR DIGITAL DATA TRANSMISSION RATE CONTROL | 1 |
Vladimir Katic | HR | Zagreb | 2010-03-25 / 20100075896 - Repair of larynx, trachea, and other fibrocartilaginous tissues | 5 |
Vojin Katic | US | Menlo Park | 2016-05-05 / 20160125082 - Social-Based Optimization of Web Crawling for Online Social Networks | 5 |
Michelle Marie Katics | US | San Francisco | 2013-11-21 / 20130310121 - Education Through Employment of Gaming | 1 |
Sahitya Katikaneni | US | Santa Clara | 2016-03-03 / 20160058992 - MICROSTRUCTURE ARRAY FOR DELIVERY OF ACTIVE AGENTS | 1 |
Pruthvipathy Katikaneni | US | Parsippany | 2016-03-24 / 20160082021 - PHARMACEUTICAL COMPOSITION OF DOXYCYCLINE WITH REDUCED FOOD EFFECT | 1 |
Sai P. Katikaneni | US | Danbury | 2011-05-12 / 20110111313 - REGENERATIVE OXIDIZER ASSEMBLY FOR USE IN PEM FUEL CELL APPLICATIONS | 2 |
Pruthvi R. Katikaneni | US | Boonton | 2012-04-05 / 20120082726 - SURFACE-TREATED MODAFINIL PARTICLES | 2 |
Pruthvipathy R. Katikaneni | US | Boonton | 2009-08-06 / 20090196935 - Pharmaceutical Capsules Comprising Extended Release Dipyridamole Pellets | 1 |
Sai P. Katikaneni | SA | Dhahran | 2014-11-27 / 20140350318 - Ni/CGO and Ni-Ru/CGO Based Pre-Reforming Catalysts Formulation for Methane Rich Gas Production from Diesel Processing for Fuel Cell Applications | 5 |
Sai P. Katikaneni | US | Brookfield | 2009-09-24 / 20090236265 - PRE-PROCESSING ASSEMBLY FOR PRE-PROCESSING FUEL FEEDSTOCKS FOR USE IN A FUEL CELL SYSTEM | 1 |
Pradeep Katikaneni | US | Plano | 2015-05-21 / 20150137700 - Systems and Methods of Driving Multiple Outputs | 1 |
Ranjitha Katikaneni | US | New York | 2015-02-05 / 20150038423 - DELIVERY OF THERAPEUTIC AGENTS BY A COLLAGEN BINDING PROTEIN | 2 |
Ramamurthy Katikareddy | IN | Andhra Pradesh | 2010-08-05 / 20100197732 - Repaglinide Substantially Free of Dimer Impurity | 1 |
Vijaya Katikireddy | US | San Jose | 2015-12-17 / 20150363395 - TECHNOLOGY FOR IMPORTING SCHEMA CHANGES TO MULTIPLE TARGET SYSTEMS | 1 |
Zivile Katiliene | US | Phoenix | 2009-02-26 / 20090054250 - Methods to create fluorescent biosensors using aptamers with fluorescent base analogs | 1 |
Evaldas Katilius | US | Phoenix | 2009-02-26 / 20090054250 - Methods to create fluorescent biosensors using aptamers with fluorescent base analogs | 1 |
Evaldas Katilius | US | Superior | 2015-05-21 / 20150141259 - Aptamer-Based Multiplexed Assays | 6 |
Nikos Katinakis | CA | Mississauga | 2008-10-16 / 20080254791 - IMS COMMUNICATION NODE PROXIES AND METHODS | 1 |
Jade Katinas | US | Chilicothe | 2009-05-14 / 20090120189 - System and method for detecting internal flaws in a particulate filter | 1 |
Jade Katinas | US | Chillicothe | 2009-01-22 / 20090020136 - System and method for cleaning a diesel particulate filter using acoustic waves | 1 |
Jade Marie Katinas | US | Chillicothe | 2010-07-15 / 20100175658 - ENGINE OIL SPRAY COLLECTOR | 2 |
Jordan Asher Katine | US | Mountain View | 2015-05-28 / 20150147481 - METHOD FOR MAKING A SCISSORING-TYPE CURRENT-PERPENDICULAR-TO-THE-PLANE (CPP) MAGNETORESISTIVE SENSOR WITH EXCHANGE-COUPLED SOFT SIDE SHIELDS | 14 |
Jordan Asher Katine | US | Mountain View | 2015-05-28 / 20150147481 - METHOD FOR MAKING A SCISSORING-TYPE CURRENT-PERPENDICULAR-TO-THE-PLANE (CPP) MAGNETORESISTIVE SENSOR WITH EXCHANGE-COUPLED SOFT SIDE SHIELDS | 14 |
Jordan A. Katine | US | Mountain View | 2015-10-22 / 20150302873 - TWO SIDE BY SIDE MIMO READ SENSORS FABRICATED BY SELF-ALIGNED PROCESSING | 12 |
Jordan A. Katine | US | Mountain View | 2015-10-22 / 20150302873 - TWO SIDE BY SIDE MIMO READ SENSORS FABRICATED BY SELF-ALIGNED PROCESSING | 12 |
Karthik Katingari | US | Milpitas | 2016-03-31 / 20160091385 - SYSTEMS AND METHODS FOR PRESSURE SENSOR CALIBRATION | 6 |
Kishta Katipally | US | Monmouth Junction | 2016-03-03 / 20160060252 - 5-METHYLURIDINE METHOD FOR PRODUCING FESTINAVIR | 1 |
Greeshma Katipally | US | Santa Clara | 2015-06-11 / 20150161257 - WEB CRAWLER OPTIMIZATION SYSTEM | 1 |
Revathi Reddy Katipally | US | Monmouth Junction | 2015-10-29 / 20150307464 - THERAPEUTIC THIAZOLIDINONE COMPOUNDS | 3 |
Raja Katipally | US | Cheshire | 2013-05-02 / 20130106668 - ANTENNA RADIATING ELEMENT | 1 |
Raja Katipally | US | Chesire | 2015-01-29 / 20150028968 - Devices For Providing Phase Adjustments In Multi-Element Antenna Arrays And Related Methods | 1 |
Raja Reddy Katipally | US | Cheshire | 2014-12-25 / 20140375518 - AMPLITUDE TAPERED SWITCHED BEAM ANTENNA SYSTEMS | 2 |
Raja Reddy Katipally | US | Chesire | 2015-05-07 / 20150126135 - Methods And Systems For Calibrating LTE Antenna Systems | 4 |
Revathi Katipally | US | Monmouth Junction | 2013-05-23 / 20130131042 - SPIROXAZOLIDINONE COMPOUNDS | 2 |
Arman Katiraei | US | Los Angeles | 2014-08-21 / 20140230341 - Rain Gutter System For Mounting Atop a Roof | 1 |
Pejman Katiraei | US | Los Angeles | 2011-07-07 / 20110166421 - Enhanced Otoscope Cover | 1 |
Kaan K. Katircioglu | US | Yorktown Heights | 2015-12-03 / 20150347467 - DYNAMIC CREATION OF DOMAIN SPECIFIC CORPORA | 13 |
Bayram Katircioglu | TR | Ankara | 2013-10-03 / 20130260500 - Method For Manufacturing A Light Emitting Diode | 1 |
Kaan K. Katircioglu | US | Yorktown Heights | 2015-12-03 / 20150347467 - DYNAMIC CREATION OF DOMAIN SPECIFIC CORPORA | 13 |
Kaan Katircioglu | US | Yorktown Heights | 2014-01-23 / 20140025418 - Clustering Based Resource Planning, Work Assignment, and Cross-Skill Training Planning in Services Management | 5 |
Kaan Kudsi Katircioglu | US | Yorktown Heights | 2008-11-06 / 20080275796 - SYSTEM AND PROCESS FOR SUPPLY MANAGEMENT FOR THE ASSEMBLY OF EXPENSIVE PRODUCTS | 2 |
Thomas E. Katis | US | Jackson | 2015-12-24 / 20150372957 - REAL-TIME MESSAGING METHOD AND APPARATUS | 81 |
Viacheslav Katiukha | UA | Zhitomir | 2014-07-10 / 20140196022 - Cloud Based Application Packaging | 1 |
Vimal Katiyar | IN | Maharashtra | 2010-04-29 / 20100105859 - METHOD FOR PRODUCING LACTIC ACID POLYMERS OF HIGH CRYSTALLINITY AND MOLECULAR WEIGHT | 1 |
Santosh K. Katiyar | US | Vestavia Hills | 2010-03-18 / 20100069476 - COMPOSITIONS AND METHODS FOR REDUCTION OF CUTANEOUS PHOTOAGEING | 1 |
Ankit Kumar Katiyar | IN | Bangalore | 2011-09-29 / 20110238978 - COMMUNICATING CONFIDENTIAL INFORMATION BETWEEN AN APPLICATION AND A DATABASE | 1 |
Abhinav Katiyar | IN | Bangalore | 2014-04-24 / 20140115582 - METHODS AND SYSTEMS FOR CONVERTING A RELATED GROUP OF PHYSICAL MACHINES TO VIRTUAL MACHINES | 3 |
Reeta Katiyar | IN | Bangalore | 2016-05-12 / 20160129439 - DIAGNOSTIC ELEMENT, AND A DIAGNOSTIC DEVICE COMPRISING A DIAGNOSTIC ELEMENT | 3 |
Abhinav Katiyar | US | Sunnyvale | 2015-03-19 / 20150081484 - AUTOMATED COST CALCULATION FOR VIRTUALIZED INFRASTRUCTURE | 2 |
Manish Katiyar | IN | Varanasi | 2010-01-14 / 20100011413 - METHOD FOR AND APPARATUS FOR RETRIEVING USERNAME AND PASSWORD IN AN AUTHENTICATION PROTOCOL | 1 |
Chandra Kant Katiyar | IN | Haryana | 2010-05-13 / 20100120902 - STANDARIZED BIOACTIVE HERBAL EXTRACTS | 1 |
Praveen Katiyar | US | Robbinsville | 2013-01-03 / 20130004170 - OSS Support for Control Plane Technology | 2 |
Sanjay Babu Katiyar | IN | Salt Lake City | 2009-04-16 / 20090099220 - ANTIMALARIAL COMPOUNDS WITH FLEXIBLE SIDE-CHAINS | 1 |
Shishir Katiyar | IN | Kanpur | 2015-10-15 / 20150290624 - HIERARCHICAL POROUS MONOLITHS AND METHODS FOR THEIR PREPARATION AND USE | 1 |
Sanjay Katiyar | US | Vienna | 2013-01-10 / 20130011411 - METHODS AND COMPOSITIONS FOR THE DIAGNOSIS, PROGNOSIS, AND TREATMENT OF CANCER | 1 |
Chandra Kant Katiyar | IN | Gurgaon | 2012-05-03 / 20120107424 - ANTI DENGUE ACTIVITY OF CISSAMPELOS PAREIRA EXTRACTS | 1 |
Ram S. Katiyar | US | San Juan | 2013-10-10 / 20130264680 - NANOLAMINATES OF Al2O3/TiO2 WITH GIANT DIELECTRIC CONSTANT LOW-LEAKAGE-LOW LOSS-EXTENDED FREQUENCY OPERATION FOR NEW-GENERATION NANOELECTRONICS AND ENERGY STORAGE DEVICES | 1 |
Rajeev Katiyar | US | Highland Heights | 2014-05-08 / 20140124482 - MODIFIED FLUX SYSTEM IN CORED ELECTRODE | 1 |
Rajeev Katiyar | US | Mentor | 2014-01-16 / 20140014626 - CORED ELECTRODE FOR REDUCING DIFFUSIBLE HYDROGEN | 1 |
Atul Katiyar | US | Redmond | 2014-09-18 / 20140280707 - ELASTICALLY SCALABLE DOCUMENT-ORIENTED STORAGE SERVICES | 3 |
Mukul Katiyar | US | Piscataway | 2013-11-14 / 20130302033 - Dynamic Assignment Of Wavelengths In Agile Photonic Networks | 1 |
Vivek Katiyar | US | Los Gatos | 2016-03-31 / 20160093975 - MAGNETIC PINS | 5 |
Adidev Katiyar | IN | Bangalore | 2015-07-23 / 20150205269 - METHOD AND SYSTEM FOR MONITORING CONTROLLED VARIABLE OF MULTIVARIABLE PREDICTIVE CONTROLLER IN AN INDUSTRIAL PLANT | 1 |
Vivek Katiyar | US | Cupertino | 2016-02-11 / 20160041672 - Force Sensing of Inputs Through Strain Analysis | 2 |
Nitin Katiyar | IN | Bangalore | 2015-10-01 / 20150281054 - METHOD AND SYSTEM FOR HITLESS UPGRADE OF CHASSIS IN VIRTUALIZED ENVIRONMENT | 1 |
Monica Katiyar | IN | Kanpur | 2016-02-11 / 20160043315 - ORGANIC THIN FILM TRANSISTORS AND METHODS FOR THEIR MANUFACTURING AND USE | 1 |
Bhushan Katkade | US | Morgantown | 2014-03-27 / 20140083878 - TRANSDERMAL DRUG DELIVERY DEVICE | 1 |
Vaibhav S. Katkade | US | Sunnyvale | 2013-05-09 / 20130117581 - POWER NEGOTIATION PROTOCOL | 1 |
Srinivas Katkam | IN | Hyderabad | 2014-08-21 / 20140235895 - PREPARATION OF FINGOLIMOD AND ITS SALTS | 3 |
Srinivas Katkam | IN | Secunderabad | 2011-09-01 / 20110213150 - PREPARATION OF CRYSTALLINE PALONOSETRON HYDROCHLORIDE | 1 |
Nadpi Gangadhar Katkam | IN | Hyderabad | 2011-11-03 / 20110269987 - Process for Preparation of Hexadecyl Cis-9-Tetradecenoate and Hexadecyl Cis-10-Tetradecenoate | 1 |
Sanjay Katkar | IN | Pune | 2012-03-08 / 20120060228 - Completely automated computer implemented system and method for piracy control based on update requests | 1 |
Rajesh Katkar | US | San Jose | 2016-05-05 / 20160126174 - SUBSTRATES AND METHODS OF MANUFACTURE | 33 |
Ganesh Katkar | IN | Pune | / - | 1 |
Ganesh Arun Katkar | IN | Pune | 2015-08-13 / 20150224087 - AMORPHOUS MIRABEGRON AND PROCESSES FOR CRYSTAL FORMS OF MIRABEGRON | 1 |
Vanita K. Katkar | US | White House Station | 2012-12-06 / 20120306997 - APPARATUS FOR THE EFFICIENT TRANSMISSION OF MULTIMEDIA STREAMS FOR TELECONFERENCING | 5 |
Rajesh Katkar | US | San Jose | 2016-05-05 / 20160126174 - SUBSTRATES AND METHODS OF MANUFACTURE | 33 |
Vaibhav Maruti Katkar | IN | Bangalore | 2015-12-31 / 20150379447 - RESOURCE DEMAND-BASED PROJECT TEAM STAFFING | 1 |
Vanita Katkar | US | Whitehouse Station | 2013-11-14 / 20130301431 - APPARATUS AND METHOD FOR SELECTING SERVICE QUALITY METRICS FOR MANAGED SERVICES QUALITY ASSURANCE | 1 |
Amol Shivram Katkar | IN | Pune | 2011-08-18 / 20110202795 - DATA CORRUPTION PREVENTION DURING APPLICATION RESTART AND RECOVERY | 1 |
Amol Katkar | IN | Pune | 2013-09-26 / 20130254599 - Cluster Wide Consistent Detection of Interconnect Failures | 2 |
Arun Lakshminarayan Katkere | US | Saratoga | 2016-03-31 / 20160092246 - REVERSE DEPENDENCY INJECTION IN A SYSTEM WITH DYNAMIC CODE LOADING | 1 |
Arun Lakshminarayn Katkere | US | Saratoga | 2016-03-31 / 20160092204 - LIVE UPDATING OF A SHARED PLUGIN REGISTRY WITH NO SERVICE LOSS FOR ACTIVE USERS | 1 |
Arun L. Katkere | US | Los Gatos | 2013-10-24 / 20130283293 - System and method for Intelligently distributing a plurality of transactions for parallel processing | 3 |
Martins Katkevics | LV | Riga | 2013-02-07 / 20130035358 - Bis Aromatic Compounds for Use as LTC4 Synthase Inhibitors | 15 |
Hilla Katki | US | Brooklyn | 2013-07-04 / 20130173354 - ISSUE-BASED ANALYSIS AND VISUALIZATION OF POLITICAL ACTORS AND ENTITIES | 1 |
Venkat Rao Katkoori | US | Vestavia Hills | 2008-12-18 / 20080311574 - Novel Missense Mutations and Single Nucleotide Polymorphisms in the Rabphillin-3A-Like Gene and Uses Thereof | 1 |
Igor Katkov | US | San Diego | 2015-06-04 / 20150150241 - Portable Device and Method for Cryopreservation of Cells Encapsulated in lmmunoisolating Devices | 3 |
Igor Katkov | US | La Jolla | 2015-11-12 / 20150320836 - CRYOPRESERVATION OF CELLS INSIDE A MACRO-ENCAPSULATION DEVICE | 1 |
Igor Katkov | US | Walnut Creek | 2014-04-24 / 20140115717 - Method and Apparatus for an End User Identity Protection Suite | 2 |
Kalman Katlowitz | US | New York | 2013-10-24 / 20130281816 - ECG ACQUISITION AND TREATMENT-RESPONSE SYSTEM FOR TREATING ABNORMAL CARDIAC FUNCTION | 1 |
Mohammed A. Katnal | US | Gainesville | 2009-01-01 / 20090000363 - Materials and Methods for Identifying Biointeractive Nanostructures and/or Nanoparticles | 1 |
Joseph John Katnic | AU | Perth | 2012-07-12 / 20120180069 - Scheduling Start-Up and Shut-Down of Mainframe Applications using Topographical Relationships | 2 |
Chris Katnik | US | Tampa | 2013-08-08 / 20130203086 - MICROFLUIDIC DEVICE FOR GENERATING NEURAL CELLS TO SIMULATE POST-STROKE CONDITIONS | 1 |
Vickie Ann Katnik | US | North Tustin | 2009-07-30 / 20090188084 - APPARATUS FOR ATTACHING BOUTONNIERES | 1 |
Chris Katnik | US | Wesley Chapel | 2015-05-21 / 20150140581 - MICROFLUIDIC DEVICE FOR GENERATING NEURAL CELLS TO SIMULATE POST-STROKE CONDITIONS | 1 |
Kazuhito Kato | JP | Yokohama-Shi | 2013-02-28 / 20130054073 - APPARATUS FOR IMPROVING STEERING SENSITIVITY OF VEHICLE | 1 |
Toshimichi Kato | JP | Tokyo | 2012-05-17 / 20120118362 - TRANSPARENT CONDUCTIVE SUBSTRATE FOR SOLAR CELL AND SOLAR CELL | 1 |
Nobukazu Kato | JP | Kariya-Shi | 2015-10-15 / 20150291016 - REINFORCING STRUCTURE OF VEHICLE DOOR | 3 |
Yuya Kato | JP | Ebina-Shi | 2012-03-29 / 20120076530 - CLEANING MEMBER, CLEANING DEVICE, AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 3 |
Yuya Kato | JP | Tokyo | 2011-01-13 / 20110008153 - DRAIN PUMP | 1 |
Yuji Kato | JP | Hokkaido | 2008-11-20 / 20080287809 - Biological information measuring apparatus and biological information measuring method | 1 |
Masami Kato | JP | Aichi-Ken | 2012-07-26 / 20120188662 - VEHICLE MIRROR DEVICE | 2 |
Masayuki Kato | JP | Ichihara-Shi | 2015-01-15 / 20150013843 - HEAT TREATING OIL COMPOSITION | 1 |
Kunihisa Kato | JP | Itabashi-Ku | 2015-05-07 / 20150122303 - THERMOELECTRIC CONVERSION MATERIAL USING SUBSTRATE HAVING NANOSTRUCTURE, AND METHOD FOR PRODUCING SAME | 4 |
Hajime Kato | JP | Susono-Shi | 2015-01-15 / 20150018152 - HYBRID VEHICLE DRIVE CONTROL DEVICE | 1 |
Hiromi Kato | JP | Nara | 2008-10-16 / 20080252939 - Display Device | 1 |
Miki Kato | JP | Chiba | 2014-12-25 / 20140378346 - SURFACE OF SUBSTRATE ONTO WHICH NON-SPECIFIC ADSORPTION IS RESTRAINED | 1 |
Yuzo Kato | JP | Shiga-Ken | / - | 1 |
Masataka Kato | JP | Yokohama-Shi | 2015-03-05 / 20150062231 - PRINTING APPARATUS AND CONTROL METHOD | 20 |
Akio Kato | JP | Shizuoka | 2015-04-16 / 20150105197 - CHAIN GUIDE AND CHAIN TRANSMISSION DEVICE | 3 |
Kouichi Kato | JP | Kyoto-Shi | 2016-03-10 / 20160067359 - COMPOSITION HAVING DYE AND CONJUGATE OF POLYETHYLENEGLYCOL AND ADDITIVE AND CONTRAST AGENT FOR PHOTOACOUSTIC IMAGING HAVING THE SAME | 3 |
Masaaki Kato | JP | Chiba | 2014-12-25 / 20140376182 - EXTERNAL STRUCTURE AND ELECTRONIC APPARATUS | 1 |
Tomoyuki Kato | JP | Yokohama | 2015-05-21 / 20150139644 - OPTICAL DROP DEVICE, OPTICAL DROP METHOD, AND OPTICAL ADD DEVICE | 12 |
Yuji Kato | JP | Okazaki-Shi | 2015-07-09 / 20150192717 - OPTICAL ARTICLE FOR RECEIVING AND EMITTING INFRARED RAY AND INFRARED RAY RECEIVING AND EMITTING UNIT | 4 |
Junichi Kato | JP | Saitama | 2014-12-25 / 20140376061 - IMAGE SENSOR UNIT, IMAGE READING APPARATUS, AND IMAGE FORMING APPARATUS | 1 |
Nobuyuki Kato | JP | Kagawa | 2013-11-28 / 20130317470 - ABSORBENT ARTICLE PACKAGE AND METHOD OF FOLDING THE SAME | 4 |
Yasuhiro Kato | JP | Fuchu-Shi | 2014-12-25 / 20140374595 - INSPECTION DEVICE OF RADIOACTIVE WASTE BODY AND INSPECTION METHOD OF RADIOACTIVE WASTE BODY | 1 |
Kazuomi Kato | JP | Kanagawa | 2013-01-31 / 20130031552 - VIRTUAL MACHINE DISPLAY DEVICE, VIRTUAL MACHINE DISPLAY METHOD, RECORDING MEDIUM, AND INTEGRATED CIRCUIT | 2 |
Takahiko Kato | JP | Hitachinaka | 2015-04-16 / 20150104889 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, SEMICONDUCTOR MANUFACTURING AND INSPECTING APPARATUS, AND INSPECTING APPARATUS | 10 |
Yasuhiro Kato | JP | Kanagawa | 2015-04-23 / 20150110524 - FIXING DEVICE, AND IMAGE FORMING APPARATUS | 40 |
Hidekazu Kato | US | Las Vegas | 2009-12-24 / 20090316436 - Gaming machine | 1 |
Jiro Kato | US | Rockville | 2008-08-28 / 20080207555 - HYDROLASE AND METHODS FOR ITS USE | 1 |
Yasushi Pedro Kato | US | Weston | 2014-02-27 / 20140058008 - Ocular Lens | 2 |
Hironori Kato | JP | Kawasaki-Shi | 2015-08-06 / 20150220000 - IMAGE FORMING APPARATUS HAVING DETACHABLY MOUNTABLE IMAGE FORMING UNIT | 2 |
Ikuko Kato | US | Detroit | 2010-12-09 / 20100311165 - DEVICE FOR COLLECTION AND PRESERVATION OF TISSUE OR STOOL SAMPLES | 1 |
Takahira Kato | US | Novi | 2011-02-24 / 20110044021 - THREE DIMENSIONAL IMAGE DIAL FOR INSTRUMENT CLUSTER | 5 |
Keisuke Kato | JP | Yokohama-Shi | 2014-12-18 / 20140371412 - RESIST COPOLYMER AND RESIST COMPOSITION | 1 |
Nobukazu Kato | JP | Fussa-Shi | 2016-02-04 / 20160036176 - CONNECTOR | 6 |
Nobutaka Kato | JP | Kanagawa | 2015-02-12 / 20150046975 - DATA TRANSMISSION APPARATUS, DATA TRANSMISSION METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 3 |
Jin Kato | JP | Saitama | 2014-12-18 / 20140368154 - POWER MANAGEMENT APPARATUS, POWER MANAGEMENT METHOD AND POWER MANAGEMENT PROGRAM | 1 |
Tomohide Kato | JP | Toyama | 2016-04-21 / 20160111466 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS | 4 |
Kyoko Kato | JP | Yokosuka | 2009-03-19 / 20090072210 - SWITCHING DEVICE | 1 |
Nanako Kato | JP | Kanagawa | 2016-05-05 / 20160126266 - SOLID-STATE IMAGING DEVICE, METHOD OF MANUFACTURING A SOLID-STATE IMAGING DEVICE, AND ELECTRONIC APPARATUS | 3 |
Scott Hitoshi Kato | US | Rolling Hills Estates | 2014-12-18 / 20140366546 - VARIABLE FREQUENCY DRIVE POWER RIDE THRU | 1 |
Takayuki Kato | DE | Hamburg | 2012-05-24 / 20120127567 - OBJECTIVE WITH TWO VIEWING DIRECTIONS FOR AN ENDOSCOPE | 1 |
Yuji Kato | JP | Kanagawa | 2016-05-12 / 20160131522 - IMAGE DISPLAY APPARATUS AND CONTROL METHOD | 12 |
Tatsushi Kato | JP | Chino-Shi | 2015-02-26 / 20150054888 - PIEZOELECTRIC ELEMENT, LIQUID EJECTING HEAD, LIQUID EJECTING APPARATUS AND PIEZOELECTRIC DEVICE | 3 |
Osamu Kato | JP | Otake-Shi | 2015-12-17 / 20150361271 - ANTICORROSIVE COATING COMPOSITION, ANTICORROSIVE COATING FILM, AND METHOD FOR PREVENTING CORROSION OF SUBSTRATE | 1 |
Shigetaka Kato | JP | Shinshiro-Shi | 2014-10-02 / 20140294413 - IMAGE FORMING APPARATUS | 4 |
Hideki Kato | JP | Ise-Shi | 2014-04-03 / 20140090574 - MOVABLE PLATFORM, OVERHEAD TRAVELING VEHICLE SYSTEM, AND METHOD FOR VERTICALLY MOVING OVERHEAD TRAVELING VEHICLE | 2 |
Shinpei Kato | JP | Hiroshima | 2014-12-11 / 20140364646 - METHOD FOR PURIFYING METHACRYLIC ACID | 1 |
Hiroyoshi Kato | JP | Osaka | / - | 1 |
Yuji Kato | JP | Saitama | 2013-08-01 / 20130193992 - Current Detection Circuit | 2 |
Tomomi Kato | JP | Yokohama-Shi | 2015-11-19 / 20150328107 - MELANIN PRODUCTION INHIBITOR | 2 |
Yoshiyuki Kato | JP | Osaka | 2014-12-11 / 20140362489 - ELECTRONIC APPARATUS | 1 |
Manabu Kato | JP | Sagamihara-Shi | 2014-12-11 / 20140362258 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Naoki Kato | JP | Aichi-Ken | 2014-05-01 / 20140117508 - SEMICONDUCTOR UNIT | 10 |
Toshihiro Kato | US | 2016-01-07 / 20160003181 - CONTROL DEVICE OF INTERNAL COMBUSTION ENGINE | 1 | |
Hiroyuki Kato | JP | Taito-Ku | 2016-05-19 / 20160136408 - MICRONEEDLE AND METHOD FOR MANUFACTURING MICRONEEDLE | 7 |
Yasuhisa Kato | JP | Kanagawa | 2011-04-14 / 20110085815 - HEATER, FIXING UNIT AND IMAGE FORMING APPARATUS | 8 |
Kiyomi Kato | JP | Moriguchi-Shi | 2008-10-16 / 20080254369 - Non-aqueous electrolyte secondary battery | 2 |
Masatomo Kato | JP | Ikoma-Shi | 2014-02-13 / 20140045842 - METHOD FOR TREATING AN INFLAMMATORY DISEASE BY ADMINISTERING A 1,2,3,4-TETRAHYDROQUINOXALINE COMPOUND CONTAINING A PHENYL GROUP HAVING A SULFONIC ACID ESTER STRUCTURE INTRODUCED THEREIN AS A SUBSTITUENT | 14 |
Hayato Kato | JP | Nagoya-Shi | 2014-03-20 / 20140079325 - IMAGE INFORMATION PROCESSING SYSTEM, IMAGE INFORMATION PROCESSOR AND RECORDING MEDIA | 6 |
Hiroki Kato | JP | Tokyo | 2015-09-17 / 20150260153 - OPPOSED SWASH PLATE TYPE FLUID PRESSURE ROTATING MACHINE | 23 |
Nobou Kato | JP | Moriyama-Shi | 2009-01-08 / 20090008460 - WIRELESS IC DEVICE | 1 |
Yoshifumi Kato | JP | Nishikamo-Gun | 2009-02-12 / 20090043495 - Position correction apparatus | 1 |
Hidemi Kato | JP | Chiyoda-Ku | 2013-02-21 / 20130045864 - APPARATUS FOR PRODUCTION OF FUEL CELL CATALYST LAYER, METHOD FOR PRODUCTION OF FUEL CELL CATALYST LAYER, POLYELECTROLYTE SOLUTION, AND PROCESS FOR PRODUCTION OF POLYELECTROLYTE SOLUTION | 1 |
Yoshihiro Kato | JP | Abiko-Shi | 2013-05-30 / 20130136930 - RESIN COMPOSITION, PREPREG, AND LAMINATE | 3 |
Masaharu Kato | JP | Izumisano-Shi | 2010-12-23 / 20100323079 - OILY FOOD AND METHOD FOR PRODUCING THE SAME | 1 |
Kenichi Kato | JP | Miyagi | 2012-05-31 / 20120132367 - PROCESSING APPARATUS | 1 |
Syunsuke Kato | JP | Ibaraki | 2014-12-04 / 20140357470 - METAL COLLOIDAL SOLUTION AND METHOD FOR PRODUCING SAME | 1 |
Shori Kato | JP | Tajimi-Shi | 2015-12-17 / 20150362064 - Shift Knob Structure | 1 |
Masahiro Kato | JP | Toyama | 2014-12-04 / 20140356215 - HEAT-RESISTANT ALLOY AND METHOD OF MANUFACTURING THE SAME | 1 |
Momoko Kato | JP | Zama | 2013-10-03 / 20130256594 - BINAPHTHYL COMPOUND, LIQUID CRYSTAL COMPOSITION, LIQUID CRYSTAL ELEMENT, AND LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Ayumi Kato | JP | Osaka | 2016-05-05 / 20160121962 - BICYCLE CONTROL SYSTEM | 3 |
Masahiro Kato | JP | Naka-Gun | 2014-11-20 / 20140339978 - PHOSPHOR | 15 |
Junpei Kato | JP | Tokyo | 2014-12-04 / 20140352644 - MOUNT STRUCTURE OF INTAKE AIR FLOW CONTROL VALVE DEVICE | 1 |
Tomoyuki Kato | JP | Shiga | 2013-02-21 / 20130043780 - REFRIGERATOR | 1 |
Atsunori Kato | JP | Kawasaki-Shi | 2015-03-12 / 20150068438 - BIOMASS-MIXED, PULVERIZED COAL-FIRED BURNER AND FUEL COMBUSTION METHOD | 3 |
Nobuhiro Kato | JP | Obu-Shi | 2014-12-04 / 20140352428 - LIQUID PROPERTY DETECTING DEVICE | 1 |
Hisayuki Kato | JP | Okazaki-Shi | 2014-12-04 / 20140352286 - EXHAUST GAS HEAT RECOVERY DEVICE | 1 |
Hiroaki Kato | JP | Hekinan-Shi | 2009-01-29 / 20090030573 - VEHICLE STEERING APPARATUS | 3 |
Masahiro Kato | JP | Annaka | 2012-12-27 / 20120326268 - SILICON EPITAXIAL WAFER, METHOD FOR MANUFACTURING THE SAME, BONDED SOI WAFER AND METHOD FOR MANUFACTURING THE SAME | 3 |
Hideto Kato | JP | Annaka | 2012-11-01 / 20120276717 - ORGANOPOLYSILOXANE, TEMPORARY ADHESIVE COMPOSITION CONTAINING ORGANOPOLYSILOXANE, AND METHOD OF PRODUCING THINNED WAFER USING THE SAME | 5 |
Hideto Kato | JP | Takasaki | 2016-03-31 / 20160093522 - WAFER PROCESSING LAMINATE, TEMPORARY ADHESIVE MATERIAL FOR WAFER PROCESSING, AND METHOD FOR MANUFACTURING THIN WAFER | 5 |
Hiroaki Kato | JP | Yokohama-Shi | 2013-11-21 / 20130307902 - PRINTING APPARATUS AND PRINTING METHOD | 2 |
Hiroaki Kato | JP | Aichi-Ken | 2010-01-21 / 20100012287 - APPARATUS FOR CAST-PRODUCT PRODUCTION LINE | 1 |
Issei Kato | JP | Osaka | 2012-09-27 / 20120245155 - FUSED HETEROCYCLIC COMPOUND HAVING AMINO GROUP | 2 |
Hiroaki Kato | JP | Toyota-Shi | 2013-05-23 / 20130126118 - APPARATUS FOR CAST-PRODUCT PRODUCTION LINE | 2 |
Hiroaki Kato | JP | Saitama | 2010-06-17 / 20100147267 - FUEL INJECTION SYSTEM FOR INTERNAL COMBUSTION ENGINE | 2 |
Hiroaki Kato | JP | Nukata-Gun | 2010-06-24 / 20100161180 - MOTOR-DRIVEN STEERING CONTROLLER AND AUTOMOBILE ANTI-SKID CONTROLLER | 1 |
Hiroaki Kato | JP | Tokyo | 2011-03-24 / 20110071755 - NAVIGATION EQUIPMENT | 2 |
Hiroaki Kato | JP | Nagaokakyo-Shi | 2010-07-01 / 20100167196 - TONER RECOVERY APPARATUS, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 3 |
Toshikazu Kato | JP | Toyota-Shi | 2015-06-25 / 20150175147 - HYBRID VEHICLE | 6 |
Kazuya Kato | JP | Toyota-Shi, Aichi-Ken | 2016-01-07 / 20160001772 - HYBRID VEHICLE RUNNING CONTROL APPARATUS | 1 |
Takuya Kato | JP | Tokyo | 2014-12-04 / 20140354857 - ZOOM LENS AND IMAGING APPARATUS | 8 |
Koichi Kato | JP | Yokohama-Shi | 2015-09-17 / 20150263117 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 10 |
Kazuya Kato | US | 2016-01-07 / 20160001772 - HYBRID VEHICLE RUNNING CONTROL APPARATUS | 1 | |
Katsushi Kato | JP | Kawasaki-Shi | 2016-01-28 / 20160025866 - RADIATION DETECTING APPARATUS AND RADIATION IMAGING SYSTEM | 12 |
Tomoyuki Kato | JP | Akita | 2009-03-19 / 20090072008 - SECONDARY BATTERY PROTECTING MODULE AND LEAD MOUNTING METHOD | 1 |
Shinichi Kato | JP | Okaya-Shi | 2014-11-27 / 20140349253 - Oral Composition For Removing Tooth Stain | 1 |
Tomoyuki Kato | JP | Odawara | 2013-12-05 / 20130326187 - STORAGE APPARATUS AND STORAGE AREA ALLOCATION METHOD | 8 |
Craig Kato | US | Foster City | 2010-05-27 / 20100127072 - AUTHENTICATION OF DOCUMENTS HAVING MAGNETIC STRIPE | 3 |
Tomoyuki Kato | JP | Kobe-Shi | 2012-05-10 / 20120113773 - INFORMATION RECORDING DEVICE | 1 |
Aki Kato | JP | Tsukuba-Shi | 2010-02-25 / 20100048948 - GLUTATHIONE PREPARATION AND METHOD FOR PRODUCTION THEREOF | 1 |
Hideharu Kato | US | San Jose | 2012-06-28 / 20120166649 - MANAGEMENT COMPUTER, RESOURCE MANAGEMENT METHOD, RESOURCE MANAGEMENT COMPUTER PROGRAM, RECORDING MEDIUM, AND INFORMATION PROCESSING SYSTEM | 3 |
Hisanori Kato | JP | Otawara | 2015-11-05 / 20150317771 - X-RAY DIAGNOSTIC APPARATUS AND IMAGE PROCESSING APPARATUS | 4 |
Darryl Kato | US | Foster City | 2013-05-30 / 20130137877 - ANTIVIRAL COMPOUNDS | 4 |
Yousif Kato | US | Monterrey | 2009-03-26 / 20090079458 - Small pitch ball grid array of a package assembly for use with conventional burn-in sockets | 1 |
Masaki Kato | JP | Toyota-Shi | 2013-11-21 / 20130306811 - DEVICE ATTACHMENT MEMBER | 3 |
Yawara Kato | JP | Isesaki-Shi | 2016-03-24 / 20160087572 - Motor Drive Device and Motor Drive Method for EPS System | 2 |
Craig M. Kato | US | Foster City | 2010-05-27 / 20100127079 - UPDATING OF REFERENCE MAGNETIC SIGNATURE FOR AUTHENTICATING A DOCUMENT WITH A MAGNETIC STRIPE | 2 |
Eiko Erika Kato | US | San Diego | 2010-11-11 / 20100285872 - Method for Authenticating a User in an Interactive Gaming Environment | 5 |
Fuminori Kato | JP | Hiroshima | 2010-08-19 / 20100211271 - MOTION CONTROL DEVICE OF VEHICLE | 2 |
Nancy Dunn Kato | US | Los Alamitos | 2009-01-01 / 20090004635 - Methods for multi-sensory virtual golf instruction | 1 |
Takahiko Kato | JP | Hitachinaka | 2015-04-16 / 20150104889 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, SEMICONDUCTOR MANUFACTURING AND INSPECTING APPARATUS, AND INSPECTING APPARATUS | 10 |
Hiroyuki Kato | JP | Shizuoka-Ken | 2016-01-28 / 20160028907 - IMAGE PROCESSING APPARATUS AND METHOD OF DISPLAYING OBJECT IN IMAGE PROCESSING APPARATUS | 7 |
Hideyuki Kato | JP | Toyota-Shi | 2015-05-28 / 20150145309 - HEADREST SUPPORT STRUCTURE | 3 |
Hitoshi Kato | JP | Toride-Shi | 2016-02-04 / 20160031671 - SHEET PROCESSING APPARATUS THAT PERFORMS SADDLE STITCH BOOKBINDING, CONTROL METHOD THEREOF, AND IMAGE FORMING APPARATUS HAVING THE SHEET PROCESSING APPARATUS | 46 |
Hiroki Kato | JP | Moriguchi-Shi | 2012-06-07 / 20120139367 - VIBRATOR AND PORTABLE INFORMATION TERMINAL | 1 |
Yoshiki Kato | JP | Tokyo | 2015-09-10 / 20150253031 - AIR-CONDITIONING APPARATUS | 7 |
Yoshiki Kato | JP | Mie-Ken | 2012-03-22 / 20120068244 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Yuichi Kato | JP | Kanagawa | 2015-11-19 / 20150334329 - SOLID-STATE IMAGING ELEMENT, METHOD OF DRIVING THE SAME, AND CAMERA SYSTEM | 10 |
Yoshihiro Kato | JP | Tokyo | 2015-12-03 / 20150351236 - METHOD FOR MANUFACTURING METAL FOIL-CLAD LAMINATE | 16 |
Kiyoshige Kato | JP | Chiryu-Shi | 2013-11-14 / 20130304309 - DRIVING FORCE DISTRIBUTION CONTROL DEVICE | 3 |
Shunsaku Kato | JP | Kyoto | 2016-01-07 / 20160006834 - POSTED INFORMATION SHARING SYSTEM, INFORMATION-PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, STORAGE MEDIUM, AND COMPUTER PLATFORM | 16 |
Atsushi Kato | JP | Kiryu-Shi | 2013-08-08 / 20130199827 - Mounting Board and Circuit Device Using the Same | 1 |
Mikio Kato | JP | Aichi | 2012-06-07 / 20120141652 - DRIED KONJAC AND MANUFACTURING METHOD THEREFOR AS WELL AS PROCESSED FOODS USING SAID DRIED KONJAC | 1 |
Mitsunori Kato | JP | Tottori | 2010-08-05 / 20100198121 - CHAIR-TYPE MASSAGE MACHINE | 1 |
Naoki Kato | JP | Fuchu-Shi | 2014-11-20 / 20140338629 - METHOD FOR MANUFACTURING INTAKE MANIFOLD AND INTAKE MANIFOLD | 1 |
Jumpei Kato | JP | Kawasaki-Shi | 2014-11-20 / 20140338629 - METHOD FOR MANUFACTURING INTAKE MANIFOLD AND INTAKE MANIFOLD | 1 |
Mitsunori Kato | JP | Yonago-Shi | 2009-07-09 / 20090177128 - Chair-Type Massaging Apparatus, Massaging Apparatus, Control Device of Chair-Type Massaging Apparatus And Remote Control Device For Chair-Type Massaging Apparatus | 1 |
Masakazu Kato | JP | Numazu-Shi | 2015-01-15 / 20150015082 - POWER TRANSMISSION APPARATUS, POWER TRANSMISSION DEVICE AND POWER RECEPTION DEVICE FOR POWER TRANSMISSION APPARATUS | 3 |
Yoshiharu Kato | JP | Kasugai | 2013-02-14 / 20130038385 - SEMICONDUCTOR DEVICE AND VOLTAGE DIVIDER | 5 |
Hiroyuki Kato | JP | Nagano-Shi | 2010-03-11 / 20100059876 - ELECTRONIC COMPONENT PACKAGE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hiroyuki Kato | JP | Kawasaki-Cho | / - | 1 |
Teruyuki Kato | JP | Bunkyo-Ku | 2012-06-14 / 20120147706 - METHOD FOR MEASURING SEA WAVES BY MEANS OF ULTRASONIC WAVES, AS WELL AS SEA WAVE MEASURING SYSTEM | 1 |
Zenji Kato | JP | Tokyo | 2011-02-24 / 20110041393 - INDIRECT HEAT-DRYING APPARATUS, INDIRECT HEAT-DRYING METHOD OF DRYING SUBSTANCE TO BE DRIED, AND METHOD AND APPARATUS FOR PRODUCING SOLID FUEL | 1 |
Hajime Kato | JP | Makinohara-Shi | 2013-12-26 / 20130341086 - SHIELDING STRUCTURE FOR WIRE HARNESS | 12 |
Yasuki Kato | JP | Kashiwa-Shi | 2016-04-28 / 20160114058 - POLYMER MICELLE PHARMACEUTICAL COMPOSITION | 5 |
Yasuhiro Kato | JP | Kawasaki Kanagawa | 2014-11-13 / 20140335003 - CARBON DIOXIDE RECOVERY SYSTEM AND OPERATING METHOD THEREOF | 1 |
Yoshihiro Kato | JP | Toyota-City | 2014-11-13 / 20140334917 - BLOWER DEVICE | 1 |
Fumiaki Kato | JP | Tokyo | 2015-06-25 / 20150179353 - PHOTOELECTRIC CONVERSION ELEMENT | 10 |
Shuji Kato | JP | Ibaraki | 2014-11-13 / 20140333590 - DIGITAL PEN | 1 |
Daishi Kato | JP | Tokyo | 2015-08-27 / 20150242866 - DETERMINATION DEVICE, DETERMINATION METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 5 |
Naoto Kato | JP | Shizuoka-Ken | 2011-05-05 / 20110100344 - VEHICLE AND VEHICLE CONTROL METHOD | 4 |
Takahisa Kato | US | Brookline | 2016-03-17 / 20160074063 - NEEDLE POSITIONING APPARATUS | 14 |
Katsuya Kato | JP | Osaka-Shi | 2016-02-25 / 20160057760 - BASE STATION APPARATUS, TERMINAL APPARATUS, AND COMMUNICATION SYSTEM | 19 |
Hironori Kato | JP | Osaka-Shi | 2014-11-06 / 20140326850 - ANTI-VIBRATION UNIT | 5 |
Norihiko Kato | JP | Kariya-Shi | 2015-10-01 / 20150274497 - INDUSTRIAL VEHICLE | 12 |
Maki Kato | JP | Fuchu-Shi | 2016-01-07 / 20160001561 - METHOD FOR CLEANING LIQUID EJECTION HEAD | 13 |
Hideyuki Kato | JP | Moriyama-Shi | 2008-09-18 / 20080224800 - Dielectric Filter, Chip Device and Method of Manufacturing the Chip Device | 2 |
Hideyuki Kato | JP | Nishio-City | 2009-01-22 / 20090021133 - PLASMA IGNITION SYSTEM | 2 |
Hideyuki Kato | JP | Aichi-Ken | 2011-09-29 / 20110233365 - VEHICLE SEAT RAIL ASSEMBLY | 2 |
Hideyuki Kato | JP | Kamakura-Shi | 2011-04-28 / 20110098477 - Method Of Producing Compound Having Anti-Hcv Activity | 2 |
Hideyuki Kato | JP | Aichi | 2011-04-28 / 20110095585 - VEHICLE SEAT DEVICE (AS AMENDED) | 4 |
Maki Kato | JP | Tokyo | 2009-02-12 / 20090044227 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND PROGRAM | 1 |
Yoshichika Kato | JP | Tokyo | 2009-07-23 / 20090185776 - Optical Device | 6 |
Kazufumi Kato | JP | Chiyoda-Ku | 2012-10-04 / 20120247868 - NOISE ABSORBING FABRIC TECHNICAL FIELD | 2 |
Yuji Kato | JP | Kanagawa | 2016-05-12 / 20160131522 - IMAGE DISPLAY APPARATUS AND CONTROL METHOD | 12 |
Jiro Kato | JP | Suwa | 2016-03-17 / 20160075137 - LIQUID EJECTION HEAD AND LIQUID EJECTION APPARATUS | 9 |
Toshihiko Kato | JP | Kawasaki-Shi | 2014-10-30 / 20140322929 - MAGNETIC COUPLING CONNECTOR | 1 |
Masahiro Kato | JP | Tachikawa | 2014-10-30 / 20140325468 - STORAGE MEDIUM, AND GENERATION APPARATUS FOR GENERATING TRANSACTIONS FOR PERFORMANCE EVALUATION | 1 |
Masahiro Kato | JP | Takasaki | 2014-10-30 / 20140322895 - METHOD FOR MANUFACTURING A BONDED SOI WAFER | 1 |
Kojiro Kato | JP | Tokyo | 2014-10-30 / 20140321703 - IMAGE COMPOSITING DEVICE AND IMAGE COMPOSITING METHOD | 1 |
Yusaku Kato | JP | Kanagawa | 2015-10-01 / 20150281531 - POLYMER ELEMENT, ELECTRONIC DEVICE, CAMERA MODULE, AND IMAGING APPARATUS | 3 |
Hiroki Kato | JP | Gotemba-Shi | 2014-11-06 / 20140327921 - IMAGE FORMING APPARATUS | 4 |
Ryogo Kato | JP | Tokyo | 2012-06-21 / 20120156392 - ORIENTED CARBON NANOTUBE MANUFACTURING METHOD | 1 |
Toshimi Kato | JP | Nagano-Ken | 2016-04-28 / 20160114514 - TEMPERATURE CONTROL DEVICE OF INJECTION MOLDING MACHINE | 4 |
Garrett Scott Kato | US | Arvada | 2014-10-30 / 20140318452 - MULTI-ZONE MODULAR COATER | 1 |
Hajime Kato | JP | Kakegawa-Shi | 2016-03-31 / 20160090053 - TERMINAL BOX FOR ELECTRIC VEHICLE MOUNTED WITH IN-WHEEL MOTOR | 32 |
Erika Kato | JP | Atsugi | 2016-04-21 / 20160111590 - Manufacturing Method of Semiconductor Film, Manufacturing Method of Semiconductor Device, and Manufacturing Method of Photoelectric Conversion Device | 7 |
Tetsuya Kato | JP | Minato-Ku | 2012-06-21 / 20120156999 - WIRELESS COMMUNICATION SYSTEM | 1 |
Kenichi Kato | JP | Hyogo | 2009-04-16 / 20090098410 - Trimethine Dimer Compound and Optical Recording Medium Using the Same | 1 |
Tomoyuki Kato | JP | Yokohama | 2015-05-21 / 20150139644 - OPTICAL DROP DEVICE, OPTICAL DROP METHOD, AND OPTICAL ADD DEVICE | 12 |
Kenichi Kato | JP | Kawasaki-Shi | / - | 1 |
Kazuaki Kato | JP | Utsunomiya-Shi | 2016-03-10 / 20160066941 - Edged Medical Cutting Tool | 3 |
Naomi Kato | JP | Suita-Shi | 2012-06-21 / 20120158303 - UNMANNED DRIFTING SUBSTANCE MONITORING BUOY, DRIFTING SUBSTANCE MONITORING SYSTEM, AND DRIFTING SUBSTANCE MONITORING METHOD | 1 |
Aki Kato | JP | Nagakute-Shi | 2015-09-24 / 20150267331 - APPARATUS AND NON-TRANSITORY COMPUTER-READABLE MEDIUM STORING COMPUTER-READABLE INSTRUCTIONS | 2 |
Kenichi Kato | JP | Kobe-Shi | 2010-09-16 / 20100233415 - OPTICAL RECORDING MEDIUM AND AZO METAL CHELATE COMPOUND | 1 |
Kenichi Kato | JP | Kanagawa-Ken | 2010-09-30 / 20100243924 - Radiation imaging apparatus | 1 |
Takeshi Kato | US | Laporte | 2009-01-08 / 20090011216 - FOAMED LIQUID MOLDING RESIN | 1 |
Takeshi Kato | JP | Tokyo | 2016-01-07 / 20160005157 - Pattern-Measuring Apparatus and Semiconductor-Measuring System | 10 |
Jun Kato | JP | Kawasaki | 2015-10-01 / 20150278018 - DISTRIBUTED STORAGE SYSTEM AND METHOD | 20 |
Takeshi Kato | JP | Osaka | 2011-08-25 / 20110205599 - IMAGE READING DEVICE AND IMAGE FORMING APPARATUS | 10 |
Jiro Kato | JP | Suwa | 2016-03-17 / 20160075137 - LIQUID EJECTION HEAD AND LIQUID EJECTION APPARATUS | 9 |
Kenichi Kato | JP | Komaki-Shi | 2016-03-31 / 20160089952 - VEHICULAR DUST COVER ASSEMBLY AND MANUFACTURING METHOD THEREOF | 3 |
Takeshi Kato | JP | Kagoshima | 2010-04-01 / 20100078505 - Laminated piezolectric element, jetting device provided with the laminated piezoelectric element and fuel jetting system | 1 |
Kenichi Kato | JP | Ashigarakami-Gun | 2011-06-23 / 20110150179 - Radiation imaging system and assist apparatus for the same | 2 |
Takeshi Kato | JP | Wako-Shi | 2011-09-29 / 20110233996 - VEHICLE ELECTRIC POWER SUPPLY SYSTEM | 3 |
Takeshi Kato | JP | Ebina-Shi | 2011-03-17 / 20110064425 - DEVICE FOR MEASURING LENGTH OF RECORDING MATERIAL, IMAGE FORMING APPARATUS AND COMPUTER READABLE MEDIUM | 2 |
Hiroyuki Kato | JP | Nagano-Ken | 2013-01-31 / 20130028647 - Conveyance Device, Printing Device, and Conveyance Method | 1 |
Kenichi Kato | JP | Tokyo | 2014-03-06 / 20140063499 - SMOKE DETECTOR | 6 |
Atsunobu Kato | JP | Ebina | 2008-10-09 / 20080250027 - Hypertext displaying apparatus and hypertext displaying program | 1 |
Kenichi Kato | JP | Kirishima-Shi | 2010-10-07 / 20100252926 - Semiconductor Element, Method for Manufacturing the Same, and Mounting Structure Having the Semiconductor Element Mounted Thereon | 1 |
Takeshi Kato | JP | Kanagawa | 2014-01-23 / 20140022609 - DOCUMENT, IMAGE FORMING APPARATUS, COVER PLATE, IMAGE READING APPARATUS, IMAGE FORMING METHOD, AND IMAGE READING METHOD | 5 |
Takeshi Kato | JP | Kirishima-Shi | 2010-11-11 / 20100282874 - Multi-Layer Piezoelectric Element and Injection Apparatus Using the Same | 1 |
Shunya Kato | JP | Minami-Ashigara-Shi | 2011-03-24 / 20110070407 - NEAR-INFRARED ABSORPTIVE DYE-CONTAINING CURABLE COMPOSITION, INK COMPOSITION AND METHOD OF PRODUCING NEAR-INFRARED ABSORPTIVE FILTER | 1 |
Hiroshi Kato | JP | Odawara-Shi | 2016-01-28 / 20160028908 - IMAGE FORMING APPARATUS | 17 |
Kiichiro Kato | JP | Saitama | 2009-05-28 / 20090136711 - Pressure Sensitive Adhesive Sheet | 2 |
Darryl Kato | US | San Francisco | 2016-05-12 / 20160130300 - INHIBITORS OF HEPATITIS C VIRUS | 19 |
Makato Kato | JP | Kanagawa | 2013-09-19 / 20130245448 - ULTRASONIC DIAGNOSIS DEVICE AND ULTRASONIC PROBE FOR USE IN ULTRASONIC DIAGNOSIS DEVICE | 1 |
Ko Kato | JP | Ikoma-Shi | 2014-10-23 / 20140315248 - PROTEIN PRODUCTION METHOD USING TRANSFORMED PLANT CELLS | 1 |
Jun-Ichi Kato | JP | Saitama | 2009-03-12 / 20090067028 - OPTICAL NEAR-FIELD DISTRIBUTION TRANSFER DEVICE | 1 |
Yoshito Kato | JP | Tottori | 2009-11-26 / 20090289566 - Lighting Device for a Discharge Lamp | 1 |
Toshiyuki Kato | JP | Kyoto | 2015-06-04 / 20150155103 - SOLID ELECTROLYTIC CAPACITOR | 2 |
Mutsuto Kato | JP | Osaka | 2014-10-23 / 20140313450 - LIQUID-CRYSTAL PANEL AND MANUFACTURING METHOD THEREOF | 1 |
Masaie Kato | JP | Saitama | 2009-08-06 / 20090195060 - VEHICLE-USE BRAKE DEVICE | 2 |
Seiji Kato | JP | Saitama | 2009-08-13 / 20090199551 - EXHAUST MANIFOLD | 1 |
Masayuki Kato | JP | Kariya-Shi | 2014-10-23 / 20140312258 - AIRTIGHTNESS MAINTAINING STRUCTURE FOR BUTTERFLY VALVE | 1 |
Haruhisa Kato | JP | Saitama | 2015-02-19 / 20150049956 - IMAGE ENCODING DEVICE AND IMAGE DECODING DEVICE | 3 |
Tomotsugu Kato | JP | Saitama | 2009-12-03 / 20090295526 - Coil Component and Its Manufacturing Method | 1 |
Takafumi Kato | JP | Saitama | 2010-01-21 / 20100017057 - CONTROL SYSTEM FOR ADJUSTABLE DAMPING FORCE | 2 |
Noboru Kato | JP | Saitama | 2010-03-11 / 20100060889 - SUBSTRATE INSPECTION DEVICE AND SUBSTRATE INSPECTION METHOD | 1 |
Tsuneo Kato | JP | Saitama | 2011-01-27 / 20110022385 - METHOD AND EQUIPMENT OF PATTERN RECOGNITION, ITS PROGRAM AND ITS RECORDING MEDIUM | 1 |
Saori Kato | JP | Seto | 2014-10-23 / 20140315211 - METHODS FOR SUPPRESSION PCR | 1 |
Hiroharu Kato | JP | Kobe | 2014-10-23 / 20140314615 - METHOD AND APPARATUS FOR MEASURING APPARENT DENSITY OF METAL POWDER, METHOD AND APPARATUS FOR PRODUCING MIXED POWDER, AND METHOD AND APPARATUS FOR PRODUCING POWDER COMPACT | 1 |
Tatsuro Kato | JP | Saitama | 2011-02-03 / 20110024214 - EVAPORATIVE EMISSIONS CANISTER ARRANGEMENT FOR A MOTORCYCLE, AND MOTORCYCLE INCORPORATING SAME | 1 |
Keisuke Kato | JP | Saitama | 2011-03-10 / 20110057467 - PARCEL SHELF STRUCTURE | 1 |
Yasunari Kato | JP | Saitama | 2011-04-28 / 20110096216 - IMAGING PICKUP DEVICE AND IMAGE PICKUP METHOD | 1 |
Junji Kato | JP | Saitama | 2010-02-11 / 20100036555 - CONTROLLER FOR MOTOR, AND VEHICLE | 1 |
Rikiya Kato | JP | Saitama | 2010-11-18 / 20100291399 - LID FOR A FUNCTIONAL PART AND A PROCESS FOR ITS MANUFACTURE | 4 |
Tsuyoshi Kato | JP | Kyoto | 2008-12-11 / 20080307447 - PRODUCTION METHOD OF SPINDLE MOTOR AND SPINDLE MOTOR | 1 |
Yasuhiro Kato | JP | Kawasaki-Shi | 2015-02-12 / 20150044114 - ACID GAS ABSORBENT, ACID GAS REMOVAL METHOD, AND ACID GAS REMOVAL DEVICE | 4 |
Hidetoshi Kato | JP | Shizuoka-Ken | 2009-11-26 / 20090291342 - FUEL CELL SYSTEM | 1 |
Tsuyoshi Kato | JP | Tokyo | 2010-02-11 / 20100034392 - ELECTRONIC APPARATUS, METHOD FOR CONTROLLING FUNCTIONS OF THE APPARATUS AND SERVER | 5 |
Tsuyoshi Kato | JP | Shiojiri | 2014-05-08 / 20140125728 - EJECTION INSPECTION METHOD AND LIQUID EJECTION DEVICE | 5 |
Tsuyoshi Kato | JP | Chiba-Shi | 2014-11-13 / 20140332504 - WELD BEAD SHAPING APPARATUS AND WELD BEAD SHAPING METHOD | 2 |
Hiroki Kato | JP | Machida-Shi | 2014-09-25 / 20140286798 - SERVO REGULATOR | 1 |
Tsuyoshi Kato | FR | Toulouse | 2013-06-20 / 20130158274 - METHOD FOR PREPARING CARBENE IN SOLUTION, NOVEL STABLE FORM OF CARBENE OBTAINED IN PARTICULAR BY MEANS OF SAID METHOD, AND USES THEREOF IN CATALYSIS | 3 |
Ko Kato | JP | Tokyo | 2009-05-07 / 20090114255 - CLEANING APPARATUS AND AUTOMATIC ANALYZER | 1 |
Ryu Kato | JP | Mie-Ken | 2014-09-25 / 20140284693 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Masahiro Kato | JP | Saitama-Shi | 2013-01-31 / 20130025838 - HEADER TANK FOR HEAT EXCHANGER | 1 |
Hiroyo Kato | JP | Shizuoka-Ken | 2009-03-12 / 20090066016 - IMAGE FORMING APPARATUS AND METHOD FOR TRANSPORTING SHEET THEREOF | 1 |
Koichi Kato | JP | Hamura-Shi | 2012-06-28 / 20120163550 - X-RAY GENERATOR | 2 |
Sotaro Kato | JP | Makinohara-Shi | 2014-10-02 / 20140295709 - CONNECTOR | 1 |
Fumiyasu Kato | JP | Kasugai-Shi | 2015-02-26 / 20150056090 - VARIABLE CAPACITY TYPE VANE PUMP | 4 |
Yoshie Kato | JP | Yokohama-Shi | 2012-06-28 / 20120164291 - SOFT CANDY AND SOFT CANDY PRODUCTION METHOD | 1 |
Osamu Kato | JP | Fukuoka | 2015-02-12 / 20150043504 - WIRELESS BASE STATION DEVICE, WIRELESS RESOURCE MANAGEMENT METHOD, AND WIRELESS RESOURCE MANAGEMENT PROGRAM | 6 |
Toshihide Kato | JP | Hiroshima | 2013-07-18 / 20130184133 - DEFECTIVE PRODUCT REMOVING DEVICE FOR BOX MAKING MACHINE AND BOX MAKING MACHINE | 3 |
Kenichiro Kato | JP | Tokyo | 2008-10-09 / 20080248870 - Game Device, Information Storage Medium, and Game Device Control Method | 1 |
Yoshitake Kato | JP | Sagamihara-Shi | 2011-01-13 / 20110008955 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS | 1 |
Yoshitake Kato | JP | Tokyo | 2016-03-10 / 20160071850 - Semiconductor Integrated Circuit Device and Method for Producing the Same | 2 |
Naoto Kato | JP | Toyota-Shi | 2015-12-31 / 20150377163 - INTERNAL COMBUSTION ENGINE CONTROLLER | 5 |
Chie Kato | JP | Shizuoka | 2013-01-17 / 20130019327 - METHOD FOR SEARCHING AND SCREENING FOR TARGET OF ANTI-CANCER AGENT USING NON-HUMAN ANIMAL MODEL HAVING NOG ESTABLISHED CANCER CELL LINE TRANSPLANTED THEREINAANM Suzuki; MasamiAACI ShizuokaAACO JPAAGP Suzuki; Masami Shizuoka JPAANM Matsubara; KoichiAACI HeliosAACO SGAAGP Matsubara; Koichi Helios SGAANM Kato; AtsuhikoAACI ShizuokaAACO JPAAGP Kato; Atsuhiko Shizuoka JPAANM Kato; ChieAACI ShizuokaAACO JPAAGP Kato; Chie Shizuoka JPAANM Kobayashi; ShintaAACI HeliosAACO SGAAGP Kobayashi; Shinta Helios SGAANM Chen; Yu JauAACI HeliosAACO SGAAGP Chen; Yu Jau Helios SGAANM Yamazaki; MasakiAACI HeliosAACO SGAAGP Yamazaki; Masaki Helios SG | 1 |
Tadahiro Kato | JP | Nishishirakawa | 2012-11-15 / 20120289025 - METHOD FOR MANUFACTURING BONDED WAFER | 5 |
Hideki Kato | JP | Machida-Shi, Tokyo-To | 2015-12-24 / 20150367857 - VEHICLE-CENTER-OF-GRAVITY CONDITION DETERMINING APPARATUS AND VEHICLE BEHAVIOR CONTROL SYSTEM | 1 |
Yoshiaki Kato | JP | Aichi-Ken | 2009-06-11 / 20090145538 - MANUFACTURING METHOD OF VEHICLE MOLDED CEILING | 1 |
Tadahiro Kato | JP | Fukushima | 2015-10-08 / 20150283664 - OUTER PERIPHERY POLISHING APPARATUS FOR DISC-SHAPED WORKPIECE | 4 |
Yutaka Kato | JP | Kyotanabe-Shi | 2014-10-09 / 20140301648 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD AND PROGRAM | 2 |
Noboru Kato | JP | Kyoto | 2014-11-06 / 20140328038 - ELECTRONIC COMPONENT-EMBEDDED MODULE | 2 |
Hiroyuki Kato | JP | Tochigi | 2014-10-09 / 20140299723 - PIPE MOUNTING UNIT WITH GROUNDING FUNCTION | 1 |
Hisayuki Kato | JP | Kurobe-Shi | 2008-12-18 / 20080310700 - Article Visual Inspection Apparatus | 1 |
Taku Kato | JP | Osaka-Shi | 2015-10-29 / 20150307454 - Sulfonamide Compound | 3 |
Taku Kato | JP | Kakogawa-Shi | 2013-10-03 / 20130260164 - STEEL PLATE WITH EXCELLENT HYDROGEN INDUCED CRACKING RESISTANCE, AND MANUFACTURING METHOD OF THE SAME | 1 |
Hideki Kato | JP | Hino-Shi | 2014-10-09 / 20140299097 - ENGINE CONTROL SYSTEM | 1 |
Hisayuki Kato | JP | Tokyo | 2011-01-13 / 20110006392 - SEMICONDUCTOR DEVICE | 2 |
Yoshiyasu Kato | JP | Yamanashi-Ken | 2009-10-01 / 20090245979 - Carrier Supporting Apparatus | 1 |
Haruo Kato | JP | Hokkaido | 2011-03-17 / 20110064314 - LINE SEGMENT EXTRACTION DEVICE | 1 |
Tomonobu Kato | JP | Kyoto | 2009-06-25 / 20090161388 - DISPLAY UNIT | 1 |
Junichi Kato | JP | Kyoto | 2011-11-03 / 20110267893 - NON-VOLATILE SEMICONDUCTOR MEMORY AND MEMORY SYSTEM | 2 |
Rieko Kato | JP | Niigata | 2013-01-17 / 20130017454 - LITHIUM ION SECONDARY BATTERY AND METHOD FOR PRODUCING SAMEAANM Sato; HiroshiAACI NiigataAACO JPAAGP Sato; Hiroshi Niigata JPAANM Sasagawa; HiroshiAACI NiigataAACO JPAAGP Sasagawa; Hiroshi Niigata JPAANM Fuji; MegumiAACI NiigataAACO JPAAGP Fuji; Megumi Niigata JPAANM Kato; RiekoAACI NiigataAACO JPAAGP Kato; Rieko Niigata JPAANM Fujita; TakayukiAACI NiigataAACO JPAAGP Fujita; Takayuki Niigata JP | 2 |
Masaaki Kato | JP | Okayama | 2015-08-27 / 20150240370 - CONTINUOUS ELECTROLYSIS METHOD WITH ELECTROLYTIC BATH FOR POLYSULFIDE PRODUCTION AND ELECTROLYSIS DEVICE FOR IMPLEMENTING THE SAME | 4 |
Makiko Kato | JP | Kyoto | 2010-01-07 / 20100003731 - PROCESS FOR PRODUCING LACTIC ACID | 1 |
Yoshinori Kato | JP | Nagoya-Shi | 2013-10-03 / 20130258006 - INK CARTRIDGE | 8 |
Fumihito Kato | JP | Kyoto | 2010-02-18 / 20100038734 - VIBRATION SENSOR AND METHOD FOR MANUFACTURING THE VIBRATION SENSOR | 1 |
Yoshinori Kato | JP | Tokyo | 2016-03-03 / 20160059345 - FRICTION-STIR WELDING TOOL, FRICTION STIR WELDING DEVICE, AND METHOD FOR MANUFACTURING WELDING MATERIAL | 12 |
Yoshinori Kato | JP | Tochigi | 2009-05-21 / 20090130481 - PROCESS FOR PRODUCING CLAD MATERIAL AND EQUIPMENT THEREFOR | 1 |
Kazuomi Kato | JP | Kyoto | 2013-09-05 / 20130232493 - VIRTUAL COMPUTER CONTROL APPARATUS, VIRTUAL COMPUTER CONTROL METHOD, VIRTUAL COMPUTER CONTROL PROGRAM, AND INTEGRATED CIRCUIT | 3 |
Shigeo Kato | JP | Kyoto | 2011-03-17 / 20110065149 - METHOD OF PRODUCING FUSED PROTEIN | 1 |
Mikihiko Kato | JP | Kyoto | / - | 1 |
Mai Kato | JP | Tokyo | 2015-06-11 / 20150162107 - COLLIMATOR MODULE MANUFACTURING METHOD, COLLIMATOR MODULE, RADIATION DETECTION DEVICE, AND RADIATION IMAGING DEVICE | 2 |
Mai Kato | JP | Haga-Gun | 2013-07-04 / 20130168997 - VEHICULAR DOOR | 1 |
Yo Kato | JP | Tokyo | 2015-03-19 / 20150078649 - CELL ANALYZING APPARATUS AND CELL ANALYZING METHOD | 2 |
Tatsuro Kato | JP | Wako-Shi | 2014-01-02 / 20140000556 - TRACTION CONTROL SYSTEM FOR VEHICLE | 1 |
Suguru Kato | JP | Wako-Shi | 2013-09-19 / 20130244828 - CONTROL APPARATUS FOR ELECTRIC VEHICLE | 1 |
Shinichiro Kato | JP | Aichi | 2014-11-06 / 20140326365 - NITROCARBURIZED CRANKSHAFT AND METHOD OF MANUFACTURING THE SAME | 2 |
Toshiaki Kato | JP | Aichi | 2014-10-16 / 20140305304 - Mist/Dust Collector | 1 |
Hiromi Kato | JP | Kanagawa-Ken | 2012-01-05 / 20120001012 - Traversing In-Core Probe Drive Unit and Method for Monitoring Friction of Inside of Guide Tubes | 3 |
Munetaka Kato | JP | Ashigarakami-Gun | 2015-07-23 / 20150204986 - RADIOGRAPHIC IMAGE DETECTION DEVICE | 3 |
Yoshihisa Kato | JP | Kyoto | 2010-05-27 / 20100129242 - BRUSHLESS MOTOR AND FAN UNIT | 2 |
Yasushi Kato | JP | Chita-Shi | 2014-09-25 / 20140287914 - STAINLESS STEEL FOIL AND CATALYST CARRIER FOR EXHAUST GAS PURIFYING DEVICE USING THE FOIL | 1 |
Yusaku Kato | JP | Hiroshima | 2010-04-22 / 20100096916 - CORE FOR RUBBER TRACK AND RUBBER TRACK | 1 |
Seiji Kato | JP | Kyoto | 2009-06-04 / 20090142776 - ANTIBODY FOR ASSAYING ADAMTS13 ACTIVITY AND METHOD FOR ASSAYING THE ACTIVITY | 1 |
Kentaro Kato | JP | Kyoto | 2010-08-05 / 20100195062 - DISCHARGE LAMP LIGHTING DEVICE AND PROJECTOR | 1 |
Sadaatsu Kato | JP | Yokosuka-Shi | 2015-12-24 / 20150373360 - MOVING PICTURE ENCODING APPARATUS, MOVING PICTURE DECODING APPARATUS, MOVING PICTURE ENCODING METHOD, MOVING PICTURE DECODING METHOD, MOVING PICTURE ENCODING PROGRAM, AND MOVING PICTURE DECODING PROGRAM | 22 |
Tomohiko Kato | JP | Tokyo | 2015-10-29 / 20150311519 - NEGATIVE ELECTRODE ACTIVE MATERIAL FOR LITHIUM ION SECONDARY BATTERY, NEGATIVE ELECTRODE FOR LITHIUM ION SECONDARY BATTERY, AND LITHIUM ION SECONDARY BATTERY | 14 |
Jun-Ya Kato | JP | Kanagawa-Ken | 2009-12-10 / 20090306145 - Pyridylisoxazole Derivatives | 2 |
Kyoko Kato | JP | Kanagawa-Ken | 2011-11-10 / 20110272817 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 2 |
Shigeru Kato | JP | Kanagawa-Ken | 2010-09-02 / 20100219579 - Stacker and card processing apparatus equipped with the stacker | 1 |
Masami Kato | JP | Kanagawa-Ken | 2008-09-04 / 20080211904 - Situation Monitoring Device and Situation Monitoring System | 1 |
Kazuko Kato | JP | Kanagawa-Ken | 2009-05-21 / 20090129739 - EDITING DEVICE, INFORMATION PROCESSING DEVICE, EDITING METHOD, AND EDITING PROGRAM PRODUCT | 1 |
Takamasa Kato | JP | Chiba-Shi | 2015-01-15 / 20150013153 - HIGH FREQUENCY CABLE, HIGH FREQUENCY COIL AND METHOD FOR MANUFACTURING HIGH FREQUENCY CABLE | 2 |
Maiko Kato | JP | Tokyo | 2010-02-25 / 20100047854 - METHOD FOR DETECTION OF HUMAN PRECURSOR T CELL AND PRECURSOR B CELL | 1 |
Akikazu Kato | JP | Tokyo | 2014-10-09 / 20140304551 - PROGRAM ANALYSIS SUPPORTING DEVICE AND CONTROL DEVICE | 1 |
Takashi Kato | JP | Chigasaki-Shi | 2013-12-12 / 20130329761 - QUANTUM CASCADE SEMICONDUCTOR LASER | 1 |
Takashi Kato | JP | Gifu | 2013-12-05 / 20130321695 - IMAGING APPARATUS | 1 |
Takashi Kato | JP | Fujinomiya-Shi | 2014-01-02 / 20140002888 - ELECTROWETTING DISPLAY APPARATUS AND DYE COMPOSITION FOR ELECTROWETTING DISPLAY | 3 |
Takashi Kato | JP | Shizuoka-Ken | 2015-09-10 / 20150253591 - DYE COMPOSITION FOR ELECTROWETTING DISPLAY AND ELECTROWETTING DISPLAY DEVICE | 7 |
Takashi Kato | JP | Wako | 2013-04-25 / 20130101916 - FUEL CELL | 1 |
Ikuo Kato | JP | Hagagun | 2011-01-20 / 20110010940 - SPINNING METHOD | 1 |
Ikuo Kato | JP | Kumagaya-Shi | 2011-01-13 / 20110005292 - METHOD OF FORMING CURLED PORTION | 1 |
Mariyo Kato | JP | Osaka-Shi | 2015-03-05 / 20150064281 - OPHTHALMIC COMPOSITION | 2 |
Takanobu Kato | JP | Yokohama-Shi | 2014-10-09 / 20140302092 - MUTANT REPLICON DERIVED FROM GENOME OF HEPATITIS C VIRUS J6CF STRAIN | 1 |
Shingo Kato | JP | Kanagawa-Ken | 2010-01-28 / 20100023509 - PROTECTING INFORMATION IN SEARCH QUERIES | 2 |
Shinjiro Kato | JP | Hyogo | 2014-10-09 / 20140300522 - COIL ANTENNA, COIL ANTENNA-MOUNTED STRUCTURE, COIL ANTENNA MANUFACTURING METHOD, COIL ANTENNA-MOUNTED STRUCTURE MANUFACTURING METHOD | 1 |
Yudai Kato | JP | Tokyo | 2016-02-04 / 20160032201 - GAS PURIFICATION APPARATUS AND GAS PURIFICATION METHOD | 4 |
Yudai Kato | JP | Mihara | 2010-11-04 / 20100278710 - METHOD AND SYSTEM FOR RECOVERING HIGH-PURITY CO2 FROM GASIFICATION GAS | 3 |
Hiromasa Kato | JP | Kanagawa-Ken | 2010-11-04 / 20100275727 - GETTER MATERIAL AND EVAPORABLE GETTER DEVICE USING THE SAME, AND ELECTRON TUBE | 3 |
Yoshiko Kato | JP | Kanagawa-Ken | 2014-09-25 / 20140284684 - SEMICONDUCTOR MEMORY DEVICE | 5 |
Masayo Kato | JP | Kanagawa-Ken | 2010-05-13 / 20100119037 - NONDESTRUCTIVE IDENTIFICATION METHOD AND NONDESTRUCTIVE IDENTIFICATION DEVICE | 1 |
Ichiro Kato | JP | Kanagawa-Ken | 2009-03-05 / 20090058516 - SENSOR APPARATUS HAVING SENSOR ELEMENT | 1 |
Shinichiro Kato | US | 2014-10-09 / 20140299234 - CARBURIZED PART, METHOD FOR MANUFACTURING THEREOF, AND STEEL FOR CARBURIZED PART | 1 | |
Teruo Kato | JP | Chiba-Shi | 2015-04-30 / 20150119678 - BIOLOGICAL INFORMATION DETECTING DEVICE | 5 |
Ichiro Kato | JP | Chiba-Shi | 2010-06-10 / 20100143842 - METHOD FOR PRODUCING A COPOLYMER SOLUTION WITH A UNIFORM CONCENTRATION FOR SEMICONDUCTOR LITHOGRAPHY | 2 |
Shinjiro Kato | JP | Chiba-Shi | 2016-02-04 / 20160033981 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND METHOD OF REGULATING OUTPUT VOLTAGE THEREOF | 7 |
Hiroshi Kato | JP | Chigasaki-Shi | 2013-01-24 / 20130024466 - SYSTEM EVENT LOGGING SYSTEM | 1 |
Yoshinori Kato | US | Baltimore | 2016-04-07 / 20160095945 - USE OF NON-LABELED SUGARS AND DETECTION BY MRI FOR ASSESSING TISSUE PERFUSION AND METABOLISM | 3 |
Takuya Kato | JP | Kariya-Shi | 2014-05-01 / 20140116377 - AIR INTAKE CONTROL VALVE AND AIR INTAKE APPARATUS | 1 |
Nobuhide Kato | JP | Kariya-Shi | 2013-06-27 / 20130165296 - SHIFT CONTROL APPARATUS FOR CONTINUOUSLY VARIABLE TRANSMISSION | 3 |
Ko Kato | JP | Kizugawa-Shi | 2012-07-05 / 20120174256 - RECOMBINANT DNA MOLECULE ENCODING 5' UTR CAPABLE OF PREVENTING INHIBITION OF TRANSLATION UNDER ENVIRONMENTAL STRESSES | 1 |
Yasuki Kato | JP | Chiba | 2012-05-03 / 20120107377 - PARTICULATE COMPOSITION AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 8 |
Yasuki Kato | JP | Shizuoka | 2010-07-08 / 20100172967 - COMPOUND MODIFIED WITH GLYCEROL DERIVATIVE | 3 |
Yoshinori Kato | JP | Saitama | 2009-03-19 / 20090071875 - CATALYST FOR HYDRODESULFURIZATION/DEWAXING OF HYDROCARBON OIL, PROCESS FOR PRODUCING THE SAME, AND METHOD OF HYDROTREATING HYDROCARBON OIL WITH THE CATALYST | 1 |
Kimihide Kato | JP | Nisshin-Shi | 2014-05-22 / 20140137477 - VEHICLE DOOR OPENING AND CLOSING APPARATUS | 1 |
Ikuya Kato | JP | Nagoya-Shi | 2012-07-12 / 20120174424 - WORKPIECE CENTERING APPARATUS AND WORKPIECE CENTERING METHOD | 1 |
Yasuki Kato | JP | Sunto-Gun | 2015-09-24 / 20150265545 - METHOD FOR COATING FINE PARTICLES WITH LIPID MEMBRANE | 2 |
Yasuki Kato | JP | Susono-Shi | 2012-11-29 / 20120301539 - METHOD OF PRODUCING COATED FINE PARTICLES | 2 |
Katsuya Kato | JP | Osaka-Shi | 2016-02-25 / 20160057760 - BASE STATION APPARATUS, TERMINAL APPARATUS, AND COMMUNICATION SYSTEM | 19 |
Naoyo Kato | JP | Ama-Gun | 2010-01-28 / 20100020325 - Fuel property detection apparatus | 1 |
Eiji Kato | JP | Tokyo | 2015-10-29 / 20150310972 - RARE EARTH BASED MAGNET | 18 |
Yoshinori Kato | JP | Moka-Shi | 2013-03-14 / 20130065080 - METHOD FOR MANUFACTURING CLAD MATERIAL AND EQUIPMENT FOR MANUFACTURING THE SAME | 6 |
Yoshiaki Kato | JP | Gunma | 2015-08-27 / 20150239217 - MICROCHIP AND METHOD FOR MANUFACTURING THE SAME | 9 |
Rintaro Kato | JP | Tokyo | 2014-10-02 / 20140292992 - PRINTER APPARATUS AND METHOD FOR OPERATING PRINTER APPARATUS | 8 |
Yoshinori Kato | JP | Kanagawa | 2010-10-07 / 20100253733 - SIGNAL PROCESSING DEVICE AND LIQUID DROPLET EJECTION DEVICE | 2 |
Katsuhisa Kato | JP | Inzai-Shi | 2011-09-15 / 20110219699 - EXTRUSION MOLDED PRODUCT HAVING A CORE MATERIAL | 4 |
Takashi Kato | JP | Tokyo | 2016-01-07 / 20160003547 - FLAT TUBE HEAT EXCHANGER AND OUTDOOR UNIT OF AIR-CONDITIONING APPARATUS INCLUDING THE HEAT EXCHANGER | 11 |
Yasuki Kato | JP | Kashiwa | 2016-03-03 / 20160058703 - PARTICULATE PHARMACEUTICAL COMPOSITION | 1 |
Tomoaki Kato | JP | Tokyo | 2014-08-21 / 20140233962 - OPTICAL MODULATOR MODULE AND MODULATION METHOD FOR OPTICAL SIGNAL | 12 |
Hajime Kato | JP | Kakegawa-Shi | 2016-03-31 / 20160090053 - TERMINAL BOX FOR ELECTRIC VEHICLE MOUNTED WITH IN-WHEEL MOTOR | 32 |
Masahiro Kato | JP | Hyogo | 2012-07-19 / 20120180692 - GAS GENERATING AGENT COMPOSITION AND MOLDED PRODUCT THEROF AND GAS GENERATOR USING THE SAME | 1 |
Makoto Kato | JP | Hyogo-Ken | 2012-07-19 / 20120183006 - OPTICAL DEVICE, LASER BEAM SOURCE, LASER APPARATUS AND METHOD OF PRODUCING OPTICAL DEVICE | 1 |
Masatoshi Kato | JP | Yokohama-Shi | 2012-07-19 / 20120183614 - POLYPHENOL COMPOUND ABSORPTION PROMOTER AND UTILIZATION OF SAME | 1 |
Hiroyuki Kato | JP | Makinohara-Shi | 2013-01-03 / 20130000957 - CONNECTION STRUCTURE FOR A WIRING MEMBER | 4 |
Seiichi Kato | JP | Tsuchiura | 2014-02-27 / 20140055756 - OPTICAL MODULE AND SCAN-TYPE IMAGE PROJECTION DISPLAY DEVICE | 10 |
Seiichi Kato | JP | Tokyo | 2016-01-07 / 20160003616 - ANGULAR VELOCITY SENSOR | 5 |
Seiichi Kato | JP | Minamiashigara | 2008-11-13 / 20080280226 - Polyimide film, image-forming apparatus, method for producing the polyimide film, and method for producing the intermediate transfer belt | 1 |
Masataka Kato | JP | Musashino-Shi | 2012-08-30 / 20120218819 - NONVOLATILE SEMICONDUCTOR MEMORY | 3 |
Masataka Kato | JP | Hiratsuka-Shi | 2015-12-17 / 20150360470 - METHOD OF FORMING THROUGH-SUBSTRATE | 10 |
Shigemasa Kato | JP | Shizuoka-Ken | 2014-09-18 / 20140265703 - MOTOR AND ROTOR | 1 |
Masataka Kato | JP | Tokyo | 2011-07-28 / 20110183727 - MOBILE COMMUNICATION TERMINAL | 4 |
Kenta Kato | JP | Seto-City | 2010-12-30 / 20100329024 - MEMORY EMPLOYING SEPARATE DYNAMIC REFERENCE AREAS | 2 |
Masataka Kato | JP | Yokohama-Shi | 2015-03-05 / 20150062231 - PRINTING APPARATUS AND CONTROL METHOD | 20 |
Masataka Kato | JP | Koganei | 2009-09-17 / 20090230453 - NON-VOLATILE SEMICONDUCTOR MEMORY AND METHOD OF MAKING SAME, AND SEMICONDUCTOR DEVICE AND METHOD OF MAKING DEVICE | 1 |
Masataka Kato | JP | Naka-Gun | 2008-11-13 / 20080277379 - METHOD FOR MANUFACTURING A FILTER SUBSTRATE, INKJET RECORDING HEAD, AND METHOD FOR MANUFACTURING THE INKJET RECORDING HEAD | 1 |
Kiyoshi Kato | JP | Tokyo | 2015-09-24 / 20150269053 - OPERATION MANAGEMENT APPARATUS AND OPERATION MANAGEMENT METHOD | 13 |
Yumiko Kato | JP | Kawasaki-Shi | 2014-05-01 / 20140120233 - Method for Producing Lanthionine Derivative | 1 |
Nobuji Kato | JP | Aichi | 2014-09-18 / 20140261945 - PUNCTURE-FREE INNER TUBE | 1 |
Zenta Kato | JP | Miyagi-Ken | 2009-02-12 / 20090042998 - CATALYST FOR METHANATION OF CARBON OXIDES, PREPARATION METHOD OF THE CATALYST AND PROCESS FOR THE METHANATION | 1 |
Masaki Kato | JP | Kanagawa | 2009-05-21 / 20090129223 - PHASE-CHANGE OPTICAL INFORMATION RECORDING MEDIUM AND METHOD FOR RECORDING AND RECORDING APPARATUS USING THE SAME | 3 |
Masaki Kato | US | San Jose | 2009-10-01 / 20090245719 - REFLECTION SUPPRESSION IN A PHOTONIC INTEGRATED CIRCUIT | 1 |
Masaki Kato | JP | Tokyo | 2015-10-08 / 20150287670 - POWER SEMICONDUCTOR DEVICE | 20 |
Masaki Kato | US | Sunnyvale | 2010-07-01 / 20100166424 - COOLERLESS PHOTONIC INTEGRATED CIRCUITS (PICs) FOR WDM TRANSMISSION NETWORKS AND PICs OPERABLE WITH A FLOATING SIGNAL CHANNEL GRID CHANGING WITH TEMPERATURE BUT WITH FIXED CHANNEL SPACING IN THE FLOATING GRID | 1 |
Masaki Kato | JP | Himeji-Shi | 2014-01-16 / 20140015404 - SHORT ARC TYPE MERCURY LAMP | 3 |
Masaki Kato | JP | Chiyoda-Ku | 2015-06-11 / 20150162808 - ROTARY ELECTRIC MACHINE WITH POWER CONVERTER | 11 |
Masaki Kato | JP | Yokohama-Shi | 2015-08-27 / 20150241778 - Substrate Processing Apparatus, Processing Apparatus, and Method for Manufacturing Device | 5 |
Masaki Kato | JP | Niigata | 2011-12-22 / 20110313105 - POLYVINYL ALCOHOL POLYMER AND METHOD FOR PRODUCING THE SAME | 6 |
Masaki Kato | JP | Kariya-Shi | 2014-06-05 / 20140151239 - ANODIZING APPARATUS AND ANODIZING METHOD | 5 |
Eiichi Kato | JP | Kobe-Shi | 2016-01-07 / 20160001789 - RAILCAR BODYSHELL | 2 |
Masaki Kato | JP | Kanagawa-Ken | 2009-10-01 / 20090248931 - COMPUTER SYSTEM | 1 |
Masaki Kato | JP | Fuchu-Shi | 2011-05-12 / 20110113289 - UNIT FOR PREDICTING MALFUNCTION OF AN APPARATUS | 2 |
Masaki Kato | JP | Okayama | 2010-12-23 / 20100324198 - DISPERSION STABILIZER FOR SUSPENSION POLYMERIZATION OF VINYL COMPOUND AND METHOD OF PRODUCING VINYL COMPOUND POLYMER | 6 |
Shun Kato | JP | Fukuroi-Shi | 2013-09-12 / 20130235589 - ILLUMINATION DEVICE | 1 |
Kyoichi Kato | JP | Tokyo | 2009-04-23 / 20090101702 - Plastic box | 1 |
Yoji Kato | US | 2014-09-18 / 20140274931 - NOVEL COMPOUND CONTAINED IN MANUKA HONEY AND USE OF SAME | 1 | |
Takahide Kato | JP | Tochigi | 2012-07-26 / 20120189927 - EJECTOR APPARATUS FOR FUEL CELL | 1 |
Koichi Kato | JP | Wako | 2014-05-15 / 20140133516 - TEMPERATURE DETECTING CIRCUIT | 6 |
Hidenori Kato | JP | Kobe-Shi | 2014-09-18 / 20140269836 - SENSOR, METHOD OF MANUFACTURING THE SENSOR, AND IMAGE FORMING APPARATUS INCLUDING THE SENSOR | 1 |
Shigeki Kato | JP | Toyoake-Shi | 2016-03-31 / 20160089909 - LIQUID EJECTION APPARATUS | 19 |
Yusuke Kato | JP | Aichi-Ken | 2009-04-09 / 20090092171 - Method for controlling an expandable mixture | 1 |
Yusuke Kato | JP | Nisshin-Shi | 2009-06-04 / 20090140912 - Radar apparatus and mounting structure for radar apparatus | 3 |
Yusuke Kato | JP | Tokushima | 2013-12-12 / 20130331358 - Water-Soluble Benzoazepine Compound and Its Pharmaceutical Composition | 2 |
Yusuke Kato | JP | Toyokawa-Shi | 2016-05-05 / 20160121388 - SAND FOR CASTING MOLD, MANUFACTURING METHOD FOR SAND CASTING-MOLD, AND CORE FOR METAL CASTING | 6 |
Yusuke Kato | JP | Aichi | 2010-06-10 / 20100140823 - PROCESS FOR MAKING MOLDS | 2 |
Yusuke Kato | JP | Osaka | 2016-01-28 / 20160026135 - IMAGE FORMING APPARATUS | 5 |
Yusuke Kato | JP | Tokushima-Shi | 2012-11-01 / 20120277189 - WATER-SOLUBLE BENZOAZEPINE COMPOUND AND ITS PHARMACEUTICAL COMPOSITION | 4 |
Sadahiro Kato | JP | Kanagawa | 2014-03-27 / 20140084298 - NITRIDE COMPOUND SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Nobuharu Kato | JP | Kamiina-Gun | 2012-08-02 / 20120193848 - COIL SPRING | 1 |
Masako Kato | JP | Yokohama | 2011-09-29 / 20110237001 - SEMICONDUCTOR CHIP USED FOR EVALUATION, EVALUATION SYSTEM, AND REPAIRING METHOD THEREOF | 2 |
Masanori Kato | JP | Yokohama | 2009-10-08 / 20090253452 - Mobile Communication System, Base Station Device, and Interference Wave Judging Method | 1 |
Hiromitsu Kato | JP | Yokohama | 2013-03-14 / 20130067045 - INTERSYSTEM COORDINATION APPARATUS IN DISTRIBUTION SYSTEM | 3 |
Hideharu Kato | JP | Yokohama | 2009-06-25 / 20090165011 - RESOURCE MANAGEMENT METHOD, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, AND PROGRAM | 1 |
Hideo Kato | JP | Yokohama | 2009-07-16 / 20090181734 - SLIDE MECHANISM OF PORTABLE EQUIPMENT AND PORTABLE EQUIPMENT | 1 |
Norimitsu Kato | JP | Yokohama | 2015-07-09 / 20150194280 - GAS CIRCUIT BREAKER | 3 |
Hiroyasu Kato | JP | Yokohama | 2009-02-05 / 20090037014 - APPARATUS FOR ASSISTING DECISIONS FOR IMPROVEMENT OF ENVIRONMENTAL LOAD | 1 |
Seiya Kato | JP | Yokohama | 2010-04-22 / 20100098095 - ROUTING METHOD IN IN-VEHICLE GATEWAY DEVICE | 1 |
Tatsuro Kato | JP | Hitachi | 2013-10-17 / 20130270825 - Wind Power Generator | 2 |
Tamaki Kato | JP | Fukuoka | 2009-01-22 / 20090023200 - PARTICLE FOR DETECTING ENZYME ACTIVITY, METHOD FOR DETECTING THE ENZYME ACTIVITY AND ENZYME ACTIVITY DETECTION DEVICE BY THE USE THEREOF | 1 |
Takuji Kato | JP | Fukuoka | 2014-07-03 / 20140187797 - LEAVING SUBSTITUENT-CONTAINING COMPOUND, ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC SEMICONDUCTOR FILM CONTAINING THE MATERIAL, ORGANIC ELECTRONIC DEVICE CONTAINING THE FILM, METHOD FOR PRODUCING FILM-LIKE PRODUCT, PI-ELECTRON CONJUGATED COMPOUND AND METHOD FOR PRODUCING THE PI-ELECTRON CONJUGATED COMPOUND | 11 |
Hanako Kato | JP | Fukuoka | 2013-02-14 / 20130037748 - SEMICONDUCTOR DEVICE MEMBER, PRODUCTION METHOD OF SEMICONDUCTOR-DEVICE-MEMBER FORMATION LIQUID AND SEMICONDUCTOR DEVICE MEMBER, AND SEMICONDUCTOR-DEVICE-MEMBER FORMATION LIQUID, PHOSPHOR COMPOSITION, SEMICONDUCTOR LIGHT-EMITTING DEVICE, LIGHTING SYSTEM AND IMAGE DISPLAY SYSTEM USING THE SAME | 5 |
Yoshinobu Kato | JP | Fukuoka | 2010-06-03 / 20100132105 - FLUSH TOILET | 3 |
Masato Kato | JP | Fukuoka | / - | 1 |
Akihito Kato | JP | Tokyo | 2010-05-13 / 20100120475 - WIRELESS COMMUNICATION APPARATUS AND POWER-SUPPLY APPARATUS | 1 |
Yoshiaki Kato | JP | Kanagawa | 2014-12-11 / 20140362464 - POSITION DETECTION ENCODER | 3 |
Taro Kato | JP | Kawasaki-Shi | 2016-03-10 / 20160071896 - SOLID-STATE IMAGE SENSING DEVICE AND CAMERA | 21 |
Hideki Kato | JP | Nissin-Shi Aichi-Ken | 2012-08-09 / 20120200069 - CURTAIN AIRBAG APPARATUS | 1 |
Mitsuaki Kato | JP | Anjyo-Shi | 2013-06-06 / 20130140136 - SHUTTLE CONVEYOR, CIRCUIT-SUBSTRATE WORKING MACHINE, AND CIRCUIT-SUBSTRATE WORKING SYSTEM | 3 |
Tomihisa Kato | JP | Aichi-Ken | 2009-10-08 / 20090254107 - Catheter device | 4 |
Ryoichi Kato | JP | Ibaraki | 2012-10-18 / 20120264010 - NONAQUEOUS ELECTROLYTES AND NONAQUEOUS-ELECTROLYTE SECONDARY BATTERIES EMPLOYING THE SAME | 8 |
Masaji Kato | JP | Ibaraki | 2009-05-07 / 20090118450 - METHOD OF TAKING (METH)ACRYLIC ESTER POLYMER OUT OF LIQUID REACTION MIXTURE | 1 |
Takafumi Kato | JP | Ibaraki | 2009-12-10 / 20090301959 - Hollow Fibers, A Method of Manufacturing a Hollow Fiber Bundle, A Cylindrical Module of Hollow Fiber Membrane, and an Immersion Type Module of Hollow Fiber Membrane | 1 |
Toshinori Kato | JP | Ibaraki | 2010-02-11 / 20100035158 - POLYMER ELECTROLYTE, ELECTROCHEMICAL DEVICE, AND ACTUATOR ELEMENT | 1 |
Yasuhito Kato | JP | Ibaraki | 2010-04-22 / 20100099569 - ADJUVANT COMPOSITION, AGROCHEMICAL SPRAY AQUEOUS SOLUTION CONTAINING THE SAME AND CONTROL METHOD USING THE SAME | 1 |
Jun Kato | JP | Ibaraki | 2010-12-30 / 20100330694 - BROMATE ION MEASUREMENT METHOD AND APPARATUS | 1 |
Tomihisa Kato | JP | Nagoya-Shi | 2010-01-07 / 20100000151 - Wall surface greening system | 1 |
Tomihisa Kato | JP | Aichi | 2012-05-17 / 20120123329 - MEDICAL GUIDE WIRE, AN ASSEMBLY OF MICROCATHETER AND GUIDING CATHETER COMBINED WITH THE MEDICAL GUIDE WIRE, AND AN ASSEMBLY OF BALLOONCATHETER AND GUIDING CATHETER COMBINED WITH THE MEDICAL GUIDE WIRE | 4 |
Satoshi Kato | JP | Kawasaki-Shi | 2016-02-11 / 20160044288 - PHOTOELECTRIC CONVERSION APPARATUS | 11 |
Motoki Kato | JP | Minato-Ku | 2010-10-28 / 20100272126 - PLAYBACK APPARATUS AND METHOD, PROGRAM, RECORDING MEDIUM, DATA STRUCTURE, AND MANUFACTURING METHOD FOR RECORDING MEDIUM | 1 |
Yoshiteru Kato | JP | Tokyo | 2010-05-06 / 20100108788 - Process for Producing Granular Solid Matter from Coal Ash as Raw Material and Apparatus Therefor | 1 |
Yoshiteru Kato | JP | Gifu | 2010-01-14 / 20100009003 - PHARMACEUTICAL PREPARATION TO BE DISPERSED BEFORE ADMINISTRATION | 1 |
Nobuhide Kato | JP | Okazaki-Shi | 2011-04-28 / 20110098896 - AUTOMATIC TRANSMISSION AND PROTECTION METHOD THEREOF | 1 |
Shinji Kato | JP | Sanda-Shi | 2016-02-25 / 20160056025 - CYLINDRICAL SPUTTERING TARGET AND METHOD FOR MANUFACTURING SAME | 1 |
Takehito Kato | JP | Tochigi | 2012-09-13 / 20120227807 - ORGANIC PHOTOVOLTAIC CELL | 6 |
Kazuaki Kato | JP | Tochigi | 2011-05-12 / 20110112575 - Medical Suture Needle | 4 |
Jun Kato | JP | Tochigi | 2010-12-09 / 20100308465 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Erika Kato | JP | Tochigi | 2012-08-16 / 20120208360 - METHOD FOR FORMING SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Masaki Kato | JP | Tokyo | 2015-10-08 / 20150287670 - POWER SEMICONDUCTOR DEVICE | 20 |
Fumlo Kato | JP | Osaka | 2012-08-16 / 20120208051 - ALKALINE SECONDARY BATTERY | 1 |
Tomoya Kato | JP | Osaka | 2013-04-25 / 20130098127 - METHOD FOR MEASURING FLATNESS OF SHEET MATERIAL AND METHOD FOR MANUFACTURING STEEL SHEET USING THE SAME | 2 |
Yumi Kato | JP | Kawasaki-Shi | 2014-08-28 / 20140245225 - PORTABLE ELECTRONIC APPARATUS, INPUT OPERATION RECEPTION METHOD, AND INPUT OPERATION RECEPTION PROGRAM | 1 |
Atsushi Kato | JP | Aichi | 2010-12-23 / 20100319241 - FUEL PRODUCTION METHOD, FUEL PRODUCTION APPARATUS, AND FUEL OIL | 1 |
Atsushi Kato | JP | Ichinomiya-Shi | 2014-07-31 / 20140212170 - Image Forming Apparatus Capable of Reliably Protecting Exposure Member | 8 |
Atsushi Kato | JP | Seto-Shi | 2011-04-14 / 20110084116 - Friction stir welding machine and friction stir welding tool | 1 |
Atsushi Kato | JP | Shizuoka-Ken | 2011-06-16 / 20110141509 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 3 |
Atsushi Kato | JP | Seto | 2010-10-21 / 20100265040 - BIOMETRIC AUTHENTICATION APPARATUS | 1 |
Atsushi Kato | JP | Toyama | 2010-06-10 / 20100145052 - FUSED TRICYCLIC COMPOUND HAVING ALDOSE REDUCTASE INHIBITORY ACTIVITY | 1 |
Atsushi Kato | JP | Nagoya-Shi | 2010-11-18 / 20100290818 - Image-Forming Device Having a Belt Cleaning Unit | 1 |
Atsushi Kato | JP | Hanishina-Gun | 2010-11-11 / 20100282429 - Method for producing carbon nanocomposite metal material and method for producing metal article molded therefrom | 3 |
Atsushi Kato | JP | Kanagawa | 2013-01-31 / 20130026961 - INJECTION MOLDING MACHINE | 6 |
Atsushi Kato | JP | Kawasaki | 2009-12-17 / 20090310662 - RECEIVING SYSTEM | 1 |
Atsushi Kato | JP | Nagano | 2009-11-26 / 20090288519 - Method of Manufacturing Metal-Carbon Nanocomposite Material | 1 |
Shinya Kato | JP | Hekinan-City | 2016-02-11 / 20160039389 - AIR BLOWING DEVICE | 2 |
Shinobu Kato | JP | Ogaki | 2014-01-30 / 20140027165 - PRINTED WIRING BOARD | 3 |
Masayuki Kato | JP | Osaka-Shi | 2014-05-22 / 20140140111 - REACTOR, CONVERTER AND POWER CONVERSION DEVICE | 2 |
Atsushi Kato | JP | Shizuoka | 2009-03-05 / 20090061348 - ELECTROPHOTOGRAPHIC IMAGE-RECEIVING SHEET AND IMAGE FORMING METHOD USING THE SAME | 1 |
Yumi Kato | JP | Toyota-Cho | 2014-04-24 / 20140111861 - RED OMNIDIRECTIONAL STRUCTURAL COLOR MADE FROM METAL AND DIELECTRIC LAYERS | 1 |
Kazuhiro Kato | JP | Kanagawa | 2014-12-18 / 20140368957 - ELECTROSTATIC PROTECTION CIRCUIT | 5 |
Atsushi Kato | JP | Nagoya | 2009-01-01 / 20090001977 - BILL DISCRIMINATION DEVICE AND SENSOR FOR SAME | 1 |
Keita Kato | JP | Shizuoka | 2015-10-15 / 20150293454 - METHOD OF FORMING PATTERN AND DEVELOPER FOR USE IN THE METHOD | 20 |
Hisaki Kato | JP | Nakashima-Gun | 2014-08-28 / 20140239313 - LIGHT-EMITTING SEMICONDUCTOR DEVICE USING GROUP III NITROGEN COMPOUND | 1 |
Daisuke Kato | JP | Wako-Shi | 2014-08-28 / 20140238961 - LASER MACHINING APPARATUS | 1 |
Hiromitsu Kato | JP | Ibaraki | 2015-08-13 / 20150228727 - DIAMOND SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 4 |
Shinobu Kato | JP | Gifu | 2010-11-11 / 20100282502 - MULTILAYER PRINTED WIRING BOARD | 1 |
Masaki Kato | JP | Toyoake-Shi | 2012-08-16 / 20120207558 - TOOTH PLANE MACHINING METHOD | 1 |
Shigemasa Kato | JP | Toyohashi-Shi | 2016-01-28 / 20160028277 - MULTI-LUNDELL MOTOR | 25 |
Rihito Kato | JP | Tokyo | 2014-08-21 / 20140237110 - SERVER MONITORING | 1 |
Takahiko Kato | JP | Ibaraki | 2011-03-17 / 20110062865 - PLASMA DISPLAY PANEL | 1 |
Chiaki Kato | JP | Ibaraki | 2010-05-13 / 20100116382 - AUSTENITIC STAINLESS STEEL EXCELLENT IN INTERGRANULAR CORROSION RESISTANCE AND STRESS CORROSION CRACKING RESISTANCE, AND METHOD FOR PRODUCING AUSTENITIC STAINLESS STEEL MATERIAL | 2 |
Nobukazu Kato | JP | Tokyo | 2012-07-12 / 20120178278 - CONNECTOR | 6 |
Shinobu Kato | JP | Yokohama-Shi | 2013-03-28 / 20130077133 - IMAGE READING APPARATUS, SHADING CORRECTION METHOD THEREFOR, AND PROGRAM FOR IMPLEMENTING THE METHOD | 3 |
Yasuyoshi Kato | JP | Kure-Shi | 2013-07-25 / 20130190166 - NOx REDUCTION CATALYST FOR EXHAUST GAS AND METHOD FOR PRODUCING SAME | 4 |
Ryukou Kato | JP | Osaka | 2014-08-21 / 20140232931 - IMAGING SYSTEM AND IMAGING METHOD | 1 |
Noboru Kato | JP | Takatsuki-Shi | 2014-06-12 / 20140159984 - ANTENNA AND WIRELESS IC DEVICE | 11 |
Takehito Kato | JP | Oyama-Shi | 2016-03-17 / 20160079540 - POLYMER COMPOUND AND ELECTRIC DEVICE | 11 |
Shinji Kato | JP | Makinohara-Shi | 2014-08-21 / 20140231131 - SLIDING POWER SUPPLY DEVICE | 1 |
Koji Kato | JP | Tokyo | 2014-06-26 / 20140178102 - DRIVING APPARATUS, IMAGE FORMING APPARATUS, DRIVING METHOD AND IMAGE FORMING METHOD | 12 |
Jun Kato | JP | Tokyo | 2016-02-25 / 20160054981 - PROGRAMMING INTERFACE | 1 |
Katsutoshi Kato | JP | Kyoto | 2015-12-31 / 20150382507 - HEAT MODULE | 1 |
Ayako Kato | JP | Tokyo | 2011-02-03 / 20110028164 - MOVEMENT HISTORY REFERENCE SYSTEM, MOVEMENT INFORMATION REGISTRATION SERVER, INFORMATION COLLECTION SERVER, MOVEMENT HISTORY REFERENCE METHOD AND PROGRAM | 2 |
Tomokazu Kato | JP | Aichi | 2016-02-25 / 20160054959 - PRINT APPARATUS AND PRINT SYSTEM | 1 |
Haruhisa Kato | JP | Tsukuba-Shi | 2012-08-30 / 20120217151 - PHOTORESPONSIVE IONIC ORGANIC COMPOUND, METHOD OF PRODUCING THE SAME, AND PHOTORESPONSIVE CARBON NANOTUBE DISPERSANT COMPRISING SAID IONIC ORGANIC COMPOUND | 1 |
Hitoshi Kato | JP | Oshu-Shi | 2016-05-19 / 20160138159 - FILM FORMING APPARATUS | 30 |
Yoshinari Kato | JP | Mizunami-Shi | 2010-06-24 / 20100154789 - Injection Flame Burner and Furnace Equipped With Same Burner and Method for Generating Flame | 1 |
Yoshinari Kato | JP | Shiga | 2013-03-21 / 20130071666 - Method of producing tempered glass sheet | 5 |
Hiroyuki Kato | JP | Koza-Gun | 2015-03-19 / 20150075461 - VARIABLE VALVE ACTUATION APPARATUS OF INTERNAL COMBUSTION ENGINE | 9 |
Sumio Kato | JP | Sabae-Shi | 2010-12-30 / 20100328767 - Polarizing Plastic Optical Device and Process for Producing the Same | 1 |
Hiroshi Kato | JP | Ibaraki | 2015-11-12 / 20150322307 - Adhesive Composition for Protective Film on Painting, and Manufacturing Process Thereof | 3 |
Mitsuaki Kato | JP | Kawasaki-Shi | 2014-12-11 / 20140362599 - LIGHTING APPARATUS AND LIGHT GUIDE | 2 |
Yoshifumi Kato | JP | Aichi-Ken | 2011-03-03 / 20110052454 - EXHAUST GAS PURIFICATION APPARATUS | 11 |
Seiji Kato | JP | Wako | 2014-05-08 / 20140124277 - ELECTRICALLY DRIVEN VEHICLE | 1 |
Makoto Kato | JP | Inazawa-Shi | 2014-05-15 / 20140133936 - SCREW | 1 |
Taku Kato | JP | Kamakura-Shi | 2016-03-17 / 20160080147 - AUTHENTICATOR, AUTHENTICATEE AND AUTHENTICATION METHOD | 47 |
Go Kato | JP | Kitakyushu-Shi | 2014-07-17 / 20140196276 - METHOD OF MANUFACTURING LAMINATED ROTOR CORE | 3 |
Seiya Kato | JP | Iwata-Shi | 2012-09-06 / 20120222779 - HEAT TREATMENT METHOD, OUTER JOINT MEMBER, AND TRIPOD TYPE CONSTANT VELOCITY UNIVERSAL JOINT | 1 |
Hiroaki Kato | JP | Kariya | 2016-02-25 / 20160054393 - BATTERY-MONITORING SYSTEM AND IDENTIFYING-INFORMATION SETTING METHOD | 1 |
Yoshitake Kato | JP | Kawasaki-Shi | 2015-12-24 / 20150372074 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Ryoko Kato | JP | Tokyo | 2015-04-30 / 20150119143 - NETWORK GAME SYSTEM FOR EXECUTING EVENT IN NETWORK GAME | 3 |
Hiroko Kato | JP | Aichi | 2016-01-07 / 20160002198 - AMIDE DERIVATIVES, PROCESS FOR PREPARATION THEREOF AND USE THEREOF AS INSECTICIDE | 4 |
Masashi Kato | JP | Aichi-Ken | 2014-05-29 / 20140144274 - SHIFT DEVICE | 2 |
Shinichi Kato | JP | Matsumoto | 2016-04-21 / 20160108259 - THREE-DIMENSIONAL SHAPING COMPOSITION, METHOD FOR PRODUCING THREE-DIMENSIONALLY SHAPED ARTICLE, AND THREE-DIMENSIONALLY SHAPED ARTICLE | 9 |
Shinichi Kato | JP | Matsumo To | 2012-09-06 / 20120225969 - URETHANE (METH) ACRYLATE AND PRODUCTION METHOD THEREOF, CROSS-LINKED URETHANE (METH) ACRYLATE AND PRODUCTION METHOD THEREOF, AND LIGHT CURABLE AQUEOUS EMULSION | 1 |
Ryogo Kato | JP | Wako-Shi | 2014-06-05 / 20140155251 - SUBSTRATE FOR CARBON NANOTUBE GROWTH AND METHOD FOR MANUFACTURING THE SAME | 2 |
Hiroaki Kato | JP | Mishima-Shi | 2012-09-06 / 20120226566 - SCANNER AND SALE DATA PROCESSOR | 1 |
Satoshi Kato | JP | Kariya-Shi | 2014-11-13 / 20140336784 - PLC COMMUNICATION SYSTEM | 2 |
Yoshio Kato | JP | Hyogo | 2015-12-24 / 20150372292 - NEGATIVE ELECTRODE ACTIVE MATERIAL FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, NEGATIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY USING NEGATIVE ELECTRODE ACTIVE MATERIAL, AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY USING NEGATIVE ELECTRODE | 1 |
Hideyuki Kato | JP | Tokyo | 2014-06-12 / 20140165058 - SYSTEM RESOURCE MANAGEMENT METHOD FOR VIRTUAL SYSTEM | 1 |
Hideyuki Kato | JP | Kuki-Shi | 2014-06-12 / 20140161022 - WIRELESS COMMUNICATION NETWORK SYSTEM | 1 |
Norihito Kato | JP | Yokohama | 2014-06-19 / 20140172802 - INFORMATION PROCESSOR AND BACKUP METHOD | 1 |
Takayuki Kato | JP | Chiba | 2013-11-21 / 20130309763 - SAMPLE FEEDING APPARATUS, FLOW CYTOMETER, AND SAMPLE FEEDING METHOD | 1 |
Takayuki Kato | JP | Niwa-Gun | 2014-04-24 / 20140114462 - CHATTER VIBRATION SUPPRESSING METHOD AND MACHINE TOOL | 1 |
Tetsuhiro Kato | JP | Yokohama | 2015-12-17 / 20150363668 - TRAFFIC LANE BOUNDARY LINE EXTRACTION APPARATUS AND METHOD OF EXTRACTING TRAFFIC LANE BOUNDARY LINE | 2 |
Kenji Kato | US | Novi | 2014-06-19 / 20140172192 - SMARTPHONE CONTROLLER OF VEHICLE SETTINGS | 1 |
Teruo Kato | JP | Osaka | 2014-06-19 / 20140171159 - COMMUNICATION ANTENNA UNIT AND MOBILE TERMINAL APPARATUS | 1 |
Ju Kato | JP | Kawasaki | 2014-06-19 / 20140168937 - LIGHTING DEVICE AND ELECTRONIC DEVICE | 1 |
Yuichi Kato | JP | Wako-Shi | 2012-03-15 / 20120060799 - VEHICLE FUEL SUPPLY DEVICE | 4 |
Tadahiko Kato | JP | Kosai-Shi | 2013-12-05 / 20130319811 - POWER TRANSMISSION DEVICE | 2 |
Yukiyasu Kato | JP | Tokyo | 2014-06-19 / 20140166736 - CARDBOARD BOX | 1 |
Tadahiko Kato | JP | Kosai | 2011-04-07 / 20110082004 - DRIVING-FORCE TRANSMITTING APPARATUS FOR FOUR-WHEEL-DRIVE VEHICLE | 3 |
Takeo Kato | JP | Wako-Shi | 2012-09-13 / 20120228055 - EXHAUST DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Yoshihiro Kato | JP | Kanagawa | 2015-10-01 / 20150280838 - FIELD COUPLING ELECTRODE, COMMUNICATION DEVICE, AND COMMUNICATION SYSTEM | 9 |
Moritaka Kato | JP | Minami-Ashigara-Shi | 2008-10-02 / 20080237920 - SOLUTION CASTING APPARATUS AND METHOD | 1 |
Takafumi Kato | JP | Wako-Shi | 2016-01-07 / 20160001621 - ELECTROMAGNETIC DAMPER | 3 |
Katsuhito Kato | JP | Shinjuku-Ku | 2014-04-03 / 20140090580 - FLUOROALUMINOSILICATE GLASS POWDER AND PRODUCTION METHOD THEREOF | 1 |
Masaie Kato | JP | Wako-Shi | 2010-04-01 / 20100078989 - STROKE SIMULATOR IN BRAKE SYSTEM | 1 |
Makoto Kato | JP | Aichi | 2015-11-26 / 20150337882 - CLIP ATTACHMENT STRUCTURE | 10 |
Chihiro Kato | JP | Nagoya-Shi | 2016-02-18 / 20160045106 - Multi-Channel Optical Coherence Tomography | 8 |
Masahito Kato | JP | Nagoya-Shi | 2011-07-21 / 20110175968 - Method of Ink-Jet Recording by Ejecting Ink-Jet Ink-Receptive Composition | 4 |
Masashi Kato | JP | Nagoya-Shi | 2016-03-10 / 20160072976 - IMAGE READING APPARATUS AND DOCUMENT READING METHOD | 4 |
Chiaki Kato | JP | Nagoya-Shi | 2011-02-10 / 20110031285 - Automatic pouring method and device | 2 |
Shuichi Kato | JP | Nagoya-Shi | 2016-01-28 / 20160026150 - Developer Cartridge | 26 |
Kenji Kato | JP | Kariya-Shi | 2014-01-30 / 20140029792 - VEHICLE LIGHT SOURCE DETECTION DEVICE, LIGHT BEAM CONTROL DEVICE AND PROGRAM OF DETECTING VEHICLE LIGHT SOURCE | 2 |
Kenji Kato | JP | Handa-Shi | 2015-09-10 / 20150253298 - GAS SENSOR ASSEMBLING METHOD AND GAS SENSOR ASSEMBLING APPARATUS | 3 |
Kenji Kato | JP | Yokohama-Shi | 2014-01-09 / 20140010408 - LENS-ATTACHED MATTER DETECTOR, LENS-ATTACHED MATTER DETECTION METHOD, AND VEHICLE SYSTEM | 1 |
Takanobu Kato | JP | Nagoya-Shi | 2011-04-21 / 20110092688 - NUCLEIC ACID CONSTRUCT CONTAINING A NUCLEIC ACID DERIVED FROM THE GENOME OF HEPATITIS C VIRUS (HCV) OF GENOTYPE 2a, AND A CELL HAVING SUCH NUCLEIC ACID CONSTRUCT INTRODUCED THEREIN | 2 |
Harumi Kato | JP | Nagoya-Shi | 2014-10-02 / 20140290549 - SEWING MACHINE | 16 |
Tomoki Kato | JP | Kobe-Shi | 2014-04-17 / 20140102608 - MOTORCYCLE TIRE | 1 |
Kazuo Kato | JP | Kariya-Shi | 2014-04-17 / 20140102908 - RESIN-METAL BONDED BODY AND METHOD FOR PRODUCING THE SAME | 1 |
Teruyuki Kato | JP | Nagoya-Shi | 2012-09-27 / 20120240487 - INSTALLATION STRUCTURE OF BASE OF EXTERIOR WALL | 3 |
Natsuki Kato | JP | Kawasaki-Shi | 2016-03-03 / 20160065782 - INFORMATION PROCESSING APPARATUS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 8 |
Keith G. Kato | US | Alta Loma | 2016-04-14 / 20160104936 - Multiple Input Loop Antenna | 2 |
Shoji Kato | JP | Nagoya-Shi | 2008-12-11 / 20080302287 - EMBROIDERY SEWING MACHINE AND CONTROL METHOD THEREFOR | 1 |
Yo Kato | JP | Nagoya-Shi | 2009-05-14 / 20090120696 - DEFORMABLE SENSOR SYSTEM | 1 |
Yoshibumi Kato | JP | Nagoya-Shi | 2009-07-09 / 20090177503 - Scheduling apparatus and computer readable medium storing scheduling program | 1 |
Satoru Kato | JP | Nagoya-Shi | 2009-10-01 / 20090242917 - Light-emitting device including light-emitting diode | 1 |
Noriaki Kato | JP | Nagoya-Shi | 2010-12-02 / 20100305177 - PROPHYLACTIC OR THERAPEUTIC AGENT FOR DIABETIC MACULOPATHY | 2 |
Nobuo Kato | JP | Nagoya-Shi | 2014-12-04 / 20140356677 - Battery Storage Structure | 4 |
Sho Kato | JP | Atsugi | 2012-12-20 / 20120319157 - PHOTOELECTRIC CONVERSION DEVICE | 4 |
Takaaki Kato | JP | Wako-Shi | 2016-02-25 / 20160052575 - UTILITY VEHICLE | 1 |
Hidemasa Kato | JP | Saitama | 2015-10-01 / 20150275171 - Method for Producing Pluripotent Stem Cells | 2 |
Sho Kato | JP | Ebina | 2015-02-26 / 20150053264 - PHOTOELECTRIC CONVERSION DEVICE AND MANUFACTURING METHOD THEREOF | 7 |
Yusuke Kato | JP | Okazaki-Shi | 2012-09-13 / 20120229323 - RADAR SYSTEM AND POWER-SUPPLY DEVICE INCORPORATED IN THE SAME | 1 |
Muneaki Kato | JP | Okazaki-Shi | 2016-02-25 / 20160052556 - REAR PART STRUCTURE OF VEHICLE | 1 |
Momoko Kato | JP | Iwaki | 2015-03-19 / 20150076402 - Dioxlane Derivative, Liquid Crystal Composition, Liquid Crystal Element, and Liquid Crystal Display Device | 8 |
Masahiro Kato | JP | Kanagawa-Ken | 2012-09-13 / 20120230010 - FLUORESCENT SUBSTANCE AND LIGHT-EMITTING DEVICE EMPLOYING THE SAME | 1 |
Hisanori Kato | JP | Otawara-Shi | 2014-07-10 / 20140193082 - IMAGE PROCESSING APPARATUS AND METHOD | 1 |
Takafumi Kato | US | 2013-01-10 / 20130011481 - Pyrroloquinolinyl-Pyrrolidine-2,5-Dione Formulations and Methods for Preparing and Using Same | 1 | |
Kazuhiko Kato | JP | Iwate | 2012-09-13 / 20120231407 - THERMAL TREATMENT APPARATUS | 1 |
Nobukazu Kato | JP | Kanagawa-Ken | 2012-09-13 / 20120231673 - RECEPTACLE CONNECTOR AND PLUG CONNECTOR TO BE FITTED TO THE RECEPTACLE CONNECTOR | 1 |
Eisaku Kato | JP | Tokyo | 2010-08-12 / 20100200200 - HEAT-TRANSPORT DEVICE, METHOD FOR MANUFACTURING THE SAME, AND ELECTRONIC DEVICE | 3 |
Kiyonari Kato | JP | Toki-Shi | 2009-11-19 / 20090287370 - FAILURE DIAGNOSIS SYSTEM, AND VEHICLE-MOUNTED ECU FOR USE IN THE FAILURE DIAGNOSIS SYSTEM | 1 |
Midori Kato | JP | Asaka | 2009-06-25 / 20090159950 - Semiconductor Device and manufacturing Method of Semiconductor Device | 3 |
Kenji Kato | JP | Nagoya-Shi | 2015-12-31 / 20150377822 - GAS SENSOR CONTROLLER, GAS SENSOR SYSTEM, AND DETERIORATION DETERMINATION METHOD FOR GAS SENSOR ELEMENT | 13 |
Shigeki Kato | JP | Aichi-Prefecture | 2008-08-28 / 20080205596 - Method for Inspecting Ceramic Structures | 1 |
Shigeki Kato | JP | Toyoaki-Shi | 2008-10-02 / 20080239051 - IMAGE RECORDING APPARATUS | 1 |
Shigeki Kato | JP | Nagoya-City | 2014-05-22 / 20140138871 - SUPPORTING METHOD OF HONEYCOMB BODY | 4 |
Shigeki Kato | JP | Shimotsuke-Shi | 2015-06-04 / 20150153276 - REFLECTION CHARACTERISTIC MEASURING APPARATUS | 5 |
Shigeki Kato | JP | Suwa-Shi | 2014-09-25 / 20140285603 - LIQUID EJECTING APPARATUS AND MEDIUM TRANSPORT APPARATUS | 4 |
Shigeki Kato | JP | Toyoake-Shi, Aichi-Ken | 2012-06-28 / 20120162313 - RECORDING APPARATUS AND CONVEYANCE MEMBER USED THEREFOR | 1 |
Hisashi Kato | JP | Aichi | 2013-12-12 / 20130332736 - ELECTRONIC KEY REGISTRATION SYSTEM | 4 |
Masashi Kato | JP | Wako-Shi | 2013-09-19 / 20130239559 - HYDRAULIC HYBRID VEHICLE | 2 |
Hisamitsu Kato | JP | Toyota | 2014-07-17 / 20140197175 - AUTOMOBILE FUEL TANK | 1 |
Tsutomu Kato | JP | Kanagawa | 2016-05-19 / 20160139543 - IMAGE FORMING APPARATUS | 6 |
Takako Kato | JP | Inagi | 2014-07-31 / 20140214766 - STORAGE SYSTEM AND CONTROL DEVICE | 1 |
Chihiro Kato | JP | Nagoya-Shi | 2016-02-18 / 20160045106 - Multi-Channel Optical Coherence Tomography | 8 |
Naoya Kato | JP | Aichi-Ken | / - | 1 |
Mami Kato | JP | Aichi-Ken | 2010-03-25 / 20100071431 - LUBRICANTS FOR USE IN PROCESSING OF METALLIC MATERIAL AND METHODS FOR PROCESSING THE METALLIC MATERIAL USING THE LUBRICANTS | 1 |
Satoshi Kato | JP | Oita | 2015-09-10 / 20150255665 - LASER HEATING TREATMENT METHOD AND METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE | 2 |
Hiroyuki Kato | JP | Kawasaki-Shi | 2014-06-05 / 20140155448 - AMINO-ACID-CONTAINING COMPOSITION FOR ENHANCING RECOVERY FROM MUSCLE FATIGUE | 1 |
Hiroyuki Kato | JP | Kakegawa-Shi | 2014-07-31 / 20140212732 - BUS BAR MODULE AND POWER SUPPLY UNIT | 1 |
Hiroki Kato | JP | Toyota-Shi | 2015-09-17 / 20150263576 - STATOR OF ROTARY ELECTRIC MACHINE | 5 |
Fuminori Kato | JP | Kusatsu-Shi | 2012-09-27 / 20120244560 - MONOCLONAL ANTIBODY AGAINST HUMAN HIG-1 POLYPEPTIDE | 1 |
Shinichi Kato | JP | Kyoto-Shi | 2016-03-17 / 20160079085 - SEMICONDUCTOR MANUFACTURING METHOD AND SEMICONDUCTOR MANUFACTURING APPARATUS | 8 |
Chisato Kato | JP | Aichi-Ken | 2011-12-22 / 20110311897 - CELL FOR FUEL CELL AND FUEL CELL | 2 |
Ikuyasu Kato | JP | Aichi-Ken | 2009-05-21 / 20090130520 - FUEL BATTERY | 1 |
Yosuke Kato | JP | Aichi-Ken | 2008-09-11 / 20080217867 - Sealing Structure of Casing | 1 |
Hideaki Kato | JP | Aichi-Ken | 2012-07-05 / 20120171789 - SOLID ELEMENT DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Takashi Kato | JP | Yasu-Shi | 2014-07-31 / 20140209361 - WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 1 |
Toshinao Kato | JP | Kikugawa City | 2014-07-31 / 20140209241 - DRIVE DEVICE AND SUBSTRATE PROCESSING SYSTEM | 1 |
Taku Kato | JP | Kamakura | 2014-11-20 / 20140344582 - INFORMATION RECORDING DEVICE | 2 |
Susumu Kato | JP | Inuyama-Shi | 2009-05-14 / 20090120320 - OVERHEAD TRAVELING VEHICLE SYSTEM AND CONSTRUCTION METHOD OF BUFFER IN THE SYSTEM | 1 |
Masataka Kato | JP | Kawasaki | 2014-08-07 / 20140221050 - PORTABLE APPARATUS AND DETERMINATION METHOD | 1 |
Susumu Kato | JP | Hitachinaka | 2009-09-17 / 20090230984 - DEFECTIVE PRODUCT INSPECTION APPARATUS, PROBE POSITIONING METHOD AND PROBE MOVING METHOD | 1 |
Susumu Kato | JP | Anjyo | 2012-10-04 / 20120247619 - Carburized steel and its process of manufacture | 3 |
Seiji Kato | JP | Himeji-Shi | 2016-03-24 / 20160083533 - METHOD FOR PRODUCING POLYACRYLIC ACID (SALT)-BASED WATER-ABSORBENT RESIN | 2 |
Yukiya Kato | JP | Nagoya-Shi | 2014-05-08 / 20140123964 - EXHAUST GAS RECIRCULATION VALVE | 2 |
Takuya Kato | JP | Nagoya-Shi | 2015-09-24 / 20150267656 - INTAKE CONTROL VALVE AND INTAKE APPARATUS | 4 |
Shohei Kato | JP | Nagoya-Shi | 2014-04-17 / 20140107494 - Cognitive Impairment Determination Apparatus, Cognitive Impairment Determination System and Program | 1 |
Daishi Kato | JP | Nagoya-Shi | 2013-04-25 / 20130101448 - ROTARY MACHINE AND PUMP DRIVING APPARATUS | 3 |
Susumu Kato | JP | Anjo-Shi | 2013-08-29 / 20130225379 - ROTATION PROCESSING MACHINE AND ROTATION PROCESSING METHOD | 4 |
Susumu Kato | JP | Kawagoe-Shi | 2012-06-21 / 20120154888 - LIGHT AMOUNT ADJUSTMENT APPARATUS AND OPTICAL APPARATUS | 1 |
Yutaka Kato | JP | Fukuchiyama-Shi | 2011-03-10 / 20110058065 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 6 |
Yutaka Kato | JP | Inagi-Shi | 2010-08-12 / 20100202020 - DOCUMENT PROCESSING APPARATUS, DOCUMENT PROCESSING METHOD, AND PROGRAM | 1 |
Hisaki Kato | JP | Aichi-Ken | 2012-08-30 / 20120217510 - LIGHT-EMITTING SEMICONDUCTOR DEVICE USING GROUP III NITROGEN COMPOUND | 2 |
Yutaka Kato | JP | Tokyo | 2014-08-21 / 20140237580 - SERVER SYSTEM AND CONTROL METHOD | 5 |
Yutaka Kato | JP | Kawasaki-Shi | 2009-05-14 / 20090122333 - COOPERATIVE JOB FLOW CREATING APPARATUS, COOPERATIVE JOB FLOW CREATING METHOD, SERVICE PROCESSING APPARATUS, SERVICE PROCESSING METHOD, MANAGEMENT SERVER, FLOW CONVERSION METHOD, JOB FLOW EXECUTION METHOD, PROGRAM, AND STORAGE MEDIUM | 3 |
Yusuke Kato | US | Sunrise | 2012-11-08 / 20120279533 - THIN PLATE MEMBER WASHING APPARATUS | 2 |
Koichi Kato | JP | Utsunomiya-Shi | 2012-10-04 / 20120248365 - OPENING AND CLOSING VALVE FOR HIGH-PRESSURE GAS | 2 |
Yutaka Kato | JP | Kanagawa | 2008-11-20 / 20080285078 - PRINT CONTROL DEVICE, PRINT CONTROL METHOD AND COMPUTER PROGRAM | 1 |
Takamasa Kato | JP | Kariya-Shi | 2014-08-07 / 20140217692 - SUSPENSION DEVICE FOR VEHICLE | 1 |
Shinobu Kato | JP | Kanagawa | 2010-04-29 / 20100103055 - Portable Electronic Device and Magentic Antenna Circuit | 1 |
Akira Kato | JP | Kariya-Shi | 2014-08-07 / 20140217692 - SUSPENSION DEVICE FOR VEHICLE | 1 |
Yutaka Kato | JP | Utsunomiya-Shi | 2011-11-17 / 20110279116 - MAGNETIC RESONANCE DIAGNOSTIC APPARATUS | 2 |
Norihiko Kato | JP | Aichi-Ken | 2015-10-01 / 20150275799 - INDUSTRIAL VEHICLE | 9 |
Hirohisa Kato | JP | Aichi-Ken | 2012-12-27 / 20120325281 - THERMOELECTRIC CONVERSION MODULE AND METHOD OF MANUFACTURING THE SAME | 6 |
Akira Kato | JP | Sayama-Shi | 2015-07-30 / 20150212495 - SATELLITE RADIO-CONTROLLED WRISTWATCH | 6 |
Shinya Kato | JP | Kurashiki-Shi | 2015-05-28 / 20150147884 - SLURRY FOR CHEMICAL MECHANICAL POLISHING AND CHEMICAL MECHANICAL POLISHING METHOD | 4 |
Shinya Kato | JP | Tokyo | 2015-08-06 / 20150220427 - TERMINAL DEVICE AND STORAGE METHOD | 2 |
Shinya Kato | JP | Minami-Ashigara-Shi | 2010-03-04 / 20100053756 - ANTIGLARE FILM, ANTIREFLECTION FILM, POLARIZING PLATE AND IMAGE DISPLAY DEVICE | 3 |
Shinya Kato | JP | Okayama | 2014-06-05 / 20140154884 - EROSION INHIBITOR FOR CHEMICAL MECHANICAL POLISHING, SLURRY FOR CHEMICAL MECHANICAL POLISHING, AND CHEMICAL MECHANICAL POLISHING METHOD | 3 |
Hideki Kato | JP | Nisshin-Shi | 2012-10-04 / 20120248751 - CURTAIN AIR BAG SYSTEM | 1 |
Shinya Kato | JP | Nagoya | 2015-03-05 / 20150060423 - CONTACT WELDING DETECTION SYSTEM | 2 |
Shinichi Kato | JP | Kanagawa | 2015-05-21 / 20150143460 - IC CHIP, INFORMATION PROCESSING APPARATUS, SYSTEM, METHOD, AND PROGRAM | 3 |
Shinya Kato | JP | Yokohama | 2008-09-11 / 20080222301 - Method for data distribution | 1 |
Noaki Kato | JP | Aichi-Ken | 2010-02-04 / 20100029430 - TRANSMISSION FOR VEHICLE | 1 |
Shinichi Kato | JP | Itabashi-Ku | 2011-03-31 / 20110076241 - TOOTH COATING COMPOSITION | 3 |
Shinichi Kato | JP | Hamamatsu-Shi | 2014-02-06 / 20140034413 - Acoustic Structure | 3 |
Takahiro Kato | JP | Kanagawa | 2013-03-28 / 20130076414 - SEMICONDUCTOR INTEGRATED CIRCUIT HAVING AN ON-CHIP PLL AND OPERATING METHOD THEREOF | 16 |
Toshiyuki Kato | JP | Hirakata-City | 2012-10-04 / 20120250227 - SOLID ELECTROLYTIC CAPACITOR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Shinichi Kato | JP | Tokyo | 2015-09-24 / 20150271212 - RELAY DEVICE, RELAY METHOD AND INFORMATION PROCESSING SYSTEM | 11 |
Shinichi Kato | JP | Mito | 2010-08-12 / 20100200749 - Semiconductor Testing Method and Semiconductor Tester | 2 |
Shinichi Kato | JP | Kyoto | 2013-06-13 / 20130148948 - HEAT TREATMENT APPARATUS AND METHOD FOR HEATING SUBSTRATE BY LIGHT-IRRADIATION | 7 |
Shinichi Kato | JP | Kawasaki-Shi | 2012-07-19 / 20120185923 - DATA COMMUNICATION APPARATUS, DATA COMMUNICATION METHOD, DATA COMMUNICATION PROGRAM, AND STORAGE MEDIUM STORING THE PROGRAM | 3 |
Mitsutoshi Kato | JP | Nagoya-Shi | 2016-05-12 / 20160135038 - IN-VEHICLE COMMUNICATION SYSTEM | 1 |
Masahide Kato | JP | Yamagata-Shi | / - | 1 |
Shinichi Kato | JP | Mobara | 2009-04-30 / 20090108748 - Organic electro-luminescence display device | 1 |
Shinichi Kato | JP | Nagano-Ken | 2008-10-16 / 20080255298 - INK COMPOSITION FOR INK JET PRINTER | 1 |
Shinichi Kato | JP | Aichi | 2012-10-04 / 20120251375 - PB-FREE COPPER-ALLOY SLIDING MATERIAL, AND PLAIN BEARING | 1 |
Yumi Kato | JP | Kanagawa | 2014-08-21 / 20140232653 - PORTABLE ELECTRONIC APPARATUS, TOUCH REGION SETTING METHOD, AND TOUCH REGION SETTING PROGRAM | 2 |
Fumiaki Kato | JP | Shinjuku-Ku | 2012-07-19 / 20120181516 - LIGHT-ABSORBING MATERIAL AND PHOTOELECTRIC CONVERSION ELEMENT | 2 |
Akira Kato | JP | Aichi-Gun | 2011-06-23 / 20110146827 - HIGHLY-DURABLE TUBE USABLE FOR MOVEMENT UNIT FOR ROTATION, FLEXION, AND THE LIKE AND METHOD FOR PRODUCING THE SAME | 1 |
Takashi Kato | JP | Kai-Shi | 2011-06-23 / 20110146846 - METHOD FOR CUTTING WITH GAS AND APPARATUS FOR CUTTING WITH GAS | 1 |
Masaru Kato | JP | Kanagawa | 2011-06-16 / 20110141721 - LIGHTING DEVICE | 1 |
Daimotsu Kato | JP | Tokyo | 2015-11-26 / 20150340657 - ORGANIC ELECTROLUMINESCENT DEVICE, ILLUMINATION APPARATUS, AND ILLUMINATION SYSTEM | 11 |
Hiroki Kato | JP | Chiyoda-Ku | 2012-10-04 / 20120252729 - PTH-CONTAINING THERAPEUTIC/PROPHYLACTIC AGENT FOR OSTEOPOROSIS, CHARACTERIZED IN THAT PTH IS ADMINISTERED ONCE A WEEK IN A UNIT DOSE OF 100 TO 200 UNITS | 1 |
Chisachi Kato | JP | Tokyo | 2011-06-16 / 20110142614 - COUNTER-ROTATING AXIAL FLOW FAN | 3 |
Shinichi Kato | JP | Matsumoto-Shi | 2016-02-04 / 20160031232 - INK JET RECORDING METHOD, PHOTOCURABLE INK JET INK COMPOSITION, AND INK JET RECORDING DEVICE | 5 |
Makoto Kato | JP | Higashiokitama-Gun | 2013-01-10 / 20130009644 - RF COIL UNIT AND MRI APPARATUS | 1 |
Toshikazu Kato | JP | Tokyo | 2011-06-16 / 20110144947 - Online Diagnostic Method and Online Diagnostic System for Geothermal Generation Facility | 1 |
Sho Kato | JP | Tokyo | 2016-03-10 / 20160072424 - CONTROL DEVICE OF ALTERNATING-CURRENT ELECTRIC MOTOR | 9 |
Terukazu Kato | JP | Toyonaka-Shi | 2012-10-04 / 20120253040 - OXADIAZOLE DERIVATIVE HAVING ENDOTHELIAL LIPASE INHIBITORY ACTIVITY | 1 |
Shuhei Kato | JP | Shiga | 2012-05-31 / 20120132701 - REMOTE CODE READER SYSTEM | 10 |
Shinobu Kato | JP | Ogaki-Shi | 2015-12-31 / 20150382471 - WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 9 |
Ryoichi Kato | JP | Kawasaki | 2015-11-26 / 20150339198 - SEMICONDUCTOR MEMORY DEVICE INCLUDING NONVOLATILE SEMICONDUCTOR MEMORY, CONTROL METHOD OF MEMORY CONTROLLER, AND MEMORY CONTROLLER | 1 |
Tomoko Kato | JP | Chiba | 2011-09-22 / 20110227235 - Curable Organopolysiloxane Composition, Optical Semiconductor Element Sealant, and Optical Semiconductor Device | 14 |
Hajime Kato | JP | Kakegawa-Shi, Shizuoka | 2016-05-12 / 20160134048 - ROTARY CONNECTOR | 1 |
Hiroyuki Kato | JP | Nagano | 2011-05-05 / 20110099806 - Method of manufacturing a multilayer wiring board | 3 |
Hiroyuki Kato | JP | Nakatsugawa-Shi | 2013-01-10 / 20130008890 - REACTOR METHOD OF MANUFACTURE FOR SAME | 2 |
Tatsuro Kato | JP | Osaka | 2011-06-23 / 20110149221 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Kazuteru Kato | JP | Omuta-Shi | 2010-09-30 / 20100243435 - Sputtering Target for Magnetic Recording Film and Method for Manufacturing the Same | 3 |
Yoshio Kato | JP | Inazawa-Shi | 2014-08-07 / 20140215806 - STATOR MANUFACTURING METHOD AND STATOR MANUFACTURING APPARATUS | 1 |
Koichi Kato | JP | Saitama | 2014-12-25 / 20140374417 - FILLING PORT STRUCTURE FOR PRESSURE FLUID | 3 |
Yoshio Kato | JP | Komaki-Shi | 2008-10-23 / 20080258570 - Stator | 1 |
Yoshio Kato | JP | Hirakata-City | 2013-01-03 / 20130004837 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY INCLUDING A NEGATIVE ELECTRODE CONTAINING SILICON AND AN ADDITIVE WHICH RETARDS OXIDATION OF SILICON DURING BATTERY OPERATION | 2 |
Yoshio Kato | JP | Moriguchi-Shi | 2012-11-08 / 20120280172 - METHOD FOR PRODUCING POSITIVE ELECTRODE FOR NON-AQUEOUS ELECTROLYTE SECONDARY CELL AND METHOD FOR PRODUCING NON-AQUEOUS ELECTROLYTE SECONDARY CELL | 4 |
Eiji Kato | JP | Shizuoka | 2016-02-18 / 20160045870 - FILTER MEMBER AND OXYGENATOR USING SAME | 3 |
Hiroki Kato | JP | Kanagawa | 2014-06-05 / 20140156739 - CLIENT DEVICE, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING SYSTEM | 8 |
Yasuyuki Kato | JP | Chiba-Shi | 2014-08-07 / 20140219390 - MULTICARRIER-SIGNAL RECEIVING APPARATUS AND MULTICARRIER-SIGNAL TRANSMITTING APPARATUS | 8 |
Hiroyuki Kato | JP | Yokohama-Shi | 2015-10-08 / 20150287794 - P-TYPE ZnO BASED COMPOUND SEMICONDUCTOR LAYER, A ZnO BASED COMPOUND SEMICONDUCTOR ELEMENT, AND AN N-TYPE ZnO BASED COMPOUND SEMICONDUCTOR LAMINATE STRUCTURE | 18 |
Machiko Kato | JP | Tokyo | 2013-05-23 / 20130131826 - ARTIFICIAL BONE-CARTILAGE COMPOSITE AND ITS PRODUCTION METHOD | 2 |
Kiyoshi Kato | JP | Ibaraki | 2013-06-20 / 20130154549 - BATTERY PACK AND CORDLESS TOOL USING THE SAME | 2 |
Yoshiyasu Kato | JP | Yamanashi | 2016-03-03 / 20160061882 - PROBE DEVICE | 2 |
Kiyoshi Kato | JP | Hiratsuka-Shi | / - | 1 |
Kiyoshi Kato | JP | Tokyo | 2015-09-24 / 20150269053 - OPERATION MANAGEMENT APPARATUS AND OPERATION MANAGEMENT METHOD | 13 |
Kiyoshi Kato | JP | Isehara | 2013-01-17 / 20130016579 - SEMICONDUCTOR DEVICE | 7 |
Kiyoshi Kato | JP | Aichi-Ken | 2010-09-16 / 20100231100 - Cooling storage cabinet | 1 |
Kiyoshi Kato | JP | Sagamihara | 2015-12-31 / 20150378198 - SEMICONDUCTOR DEVICE | 16 |
Kiyoshi Kato | JP | Hokkaido | 2011-05-12 / 20110110389 - Laser Oscillator | 1 |
Hiroyuki Kato | JP | Tokyo | 2016-05-19 / 20160142592 - IMAGE CAPTURE APPARATUS HAVING FUNCTION OF GENERATING FRAME SYNCHRONIZATION SIGNAL AT CONSTANT CYCLE | 16 |
Noriko Kato | JP | Ashiya-Shi | 2009-07-02 / 20090170108 - Screening method, a composition comprising substances chosen in the method thereof, and a binding substance | 2 |
Shinichiro Kato | JP | Chiba | 2015-11-26 / 20150337046 - ANTAGONISTIC HUMAN LIGHT-SPECIFIC HUMAN MONOCLONAL ANTIBODIES | 9 |
Hirohisa Kato | JP | Toride-Shi | 2015-02-05 / 20150034623 - HEATER UNIT | 7 |
Masayuki Kato | JP | Aichi-Ken | 2008-10-09 / 20080248924 - Shift Control Apparatus | 1 |
Masayuki Kato | JP | Tokyo | 2009-01-22 / 20090021217 - METHOD AND DEVICE FOR SAFETY PROTECTION OF SECONDARY BATTERY | 1 |
Masayuki Kato | US | Newark | 2013-05-02 / 20130108966 - METHOD FOR THERMAL TREATMENT OF RELIEF SURFACE FOR A RELIEF PRINTING FORM | 2 |
Masayuki Kato | JP | Toyota-Shi | 2010-05-06 / 20100108011 - INTAKE DEVICE FOR INTERNAL COMBUSTION ENGINES | 1 |
Masayuki Kato | JP | Kanagawa | 2009-12-03 / 20090295556 - SAFETY DEVICE FOR POWER WINDOW, OPENING/CLOSING CONTROL METHOD AND PLATE-GLASS PROCESSING METHOD | 4 |
Masayuki Kato | JP | Aichi | 2014-01-16 / 20140015174 - CAST-STEEL POURING APPARATUS | 3 |
Hiroyasu Kato | JP | Kyoto-Shi | 2013-12-12 / 20130331014 - POLISHING PAD | 1 |
Junichi Kato | JP | Kyoto-Shi | 2016-03-31 / 20160093448 - PHOTOCATALYST MATERIAL AND PHOTOCATALYST DEVICE | 3 |
Junji Kato | JP | Kyoto-Shi | 2011-03-17 / 20110064620 - CATALYST FOR REDUCING MERCURY, A MERCURY CONVERSION UNIT, AND AN APPARATUS FOR MEASURING TOTAL MERCURY IN COMBUSTION EXHAUST GAS BY USING THE SAME | 1 |
Rui Kato | JP | Kyoto-Shi | 2014-10-16 / 20140307262 - SURFACE PROCESSING PROGRESS MONITORING SYSTEM | 4 |
Tomotaka Kato | JP | Kyoto-Shi | 2014-07-31 / 20140211229 - IMAGE PROCESSING APPARATUS, AN IMAGE PROCESSING METHOD, AND AN IMAGE PROCESSING PROGRAM | 1 |
Masayuki Kato | JP | Kawasaki | 2011-04-21 / 20110089579 - MULTI-CHIP MODULE | 2 |
Masayuki Kato | JP | Yokkaichi-Shi | 2010-08-19 / 20100207747 - Sound production controller | 7 |
Yuriko Kato | JP | Yokohama-Shi | 2013-10-31 / 20130285163 - MEMS ELEMENT AND METHOD OF MANUFACTURING THE SAME | 1 |
Takamasa Kato | JP | Yokohama-Shi | 2014-03-20 / 20140080599 - INFORMATION STORAGE MEDIUM, GAME DEVICE, AND SERVER | 1 |
Noriji Kato | JP | Yokohama-Shi | 2015-12-10 / 20150356731 - IMAGE PROCESSING DEVICE, STORAGE MEDIUM, AND IMAGE PROCESSING METHOD | 7 |
Nobukazu Kato | JP | Yokohama-Shi | 2013-11-07 / 20130295796 - MULTIPLE PLUG CONNECTOR UNIT | 1 |
Takashi Kato | JP | Nagoya | 2008-10-23 / 20080260493 - Bolt and thread rolling die and the manufacture of the bolt | 1 |
Masashi Kato | JP | Saitama | 2015-08-06 / 20150219920 - IMAGE STABILIZING DEVICE AND SYSTEM FOR TELESCOPIC OPTICAL INSTRUMENTS | 3 |
Takashi Kato | JP | Shioya-Gun | 2014-01-16 / 20140017588 - FUEL CELL | 3 |
Takashi Kato | JP | Osaka | 2009-03-05 / 20090059400 - Lens barrel and imaging apparatus | 2 |
Takashi Kato | JP | Aichi-Ken | 2009-05-28 / 20090133467 - Robot Equipped with a Gyro and Gyro Calibration Apparatus, Program, and Method | 2 |
Masanao Kato | JP | Aichi-Ken | 2010-10-21 / 20100266355 - MACHINING CENTER | 1 |
Takashi Kato | JP | Kasugai-City | 2009-07-02 / 20090167106 - Inductor motor and manufacturing method of inductor motor | 1 |
Takashi Kato | JP | Sagamihara-Shi | 2009-08-06 / 20090197183 - SOLID BATTERY AND A METHOD FOR MANUFACTURING AN ELECTRODE THEREOF | 1 |
Takashi Kato | JP | Ashigarami-Gun | 2009-09-03 / 20090218549 - Nanocarbon film and producing method thereof | 1 |
Takashi Kato | JP | Komaki-Shi | 2009-09-24 / 20090235993 - FLOW RATE CONTROL DEVICE | 1 |
Gen Kato | JP | Susono-Shi | 2014-08-07 / 20140222261 - CONTROL APPARATUS FOR HYBRID VEHICLE | 1 |
Takashi Kato | JP | Anjo-Shi | 2015-04-09 / 20150098654 - EYE PART DETECTION APPARATUS | 5 |
Yoko Kato | JP | Kyoto | 2014-08-07 / 20140221599 - MOLDED BODY FOR DENTAL USE | 1 |
Tomotake Kato | JP | Wako-Shi | 2011-04-21 / 20110089724 - ARRANGEMENT STRUCTURE FOR DUCT | 1 |
Takehiro Kato | JP | Toyota-Shi | 2015-12-31 / 20150380537 - SEMICONDUCTOR DEVICE | 3 |
Tomoki Kato | JP | Sodegaura-Shi Chiba | 2014-08-21 / 20140231771 - CROSS-LINKING POLYMER AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME | 2 |
Tomoyasu Kato | JP | Numazu-Shi | 2014-08-07 / 20140216822 - BORING MACHINE | 1 |
Yasuyuki Kato | JP | Toyota-Shi | 2014-09-11 / 20140256506 - VEHICLE POWER TRANSMISSION DEVICE | 9 |
Ryoichi Kato | JP | Ibaraki | 2012-10-18 / 20120264010 - NONAQUEOUS ELECTROLYTES AND NONAQUEOUS-ELECTROLYTE SECONDARY BATTERIES EMPLOYING THE SAME | 8 |
Takahiko Kato | JP | Hitachi-Shi | 2016-04-07 / 20160099361 - ELEMENT AND PHOTOVOLTAIC CELL | 12 |
Tetsuya Kato | JP | Nagakute-Shi | 2016-05-12 / 20160134781 - IMAGE SCANNING APPARATUS | 2 |
Atsushi Kato | JP | Ichinomiya-Shi | 2014-07-31 / 20140212170 - Image Forming Apparatus Capable of Reliably Protecting Exposure Member | 8 |
Tomokazu Kato | JP | Kawagoe-Shi | 2011-08-18 / 20110201845 - PROCESS FOR PRODUCING TRIPHENYLENE COMPOUND AND CRYSTAL OBTAINED BY THE PROCESS | 2 |
Tomokazu Kato | JP | Toyokawa-Shi | 2014-10-02 / 20140293338 - DEVICE FOR GENERATING DISPLAY DATA, INFORMATION DEVICE, AND DISPLAY SYSTEM | 12 |
Kunihito Kato | JP | Nisshin-Shi | 2014-07-24 / 20140203411 - PRODUCTION METHOD OF SEMICONDUCTOR DEVICE, SEMICONDUCTOR WAFER, AND SEMICONDUCTOR DEVICE | 1 |
Kaoru Kato | JP | Atsugi | 2013-05-30 / 20130134404 - LIGHT-EMITTING DEVICE, METHOD FOR MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS | 15 |
Ryoji Kato | JP | Tokyo | 2015-10-15 / 20150295293 - POWER CONTROLLING APPARATUS | 4 |
Takashi Kato | JP | Obu-Shi | 2011-12-29 / 20110315241 - FUEL CUTOFF VALVES | 2 |
Atsushi Kato | JP | Odawara-Shi | 2013-06-06 / 20130141813 - MAGNETIC HEAD HAVING A THERMAL FLY-HEIGHT CONTROL (TFC) STRUCTURE UNDER A FLAT LOWER SHIELD | 3 |
Koichi Kato | JP | Yokohama | 2015-12-31 / 20150380641 - SEMICONDUCTOR DEVICE AND DIELECTRIC FILM | 1 |
Takashi Kato | JP | Toyota | 2010-01-21 / 20100014940 - Bolt, the axial force of which is to be determined by a supersonic wave, and a method for the manufacturing thereof | 1 |
Takashi Kato | JP | Saitama | 2015-10-29 / 20150312059 - COMPENSATING CIRCUIT, INFORMATION PROCESSING APPARATUS, COMPENSATION METHOD, AND COMPUTER READABLE STORAGE MEDIUM | 4 |
Takashi Kato | JP | Aichi | 2010-04-15 / 20100090137 - FLOW RATE CONTROL DEVICE | 1 |
Yoshiaki Kato | JP | Hyogo | 2011-06-02 / 20110129995 - MULTILAYER WIRING STRUCTURE OF SEMICONDUCTOR DEVICE, METHOD OF PRODUCING SAID MULTILAYER WIRING STRUCTURE AND SEMICONDUCTOR DEVICE TO BE USED FOR RELIABILITY EVALUATION | 2 |
Yoshiaki Kato | JP | Aichi | 2013-08-29 / 20130224969 - CHARGE INLET | 2 |
Shinichi Kato | JP | Okazaki-Shi | 2012-10-18 / 20120263403 - SLIDING BEARING STRUCTURE FOR A SHAFT MEMBER | 1 |
Hiroshi Kato | JP | Shiojiri-Shi | 2012-10-18 / 20120263505 - Toner-Particle Bearing Roller, Developing Device, and Image Forming Apparatus | 1 |
Shiro Kato | JP | Osaka-Shi | 2014-07-17 / 20140196945 - TERMINAL BOX, SOLAR CELL MODULE WITH TERMINAL BOX, AND METHOD FOR MANUFACTURING SOLAR CELL MODULE WITH TERMINAL BOX | 1 |
Masanari Kato | JP | Tsu-Shi | 2014-07-17 / 20140196554 - GEAR DEVICE | 1 |
Makoto Kato | JP | Tokyo | 2015-12-24 / 20150372269 - NON-WOVEN FABRIC BASE MATERIAL FOR LITHIUM ION SECONDARY BATTERY SEPARATOR AND LITHIUM ION SECONDARY BATTERY SEPARATOR | 5 |
Makoto Kato | JP | Hyogo | 2011-02-24 / 20110044794 - METHOD OF CONTROLLING TURBINE EQUIPMENT AND TURBINE EQUIPMENT | 2 |
Makoto Kato | JP | Toon-Shi | 2010-07-22 / 20100185090 - ULTRASONOGRAPHIC DEVICE | 1 |
Makoto Kato | JP | Aichi-Ken | 2010-02-25 / 20100047582 - LAMINATED BODY FOR RESIN GLASS AND METHOD FOR MANUFACTURING THE SAME | 1 |
Makoto Kato | JP | Ibaraki | 2010-01-21 / 20100012951 - Silicon carbide semiconductor device and method for producing the same | 1 |
Takashi Kato | JP | Ashigarakami-Gun | 2010-07-08 / 20100171418 - ORGANIC ELECTROLUMINESCENT DEVICE | 3 |
Daishi Kato | JP | Minato-Ku | 2012-10-18 / 20120266128 - COLLABORATIVE DEVELOPMENT SUPPORT SYSTEM, COLLABORATIVE DEVELOPMENT SUPPORT METHOD AND RECORDING MEDIUM | 1 |
Tetsuji Kato | JP | Aichi-Ken | 2010-03-04 / 20100052314 - Connecting structure for tube | 1 |
Masahiro Kato | JP | Himeji-Shi | 2013-08-08 / 20130199400 - GAS GENERATOR, GAS GENERATOR HOLDER AND METHOD FOR MANUFACTURING GAS GENERATOR HOLDER | 1 |
Takashi Kato | JP | Matsumoto-Shi | 2010-07-29 / 20100188461 - LIQUID EJECTING HEAD UNIT AND LIQUID EJECTING APPARATUS | 1 |
Takashi Kato | JP | Nagano-Ken | 2011-08-25 / 20110205559 - HYBRID PRINTER AND SCAN IMAGE COPYING METHOD | 3 |
Yushi Kato | JP | Tokyo | 2014-05-29 / 20140145279 - MAGNETORESISTIVE ELEMENT AND MAGNETIC MEMORY | 2 |
Hiroshi Kato | JP | Shizuoka | 2014-10-02 / 20140293482 - ROTATING DEVICE | 2 |
Hiroshi Kato | JP | Tsukuba | 2013-11-14 / 20130299731 - HIGH FLOW, HYDROGENATED STYRENE-BUTADIENE-STYRENE BLOCK COPOLYMER AND APPLICATIONS | 1 |
Hiroshi Kato | JP | Kiyosu-Shi | 2014-06-05 / 20140151985 - SIDE AIRBAG APPARATUS | 2 |
Hiroshi Kato | JP | Okazaki-Shi | 2013-05-30 / 20130136586 - ELECTRIC PUMP | 1 |
Makoto Kato | JP | Suwa-Shi | 2009-06-18 / 20090155454 - HIGHER ORDER SILANE COMPOSITION, METHOD FOR MANUFACTURING FILM-COATED SUBSTRATE, ELECTRO-OPTICAL DEVICE AND ELECTRONIC DEVICE | 1 |
Mitsuyoshi Kato | JP | Chuo-Ku | 2013-08-15 / 20130208320 - USING DEVICE, CONTROL METHOD, AND PROGRAM | 1 |
Makoto Kato | JP | Okazaki-Shi | 2008-12-25 / 20080313868 - Fastener | 1 |
Makoto Kato | JP | Tsukuba-Shi | 2008-08-28 / 20080203400 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 1 |
Takashi Kato | JP | Tokyo | 2016-01-07 / 20160003547 - FLAT TUBE HEAT EXCHANGER AND OUTDOOR UNIT OF AIR-CONDITIONING APPARATUS INCLUDING THE HEAT EXCHANGER | 11 |
Takashi Kato | JP | Hamamatsu-Shi | 2014-10-09 / 20140298976 - ELECTRONIC KEYBOARD MUSICAL INSTRUMENT | 5 |
Takeyuki Kato | JP | Kariya-Shi | 2012-12-27 / 20120328416 - TURBOCHARGER | 1 |
Takashi Kato | JP | Utsunomiya-Shi | 2014-07-03 / 20140183346 - POSITION DETECTION APPARATUS, DRIVE CONTROL APPARATUS, AND LENS APPARATUS | 5 |
Tomoo Kato | JP | Shizuoka-Ken | 2009-10-01 / 20090246956 - METAL POLISHING COMPOSITION AND CHEMICAL MECHANICAL POLISHING METHOD | 3 |
Takumi Kato | JP | Osaka-Shi | 2016-04-07 / 20160096340 - Fiber-Reinforced Resin Joined Body Having Caulked Part and Manufacturing Method Thereof | 1 |
Takashi Kato | JP | Tochigi | 2010-12-09 / 20100310707 - INJECTION MOLDING APPARATUS | 1 |
Masao Kato | JP | Kitasaku-Gun | 2012-10-25 / 20120267451 - COOLANT APPLICATION DEVICE | 1 |
Akihiko Kato | JP | Utsunomiya-Shi | 2014-07-03 / 20140186506 - METHOD AND DEVICE FOR ROUNDED FORMING OF FOOD PRODUCTS | 1 |
Yukinobu Kato | JP | Gifu | 2010-12-16 / 20100316559 - SINGLE-CRYSTAL FINE POWDER OF SULFIDE OR SULFIDE COMPLEX AND METHOD FOR PREPARING THE SAME | 2 |
Satoshi Kato | JP | Oita Oita | 2016-01-28 / 20160027682 - MANUFACTURING METHOD FOR A SEMICONDUCTOR DEVICE | 1 |
Aya Kato | JP | Utsunomiya-Shi | 2014-07-03 / 20140186864 - Method for Identifying a Malodor Inhibitor | 1 |
Akira Kato | JP | Mishima-Shi | 2016-03-10 / 20160071635 - MAGNETIC COMPOUND AND METHOD OF PRODUCING THE SAME | 8 |
Akira Kato | JP | Anjo-Shi | 2012-02-09 / 20120035837 - SYSTEM FOR RESTARTING INTERNAL COMBUSTION ENGINE WHEN ENGINE RESTART REQUEST OCCURS | 7 |
Mitsuaki Kato | JP | Kanagawa-Ken | 2014-10-02 / 20140293654 - ILLUMINATING DEVICE | 4 |
Takumi Kato | JP | Ehime | 2016-02-18 / 20160046067 - COMPOSITE MATERIAL JOINING DEVICE, METHOD FOR MANUFACTURING JOINED BODY, AND JOINED BODY | 1 |
Tatsuro Kato | JP | Osaka-Shi | 2013-10-17 / 20130271713 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR MANUFACTURING LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Kenji Kato | JP | Chiyoda-Ku | 2015-08-13 / 20150225236 - METAL COMPLEX AND METHOD FOR PRODUCING HYDROGEN PEROXIDE | 3 |
Shunya Kato | JP | Seto-Shi | 2016-04-28 / 20160114785 - HYBRID VEHICLE AND METHOD OF CONTROLLING THE SAME | 9 |
Akira Kato | JP | Nisshin-Shi | 2010-09-16 / 20100229792 - ELECTROSTATIC COATING APPARATUS | 1 |
Masaki Kato | JP | Kuwana-Shi | 2013-08-29 / 20130223779 - ROLLER BEARING | 1 |
Hirokazu Kato | JP | Aichi | 2015-07-23 / 20150204818 - DAMAGE DETECTING DEVICE FOR SUPPORTING STRUCTURE FOR ARMATURE SHAFT | 4 |
Akira Kato | JP | Shizuoka | 2010-08-26 / 20100215851 - METHOD OF PRODUCING CORE/SHELL COMPOSITE NANO-PARTICLES | 3 |
Takashi Kato | JP | Sakura-Shi | 2010-12-30 / 20100327633 - SUNROOF SYSTEM INCLUDING A SUNROOF PANEL AND A SUNSHADE PANEL | 2 |
Yasumasa Kato | JP | Tokyo | 2015-07-23 / 20150202854 - LAMINATED GLASS PRODUCTION METHOD | 8 |
Akira Kato | JP | Osaka | 2015-12-10 / 20150357116 - COIL STRUCTURE AND POWER SOURCE DEVICE | 8 |
Takashi Kato | JP | Hyogo | 2009-03-05 / 20090058845 - Display device | 1 |
Akira Kato | JP | Susono-Shi | 2015-05-07 / 20150122237 - WORKING GAS CIRCULATION TYPE ENGINE | 7 |
Yousuke Kato | JP | Yokohama | 2016-02-11 / 20160039449 - Steering Wheel | 2 |
Akira Kato | JP | Kani-Shi | 2011-06-09 / 20110137544 - SYSTEM FOR CRANKING INTERNAL COMBUSTION ENGINE BY ENGAGEMENT OF PINION WITH RING GEAR | 5 |
Takashi Kato | JP | Kawasaki-Shi | 2010-09-16 / 20100231200 - Electrical Coil and Manufacruring Process Therefor | 1 |
Akira Kato | JP | Takatsuki-Shi | 2010-07-15 / 20100178889 - BROADCAST RECEIVER FOR USE IN MOBILE COMMUNICATION TERMINAL | 3 |
Akira Kato | JP | Shiga | 2014-02-27 / 20140058097 - PHARMACEUTICAL COMPOSITION FOR TREATING ALZHEIMER'S DISEASE | 3 |
Akira Kato | JP | Tachikawa | 2010-02-18 / 20100038700 - Semiconductor Device | 1 |
Akira Kato | JP | Wako | 2010-02-04 / 20100025140 - STEERING SYSTEM FOR MOTORCYCLE AND MOTORCYCLE | 1 |
Yasuhiko Kato | JP | Kanagawa | 2014-06-26 / 20140178049 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 8 |
Akira Kato | JP | Osaka-Fu | 2010-02-04 / 20100029329 - MOBILE COMMUNICATION TERMINAL | 3 |
Shigenobu Kato | JP | Tokyo | 2008-10-30 / 20080265284 - SEMICONDUCTOR DEVICE | 1 |
Yasuyuki Kato | JP | Susono-Shi | 2015-05-28 / 20150149058 - VEHICLE CONTROL SYSTEM | 6 |
Masako Kato | JP | Sapporo-Shi | 2015-10-01 / 20150280148 - MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE INCLUDING THE SAME | 2 |
Tomoya Kato | JP | Mobara-Shi | 2009-05-28 / 20090134394 - CRYSTAL SILICON ARRAY, AND MANUFACTURING METHOD OF THIN FILM TRANSISTOR | 2 |
Hideyuki Kato | JP | Hachioji | 2014-10-02 / 20140297184 - GUIDANCE APPARATUS AND GUIDANCE METHOD | 2 |
Hideyuki Kato | JP | Hyogo | 2015-09-24 / 20150268106 - CAPACITANCE-TYPE SENSOR SHEET, METHOD FOR MANUFACTURING CAPACITANCE-TYPE SENSOR SHEET, AND SENSOR | 3 |
Koichi Kato | JP | Wako-Shi, Saitama | 2013-04-18 / 20130095398 - PRESSURE-REDUCING VALVE WITH INJECTOR AND FUEL CELL SYSTEM INCLUDING PRESSURE-REDUCING VALVE | 1 |
Koichi Kato | JP | Tokyo | 2014-03-27 / 20140089701 - METHOD FOR CONTROLLING SCHEDULE OF EXECUTING APPLICATION IN TERMINAL DEVICE AND TERMINAL DEVICE IMPLEMENTING THE METHOD | 1 |
Koichi Kato | JP | Wako-Shi | 2013-06-27 / 20130164648 - FUEL-USING SYSTEM | 3 |
Masahisa Kato | JP | Kariya-Shi | 2014-06-19 / 20140169823 - Image Recording Apparatus | 2 |
Takashi Kato | JP | Kakegawa-Shi | 2014-06-19 / 20140165392 - AUTOMOBILE HIGH VOLTAGE WIRE HARNESS AND METHOD OF MANUFACTURING THE AUTOMOBILE HIGH VOLTAGE WIRE HARNESS | 1 |
Hirokazu Kato | JP | Kanagawa | 2016-05-12 / 20160133549 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 8 |
Osamu Kato | JP | Chiyoda-Ku | 2016-05-05 / 20160126557 - CURRENT COLLECTOR, ELECTRODE STRUCTURE, NONAQUEOUS ELECTROLYTE BATTERY, AND ELECTRICAL STORAGE DEVICE | 18 |
Haruna Kato | JP | Aichi-Ken | 2010-01-07 / 20100002028 - PRINTER | 1 |
Mamoru Kato | JP | Aichi-Ken | 2009-12-03 / 20090297880 - Electromagnetically transparent bright resin products and processes for production | 3 |
Kazuhiko Kato | JP | Kariya | 2012-09-27 / 20120244025 - ELECTROMAGNETIC PUMP | 13 |
Kazunari Kato | JP | Iwaki-City | 2009-12-24 / 20090320054 - DISK DEVICE | 2 |
Katsutoshi Kato | JP | Aichi-Ken | 2009-01-01 / 20090001772 - Foof weather strip | 1 |
Ryu Kato | JP | Chofu-Shi | 2013-08-22 / 20130218238 - STIMULATION SIGNAL GENERATION DEVICE AND STIMULATION SIGNAL GENERATION METHOD | 1 |
Akira Kato | JP | Katano-City | 2009-12-03 / 20090295493 - Self-Calibrating Modulator Apparatuses and Methods | 1 |
Hiroshi Kato | JP | Susono-Shi | 2015-11-05 / 20150314742 - VEHICLE BODY FRONT SECTION STRUCTURE | 4 |
Katsuya Kato | JP | Kawasaki-Shi | 2011-06-16 / 20110138844 - DUCT TYPE AIR CONDITIONER | 1 |
Hiroaki Kato | JP | Osaka | 2015-10-01 / 20150277485 - INPUT DEVICE AND DISPLAY DEVICE | 2 |
Akira Kato | JP | Aichi | 2015-03-26 / 20150083285 - MAGNESIUM ALLOY, MAGNESIUM ALLOY MEMBER AND METHOD FOR MANUFACTURING SAME, AND METHOD FOR USING MAGNESIUM ALLOY | 3 |
Yasuhiro Kato | JP | Gifu-Ken | 2014-03-20 / 20140077550 - VEHICLE SEAT | 1 |
Takashi Kato | JP | Chiba | 2013-02-07 / 20130033658 - LIQUID CRYSTAL DISPLAY DEVICE | 5 |
Akira Kato | JP | Yokohama | 2009-10-01 / 20090248950 - USER DATA PROTECTION METHOD IN SERVER APPARATUS, SERVER APPARATUS AND COMPUTER PROGRAM | 2 |
Takashi Kato | JP | Hashima-Gun | 2009-10-01 / 20090247768 - CRYSTALLINE SULFONAMIDE-CONTAINING INDOLE COMPOUND AND PROCESS FOR PREPARING THE SAME | 1 |
Yuji Kato | JP | Sakai-Shi | 2016-05-19 / 20160138693 - Shift Power Transmission Apparatus and Travel Power Transmission Device | 2 |
Hiroyuki Kato | JP | Utsunomiya-Shi | 2016-03-17 / 20160076689 - VIBRATION-PROOF CLAMP | 3 |
Akira Kato | JP | Kobe-Shi | 2013-08-29 / 20130225319 - GOLF CLUB HEAD | 3 |
Hideki Kato | JP | Matsumoto-Shi | 2013-06-27 / 20130161088 - RECORDING DEVICE | 1 |
Hideki Kato | JP | Matsumoto | 2014-03-20 / 20140078222 - PRINTING APPARATUS AND PRINTING SYSTEM | 1 |
Ken Kato | JP | Hokkaido | 2015-07-02 / 20150182557 - NOVEL FERMENTED MILK PRODUCT AND METHOD FOR PRODUCING THE SAME | 4 |
Dai Kato | JP | Musashino-Shi | 2011-06-23 / 20110153883 - DUAL FIELD INSTRUMENT | 1 |
Hitoshi Kato | JP | Iwate | 2016-03-03 / 20160064246 - SUBSTRATE PROCESSING APPARATUS AND METHOD OF PROCESSING A SUBSTRATE | 54 |
Akira Kato | JP | Nara-Shi | 2016-02-04 / 20160032299 - REGULATING ALKALOIDS | 7 |
Akira Kato | JP | Mishima-Shi | 2016-03-10 / 20160071635 - MAGNETIC COMPOUND AND METHOD OF PRODUCING THE SAME | 8 |
Akira Kato | JP | Tokyo | 2015-05-21 / 20150137215 - Semiconductor Device | 6 |
Akira Kato | JP | Kawasaki | 2008-08-21 / 20080201004 - LOCUS-INTERFERENCE VERIFICATION METHOD, APPARATUS, AND RECORDING MEDIUM STORING LOCUS-INTERFERENCE VERIFICATION PROGRAM | 1 |
Takayuki Kato | JP | Atsugi | 2012-04-05 / 20120081646 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Takayuki Kato | JP | Kashiwa-Shi | 2010-10-28 / 20100272476 - DEVELOPER SUPPLYING APPARATUS | 5 |
Tsuyoshi Kato | JP | Kounan-Shi | 2012-11-01 / 20120273369 - GAS SENSOR APPARATUS AND METHOD FOR CONTROLLING THE SAME | 1 |
Akio Kato | JP | Iwata | 2014-09-25 / 20140287861 - CHAIN GUIDE AND CHAIN TRANSMISSION DEVICE | 5 |
Takanori Kato | JP | Osaka | 2012-12-27 / 20120325598 - BRAKE LINING FOR RAILROAD CAR | 1 |
Akio Kato | JP | Iwata-Shi | 2015-11-12 / 20150323047 - CHAIN GUIDE AND CHAIN TENSIONER DEVICE | 7 |
Akio Kato | JP | Yamaguchi-Shi | 2012-03-15 / 20120065382 - METHOD AND DEVICE FOR EXTRACTING POLLEN PROTEINS | 2 |
Yoichi Kato | JP | Yokohoma | 2014-05-29 / 20140147470 - METHODS OF PREDICTING HOST RESPONSIVENESS TO CANCER IMMUNOTHERAPIES BY EX VIVO INDUCTION OF LEUKOCYTE-FUNCTION-ASSOCIATED mRNAs | 1 |
Shigenori Kato | JP | Tokyo | 2010-05-20 / 20100123537 - PLANAR COIL COMPONENT | 1 |
Yoshiyuki Kato | JP | Kawasaki-Shi | 2016-02-18 / 20160046237 - TRAVEL ENVIRONMENT EVALUATION SYSTEM, TRAVEL ENVIRONMENT EVALUATION METHOD, DRIVE ASSIST DEVICE, AND TRAVEL ENVIRONMENT DISPLAY DEVICE | 1 |
Daisuke Kato | JP | Aichi | 2014-05-29 / 20140144272 - SHIFT SWITCHING DEVICE | 1 |
Tatsuo Kato | JP | Kanagawa | 2011-06-09 / 20110136392 - PLUG | 1 |
Takahisa Kato | JP | Hyogo | 2011-06-09 / 20110136191 - Processes for producing coenzyme Q10 | 1 |
Takayuki Kato | JP | Shizuoka | 2016-05-05 / 20160126530 - BATTERY TERMINAL | 8 |
Katsuo Kato | JP | Tokyo | 2013-12-19 / 20130337987 - CIGARETTE FILTER MANUFACTURING APPARATUS AND CIGARETTE FILTER MANUFACTURING METHOD | 2 |
Takayuki Kato | JP | Kawasaki-Shi | 2012-06-21 / 20120154034 - DOHERTY AMPLIFIER SYSTEM AND TRANSMITTER USING THE SAME | 2 |
Tomohide Kato | JP | Toyoake-Shi | 2015-10-15 / 20150291013 - SLIDE STRUCTURE FOR POWER SLIDE DOOR AND CABLE ASSEMBLY METHOD FOR SLIDE DOOR CENTER | 4 |
Takayuki Kato | JP | Haibara-Gun | 2010-01-14 / 20100009288 - ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN FORMING METHOD USING SAME | 1 |
Hirohito Kato | JP | Gifu | 2015-12-10 / 20150354660 - SHOCK ABSORBER | 1 |
Tomohiko Kato | JP | Kisarazu-Shi | 2016-05-05 / 20160122791 - HYPERTHERMOSTABLE ENDOGLUCANASE BELONGING TO GH FAMILY 12 | 10 |
Takayuki Kato | JP | Kariya-Shi | 2016-03-03 / 20160064716 - BATTERY MODULE | 16 |
Takayuki Kato | JP | Osaka | 2012-02-02 / 20120029816 - Navigation Apparatus and Navigation Method | 2 |
Satoshi Kato | JP | Suita-Shi | 2014-05-22 / 20140141346 - METHOD FOR PRODUCING HYDROGEN PEROXIDE, KIT FOR PRODUCING HYDROGEN PEROXIDE, AND FUEL BATTERY | 1 |
Takayuki Kato | JP | Aichi | 2013-09-26 / 20130251507 - EPDM COMPOSITION | 5 |
Teruo Kato | JP | Aichi | 2013-07-25 / 20130186737 - SWITCH | 1 |
Mitsuharu Kato | JP | Aichi | 2014-03-13 / 20140069716 - RESIN MOLDED PRODUCT AND METHOD FOR PRODUCING SAME | 1 |
Takayuki Kato | JP | Aichi-Ken | 2012-03-08 / 20120057999 - MOTOR-DRIVEN COMPRESSOR | 4 |
Yuki Kato | JP | Osaka | 2012-11-01 / 20120274337 - Method and Apparatus for Diagnosing Electrochemical Sensor | 1 |
Yuki Kato | JP | Susono-Shi | 2015-12-24 / 20150372345 - SULFIDE SOLID ELECTROLYTE MATERIAL, BATTERY, AND METHOD FOR PRODUCING SULFIDE SOLID ELECTROLYTE MATERIAL | 18 |
Takayuki Kato | JP | Tottori-Shi | 2014-01-02 / 20140002779 - LIQUID CRYSTAL DISPLAY DEVICE INCLUDING A LIGHT-BLOCKING MEMBER | 2 |
Takayuki Kato | JP | Saitama-Shi | 2014-02-20 / 20140049217 - CHARGING SYSTEM FOR MINIMIZING SYSTEM ENERGY | 4 |
Takayuki Kato | JP | Kasugai | 2008-10-02 / 20080244345 - FAILURE DIAGNOSTIC APPARATUS, FAILURE DIAGNOSTIC SYSTEM, AND FAILURE DIAGNOSTIC METHOD | 1 |
Tatsuya Kato | JP | Mie-Ken | 2015-07-16 / 20150200199 - SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 4 |
Tatsuya Kato | JP | Mie | 2013-10-17 / 20130270623 - SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR MEMORY DEVICE | 1 |
Tatsuya Kato | JP | Osaka-Shi | 2013-09-19 / 20130240109 - PNEUMATIC TIRE | 1 |
Seiko Kato | JP | Tokorozawa-Shi | 2014-11-27 / 20140347608 - OPTICAL DEVICE | 2 |
Eiji Kato | JP | Gunma | 2008-09-25 / 20080231850 - Measuring Device, Method, Program, and Recording Medium | 1 |
Eiji Kato | JP | Inuyama-Shi | 2008-12-25 / 20080314448 - DYE-SENSITIZED SOLAR CELL FABRICATING KIT, DYE-SENSITIZED SOLAR CELL AND METHOD OF USING THE SAME | 1 |
Eiji Kato | JP | Aichi-Ken | 2009-11-12 / 20090280726 - TRUING DEVICE AND TRUING METHOD FOR GRINDING WHEEL | 1 |
Eiji Kato | JP | Tokyo | 2015-10-29 / 20150310972 - RARE EARTH BASED MAGNET | 18 |
Eiji Kato | JP | Kanagawa | 2016-04-07 / 20160097931 - DISPLAY DEVICE AND LIGHT SOURCE FOR IMAGE DISPLAY DEVICE | 6 |
Takehiro Kato | US | 2015-12-31 / 20150380537 - SEMICONDUCTOR DEVICE | 1 | |
Toshiyuki Kato | JP | Osaka | 2014-03-06 / 20140062989 - DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 17 |
Eiji Kato | JP | Miyagi | 2013-10-31 / 20130284930 - MEASUREMENT DEVICE, METHOD, AND RECORDING MEDIUM | 10 |
Takeaki Kato | JP | Yokohama-Shi | 2014-09-18 / 20140281226 - MEMORY CARD AND HOST DEVICE | 2 |
Yasuyoshi Kato | JP | Kure-Shi Hiroshima | 2013-01-03 / 20130004397 - NOx REDUCTION CATALYST AND NOx REDUCTION METHOD FOR EXHAUST COMBUSTION GAS OF BIOMASS | 1 |
Shinya Kato | JP | Kanagawa | 2013-01-03 / 20130002718 - IMAGE DISPLAY APPARATUS, IMAGE DISPLAY CONTROL METHOD AND PROGRAM | 1 |
Kiyoshi Kato | JP | Atsugi | 2016-04-14 / 20160104521 - SEMICONDUCTOR DEVICE, CIRCUIT BOARD, AND ELECTRONIC DEVICE | 186 |
Kenta Kato | JP | Kasugai-City | 2008-08-28 / 20080205134 - Charge pump to supply voltage bands | 1 |
Rick A. Kato | US | Fort Collins | 2012-11-01 / 20120278795 - FIRMWARE MANAGEMENT | 1 |
Koji Kato | JP | Chuo-Ku | 2014-11-06 / 20140329802 - TETRAHYDROBENZOTHIOPHENE COMPOUND | 2 |
Hidenobu Kato | JP | Toyama | 2014-05-08 / 20140123444 - Knitted Fastener Stringer | 2 |
Kiyoshi Kato | JP | Atsugi, Kanagawa | 2013-03-28 / 20130077386 - Semiconductor Device And Driving Method Of The Same | 1 |
Shunya Kato | JP | Toyota-Shi | 2015-12-03 / 20150344020 - HYBRID VEHICLE | 7 |
Hiroshi Kato | JP | Shunan-Shi | 2015-02-26 / 20150056119 - METHOD FOR PRODUCING INORGANIC OXIDE PARTICLES | 2 |
Masahiro Kato | JP | Naka | 2016-03-24 / 20160087163 - PHOSPHOR, METHOD FOR PRODUCING THE SAME, AND LIGHT-EMITTING DEVICE USING THE SAME | 11 |
Yasushi Kato | JP | Chiyoda-Ku | 2013-05-02 / 20130108945 - STAINLESS STEEL FOR CONDUCTIVE MEMBERS WITH LOW CONTACT ELECTRIC RESISTANCE AND METHOD FOR PRODUCING THE SAME | 1 |
Shinji Kato | JP | Tokyo | 2015-12-24 / 20150368578 - SLIDING MEMBER | 3 |
Kiyoshi Kato | JP | Kanagawa | 2015-10-15 / 20150294992 - SEMICONDUCTOR DEVICE | 19 |
Tatsuro Kato | JP | Hitachi-Shi | 2012-11-08 / 20120281332 - Gas Insulated Bus and Particle Removal Method for Gas Insulated Bus | 1 |
Daisaku Kato | JP | Kirishima-Shi | 2014-05-15 / 20140132696 - THERMAL HEAD AND THERMAL PRINTER PROVIDED WITH SAME | 1 |
Takahisa Kato | US | Brookline | 2016-03-17 / 20160074063 - NEEDLE POSITIONING APPARATUS | 14 |
Hiroki Kato | JP | Tottori | 2013-05-16 / 20130120686 - DISPLAY DEVICE | 1 |
Tsutomu Kato | JP | Nagoya-Shi, Aichi-Ken | 2013-01-24 / 20130021622 - TAPE PRINTING APPARATUS | 1 |
Tsutomu Kato | JP | Toyama | 2015-06-11 / 20150162184 - Method of Manufacturing Semiconductor Device | 4 |
Toshinori Kato | JP | Tokyo | 2013-06-27 / 20130165739 - BRAIN STATE SUPPORT APPARATUS AND PROGRAM | 4 |
Toshinori Kato | JP | Tsukuba-Shi | 2013-07-11 / 20130175899 - PASTE AND POLYMER TRANSDUCER INCLUDING COATING FILM FORMED FROM SAME AS ELECTROLYTE FILM OR ELECTRODE FILMS | 3 |
Aya Kato | JP | Tokyo | 2013-10-24 / 20130280188 - SELF-TANNING COSMETIC | 2 |
Chika Kato | JP | Shiga | 2010-09-30 / 20100248262 - Anti-Fibronectin Fragment Monoclonal Antibody | 1 |
Yasuyuki Kato | JP | Shizuoka-Shi | 2013-06-27 / 20130163266 - VEHICULAR LAMP | 1 |
Hidekazu Kato | JP | Zama City | 2008-09-18 / 20080227520 - GAMING MACHINE CHECKING COLOR OF SYMBOL ON REEL | 1 |
Takayuki Kato | JP | Shizuoka-Shi, Shizuoka | 2015-12-31 / 20150375671 - ACTUATOR AND VEHICLE LIGHTING DEVICE | 1 |
Taku Kato | JP | Funabashi-Shi | 2016-03-10 / 20160068674 - IMPRINT MATERIAL | 15 |
Satoko Kato | JP | Yokosuka-Shi | 2014-05-08 / 20140125224 - Mercury-Free Metal Halide Lamp for Vehicle and Metal Halide Lamp Device | 1 |
Taku Kato | JP | Kamakura-Shi | 2016-03-17 / 20160080147 - AUTHENTICATOR, AUTHENTICATEE AND AUTHENTICATION METHOD | 47 |
Daichi Kato | JP | Saitama | 2015-12-31 / 20150375744 - CRUISE CONTROLLER | 1 |
Taku Kato | JP | Chiba | 2010-09-09 / 20100228021 - PHTHALOCYANINE COMPOUND | 5 |
Masami Kato | JP | Sagamihara-Shi | 2015-10-01 / 20150278634 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 26 |
Komei Kato | JP | Saitama-Shi | 2015-12-17 / 20150359635 - MEDICAL DEVICE AND SURFACE MODIFICATION METHOD FOR MEDICAL DEVICE | 8 |
Komei Kato | JP | Saitama-Ken | 2009-06-25 / 20090162235 - MEDICAL DEVICE AND SURFACE MODIFICATION METHOD FOR MEDICAL DEVICE | 1 |
Kohei Kato | JP | Aichi-Ken | 2012-11-15 / 20120285008 - SKIN MATERIAL OF VEHICLE INTERIOR EQUIPMENT AND MANUFACTURING METHOD FOR THE SAME | 1 |
Itsuro Kato | JP | Suita-Shi | 2014-08-28 / 20140241481 - QUENCH TANK AND LIQUID METAL LOOP | 2 |
Tomokazu Kato | JP | Toyota-Shi | 2015-12-31 / 20150375746 - CONTROL APPARATUS FOR VEHICLE | 1 |
Gen Kato | JP | Tokyo | 2011-02-17 / 20110038838 - Probiotic enhancement of steroid and immune suppressor activity in mammals with chronic diseases | 1 |
Gen Kato | JP | Shioya-Gun | 2010-01-07 / 20100001215 - ELECTROMAGNETIC FUEL INJECTION VALVE | 1 |
Manabu Kato | JP | Nisshin-Shi | 2015-02-12 / 20150042324 - CURRENT SENSOR | 3 |
Fumihito Kato | JP | Saitama | 2012-11-15 / 20120285254 - PRESSURE SENSOR | 1 |
Hidemi Kato | JP | Tokyo | 2013-08-01 / 20130196158 - POROUS SILICON PARTICLES AND COMPLEX POROUS SILICON PARTICLES, AND METHOD FOR PRODUCING BOTH | 1 |
Ryosuke Kato | JP | Tokyo | 2015-03-19 / 20150079347 - GLASS SHEET CAPABLE OF BEING INHIBITED FROM WARPING THROUGH CHEMICAL STRENGTHENING | 4 |
Aya Kato | JP | Tochigi | 2013-08-15 / 20130210775 - AGENT FOR INHIBITING ODOR OF PYRAZINE DERIVATIVES | 2 |
Tomohide Kato | JP | Toyama-Shi | 2012-10-25 / 20120266817 - SUBSTRATE PROCESSING APPARATUS CAPABLE OF CLEANING INSIDE THEREOF AND CLEANING CONTROL APPARATUS FOR CONTROLLING CLEANING PROCESS OF SUBSTRATE PROCESSING APPARATUS | 4 |
Koichi Kato | JP | Kariya-City | 2016-05-12 / 20160131349 - LIGHTING DEVICE AND LIGHTING APPLIANCE HAVING THE LIGHTING DEVICE | 1 |
Daijiro Kato | JP | Kyoto-Shi | 2012-12-27 / 20120327411 - Spectrophotometer | 2 |
Ken Kato | JP | Shizuoka-Shi | 2012-11-15 / 20120287658 - VEHICLE LAMP | 1 |
Natsuki Kato | JP | Kawasaki-Shi | 2016-03-03 / 20160065782 - INFORMATION PROCESSING APPARATUS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 8 |
Chihiro Kato | JP | Nagoya | 2015-05-07 / 20150124261 - Multi-Channel Optical Coherence Tomography | 2 |
Daishi Kato | JP | Nagoya | 2013-06-06 / 20130142648 - ROTATING MACHINE | 1 |
Yoshinori Kato | JP | Shibuya-Ku | 2013-09-12 / 20130238601 - CLIENT SYSTEM AND SERVER | 1 |
Hiroyuki Kato | JP | Toyohashi-Shi | 2013-07-25 / 20130189048 - FASTENER | 1 |
Hiroyuki Kato | JP | Higashiyamato | 2013-07-25 / 20130187610 - CHARGING/DISCHARGING MONITORING DEVICE AND BATTERY PACK | 1 |
Hiroyuki Kato | JP | Fussa-Shi | 2015-12-31 / 20150381886 - Camera Controlling Apparatus For Controlling Camera Operation | 2 |
Fumihiro Kato | JP | Tokyo | 2012-11-15 / 20120289335 - GAMING MACHINE | 1 |
Masataka Kato | JP | Ibigawa-Cho | 2013-09-12 / 20130233303 - THERMAL RECEIVER AND SOLAR THERMAL POWER GENERATION DEVICE | 4 |
Fumihito Kato | JP | Settsu-Shi | 2012-12-20 / 20120318052 - DETECTION DEVICE | 1 |
Masayuki Kato | JP | Toyohashi-Shi | 2014-11-13 / 20140336331 - BINDER COMPOSITION FOR MOLD FORMATION | 2 |
Yasuharu Kato | JP | Itano-Gun | 2012-11-15 / 20120289755 - CARBON CATALYST FOR DECOMPOSITION OF HAZARDOUS SUBSTANCE, HAZARDOUS-SUBSTANCE-DECOMPOSING MATERIAL, AND METHOD FOR DECOMPOSITION OF HAZARDOUS SUBSTANCE | 1 |
Kiminori Kato | JP | Niigata | 2010-02-18 / 20100040581 - EPO DERIVATIVE-CONTAINING THERAPEUTIC AGENTS FOR BLOOD-RELATED DISEASES | 1 |
Yuki Kato | JP | Otawara-Shi | 2013-09-26 / 20130251101 - X-RAY CT APPARATUS AND METHOD FOR CONTROLLING THE SAME | 1 |
Chikage Kato | JP | Chita-Gun | 2013-09-19 / 20130241043 - SEMICONDUCTOR MODULE AND SEMICONDUCTOR DEVICE | 1 |
Daisuke Kato | JP | Kyoto | 2015-01-29 / 20150030924 - POSITIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, METHOD FOR PRODUCING THE SAME, AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Rui Kato | JP | Kyoto | 2013-10-10 / 20130265587 - SURFACE TREATMENT STATUS MONITORING DEVICE | 1 |
Yasumasa Kato | JP | Chiyoda-Ku | 2015-03-05 / 20150064411 - FRONT GLASS PLATE FOR STACKED STRUCTURE AND STACKED STRUCTURE | 4 |
Junya Kato | JP | Nagano-Ken | 2011-02-03 / 20110024975 - Recording Apparatus | 1 |
Naoki Kato | JP | Omitama-Shi | 2014-05-08 / 20140124542 - Fixed Amount Discharge Container | 1 |
Naoki Kato | JP | Kairya-Shi | 2013-10-10 / 20130264702 - SEMICONDUCTOR UNIT | 1 |
Shinichi Kato | JP | Matsumoto | 2016-04-21 / 20160108259 - THREE-DIMENSIONAL SHAPING COMPOSITION, METHOD FOR PRODUCING THREE-DIMENSIONALLY SHAPED ARTICLE, AND THREE-DIMENSIONALLY SHAPED ARTICLE | 9 |
Shinpei Kato | JP | Shimada-Shi | 2015-12-31 / 20150377688 - SENSOR AND METHOD OF MANUFACTURING SENSOR | 2 |
Tomohiro Kato | JP | Okazaki-Shi | 2013-06-27 / 20130164045 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS INCLUDING SAME | 10 |
Atsushi Kato | JP | Yokosuka | 2013-07-11 / 20130178175 - VOLTAGE STANDING WAVE RATIO DETECTION CIRCUIT | 1 |
Atsushi Kato | JP | Toyohashi-Shi | 2016-01-28 / 20160023638 - VEHICLE WIPER DEVICE | 2 |
Kazunori Kato | JP | Shizuoka-Ken | 2015-07-23 / 20150206182 - ADVERTISEMENT SUPPORT APPARATUS, ADVERTISEMENT SUPPORT SYSTEM AND ADVERTISEMENT SUPPORT METHOD | 2 |
Ai Kato | JP | Kanagawa | 2011-02-03 / 20110026064 - IMAGE PROCESSING SYSTEM, IMAGE PROCESSING APPARATUS, IMAGE FORMING APPARATUS, IMAGE PROCESSING METHOD, PROGRAM, AND RECORDING MEDIUM | 1 |
Yuji Kato | JP | Nishio-Shi | 2013-11-21 / 20130306016 - ROCKER ARM WITH LASH ADJUSTER | 1 |
Emi Kato | JP | Kanagawa | 2011-02-03 / 20110027674 - HYDROGEN PRODUCTION APPARATUS AND FUEL CELL SYSTEM USING THE SAME | 1 |
Yuji Kato | JP | Sapporo | 2014-01-09 / 20140012103 - LIGHT ABSORPTION COEFFICIENT DISTRIBUTION ESTIMATION DEVICE, CONCENTRATION MEASUREMENT DEVICE, AND METHOD FOR CONTROLLING LIGHT ABSORPTION COEFFICIENT DISTRIBUTION ESTIMATION DEVICE | 1 |
Shunya Kato | JP | Kanagawa | 2011-08-11 / 20110195235 - NEAR-INFRARED ABSORPTIVE COMPOSITION, NEAR-INFRARED ABSORPTIVE COATED MATERIAL, NEAR-INFRARED ABSORPTIVE LIQUID DISPERSION, NEAR-INFRARED ABSORPTIVE INK, PRINTED MATERIAL, AND NEAR-INFRARED ABSORPTIVE IMAGE-FORMING COMPOSITION | 2 |
Hideki Kato | JP | Machida-Shi | 2013-01-31 / 20130030666 - DRIVING SUPPORT SYSTEM | 2 |
Hirohisa Kato | JP | Aichi-Pref. | 2012-12-13 / 20120314441 - AUTOMOTIVE LAMP | 1 |
Kazuhiko Kato | JP | Tsukuba-Shi | 2012-11-29 / 20120303298 - EVALUATION METHOD FOR SOLAR POWER GENERATION SYSTEM, EVALUATION DEVICE, AND EVALUATION PROGRAM | 1 |
Kazuya Kato | JP | Aichi | 2014-01-09 / 20140007717 - ELECTRICALLY POWERED GARDENING TOOL | 2 |
Keizo Kato | JP | Tokyo | 2014-05-15 / 20140133052 - MAGNETORESISTIVE SENSOR HAVING REDUCED READ GAP AND STRONG PINNED LAYER STABILITY | 3 |
Kazuya Kato | JP | Nagaokakyo-Shi | 2016-03-17 / 20160079772 - POWER TRANSMISSION DEVICE AND WIRELESS POWER TRANSMISSION SYSTEM | 8 |
Hiromu Kato | JP | Kanagawa | 2015-04-16 / 20150103017 - DISPLAY DEVICE AND OPERATING METHOD THEREOF | 4 |
Isami Kato | JP | Kariya-Shi | 2011-03-17 / 20110064889 - METHOD FOR PRODUCTION OF ELECTROLESS PLATING MATERIAL | 2 |
Yuichi Kato | JP | Kariya-Shi | 2014-09-11 / 20140255222 - OIL SUPPLY APPARATUS | 2 |
Kohei Kato | JP | Kariya-Shi | 2010-04-29 / 20100101858 - CONDUCTIVE FIBER CONNECTING METHOD AND STRUCTURE | 3 |
Tomoaki Kato | JP | Kariya-Shi | 2016-02-11 / 20160039403 - DEVICE AND METHOD FOR CONTROLLING LIMITED SLIP DIFFERENTIAL | 6 |
Kouichi Kato | JP | Kanagawa | 2011-05-19 / 20110116890 - FASTENER | 5 |
Shotaro Kato | JP | Susono-Shi | 2016-05-12 / 20160131203 - ELECTROMAGNETIC ACTUATOR | 9 |
Makoto Kato | JP | Hamamatsu-Shi, Shizuoka | 2016-05-19 / 20160140734 - COLORING INSPECTION APPARATUS AND COLORING INSPECTION METHOD | 2 |
Norihiko Kato | JP | Kariya-Shi | 2015-10-01 / 20150274497 - INDUSTRIAL VEHICLE | 12 |
Yoshiyuki Kato | JP | Miyagi | 2012-12-06 / 20120305188 - PLASMA PROCESSING APPARATUS AND GAS SUPPLY METHOD THEREFOR | 1 |
Chiaki Kato | JP | Yokosuka-Shi | 2013-08-01 / 20130196404 - METHOD FOR DETACHMENT AND PREPARATION OF LIVING MICROORGANISMS | 1 |
Hirohisa Kato | JP | Kariya-Shi | 2016-02-18 / 20160049702 - BATTERY PACK | 6 |
Masanari Kato | JP | Kanagawa | 2010-11-18 / 20100293302 - Information Processing Apparatus | 1 |
Keiichi Kato | JP | Kanagawa | 2010-10-07 / 20100254551 - HEARING OPTIMIZATION DEVICE AND HEARING OPTIMIZATION METHOD | 1 |
Ikuyasu Kato | JP | Kariya-Shi | 2009-12-31 / 20090325016 - FUEL CELL STACK | 1 |
Hisaki Kato | JP | Kariya-Shi | 2009-10-01 / 20090245992 - Suspension type robot whose robot body runs along traveling rail | 2 |
Hirokazu Kato | US | Albany | 2012-12-13 / 20120313251 - INTERCONNECT STRUCTURE WITH IMPROVED ALIGNMENT FOR SEMICONDUCTOR DEVICES | 1 |
Akihiko Kato | JP | Kanagawa | 2010-09-02 / 20100220987 - IMAGING DEVICE AND PORTABLE TERMINAL WITH THIS | 1 |
Yoshifumi Kato | JP | Kariya-Shi | 2009-07-09 / 20090173623 - EXHAUST GAS TREATMENT DEVICE | 4 |
Tetsuya Kato | JP | Hitachiota | 2014-06-19 / 20140169048 - POWER CONVERSION DEVICE | 5 |
Tetsuya Kato | JP | Shizuoka | 2009-04-16 / 20090097485 - DATA DISTRIBUTION APPARATUS, DATA DISTRIBUTION METHOD, AND DISTRIBUTION CONTROL PROGRAM | 2 |
Tetsuya Kato | JP | Chiryu-Shi | 2009-10-01 / 20090244094 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING PROGRAM | 2 |
Tetsuya Kato | JP | Tsukuba-Shi | 2010-01-21 / 20100016335 - NOVEL AMINOPYRIDINE DERIVATIVES HAVING AURORA A SELECTIVE INHIBITORY ACTION | 2 |
Tetsuya Kato | JP | Okazaki-Shi | 2010-06-24 / 20100155240 - GAS SENSOR ELEMENT AND GAS SENSOR EQUIPPED WITH THE SAME | 1 |
Fumihiko Kato | JP | Kanagawa | 2012-09-20 / 20120235843 - DIGITAL-TO-ANALOG CONVERTER AND CIRCUIT | 4 |
Haruyasu Kato | JP | Inagi | 2012-12-13 / 20120312664 - SWIRLING VANE WHEEL ACCUMULATING APPARATUS | 1 |
Seishiro Kato | JP | Kanagawa | 2010-04-29 / 20100104204 - ENCODING DEVICE, DECODING DEVICE, IMAGE FORMING DEVICE, METHOD, AND PROGRAM STORAGE MEDIUM | 1 |
Kensho Kato | JP | Kanagawa | 2011-10-27 / 20110259301 - PRESSURE FLUCTUATION CONTROL DEVICE FOR CONTROLLING PRESSURE FLUCTUATION IN UPSTREAM SIDE OF COMMON RAIL | 2 |
Katsuya Kato | JP | Nagoya-Shi | 2012-12-13 / 20120312427 - HIGH-STRENGTH ALUMINUM ALLOY PRODUCT AND METHOD OF PRODUCING THE SAME | 1 |
Mamoru Kato | JP | Kanagawa | 2013-11-14 / 20130305031 - DIGITAL CONTROL DEVICE AND EXECUTION METHOD THEREOF | 3 |
Tetsuya Kato | JP | Ibaraki | 2011-01-06 / 20110003833 - NOVEL AMINOPYRIDINE DERIVATIVES HAVING AURORA A SELECTIVE INHIBITORY ACTION | 2 |
Tetsuya Kato | JP | Aichi-Ken | 2012-08-30 / 20120218610 - IMAGE READING DEVICE | 7 |
Tetsuya Kato | JP | Tokyo | 2011-11-24 / 20110288090 - Inhibitors of AKT Activity | 5 |
Yoichi Kato | JP | Tokyo | 2016-04-14 / 20160104354 - SLOT MACHINE INCLUDING A PLURALITY OF VIDEO REEL STRIPS | 57 |
Yukinari Kato | JP | Yamagata-Shi | 2012-12-06 / 20120308571 - ANTI-PODOPLANIN ANTIBODY, AND PHARMACEUTICAL COMPOSITION CONTAINING ANTI-PODOPLANIN ANTIBODY | 1 |
Yukitoshi Kato | JP | Hadano-Shi | 2009-03-19 / 20090076525 - PFO CLOSING DEVICE | 2 |
Sho Kato | JP | Kanagawa | 2010-03-25 / 20100071767 - PHOTOELECTRIC CONVERSION DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Motofumi Kato | JP | Makinohara-Shi | 2014-10-16 / 20140306795 - BUS BAR FORMING DIE AND METHOD OF MANUFACTURING BUS BAR USING THE SAME | 2 |
Tadahiko Kato | JP | Kosai-City | 2015-05-21 / 20150135874 - CLUTCH DEVICE | 2 |
Masanobu Kato | JP | Kawasaki | 2014-01-30 / 20140027653 - OPTICAL ELEMENT, OPTICAL DEVICE, MEASUREMENT DEVICE, AND SCREENING APPARATUS | 1 |
Miho Kato | JP | Kawasaki | 2013-06-27 / 20130166941 - CALCULATION APPARATUS, CALCULATION METHOD, AND RECORDING MEDIUM FOR CALCULATION PROGRAM | 1 |
Akihiro Kato | JP | Kanagawa | 2015-03-19 / 20150075978 - HIGH-LOAD DURABLE ANODE FOR OXYGEN GENERATION AND MANUFACTURING METHOD FOR THE SAME | 4 |
Noriji Kato | JP | Kanagawa | 2016-04-07 / 20160098827 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 18 |
Kikuji Kato | JP | Kanagawa | 2010-02-04 / 20100031331 - Remote Access Method | 1 |
Sadaatsu Kato | JP | Kanagawa | 2010-01-07 / 20100002945 - IMAGE ENCODING APPARATUS, IMAGE DECODING APPARATUS, IMAGE ENCODING METHOD, IMAGE DECODING METHOD, IMAGE ENCODING PROGRAM, AND IMAGE DECODING PROGRAM | 4 |
Yukihiro Kato | JP | Kanagawa | 2008-12-11 / 20080305102 - Therapeutic Agent for Cancer Comprising Substance Capable of Inhibiting Expression or Function of Synoviolin as Active Ingredient and Screening Method for the Therapeutic Agent for Cancer | 1 |
Akifumi Kato | JP | Kanagawa | 2009-01-22 / 20090020922 - PRODUCTION METHOD OF POLYMER FILM AND PRODUCTION APPARATUS OF THE SAME | 1 |
Jun-Ichi Kato | JP | Kanagawa | 2009-12-24 / 20090317870 - INDUSTRIALLY USEFUL MICROORGANISM | 1 |
Hideo Kato | JP | Kanagawa | 2012-05-24 / 20120128469 - Corrosive Environment Monitoring System and Corrosive Environment Monitoring Method | 4 |
Kyoko Kato | JP | Kanagawa | 2009-11-26 / 20090289249 - Oxide Semiconductor, Thin-Film Transistor and Method for Producing the Same | 2 |
Ryoichi Kato | JP | Kanagawa | 2013-09-19 / 20130246716 - MEMORY SYSTEM AND DATA WRITING METHOD | 4 |
Nobuo Kato | JP | Kanagawa | 2009-10-01 / 20090244400 - ELECTRONIC APPARATUS, DISPERSION ADJUSTMENT METHOD OF IC INTERNAL COMPONENT SECTION OF ELECTRONIC APPARATUS AND IC | 1 |
Yoshitake Kato | JP | Kanagawa | 2015-09-24 / 20150270271 - Semiconductor Integrated Circuit Device and Method For Producing The Same | 4 |
Kazushige Kato | JP | Kanagawa | 2012-07-19 / 20120184727 - PHOTOPORPHYRINOGEN OXIDASE HAVING ACTIVITY OF IMPARTING RESISTANCE AGAINST ACIFLUORFEN AND GENE THEREOF | 2 |
Yoshihiro Kato | JP | Toyota-Shi | 2013-09-12 / 20130233684 - MOUNTING STRUCTURE OF SWITCH KNOB FOR VEHICLE | 1 |
Yoshihiro Kato | JP | Sakai-Shi | 2014-02-06 / 20140034160 - OPERATION PATTERN SWITCHING DEVICE | 1 |
Tetsuo Kato | JP | Kanagawa | 2013-09-26 / 20130252225 - STUDY SYSTEM AND DISPLAY METHOD | 3 |
Yukitoshi Kato | JP | Kanagawa | 2009-03-26 / 20090082804 - Defect-Closure Device and Delivery Apparatus | 1 |
Daisuke Kato | JP | Kanagawa | 2016-03-17 / 20160079789 - SEMICONDUCTOR DEVICE AND BATTERY PACK | 6 |
Osamu Kato | JP | Kanagawa | 2014-02-06 / 20140035139 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Moritaka Kato | JP | Kanagawa | 2008-10-02 / 20080235983 - METHOD AND APPARATUS FOR DRYING FILM AND SOLUTION CASTING METHOD | 3 |
Mitsuo Kato | JP | Kanagawa | 2008-10-02 / 20080241016 - PLASMA PROCESSING SYSTEM, PLASMA MEASUREMENT SYSTEM, PLASMA MEASUREMENT METHOD, AND PLASMA CONTROL SYSTEM | 1 |
Tomonori Kato | JP | Kanagawa | 2016-04-21 / 20160107783 - PACKAGING BODY AND STORAGE METHOD | 18 |
Masanori Kato | JP | Kanagawa | 2014-01-23 / 20140023408 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 9 |
Yoshikazu Kato | JP | Kanagawa | 2010-06-24 / 20100159322 - CATHODE AND BATTERY INCLUDING SAME | 1 |
Yasuhiko Kato | JP | Kanagawa | 2014-06-26 / 20140178049 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 8 |
Yuki Kato | JP | Toyota-Shi | 2016-01-28 / 20160028109 - SULFIDE SOLID ELECTROLYTE MATERIAL AND LITHIUM SOLID STATE BATTERY | 1 |
Tetsuro Kato | JP | Aichi | 2011-06-09 / 20110134313 - VISUAL PRESENTER | 1 |
Shotaro Kato | JP | Susono-Shi | 2016-05-12 / 20160131203 - ELECTROMAGNETIC ACTUATOR | 9 |
Ryo Kato | JP | Tokyo | 2013-09-05 / 20130230725 - LAMINATE FOR STRETCH-FORMING AND STRETCHED LAMINATE USING THE SAME | 8 |
Soichi Kato | JP | Kawasaki | 2011-02-17 / 20110038316 - CONTROL APPARATUS, WIRELESS COMMUNICATION APPARATUS, COMMUNICATION SYSTEM, AND CONTROL METHOD | 1 |
Tomotake Kato | JP | Wako | 2011-06-09 / 20110133540 - GUIDE STRUCTURE OF VEHICLE SEATBELT | 1 |
Takaaki Kato | JP | Saitama | 2011-06-09 / 20110133511 - REAR STRUCTURE OF STRADDLE-RIDE TYPE VEHICLE | 1 |
Kinya Kato | JP | Tokyo | 2014-08-21 / 20140233008 - ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, DEVICE PRODUCTION METHOD, AND LIGHT POLARIZATION UNIT | 4 |
Masashi Kato | JP | Kawasaki | 2009-11-05 / 20090276779 - JOB MANAGEMENT APPARATUS | 1 |
Nobuharu Kato | JP | Ina-Shi | 2011-06-09 / 20110132137 - DAMPER SPRING DEVICE, FLYWHEEL, CLUTCH DISK, AND CLUTCH DISK FOR LOCKUP MECHANISM | 1 |
Hideyuki Kato | JP | Akashi-Shi | 2015-10-29 / 20150307148 - SIDE MIRROR FOR STRADDLE VEHICLE | 4 |
Seima Kato | JP | Utsunomiya-Shi | 2015-09-17 / 20150260605 - REFRACTIVE-INDEX DISTRIBUTION MEASURING METHOD, REFRACTIVE-INDEX DISTRIBUTION MEASURING APPARATUS, METHOD OF MANUFACTURING OPTICAL ELEMENT, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 12 |
Masayuki Kato | JP | Osaka | 2011-06-30 / 20110156853 - REACTOR-USE COMPONENT AND REACTOR | 1 |
Tsuguo Kato | JP | Kawasaki | 2009-08-13 / 20090201859 - Communication Device And Method | 1 |
Takayuki Kato | JP | Tokyo | 2014-06-12 / 20140158899 - IMAGING DEVICE AND IMAGING DISPLAY SYSTEM | 2 |
Hideyuki Kato | JP | Machida | 2011-06-02 / 20110131579 - BATCH JOB MULTIPLEX PROCESSING METHOD | 1 |
Keizo Kato | JP | Niigata | 2014-05-29 / 20140146393 - TRANSMITTED LIGHT CONTROL DEVICE | 2 |
Takuto Kato | JP | Kawasaki | 2011-06-30 / 20110157522 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Nobuyuki Kato | JP | Okayama-Shi | 2011-06-02 / 20110129868 - CELL CAPABLE OF REPLICATING NOVEL HCV REPLICON, CELL CAPABLE OF REPLICATING FULL-LENGTH HCV RNA, AND USE OF THOSE CELLS | 1 |
Yasuhiro Kato | JP | Osaka | 2011-06-30 / 20110157798 - PORTABLE ELECTRONIC APPARATUS | 1 |
Midori Kato | JP | Kawasaki | 2010-02-04 / 20100026938 - IMAGE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Shinichi Kato | JP | Toyota-Shi | 2015-02-26 / 20150055899 - SLIDING MEMBER AND METHOD FOR MANUFACTURING SLIDING MEMBER | 3 |
Kazuhiro Kato | JP | Ichihara-Shi | 2011-12-22 / 20110312115 - LASER MACHINING METHOD AND METHOD FOR MANUFACTURING COMPOUND SEMICONDUCTOR LIGHT-EMITTING ELEMENT | 2 |
Ikunoshin Kato | JP | Shinga | 2011-06-30 / 20110158954 - METHOD FOR PRODUCING GAMMA DELTA T CELL POPULATION | 1 |
Hayato Kato | JP | Osaka | 2013-12-12 / 20130326849 - ALUMINUM FOIL FOR ALUMINUM ELECTROLYTIC CAPACITOR ELECTRODE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Masayuki Kato | JP | Yokohama-Shi | 2015-11-26 / 20150336842 - METHOD FOR MANUFACTURING BENT OPTICAL FIBER | 1 |
Riichi Kato | JP | Nagoya | 2011-03-31 / 20110074098 - PAPER SHEETS STORAGE AND PAPER SHEETS HANDLING APPARATUS | 7 |
Koya Kato | JP | Nagoya | 2011-01-06 / 20110004018 - PROCESS FOR PRODUCING DIAMINE AND POLYAMIDE | 1 |
Kiyshi Kato | JP | Tokyo | 2011-06-30 / 20110161743 - OPERATION MANAGEMENT DEVICE, OPERATION MANAGEMENT METHOD, AND OPERATION MANAGEMENT PROGRAM | 1 |
Munetaka Kato | JP | Minamiashigara-Shi | 2012-01-05 / 20120001201 - RADIATION IMAGE DETECTION APPARATUS AND MANUFACTURING METHOD OF RADIATION IMAGE DETECTOR | 3 |
Tetsuro Kato | JP | Nagoya | 2010-08-12 / 20100201801 - IMAGING DEVICE | 5 |
Tomoyasu Kato | JP | Nagoya | 2009-09-17 / 20090229947 - PAPER CURRENCY HANDLING APPARATUS AND AUTOMATED TELLER MACHINE | 1 |
Yasutake Kato | JP | Inuyama-Shi | 2008-12-25 / 20080314448 - DYE-SENSITIZED SOLAR CELL FABRICATING KIT, DYE-SENSITIZED SOLAR CELL AND METHOD OF USING THE SAME | 1 |
Hidenori Kato | JP | Nishio-Shi | 2010-01-28 / 20100022346 - CONTROL DEVICE FOR VEHICULAR DRIVE SYSTEM | 2 |
Ryohei Kato | JP | Yokohama-Shi | 2015-04-02 / 20150094949 - INFORMATION PROCESSING COOPERATION SYSTEM, INFORMATION PROCESSING TERMINAL AND COMPUTER READABLE MEDIUM FOR THE SAME | 7 |
Takako Kato | JP | Kawasaki | 2009-03-19 / 20090077276 - DATA TRANSFER DEVICE, INFORMATION PROCESSING SYSTEM, AND COMPUTER-READABLE RECORDING MEDIUM CARRYING DATA TRANSFER PROGRAM | 1 |
Takeshi Kato | JP | Osaka | 2011-08-25 / 20110205599 - IMAGE READING DEVICE AND IMAGE FORMING APPARATUS | 10 |
Ryogo Kato | JP | Anjo-Shi | 2009-06-18 / 20090152077 - HYDRAULIC CONTROL SYSTEM OF VEHICLE | 1 |
Yasuaki Kato | JP | Tokyo | 2016-01-28 / 20160025101 - PROPELLER FAN, BLOWER DEVICE, AND OUTDOOR EQUIPMENT | 9 |
Tomoaki Kato | JP | Nagoya | 2015-11-26 / 20150340842 - SPARK PLUG, AND PRODUCTION METHOD THEREFOR | 10 |
Shinichiro Kato | JP | Nagoya | 2015-03-26 / 20150083279 - NITROCARBURIZED CRANKSHAFT MEMBER AND STEEL FOR NITROCARBURIZED CRANKSHAFTS | 3 |
Hiroyuki Kato | JP | Mie | 2011-05-26 / 20110123695 - CRYSTAL GROWTH INHIBITOR FOR FATS AND OILS | 1 |
Shinichi Kato | JP | Okaya-Shi Nagano | 2013-07-25 / 20130189200 - Oral Composition For Removing Tooth Stain | 1 |
Toyohiro Kato | JP | Kawasaki | 2014-02-27 / 20140059366 - ELECTRONIC DEVICE AND CONTROL METHOD | 2 |
Tatsuo Kato | JP | Kawasaki | 2010-06-24 / 20100156533 - REGULATOR CIRCUIT | 1 |
Akira Kato | JP | Nagaokakyo-Shi | 2011-05-26 / 20110122541 - DIELECTRIC CERAMIC AND LAMINATED CERAMIC CAPACITOR | 1 |
Yukio Kato | JP | Sagamihara | 2011-12-08 / 20110299367 - THERMALLY ASSISTED MAGNETIC RECORDING DEVICE | 4 |
Yukio Kato | JP | Kanagawa | 2014-11-13 / 20140335121 - FIMBRIAE TYPE OF PROPHYROMONAS GULAE | 2 |
Masaya Kato | JP | Kawasaki | 2009-11-19 / 20090283205 - METHOD OF MANUFACTURING A THIN-FILM MAGNETIC HEAD | 4 |
Kazuya Kato | JP | Nagaokakyo-Shi | 2016-03-17 / 20160079772 - POWER TRANSMISSION DEVICE AND WIRELESS POWER TRANSMISSION SYSTEM | 8 |
Yukinari Kato | JP | Yokohama-Shi | 2013-09-12 / 20130234672 - DEVICE FOR CONTROLLING ASSEMBLED BATTERY | 1 |
Tomotsugu Kato | JP | Kumagaya-Shi | 2010-06-24 / 20100156733 - CHIP ANTENNA AND ITS PRODUCTION METHOD, AND ANTENNA APPARATUS AND COMMUNICATIONS APPARATUS COMPRISING SUCH CHIP ANTENNA | 1 |
Hanako Kato | JP | Kitakyushu-Shi | 2011-05-26 / 20110121321 - SEMICONDUCTOR LIGHT EMITTING DEVICE MEMBER, METHOD FOR MANUFACTURING SUCH SEMICONDUCTOR LIGHT EMITTING DEVICE MEMBER AND SEMICONDUCTOR LIGHT EMITTING DEVICE USING SUCH SEMICONDUCTOR LIGHT EMITTING DEVICE MEMBER | 1 |
Kikuo Kato | JP | Tokyo | 2011-10-27 / 20110260776 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 2 |
Masahito Kato | JP | Chiyoda-Ku | 2011-05-26 / 20110120887 - METHOD AND DEVICE FOR CLEANING CIRCULATION WATER | 1 |
Masaaki Kato | JP | Akita | 2011-05-26 / 20110120754 - MULTILAYER WIRING BOARD AND SEMICONDUCTOR DEVICE | 1 |
Hideaki Kato | JP | Yamanashi | 2016-01-28 / 20160029521 - COMPONENT MOUNTING APPARATUS AND COMPONENT MOUNTING METHOD | 1 |
Takashi Kato | JP | Yokohama-Shi | 2013-07-18 / 20130182736 - QUANTUM CASCADE LASER | 11 |
Etsushi Kato | JP | Kyoto-Shi | 2013-07-25 / 20130186871 - LASER PROCESSING MACHINE | 1 |
Manabu Kato | JP | Tsukuba Mirai-Shi | 2009-04-02 / 20090088963 - SYSTEM AND METHOD FOR GEOGRAPHIC INTERPOLATION OF TRAFFIC DATA | 1 |
Koji Kato | JP | Aichi | 2009-04-02 / 20090087678 - Annular Metal Cord and Endless Metal Belt | 1 |
Masahiro Kato | JP | Chiba-Ken | 2009-04-02 / 20090085466 - Fluorescent display device and conductive paste for the fluorescent display device | 1 |
Takero Kato | JP | Aichi-Ken | 2009-04-02 / 20090085380 - Rear Structure for Vehicle | 1 |
Tomohisa Kato | JP | Fukuoka-Ken | 2011-07-14 / 20110167554 - BATHTUB DEVICE | 1 |
Hiroyuki Kato | JP | Okazaki-Shi | 2014-08-21 / 20140231212 - TRANSMISSION | 3 |
Fumitaka Kato | JP | Toyota-Shi | 2010-12-16 / 20100314264 - METHOD OF CORRECTING NOx SENSOR AND NOx-SENSING DEVICE | 1 |
Shingo Kato | JP | Toyota-Shi | 2015-11-12 / 20150321656 - CONTROL APPARATUS FOR A HYBRID VEHICLE DRIVE SYSTEM | 4 |
Akitoshi Kato | JP | Kawasaki-Shi | 2013-11-28 / 20130314033 - CHARGING APPARATUS HAVING GAS GENERATION DETECTOR AND CHARGING METHOD FOR THE SAME | 4 |
Akiyoshi Kato | JP | Toyota-Shi | 2009-10-29 / 20090266634 - VEHICULAR RADIATOR SUPPORTING APPARATUS | 2 |
Mami Kato | JP | Toyota-Shi | 2009-06-18 / 20090156447 - LUBRICANT FOR THREAD ROLLING AND THREAD ROLLING METHOD | 1 |
Hiroharu Kato | JP | Toyota-Shi | 2009-10-22 / 20090260488 - TIE ROD ADJUSTMENT OPEN-END WRENCH | 1 |
Aiko Kato | JP | Tokyo | 2011-07-14 / 20110171154 - ADDITIVE FOR HAIR COSMETIC PREPARATION, HAIR COSMETIC PREPARATION, AND METHOD FOR PRODUCING ADDITIVE FOR HAIR COSMETIC PREPARATION | 1 |
Minoru Kato | JP | Toyota-Shi | 2014-04-17 / 20140106932 - ENGINE AUTOMATIC CONTROL SYSTEM AND ENGINE AUTOMATIC CONTROL METHOD | 2 |
Shinji Kato | JP | Kanagawa | 2016-03-03 / 20160062260 - IMAGE FORMING APPARATUS | 21 |
Nobuharu Kato | JP | Nagano | 2014-01-02 / 20140001630 - PRESSURE UNIT | 2 |
Kyoichi Kato | JP | Inashiki-Gun | 2011-07-14 / 20110171519 - METHOD FOR PRODUCING DIFLUOROPHOSPHATE, NONAQUEOUS ELECTROLYTE SOLUTION FOR SECONDARY BATTERIES AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Hidehiko Kato | JP | Tokyo | 2013-07-25 / 20130186192 - WIND TUNNEL TEST MODEL AND WIND TUNNEL TEST METHOD | 1 |
Tomotoshi Kato | JP | Tottori-Shi | 2010-04-08 / 20100085335 - ELECTRO-OPTICAL DEVICE, AND ELECTRONIC APPARATUS HAVING THE SAME | 1 |
Noboru Kato | JP | Moriyama-Shi | 2016-02-11 / 20160043460 - ANTENNA DEVICE AND METHOD OF SETTING RESONANT FREQUENCY OF ANTENNA DEVICE | 73 |
Shigeaki Kato | JP | Miyagi-Gun | 2012-11-22 / 20120292290 - SUBSTRATE PROCESSING METHOD, SYSTEM AND PROGRAM | 2 |
Tomotoshi Kato | JP | Hashima-Shi | 2009-01-15 / 20090015533 - LIQUID CRYSTAL DEVICE AND ELECTRONIC APPARATUS | 2 |
Masahiko Kato | JP | Yokohama-Shi | 2009-03-05 / 20090060601 - Cleaning device, process cartridge, and image forming apparatus | 3 |
Masahiko Kato | JP | Kyoto | 2015-01-22 / 20150020852 - SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD | 5 |
Masahiko Kato | JP | Shizuoka | 2010-06-03 / 20100132664 - FUEL SUPPLY SYSTEM FOR BOAT AND OUTBOARD MOTOR | 5 |
Masahiko Kato | JP | Kanagawa-Ken | 2010-12-02 / 20100303375 - IMAGE PROCESSING APPARATUS | 1 |
Masahiko Kato | JP | Mie | 2009-11-19 / 20090282659 - CORPSE-TREATMENT COMPOSITION AND CORPSE-TREATMENT METHOD | 1 |
Masahiko Kato | JP | Osaka | 2009-08-20 / 20090209919 - Adhesive For Injection Needle, A Method For Bonding Injection Needle, A Syringe Front-Assembly And A Syringe | 1 |
Masahiko Kato | JP | Matsudo-Shi | 2009-07-02 / 20090167120 - COOLING FAN BUILT INTO ROTOR | 1 |
Masahiko Kato | JP | Tokai-Shi | 2009-06-11 / 20090146518 - Anisotropic bonded magnet for use in a 4-pole motor, a motor employing that magnet, and an alignment process apparatus for the anisotropic bonded magnet for use in a 4-pole motor | 1 |
Masahiko Kato | JP | Kanagawa | 2012-06-28 / 20120163846 - Image Formation Apparatus, Driving Control Method, And Computer Program Product | 5 |
Yumiko Kato | JP | Osaka | 2016-03-31 / 20160092723 - ELAPSED-TIME DETERMINATION APPARATUS, DECIDING APPARATUS, DECIDING METHOD, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM STORING CONTROL PROGRAM | 30 |
Keisuke Kato | JP | Osaka | 2011-07-21 / 20110173766 - INTERDENTAL BRUSH | 1 |
Hideaki Kato | JP | Nagoya | 2011-07-21 / 20110173807 - DISPERSION FOR DISPOSING FINE PARTICLE AT PREDETERMINED POINT ON SUBSTRATE BY INK-JET PRINTING | 1 |
Hiromitsu Kato | JP | Tsukuba-Shi | 2016-05-05 / 20160126420 - WHITE LIGHT-EMITTING ELEMENT | 3 |
Yasuhito Kato | JP | Ibaraki-Ken | 2011-05-19 / 20110118468 - PROCESS FOR PRODUCING 6-ARYLOXYQUINOLINE DERIVATIVE AND INTERMEDIATE THEREFOR | 1 |
Yohei Kato | JP | Fujisawa | 2012-03-08 / 20120057075 - DISPLAY SYSTEM, DISPLAY DEVICE, AND REPEATER | 2 |
Masatomo Kato | JP | Nara | 2011-05-19 / 20110118260 - GLUCOCORTICOID RECEPTOR AGONIST COMPRISING 2,2,4-TRIMETHYL-6-PHENYL-1,2-DIHYDROQUINOLINE DERIVATIVES HAVING SUBSTITUTED OXY GROUP | 1 |
Tomohisa Kato | JP | Hyogo | 2013-06-06 / 20130143224 - METHOD FOR DETECTING NUCLEIC ACID, AND DEVICE OR KIT | 2 |
Yuichi Kato | JP | Kanagawa | 2015-11-19 / 20150334329 - SOLID-STATE IMAGING ELEMENT, METHOD OF DRIVING THE SAME, AND CAMERA SYSTEM | 10 |
Shigeaki Kato | JP | Tokyo | 2012-02-16 / 20120040436 - TYPE MILK-CLOTTING PROTEASE DERIVED FROM A MICROORGANISM | 2 |
Yoshinori Kato | JP | Kyoto-City | 2011-05-19 / 20110117000 - METHOD FOR REFINING DILUTION AIR AND DILUTION AIR REFINERY | 1 |
Hiroaki Kato | JP | Minato-Ku | 2011-07-21 / 20110176183 - ERECTING EQUAL-MAGNIFICATION LENS ARRAY PLATE AND IMAGE READING DEVICE | 1 |
Tomoyuki Kato | JP | Kawasaki | 2011-07-21 / 20110176202 - OPTICAL AMPLIFIER AND OPTICAL AMPLIFYING APPARATUS | 1 |
Takehito Kato | JP | Oyama-Shi | 2016-03-17 / 20160079540 - POLYMER COMPOUND AND ELECTRIC DEVICE | 11 |
Takashi Kato | JP | Joetsu-Shi | 2015-12-03 / 20150342895 - HYDROXYALKYL CELLULOSE | 3 |
Masaaki Kato | JP | Okayama-Ken | 2011-06-16 / 20110143549 - ETCHING METHOD, METHOD FOR MANUFACTURING MICROSTRUCTURE, AND ETCHING APPARATUS | 4 |
Masaaki Kato | JP | Tamano-Shi | 2015-10-15 / 20150290484 - METHOD AND APPARATUS OF DECOMPOSING FLUORINATED ORGANIC COMPOUND | 8 |
Yoshinari Kato | JP | Otsu-Shi | 2016-02-25 / 20160052819 - GLASS SUBSTRATE | 2 |
Masaaki Kato | JP | Tokyo | 2015-10-22 / 20150301290 - OPTICAL WIRING PART AND ELECTRONIC DEVICE | 2 |
Masaaki Kato | JP | Itami | 2009-06-18 / 20090153086 - MOTOR DRIVE APPARATUS | 1 |
Masaaki Kato | JP | Kariya-City | 2016-01-28 / 20160025057 - FUEL INJECTION NOZZLE | 6 |
Takeo Kato | JP | Kanagawa | 2011-08-11 / 20110192047 - FREEZE-DRYING APPARATUS AND FREEZE-DRYING METHOD | 3 |
Motoki Kato | JP | Kanagawa | 2015-04-09 / 20150098692 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND PROGRAM | 69 |
Noriyasu Kato | JP | Hiratsuka-Shi | 2010-08-26 / 20100216360 - FLOATER FOR MARINE HOSE | 1 |
Shinichiro Kato | JP | Tokyo | 2011-06-16 / 20110144312 - INTERLEUKIN 10 RECEPTOR (IL-10R) ANTIBODIES AND METHODS OF USE | 2 |
Fumiyasu Kato | JP | Tokyo | 2011-05-19 / 20110113578 - CLEANING CARD | 1 |
Yasuyoshi Kato | JP | Hiroshima | 2015-07-02 / 20150182958 - CATALYST STRUCTURE | 16 |
Michiko Kato | CA | Montreal | 2011-07-21 / 20110178402 - ULTRASONIC MEASUREMENT OF pH IN FLUIDS | 1 |
Koichi Kato | JP | Kanagawa | 2016-02-04 / 20160033920 - SURFACE PROCESSOR AND ELECTROPHOTOGRAPHIC APPARATUS | 8 |
Tadakazu Kato | JP | Aichi | 2011-07-21 / 20110178504 - MEDICAL GUIDEWIRE | 2 |
Nobuyuki Kato | JP | Mishima-Shi | 2008-08-28 / 20080204470 - DISPLAY APPARATUS, IMAGE FORMING APPARATUS AND DISPLAY METHOD | 1 |
Kazuya Kato | JP | Anjo-Shi | 2012-09-27 / 20120241049 - ELECTRIC POWER TOOL | 6 |
Nobuyuki Kato | JP | Kanagawa | 2009-03-12 / 20090068305 - Blow Air Supply Unit for Blow Molding Machine | 1 |
Kazuya Kato | JP | Okazaki-Shi | 2010-05-13 / 20100121590 - TRAVEL SUPPORT SYSTEM, METHOD, AND PROGRAM | 3 |
Kazuya Kato | JP | Warabi-Shi | 2010-02-11 / 20100032088 - Method for production of a pressure-sensitive adhesive sheet | 1 |
Kazuya Kato | JP | Okazaki | 2008-10-02 / 20080243331 - Stop-off facility guidance systems, methods, and programs | 2 |
Nobuyuki Kato | JP | Nisshin-City | 2013-04-25 / 20130102127 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 4 |
Hidehiro Kato | JP | Okayama | 2011-09-08 / 20110216899 - ARITHMETIC OPERATION METHOD AND ARITHMETIC OPERATION DEVICE | 2 |
Ichiro Kato | JP | Iwaki-City | 2009-10-22 / 20090265727 - DISC DEVICE | 1 |
Ichiro Kato | JP | Sapporo-Shi | 2009-11-19 / 20090283397 - Organic waste treatment system | 1 |
Nobuyuki Kato | JP | Kanonji-Shi | 2013-12-26 / 20130345656 - ABSORBENT ARTICLE AND PRODUCTION METHOD THEREOF | 2 |
Taketo Kato | JP | Settsu-Shi | 2014-07-17 / 20140200310 - METHOD FOR PRODUCING AQUEOUS POLYTETRAFLUOROETHYLENE DISPERSION | 3 |
Takara Kato | JP | Nirasaki-Shi | 2012-09-06 / 20120222782 - METHOD FOR FORMING Cu WIRING | 2 |
Takara Kato | JP | Yamanashi | 2013-09-12 / 20130237053 - FILM FORMING METHOD AND FILM FORMING APPARATUS | 3 |
Takara Kato | JP | Nirasaki City | 2013-09-26 / 20130252417 - THIN FILM FORMING METHOD | 1 |
Yudai Kato | JP | Chiba | 2008-09-11 / 20080222668 - Spindle motor and player | 1 |
Fumiaki Kato | JP | Chiba | 2008-11-27 / 20080291295 - IMAGING APPARATUS, IMAGING CIRCUIT AND IMAGING METHOD | 1 |
Mitsuru Kato | JP | Chiba | 2008-11-27 / 20080289909 - Elevator Shock Absorber | 1 |
Kuniyasu Kato | JP | Osaka | 2013-09-26 / 20130249059 - COATING LIQUID FOR IMPURITY DIFFUSION | 1 |
Masahito Kato | JP | Chiba | 2008-12-11 / 20080307443 - INFORMATION PROCESSING APPARATUS, METHOD OF CONTROLLING THE SAME, PROGRAM, AND RECORDING MEDIUM | 1 |
Yoshihiko Kato | JP | Chiba | 2009-06-25 / 20090159762 - SUPPORT STAND | 3 |
Seiji Kato | JP | Chiba | 2011-10-27 / 20110262079 - OPTICAL FERRULE, OPTICAL FERRULE MOLDING DIE, MANUFACTURING METHOD OF OPTICAL FERRULE, AND FERRULE WITH OPTICAL FIBER | 2 |
Eiko Kato | JP | Chiba | 2009-08-13 / 20090203649 - DERMATOLOGICAL ANTI-WRINKLE AGENT | 1 |
Ichiro Kato | JP | Chiba | 2010-02-25 / 20100048848 - PROCESS FOR PRODUCING POLYMER FOR SEMICONDUCTOR LITHOGRAPHY | 1 |
Shinichiro Kato | JP | Chiba | 2015-11-26 / 20150337046 - ANTAGONISTIC HUMAN LIGHT-SPECIFIC HUMAN MONOCLONAL ANTIBODIES | 9 |
Toshikatsu Kato | JP | Chiba | 2014-06-26 / 20140174609 - METHOD FOR MANUFACTURING A HIGH-STRENGTH STEEL SHEET FOR A CAN | 3 |
Takeshi Kato | JP | Osaka-Shi | 2015-03-19 / 20150080224 - SUPERCONDUCTING MAGNET | 4 |
Yoshinori Kato | JP | Nagoya-Shi | 2013-10-03 / 20130258006 - INK CARTRIDGE | 8 |
Yasushi Kato | JP | Tokyo | 2015-07-02 / 20150185428 - BINDER FIBER FOR OPTICAL FIBER UNIT | 10 |
Ryuji Kato | JP | Kiyosu-Shi | 2013-10-31 / 20130286086 - Ink-Jet Recording Apparatus | 11 |
Ryuji Kato | JP | Nagoya-Shi | 2012-04-19 / 20120092478 - INCUBATED STATE EVALUATING DEVICE, INCUBATED STATE EVALUATING METHOD, INCUBATOR, AND PROGRAM | 2 |
Ryuji Kato | JP | Aichi | 2013-12-26 / 20130344597 - CELL CULTURE METHOD AND AUTOMATIC CULTURE SYSTEM USING THE METHOD | 2 |
Ryuji Kato | JP | Aisai-Shi | 2010-06-10 / 20100141723 - Water-Based Ink For Ink-Jet Recording And Ink-Jet Recording Method | 4 |
Ryuji Kato | JP | Ama-Gun | 2008-10-16 / 20080250968 - Water base ink for ink-jet recording | 1 |
Hajime Kato | JP | Makinohara-Shi | 2013-12-26 / 20130341086 - SHIELDING STRUCTURE FOR WIRE HARNESS | 12 |
Hajime Kato | JP | Makinohara | 2012-08-16 / 20120208404 - CONNECTOR ASSEMBLY | 6 |
Hajime Kato | JP | Shizuoka-Ken | 2010-11-11 / 20100286883 - VEHICLE DRIVING APPARATUS AND CONTROL METHOD FOR SAME | 1 |
Hiroshi Kato | US | Northville | 2013-10-03 / 20130257076 - CONSOLE ASSEMBLY WITH VISIBLE GUIDE | 1 |
Nobuyuki Kato | JP | Shizuoka-Ken | 2012-06-28 / 20120162678 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 2 |
Yuichi Kato | SE | Malmo | 2011-05-12 / 20110113362 - MOBILE COMMUNICATION APPARATUS WITH TOUCH INTERFACE, AND METHOD AND COMPUTER PROGRAM THEREFORE | 1 |
Hajime Kato | JP | Shizuoka | 2016-04-14 / 20160104960 - CONNECTOR | 14 |
Nobuyuki Kato | JP | Shizuoka | 2013-01-24 / 20130023062 - THIN FILM MANUFACTURING APPARATUS, THIN FILM MANUFACTURING METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 5 |
Nobuyuki Kato | JP | Toyota-Shi | 2010-11-18 / 20100290941 - OIL PUMP FOR A VEHICLE | 1 |
Ryogo Kato | JP | Saitama | 2013-10-03 / 20130259763 - CARBON NANTUBE SYNTHESIZING APPARATUS | 1 |
Hajime Kato | JP | Tokyo | 2011-12-22 / 20110309375 - SEMICONDUCTOR DEVICE | 3 |
Yuzuru Kato | JP | Tokyo | 2016-02-18 / 20160046870 - HYDROCARBON SYNTHESIS REACTION APPARATUS | 14 |
Takeaki Kato | JP | Kanagawa-Ken | 2013-08-22 / 20130219244 - STORAGE DEVICE | 1 |
Yoshinobu Kato | JP | Ichinomiya-Shi | 2010-12-30 / 20100332108 - FUEL VAPOR PRESSURE MEASURING DEVICE | 2 |
Takeo Kato | JP | Yamagata | 2009-10-08 / 20090255001 - Parkinson's Disease-Related Gene GRK5 and Uses Thereof | 1 |
Takeo Kato | JP | Imabari-Shi | 2010-06-03 / 20100135036 - VEHICLE LIGHTING DEVICE | 1 |
Nobuyuki Kato | JP | Kawaguchi-Shi | 2008-11-13 / 20080278586 - Digital camera system | 1 |
Yuichi Kato | JP | Sakura-Shi | 2013-03-28 / 20130074552 - APPARATUS AND METHOD FOR MANUFACTURING OPTICAL FIBER PREFORM | 1 |
Yuichi Kato | JP | Susono-Shi | 2013-01-03 / 20130006505 - DIAGNOSTIC APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Yuichi Kato | JP | Tokyo | 2014-06-12 / 20140158512 - PUSH SWITCH | 3 |
Nobuyuki Kato | JP | Tokyo | 2011-05-12 / 20110109980 - OPTICAL LENS | 1 |
Shiro Kato | JP | Osaka-Fu | 2010-09-30 / 20100249399 - AMIDE DERIVATIVE AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 1 |
Tomoko Kato | JP | Chiga | 2009-12-03 / 20090294796 - Adhesive-Promoting Agent, Curable Organopolysiloxane Composition, and Semiconductor Device | 1 |
Yuichi Kato | JP | Sapporo-Shi, Hokkaido | 2016-02-04 / 20160029909 - NEUROGENIC BAROREFLEX SENSITIVITY MEASUREMENT DEVICE, NEUROGENIC BAROREFLEX SENSITIVITY MEASUREMENT PROGRAM AND NEUROGENIC BAROREFLEX SENSITIVITY MEASUREMENT METHOD | 1 |
Yuichi Kato | JP | Susono Shizuoka | 2016-02-04 / 20160034801 - IMAGE FORMING APPARATUS | 1 |
Tomoko Kato | JP | Ichihara-Shi | 2015-04-09 / 20150097138 - Thermally Conductive Silicone Composition | 7 |
Akiko Kato | JP | Shiga | 2010-06-17 / 20100150886 - Method of Producing Lymphocytes | 2 |
Tomonobu Kato | JP | Shiga | 2015-02-26 / 20150055032 - CONTACT PREVENTION FILM, TOUCH PANEL AND DISPLAY DEVICE COVER PANEL | 3 |
Takashi Kato | JP | Fujisawa-Shi, Kanagawa | 2015-11-26 / 20150340915 - VARIABLE MAGNETIC FLUX-TYPE ROTARY ELECTRIC MACHINE | 1 |
Yuuichi Kato | JP | Susono-Shi | 2014-02-27 / 20140053813 - CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 2 |
Fumihito Kato | JP | Osaka | 2013-10-10 / 20130264664 - SEMICONDUCTOR PRESSURE SENSOR | 1 |
Keishi Kato | JP | Nishio-City | 2011-07-28 / 20110181725 - OPTICAL SENSOR DEVICE FOR VEHICLE | 1 |
Hirokatsu Kato | JP | Oota-Ku | 2011-07-28 / 20110182044 - Mounting component and electronic apparatus | 1 |
Masaki Kato | US | Palo Alto | 2016-05-05 / 20160127070 - INTEGRATED TWO-CHANNEL SPECTRAL COMBINER AND WAVELENGTH LOCKER IN SILICON PHOTONICS | 31 |
Kiyohide Kato | JP | Okazaki | 2010-11-18 / 20100292922 - ROUTE GUIDANCE SYSTEM AND ROUTE GUIDANCE METHOD | 3 |
Yuuichiro Kato | JP | Hamura-Shi | 2008-10-30 / 20080270685 - INFORMATION PROCESSING APPARATUS AND FIRMWARE UPDATING METHOD | 1 |
Takahiro Kato | JP | Ashigarakami-Gun | 2009-10-01 / 20090246488 - INK-JET RECORDING METHOD AND RECORDED MATTER | 4 |
Yukitoshi Kato | JP | Ashigarakami-Gun | 2013-05-09 / 20130116684 - PFO CLOSING DEVICE | 4 |
Haruyoshi Kato | JP | Ashigarakami-Gun | 2008-08-21 / 20080198493 - TEST METHOD OF A MAGNETIC DISK AND MAGNECTIC DISK TESTER | 1 |
Kiichi Kato | JP | Ashigarakami-Gun | 2009-08-06 / 20090198125 - OCT OPTICAL PROBE AND OPTICAL TOMOGRAPHY IMAGING APPARATUS | 2 |
Hidehisa Kato | JP | Shizuoka-Ken | 2011-08-04 / 20110190998 - AUTOMATIC VEHICLE BRAKING SYSTEM AND METHOD | 2 |
Hideki Kato | JP | Ogaki | 2009-11-05 / 20090274888 - HIGH-PURITY CARBON FIBER-REINFORCED CARBON COMPOSITE AND METHOD FOR PRODUCING THE SAME | 2 |
Hideki Kato | JP | Gifu | 2012-02-09 / 20120034415 - CARBON FIBER STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 9 |
Hideki Kato | JP | Tokyo | 2016-05-12 / 20160134824 - SOLID-STATE IMAGING DEVICE, IMAGING DEVICE, AND SIGNAL READING METHOD | 8 |
Hideki Kato | JP | Shizuoka | 2010-11-11 / 20100282494 - ELECTRIC WIRE | 1 |
Ryota Kato | JP | Yokohama-Shi | 2015-06-25 / 20150178599 - INK JET PRINTING APPARATUS, INK JET PRINTING METHOD, AND IMAGE PROCESSING APPARATUS | 17 |
Kiyoaki Kato | JP | Miyagi-Ken | 2010-12-23 / 20100321215 - COMPLEX-OPERATION INPUT DEVICE | 1 |
Takuji Kato | JP | Ichikawa-Shi | 2011-08-04 / 20110185530 - REFERENCE MEMBER CLEANING DEVICE, AND REFERENCE MEMBER CLEANING METHOD | 1 |
Yoshihisa Kato | JP | Shiga | 2016-02-25 / 20160056577 - SOCKET, ADAPTOR, AND ASSEMBLY JIG | 29 |
Takayuki Kato | JP | Joetsu-Shi | 2016-01-21 / 20160015076 - FRESHNESS-KEEPING DEVICE USING SPACE POTENTIAL GENERATOR | 1 |
Mikihiko Kato | JP | Kyoto-Shi | 2012-06-07 / 20120140877 - BODY SECTION RADIOGRAPHIC APPARATUS, AND A NOISE REMOVING METHOD FOR THE BODY SECTION RADIOGRAPHIC APPARATUS | 2 |
Yutaka Kato | JP | Uji-Shi | 2011-09-15 / 20110222766 - IMAGE PROCESSING METHOD AND IMAGE PROCESSING DEVICE | 2 |
Tomoyuki Kato | JP | Shizuoka-Ken | 2013-10-17 / 20130272729 - MARKING APPARATUS | 3 |
Nahoko Kato | JP | Tokyo | 2009-03-26 / 20090082063 - Method and system for providing a multimedia presentation to a mobile device user | 1 |
Hidetada Kato | JP | Shiojiri | 2015-09-24 / 20150265160 - BIOLOGICAL INFORMATION MEASURING DEVICE | 3 |
Yusuke Kato | JP | Settsu-Shi | 2015-11-05 / 20150318555 - CURRENT COLLECTOR FOR BATTERY AND BATTERY USING SAME | 4 |
Shigemasa Kato | JP | Toyohashi-Shi | 2016-01-28 / 20160028277 - MULTI-LUNDELL MOTOR | 25 |
Yasuyuki Kato | JP | Osaka-Shi | 2016-03-17 / 20160081110 - TERMINAL DEVICE, INTEGRATED CIRCUIT, AND RADIO COMMUNICATION METHOD | 48 |
Kota Kato | JP | Tokyo | 2012-08-09 / 20120200888 - IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF | 3 |
Yusaku Kato | JP | Tokyo | 2015-11-05 / 20150317552 - CARD, INFORMATION PROCESSING UNIT, AND RECORDING MEDIUM HAVING INFORMATION PROCESSING PROGRAM | 12 |
Tadashi Kato | JP | Aichi | 2011-08-11 / 20110193118 - LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Koji Kato | JP | Kishiwada-Shi | 2011-08-11 / 20110195279 - METHOD FOR MANUFACTURING GLASS SUBSTRATE FOR INFORMATION RECORDING MEDIUM, GLASS SUBSTRATE FOR INFORMATION RECORDING MEDIUM, AND MAGNETIC RECORDING MEDIUM | 1 |
Shugo Kato | JP | Kitanagoya-City | 2010-08-05 / 20100198459 - In-vehicle communications apparatus | 1 |
Seiichi Kato | JP | Kodaira-Shi | 2011-08-11 / 20110196100 - RUBBER COMPOSITION AND TIRE USING THE SAME | 1 |
Noboru Kato | JP | Takatsuki-Shi | 2014-06-12 / 20140159984 - ANTENNA AND WIRELESS IC DEVICE | 11 |
Noboru Kato | JP | Nagaokakyo-Shi | 2016-04-28 / 20160117531 - METHOD FOR DETERMINING EXISTENCE OF WIDEBAND IMPEDANCE MATCHING CIRCUIT IN A WIRELESS IC DEVICE SYSTEM | 174 |
Komei Kato | JP | Saitama | 2013-10-17 / 20130274886 - VERTEBRAL BODY SPACER | 3 |
Kojiro Kato | JP | Hamamatsu-Shi | 2008-09-18 / 20080224994 - Slide Operation Apparatus | 1 |
Noriyuki Kato | JP | Kyotanabe-Shi | 2010-12-30 / 20100329532 - X-RAY INSPECTING APPARATUS AND X-RAY INSPECTING METHOD | 1 |
Takahiko Kato | JP | Hitachi-Shi | 2016-04-07 / 20160099361 - ELEMENT AND PHOTOVOLTAIC CELL | 12 |
Makoto Kato | JP | Toyota-Shi | 2015-10-22 / 20150298623 - CLIP | 6 |
Noriyuki Kato | JP | Nara-Shi | 2010-07-08 / 20100172561 - EXAMINATION METHOD, EXAMINATION APPARATUS AND EXAMINATION PROGRAM | 1 |
Noriyuki Kato | JP | Tokyo | 2015-10-08 / 20150285954 - POLYCARBONATE RESIN, PRODUCTION METHOD THEREFOR, AND OPTICAL MOLDED BODY | 15 |
Noriyuki Kato | JP | Katsushika-Ku | 2010-02-25 / 20100048855 - Optical Lens | 1 |
Takao Kato | JP | Tokyo | 2013-02-07 / 20130032716 - ELECTRON BEAM APPARATUS AND A DEVICE MANUFACTURING METHOD BY USING SAID ELECTRON BEAM APPARATUS | 5 |
Takao Kato | JP | Oga-Shi | 2010-12-16 / 20100315305 - HYBRID ANTENNA UNIT | 4 |
Takao Kato | JP | Nagoya-Shi | 2009-08-27 / 20090213421 - Printer and computer-readable recording medium | 3 |
Takao Kato | JP | Kawasaki | 2009-01-08 / 20090013067 - Data transfer system, data transfer method and data transfer apparatus | 1 |
Takao Kato | JP | Akita | 2009-01-01 / 20090002256 - Antenna Device | 2 |
Yoshiaki Kato | JP | Kawasaki-Shi | 2014-08-07 / 20140217271 - SEPARATE LINEAR ENCODER | 6 |
Taichi Kato | JP | Atsugi | 2011-08-18 / 20110199270 - SEMICONDUCTOR DEVICE | 1 |
Shunsaku Kato | JP | Kyoto-Shi | 2010-04-15 / 20100093442 - COMPUTER-READABLE STORAGE MEDIUM HAVING DISPLAY CONTROL PROGRAM STORED THEREIN, DISPLAY CONTROL DEVICE, AND DISPLAY CONTROL SYSTEM | 1 |
Yukio Kato | JP | Kuwanashi | 2009-11-19 / 20090282960 - Ice Shaving Machine | 1 |
Yukio Kato | JP | Hiroshima | 2016-02-04 / 20160032247 - DIFFERENTIATION-INDUCING CULTURE MEDIUM ADDITIVE AND USE THEREOF | 9 |
Masakazu Kato | JP | Hamamatsu-Shi | 2010-04-29 / 20100104088 - NOISE ESTIMATION APPARATUS, CALLING APPARATUS, AND NOISE ESTIMATION METHOD | 2 |
Masakazu Kato | JP | Shizuoka | 2014-07-10 / 20140191584 - POWER TRANSMISSION DEVICE, AND POWER TRANSMITTER AND POWER RECEIVER FOR THE SAME | 4 |
Isami Kato | JP | Aichi | 2016-01-28 / 20160023558 - NONCONTACT CHARGING SYSTEM | 1 |
Masakazu Kato | JP | Kanagawa | 2015-11-19 / 20150332657 - ELECTROLUMINESCENT DISPLAY PANEL AND ELECTRONIC DEVICE | 7 |
Kunihiko Kato | JP | Chuo-Ku | 2011-08-18 / 20110199851 - MEMORY CONTROLLER, SEMICONDUCTOR STORAGE DEVICE, AND MEMORY SYSTEM INCLUDING THE MEMORY CONTROLLER AND THE SEMICONDUCTOR STORAGE DEVICE | 1 |
Yukio Kato | JP | Kariya-Shi | 2009-08-06 / 20090195069 - SIGNAL TRANSMISSION CIRCUIT | 2 |
Yukio Kato | JP | Kawasaki | 2009-10-22 / 20090260225 - MANUFACTURING APPARATUS OF A HEAD GIMBAL ASSEMBLY | 1 |
Shuji Kato | JP | Shizuoka | 2013-08-08 / 20130203308 - VESSEL PROPULSION APPARATUS | 3 |
Yasuyuki Kato | JP | Toyota-Shi | 2014-09-11 / 20140256506 - VEHICLE POWER TRANSMISSION DEVICE | 9 |
Yoshiaki Kato | JP | Shiga | 2011-12-29 / 20110316109 - SOLID STATE IMAGING DEVICE | 6 |
Masakazu Kato | JP | Toyama-Shi | 2014-04-17 / 20140106570 - COMPOSITION FOR FORMING ORGANIC HARD MASK LAYER FOR USE IN LITHOGRAPHY CONTAINING POLYMER HAVING ACRYLAMIDE STRUCTURE | 4 |
Masakazu Kato | JP | Gamagori-Shi | 2010-03-04 / 20100055773 - TISSUE PIECE PINCHING DEVICE AND CULTURE KIT | 1 |
Masakazu Kato | JP | Numazu | 2016-05-05 / 20160126747 - NON-CONTACT POWER TRANSMISSION APPARATUS AND POWER TRANSMISSION DEVICE | 2 |
Tomio Kato | JP | Tokyo | 2011-08-18 / 20110200519 - FIXED BED MIXED GAS/LIQUID PHASE REACTOR AND MIXED GAS/LIQUID PHASE REACTION PROCESS USING THE SAME | 1 |
Nobuyuki Kato | JP | Okayama | 2014-08-28 / 20140242030 - NOVEL ANTI-HCV AGENT | 2 |
Masaki Kato | JP | Aichi | 2012-02-16 / 20120038763 - MEASURING APPARATUS AND MEASURING METHOD THEREOF, APPARATUS FOR CORRECTING PROCESSING POSITION OF CUTTING MACHINE AND METHOD THEREOF FOR CORRECTING PROCESSING POSITION, AND IMAGING APPARATUS AND CUTTING MACHINE COMPRISING THE SAME | 2 |
Yoshinaga Kato | JP | Kanagawa | 2016-05-05 / 20160127686 - TRANSMISSION TERMINAL, PROGRAM, IMAGE DISPLAY METHOD AND TRANSMISSION SYSTEM | 60 |
Takehisa Kato | JP | Yokohama-Shi | 2008-11-13 / 20080279383 - METHOD AND APPARATUS OF ENCIPHERING AND DECIPHERING DATA USING MULTIPLE KEYS | 1 |
Takehisa Kato | JP | Fuchu-Shi | 2009-06-04 / 20090144543 - SECRET SHARING DEVICE, METHOD, AND PROGRAM | 5 |
Takehisa Kato | JP | Saitama | 2010-10-28 / 20100269903 - PROCESS FOR PRODUCING POLYCRYSTALLINE SILICON SUBSTRATE AND POLYCRYSTALLINE SILICON SUBSTRATE | 2 |
Katsuhide Kato | JP | Sakai-Shi | 2016-03-03 / 20160057929 - Agricultral Work Machine, Agricultural Work Management Method, Agricultural Work Management Program, and Recording Medium with the Agricultural Work Management Program Recorded Thereon | 2 |
Sayaka Kato | JP | Okayama | 2016-02-11 / 20160037738 - HYDROPONIC APPARATUS AND HYDROPONIC METHOD | 2 |
Tomokuni Kato | JP | Tokyo | 2013-10-17 / 20130270972 - MOTOR | 2 |
Kenji Kato | JP | Fuji-Shi | 2011-08-25 / 20110203788 - HOT WATER SUPPLY APPARATUS AND HEAT MEDIUM CONTROL METHOD | 1 |
Yasuyuki Kato | JP | Chiba-Shi | 2014-08-07 / 20140219390 - MULTICARRIER-SIGNAL RECEIVING APPARATUS AND MULTICARRIER-SIGNAL TRANSMITTING APPARATUS | 8 |
Yasuyuki Kato | JP | Osaka-Shi | 2016-03-17 / 20160081110 - TERMINAL DEVICE, INTEGRATED CIRCUIT, AND RADIO COMMUNICATION METHOD | 48 |
Yasuyuki Kato | JP | Chiba | 2009-12-24 / 20090316633 - RADIO COMMUNICATION SYSTEM, BASE STATION DEVICE AND MOBILE STATION DEVICE | 3 |
Yasuyuki Kato | JP | Kasugai-Shi | 2009-05-14 / 20090124706 - CONTACT LENS SOLUTION AND METHOD FOR HYDROPHILIZING CONTACT LENS BY USING THE SAME | 1 |
Yoshiyuki Kato | JP | Nirasaki-Shi | 2008-09-04 / 20080213082 - SUBSTRATE PROCESSING APPARATUS INSPECTION METHOD AND METHOD FOR REDUCING QUANTITY OF PARTICLES ON SUBSTRATE | 1 |
Yoshiyuki Kato | JP | Kawasaki | 2009-02-19 / 20090049419 - Circuit-design supporting apparatus, circuit-design supporting method, computer product, and printed-circuit-board manufacturing method | 3 |
Yoshiyuki Kato | JP | Higshiyamato-Shi | 2010-09-30 / 20100245601 - IMAGE RECORDING APPARATUS, IMAGE TILT CORRECTION METHOD, AND RECORDING MEDIUM STORING IMAGE TILT CORRECTION PROGRAM | 1 |
Yoshiyuki Kato | JP | Tokyo | 2015-07-23 / 20150207993 - IMAGING APPARATUS, IMAGING CONTROL METHOD AND STORAGE MEDIUM | 21 |
Yoshiyuki Kato | JP | Higashiyamato-Shi | 2012-10-11 / 20120257082 - IMAGING APPARATUS, IMAGE CONTROL METHOD, AND STORAGE MEDIUM STORING PROGRAM | 4 |
Takayuki Kato | JP | Tottori | 2013-08-15 / 20130206993 - IMAGING APPARATUS, MANUFACTURING METHOD THEREOF AND IMAGING DISPLAY SYSTEM | 4 |
Hideki Kato | JP | Kitasaku-Gun | 2011-12-15 / 20110304830 - COLOR WHEEL, MANUFACTURING METHOD OF THE COLOR WHEEL, AND PROJECTOR INCLUDING THE COLOR WHEEL | 2 |
Masaki Kato | JP | Osaka | 2016-05-05 / 20160126743 - ELECTRONIC APPARATUS | 2 |
Kiichiro Kato | JP | Tokyo | 2013-08-01 / 20130192673 - PROTECTIVE SHEET FOR SOLAR CELL MODULE, AND SOLAR CELL MODULE | 4 |
Seiya Kato | JP | Fujisawa | 2013-10-24 / 20130282236 - ONBOARD DEVICE AND CONTROL METHOD | 1 |
Kiichiro Kato | JP | Warabi-Shi | 2010-02-11 / 20100032088 - Method for production of a pressure-sensitive adhesive sheet | 1 |
Kiichiro Kato | JP | Saitama-Shi | 2015-09-03 / 20150247064 - ADHESIVE SHEET AND METHOD FOR MANUFACTURING ADHESIVE SHEET | 2 |
Ryoji Kato | JP | Aichi | 2010-09-16 / 20100233929 - METHOD OF MANUFACTURING SPARK PLUG | 1 |
Ryoji Kato | JP | Kawasaki-Shi | 2010-04-08 / 20100088001 - VEHICULAR CRUISE CONTROL APPARATUS | 1 |
Ryoji Kato | JP | Kanagawa | 2016-04-28 / 20160119740 - Identifying Resources from a Device in a Communications Network | 20 |
Tokunori Kato | JP | Ichinomiya-Shi | 2009-10-01 / 20090245144 - IP TELEPHONE TERMINAL | 2 |
Hisashi Kato | US | New York | 2011-01-06 / 20110004705 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM | 1 |
Motofumi Kato | JP | Shizuoka | 2016-02-04 / 20160035528 - FUSE UNIT | 2 |
Hisashi Kato | JP | Aomori-Shi | 2010-11-25 / 20100295253 - PACKING AND SEALING SYSTEM | 1 |
Hisashi Kato | JP | Kanagawa | 2010-01-07 / 20100005460 - DEVICE DRIVER UPDATING METHOD AND PROGRAM, INFORMATION PROCESSING APPARATUS AND SERVER APPARATUS USING THE SAME, AND STORAGE FOR STORING DEVICE DRIVER UPDATING PROGRAM | 1 |
Hisashi Kato | JP | Ogaki-Shi | 2014-02-27 / 20140054068 - PRINTED WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 4 |
Hisashi Kato | JP | Yokohama-Shi | 2015-09-17 / 20150261483 - INFORMATION PROCESSING APPARATUS AND METHOD OF SEARCHING FOR PERIPHERAL DEVICE | 5 |
Seishi Kato | JP | Sagamihara | 2011-08-25 / 20110207631 - METHOD FOR PRODUCTION OF cDNA LIBRARY HAVING REDUCED CONTENT OF cDNA CLONE DERIVED FROM HIGHLY EXPRESSED GENE | 1 |
Takeshi Kato | JP | Akishima | 2015-12-31 / 20150378414 - OPERATIONS MANAGEMENT METHODS AND DEVICES THEREOF IN INFORMATION-PROCESSING SYSTEMS | 15 |
Shinji Kato | JP | Sakura-Shi | 2015-12-24 / 20150372295 - METAL TIN-CARBON COMPOSITES, METHOD FOR PRODUCING SAID COMPOSITES, ANODE ACTIVE MATERIAL FOR NON-AQUEOUS LITHIUM SECONDARY BATTERIES WHICH IS PRODUCED USING SAID COMPOSITES, ANODE FOR NON-AQUEOUS LITHIUM SECONDARY BATTERIES WHICH COMPRISES SAID ANODE ACTIVE MATERIAL, AND NON-AQUEOUS LITHIUM SECONDARY BATTERY | 3 |
Kazutoshi Kato | JP | Minamiminowa-Mura | 2013-03-07 / 20130057825 - Progressive-Power Lens Selector, Progressive Power Lens Selection Method, and Non-Transitory Computer Readable Storage Medium Storing A Progressive-Power Lens Selection Program | 1 |
Kazutoshi Kato | JP | Nagano-Ken | 2012-08-30 / 20120218510 - Progressive-Power Lens and Progressive-Power Lens Design Method | 1 |
Kazutoshi Kato | JP | Minamiminova-Mura | 2012-05-10 / 20120113387 - Progressive Power Lens and Progressive Power Lens Design Method | 1 |
Kazutoshi Kato | JP | Kamiina-Gun | 2014-11-13 / 20140337252 - DESIGN DATA PROVIDING SYSTEM, DATA SERVER, DESIGN DATA PROVIDING METHOD, SPECIFICATION DATA PROVIDING METHOD | 5 |
Kazutoshi Kato | JP | Atsugi-Shi | 2013-10-31 / 20130286454 - WAVELENGTH SWEPT LIGHT SOURCE | 1 |
Yasuyuki Kato | JP | Osaka | 2016-04-07 / 20160100428 - WIRELESS COMMUNICATION SYSTEM, BASE STATION APPARATUS, MOBILE STATION APPARATUS, AND WIRELESS COMMUNICATION METHOD | 46 |
Jinichiro Kato | JP | Tokyo | 2009-03-12 / 20090069529 - Titanium oxide sol and process for producing polyalkylene terephthalate therewith | 1 |
Masao Kato | JP | Kawasaki-Shi | 2016-01-07 / 20160004942 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, PRINTING MEDIUM AND STORAGE MEDIUM | 33 |
Jinichiro Kato | JP | Nobeoka | 2008-10-23 / 20080262189 - POLYKETONE AND METHOD FOR PRODUCING THE SAME | 1 |
Shuji Kato | JP | Yokohama | 2011-09-01 / 20110210024 - PACKAGE STRUCTURE WITH A FLAT DISPLAY UNIT PACKED THEREIN AND PACKAGE STRUCTURE FOR PACKING A FLAT DISPLAY UNIT | 1 |
Chihiro Kato | JP | Tokyo | 2016-03-10 / 20160068565 - METHOD FOR HARVESTING CULTURE PRODUCT | 2 |
Kunihisa Kato | JP | Tokyo | 2013-12-05 / 20130320322 - TRANSPARENT CONDUCTIVE LAMINATE BODY AND ORGANIC THIN FILM DEVICE | 3 |
Katsuhito Kato | JP | Itabashi-Ku | 2010-03-11 / 20100063176 - PASTE-TYPE DENTAL CEMENT | 1 |
Taku Kato | JP | Kanagawa | 2015-08-20 / 20150234752 - MEMORY CHIP | 24 |
Minako Kato | JP | Kawasaki-Shi | 2015-07-16 / 20150199574 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 25 |
Katsushi Kato | JP | Kawasaki-Shi | 2016-01-28 / 20160025866 - RADIATION DETECTING APPARATUS AND RADIATION IMAGING SYSTEM | 12 |
Katsuki Kato | JP | Atsugi-Shi | 2012-12-06 / 20120304723 - METHOD OF MANUFACTURING A WHEEL RIM FOR A VEHICLE | 2 |
Yusuke Kato | JP | Nagoya-Shi | 2011-09-08 / 20110214636 - VARIABLE VALVE OPERATING APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Ayako Kato | JP | Kawasaki-Shi | 2014-10-23 / 20140313861 - TRANSDUCER, METHOD FOR MANUFACTURING TRANSDUCER, AND OBJECT INFORMATION ACQUIRING APPARATUS | 10 |
Maki Kato | JP | Kawasaki-Shi | 2013-03-07 / 20130057619 - LIQUID DISCHARGE HEAD AND METHOD OF MANUFACTURING THE SAME | 6 |
Akiyoshi Kato | JP | Kawasaki-Shi | 2012-11-01 / 20120274858 - TRANSMISSION APPARATUS, RECEPTION APPARATUS, AND TRANSMISSION METHOD | 3 |
Hidekazu Kato | JP | Kawasaki-Shi | 2009-01-15 / 20090017903 - DEVICE CHECKING ILLUMINATION COLOR AND GAMING MACHINE | 1 |
Ichiro Kato | JP | Kawasaki-Shi | 2013-05-23 / 20130128804 - CONTROL APPARATUS TO DIVIDE OTHER COMMUNICATION APPARATUSES INTO MULTIPLE GROUPS FOR SLOTS ALLOCATED | 2 |
Yuko Kato | JP | Kanagawa-Ken | 2013-01-17 / 20130015480 - SEMICONDUCTOR LIGHT EMMITING DEVICEAANM SUGAWARA; YasuharuAACI Kanagawa-kenAACO JPAAGP SUGAWARA; Yasuharu Kanagawa-ken JPAANM Kato; YukoAACI Kanagawa-kenAACO JPAAGP Kato; Yuko Kanagawa-ken JP | 4 |
Shinjl Kato | JP | Kawasaki-Shi | 2009-07-30 / 20090190960 - PROCESS CARTRIDGE INCLUDING DEVELOPING UNIT AND INCORPORATED IN IMAGE FORMING APPARATUS | 1 |
Sei Kato | JP | Nagoya-Shi | 2009-02-12 / 20090038519 - CHAIN-STITCH SEWING MACHINE | 1 |
Sei Kato | JP | Tokyo | 2015-12-03 / 20150342568 - ULTRASONIC DIAGNOSTIC APPARATUS | 10 |
Sei Kato | JP | Kawasaki | 2010-10-21 / 20100268511 - METHOD, PROGRAM AND APPARATUS FOR OPTIMIZING CONFIGURATION PARAMETER SET OF SYSTEM | 1 |
Hidenori Kato | JP | Chiryu-Shi | 2009-01-22 / 20090023547 - Control apparatus for vehicular drive system | 2 |
Michiaki Kato | JP | Chiryu-Shi | 2010-08-12 / 20100200161 - DISASSEMBLY METHOD FOR MEMBRANE ELECTRODE ASSEMBLY FOR FUEL CELL AND DISASSEMBLY METHOD FOR FUEL CELL | 1 |
Yukihiro Kato | JP | Chiryu-Shi | 2010-09-02 / 20100219813 - POSITION DETECTING DEVICE FOR A VEHICLE AND SEAT POSITION DETECTING DEVICE | 2 |
Gen Kato | JP | Saitama | 2015-05-07 / 20150125339 - CASE HARDENING STEEL MATERIAL | 2 |
Sinichiro Kato | JP | Aichi | 2013-10-31 / 20130288838 - STEEL FOR BELT-TYPE CVT PULLEY AND BELT-TYPE CVT PULLEY | 1 |
Tomo Kato | JP | Chiryu-Shi | 2010-09-16 / 20100234161 - DRIVE FORCE ADJUSTMENT APPARATUS | 2 |
Kohei Kato | JP | Chiryu-Shi | 2012-06-21 / 20120156926 - CONNECTION MEMBER, METHOD OF MANUFACTURING THE SAME AND CONNECTION STRUCTURE | 2 |
Koji Kato | JP | Mie | 2012-05-10 / 20120112625 - Vacuum flourescent display apparatus | 2 |
Takaaki Kato | JP | Chiyoda-Ku | 2014-07-10 / 20140194642 - SILICA-SUPPORTED CATALYST | 2 |
Koji Kato | JP | Kanagawa | 2013-09-19 / 20130242660 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 2 |
Yujiro Kato | JP | Kyoto | 2011-09-08 / 20110216442 - SPINDLE MOTOR AND DISK DRIVE APPARATUS PROVIDED WITH THE SPINDLE MOTOR | 1 |
Kimiyasu Kato | JP | Shiga | 2011-09-08 / 20110217228 - FIBER BUNDLE WITH PIECED PART, PROCESS FOR PRODUCING SAME, AND PROCESS FOR PRODUCING CARBON FIBER | 1 |
Takeharu Kato | JP | Nagoya-Shi | 2014-10-16 / 20140305123 - SOLAR-THERMAL CONVERSION MEMBER, SOLAR-THERMAL CONVERSION DEVICE, AND SOLAR THERMAL POWER GENERATION DEVICE | 2 |
Ryu Kato | JP | Tokyo | 2011-09-08 / 20110218453 - Machine control device, machine system, machine control method, and recording medium storing machine control program | 1 |
Rintaro Kato | JP | Tokyo | 2014-10-02 / 20140292992 - PRINTER APPARATUS AND METHOD FOR OPERATING PRINTER APPARATUS | 8 |
Yoshiaki Kato | JP | Gunma | 2015-08-27 / 20150239217 - MICROCHIP AND METHOD FOR MANUFACTURING THE SAME | 9 |
Hiroyuki Kato | JP | Kobe-Shi | 2009-07-09 / 20090176650 - INTERNAL DIFFUSION PROCESS NB3SN SUPERCONDUCTING WIRE | 2 |
Chika Kato | JP | Nagakute-Shi | 2015-12-03 / 20150343424 - EXHAUST GAS PURIFICATION CATALYST, METHOD OF PRODUCING THE SAME,AND EXHAUST GAS PURIFICATION METHOD USING THE SAME | 1 |
Takanori Kato | JP | Nagoya-Shi | 2011-09-15 / 20110221230 - TRIM FOR VEHICLES AND DOOR TRIM FOR VEHICLES | 1 |
Keisuke Kato | JP | Kariya-Shi | 2011-09-15 / 20110221230 - TRIM FOR VEHICLES AND DOOR TRIM FOR VEHICLES | 1 |
Ikunoshin Kato | JP | Uji-Shi, Kyoto | 2010-06-03 / 20100137228 - DRUGS, FOODS OR DRINKS WITH THE USE OF ALGAE-DERIVED PHYSIOLOGICALLY ACTIVE SUBSTANCES | 2 |
Ikunoshin Kato | JP | Otsu-Shi | 2015-08-20 / 20150232530 - METHOD FOR EXPRESSION OF SPECIFIC GENE | 14 |
Ikunoshin Kato | JP | Koka-Gun | 2009-10-29 / 20090269809 - THERMOSTABLE RIBONUCLEASE H | 2 |
Ikunoshin Kato | JP | Uji-Shi | 2009-08-20 / 20090209730 - METHOD FOR GENE TRANSFER INTO TARGET CELLS WITH RETROVIRUS | 7 |
Ikunoshin Kato | JP | Koka-Shi | 2009-03-19 / 20090076136 - THERAPEUTIC AGENT | 2 |
Yutaka Kato | JP | Tone-Gun | 2013-11-07 / 20130292012 - ALUMINUM ALLOY FOR SMALL-BORE HOLLOW SHAPE USE EXCELLENT IN EXTRUDABILITY AND INTERGRANULAR CORROSION RESISTANCE AND METHOD OF PRODUCTION OF SAME | 1 |
Akiji Kato | JP | Toyota-Shi | 2013-11-07 / 20130292082 - SET OF MEMBERS FOR AN EVAPORATIVE PATTERN AND AN EVAPORATIVE PATTERN | 1 |
Hironao Kato | JP | Kanagawa | 2011-09-15 / 20110221407 - DC-DC CONVERTER AND CONTROL METHOD THEREOF | 1 |
Munehisa Kato | JP | Tokyo | 2011-09-15 / 20110221885 - TRANSPARENT BODY INSPECTING DEVICE | 1 |
Tomohiro Kato | JP | Toyohashi-City | 2010-05-13 / 20100117445 - CONTROL DEVICE FOR A BRAKE APPARATUS | 1 |
Tomohiro Kato | JP | Toyohashi-Shi | 2010-06-24 / 20100161191 - CONTROL DEVICE FOR VEHICLE BRAKE SYSTEM | 1 |
Tomohiro Kato | JP | Okazaki-Shi | 2013-06-27 / 20130164045 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS INCLUDING SAME | 10 |
Yoshiaki Kato | JP | Kusatsu-Si | 2011-02-03 / 20110024605 - IMAGING APPARATUS AND DEVICE | 1 |
Tomohiro Kato | JP | Kawasaki-Shi | 2009-11-05 / 20090272649 - Method for study, determination or evaluation | 1 |
Tomohiro Kato | JP | Kanagawa | 2011-09-22 / 20110230622 - METALLOCENE COMPLEX AND POLYMERIZATION METHOD OF OLEFIN | 3 |
Tomohiro Kato | JP | Kyoto | 2012-10-04 / 20120251858 - LAMINATED TYPE ENERGY DEVICE, CHIP TYPE ENERGY DEVICE, ENERGY DEVICE ELECTRODE STRUCTURE AND FABRICATION METHOD OF THE LAMINATED TYPE ENERGY DEVICE | 2 |
Kazuhiko Kato | US | West Caldwell | 2011-09-15 / 20110225627 - Access Limited Search Results | 5 |
Nobuji Kato | JP | Toyoake-Shi | 2010-04-08 / 20100084064 - PUNCTURE FREE TIRE TUBE, PUNCTURE FREE TIRE, AND METHOD FOR FITTING TIRE TUBE TO TIRE | 2 |
Atsushi Kato | JP | Imizu-Shi, Toyama | 2016-01-28 / 20160022556 - 1,5-ANHYDRO-D-GLUCITOL-CONTAINING COLLAGEN PRODUCTION ACCELERATOR | 1 |
Kei Kato | US | Wheeling | 2015-11-19 / 20150328772 - METHOD, APPARATUS, AND MEDIUM FOR PROGRAMMING INDUSTRIAL ROBOT | 2 |
Mikio Kato | JP | Hyogo | 2011-09-22 / 20110226050 - RIM MOUNTING DEVICE FOR TIRE TESTING DEVICE, MAGNET MOUNTING METHOD, RIM REPLACING DEVICE AND TIRE TESTING DEVICE | 1 |
Atsushi Kato | JP | Minato-Ku, Tokyo | 2015-11-19 / 20150334384 - PROJECTOR AND CONTROLLING METHOD OF THE SAME | 1 |
Kozue Kato | JP | Tokai-Shi | 2013-11-07 / 20130297264 - METHOD OF GENERATING CAD DATA FOR EVAPORATIVE PATTERN HAVING FRAME STRUCTURE | 1 |
Shinji Kato | JP | Nisshin-Shi | 2011-09-22 / 20110227445 - STATOR AND COIL | 1 |
Takao Kato | JP | Fujisawa-Shi | 2011-09-22 / 20110227654 - POWER AMPLIFYING APPARATUS AND POWER AMPLIFYING METHOD | 1 |
Naoto Kato | JP | Susono-Shi | 2012-01-26 / 20120022770 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 12 |
Kazuhiro Kato | JP | Nagoya-Shi | 2008-08-28 / 20080202596 - Air Bleeding Pipe Joint | 2 |
Kazuhiro Kato | JP | Kariya-City | 2008-12-04 / 20080300765 - Vehicle behavior control device | 1 |
Tomoki Kato | JP | Sodegaura-Shi | 2016-04-28 / 20160118602 - MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME | 18 |
Ayako Kato | JP | Kawasaki-Shi | 2014-10-23 / 20140313861 - TRANSDUCER, METHOD FOR MANUFACTURING TRANSDUCER, AND OBJECT INFORMATION ACQUIRING APPARATUS | 10 |
Tatsunori Kato | JP | Tokyo | 2011-09-22 / 20110228698 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND PROGRAM | 1 |
Hisao Kato | JP | Tokyo | 2010-02-25 / 20100049966 - SECRET INFORMATION DELIVERY SYSTEM AND SECRET INFORMATION DELIVERY METHOD | 3 |
Hisao Kato | BE | Brussels | 2010-07-15 / 20100178572 - ELECTRON TRANSFER MEDIATOR MODIFIED ENZYME ELECTRODE AND BIOFUEL CELL COMPRISING THE SAME | 2 |
Hisao Kato | JP | Kanagawa-Ken | 2009-09-24 / 20090238601 - CHARGING MEMBER, PROCESS CARTRIDGE AND ELECTROPHOTOGRAPHIC APPARATUS | 2 |
Hisao Kato | JP | Ashigarakami-Gun | 2009-03-12 / 20090067880 - CHARGING MEMBER, PROCESS CARTRIDGE, AND ELECTROPHOTOGRAPHIC APPARATUS | 1 |
Kazuhiro Kato | JP | Osaka | 2013-05-09 / 20130115368 - SOLID ELECTROLYTIC CAPACITOR | 2 |
Hisao Kato | JP | Osaka | 2009-01-01 / 20090004750 - Method of Diagnosing Cerebral Infarction | 1 |
Masato Kato | JP | Kasugai-Shi | 2016-02-11 / 20160039230 - Tape Cassette | 20 |
Susumu Kato | JP | Anjo | 2016-05-19 / 20160136744 - ROTARY MACHINING APPARATUS AND MACHINING METHOD USING THE SAME | 5 |
Kazuhiro Kato | JP | Kariya-Shi | 2010-03-04 / 20100055227 - VALVE GATE | 1 |
Kazuhiro Kato | JP | Gifu-Shi | 2010-04-29 / 20100103591 - SOLID ELECTROLYTIC CAPACITOR AND METHOD OF MANUFACTURING SAME | 1 |
Hidehisa Kato | JP | Susono-Shi | 2014-07-10 / 20140195133 - VEHICLE BRAKING FORCE CONTROL DEVICE AND METHOD FOR CONTROLLING VEHICLE BRAKING FORCE | 6 |
Eishin Kato | JP | Fukui | 2008-11-06 / 20080274218 - Gnetum Extract | 1 |
Mitsuaki Kato | JP | Kawasaki | 2015-11-19 / 20150327780 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 8 |
Kazuhiro Kato | JP | Daito-Shi | 2010-07-22 / 20100182736 - SOLID ELECTROLYTIC CAPACITOR | 1 |
Kazuhiro Kato | JP | Tokyo | 2013-08-29 / 20130222298 - MOBILE INFORMATION TERMINAL | 8 |
Hirotsugu Kato | JP | Konosu-Shi | 2013-09-12 / 20130239162 - Television Receiver, Method of Controlling the Receiver, and Network Construction Device | 4 |
Sadahiro Kato | JP | Tokyo | 2011-10-06 / 20110241088 - FIELD EFFECT TRANSISTOR, METHOD OF MANUFACTURING FIELD EFFECT TRANSISTOR, AND METHOD OF FORMING GROOVE | 11 |
Toshihiro Kato | JP | Tokyo | 2014-02-06 / 20140036089 - MONITOR CAMERA CONTROLLER | 13 |
Koji Kato | US | Redmond | 2008-09-04 / 20080210475 - Ink Editing Architecture | 1 |
Koji Kato | JP | Tama-Shi | 2008-09-11 / 20080218625 - CAMERA HAVING AN OPTICAL FINDER | 1 |
Koji Kato | JP | Ibaraki | 2009-01-22 / 20090022531 - TONER CARTRIDGE, PROCESS CARTRIDGE, AND METHOD OF MAKING TONER CARTRIDGE REUSABLE | 3 |
Koji Kato | JP | Hashima-Shi | 2012-06-14 / 20120144559 - Pair of Pants with the L Pocket | 2 |
Koji Kato | JP | Gunma-Ken | 2009-12-17 / 20090308859 - CERAMIC HEATER AND METHOD OF MANUFACTURING THE SAME | 1 |
Koji Kato | JP | Matsudo | 2010-04-08 / 20100086392 - SEMICONDUCTOR CONTAINER OPENING/CLOSING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Yuki Kato | JP | Ibaraki-Shi | 2013-11-14 / 20130302602 - ADHESIVE SHEET | 1 |
Koji Kato | US | Bellevue | 2010-04-22 / 20100099064 - COLLECTIBLE CENTRIC EDUCATIONAL GAME SYSTEM | 2 |
Koji Kato | JP | Tokyo | 2014-06-26 / 20140178102 - DRIVING APPARATUS, IMAGE FORMING APPARATUS, DRIVING METHOD AND IMAGE FORMING METHOD | 12 |
Koji Kato | JP | Saitama | 2012-12-06 / 20120307379 - ZOOM LENS SYSTEM AND OPTICAL INSTRUMENT USING THE SAME | 7 |
Shoichi Kato | JP | Tokyo | 2011-08-18 / 20110199099 - CONTAINER POSITION MEASURING METHOD AND CONTAINER POSITION MEASURING APPARATUS | 1 |
Koji Kato | JP | Yokohama-Shi | 2013-03-28 / 20130077405 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 2 |
Koji Kato | JP | Anjo-Shi | 2014-05-08 / 20140125165 - COOLING STRUCTURE OF ROTARY ELECTRIC MACHINE | 2 |
Koji Kato | JP | Anjo | 2011-07-28 / 20110180239 - COOLING STRUCTURE FOR STATOR | 2 |
Koji Kato | JP | Gifu-Ken | 2009-05-21 / 20090126080 - PAIR OF TROUSERS STRETCHABLE AROUND A WAIST | 1 |
Soichiro Kato | JP | Tokyo | 2014-05-01 / 20140116424 - COMBUSTION HEATER | 6 |
Hisashi Kato | JP | Mie | 2016-03-17 / 20160079185 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Hisashi Kato | JP | Mie-Gun | 2011-09-29 / 20110235401 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Koichi Kato | JP | Anjo | 2012-10-25 / 20120267009 - COMPOSITE STEEL PART AND MANUFACTURING METHOD FOR THE SAME | 2 |
Yasuhiro Kato | JP | Minami-Ashigara-Shi | 2009-04-09 / 20090092802 - CURABLE COMPOSITION, NEGATIVE TYPE COLOR FILTER AND METHOD OF PRODUCING THE SAME | 1 |
Yasuhiro Kato | JP | Tokyo | 2014-12-18 / 20140368319 - SEMICONDUCTOR DEVICE AND CHIP IDENTIFIER SETTING METHOD | 2 |
Yasuhiro Kato | JP | Yokohama-Shi | 2013-12-12 / 20130331104 - BASE STATION APPARATUS, USER EQUIPMENT, AND METHOD USED IN MOBILE COMMUNICATION SYSTEM | 5 |
Yasuhiro Kato | JP | Saitama-Shi | 2010-04-08 / 20100085420 - IMAGE PROCESSING APPARATUS AND METHOD | 1 |
Masahiro Kato | JP | Saitama | 2011-06-16 / 20110145855 - CONTENT PROCESSING APPARATUS, REPRODUCING APPARATUS, CONTENT PROCESSING SYSTEM AND RECORDING MEDIUM | 26 |
Yoshihiro Kato | JP | Yamanashi-Ken | 2008-10-30 / 20080268655 - Method for Manufacturing Semiconductor Device | 1 |
Yoshihiro Kato | JP | Yamanashi | 2011-01-13 / 20110008938 - THIN FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE THIN FILM | 4 |
Shigeru Kato | JP | Kawasaki-Shi | 2016-04-21 / 20160110157 - OUTPUTTING APPARATUS AND IMAGE DISPLAY APPARATUS | 18 |
Noriya Kato | JP | Annaka | 2010-07-29 / 20100186942 - RETICLE ERROR REDUCTION BY COOLING | 1 |
Noriya Kato | JP | Annaka-Shi | 2009-11-05 / 20090274537 - Suspension apparatus and exposure apparatus | 1 |
Noriya Kato | JP | Gunma | 2009-02-05 / 20090033907 - DEVICES AND METHODS FOR DECREASING RESIDUAL CHUCKING FORCES | 1 |
Yasuhiro Kato | JP | Nagoya-Shi | 2016-03-03 / 20160059569 - INK CONTAINING DEVICE | 13 |
Yoshiaki Kato | JP | Kurokawa-Gun | 2011-02-03 / 20110025824 - MULTIPLE EYE PHOTOGRAPHY METHOD AND APPARATUS, AND PROGRAM | 1 |
Yoshihiro Kato | JP | Kanagawa | 2015-10-01 / 20150280838 - FIELD COUPLING ELECTRODE, COMMUNICATION DEVICE, AND COMMUNICATION SYSTEM | 9 |
Yoshitomo Kato | JP | Osaka | 2011-09-29 / 20110234764 - IMAGING DEVICE | 1 |
Hiroshi Kato | JP | Kyoto-Shi | 2015-05-14 / 20150131088 - SUBSTRATE INVERTING APPARATUS AND SUBSTRATE PROCESSING APPARATUS | 3 |
Yohei Kato | JP | Chiyoda-Ku | 2012-06-14 / 20120144852 - AIR-CONDITIONING APPARATUS | 1 |
Sadaatsu Kato | JP | Chiyoda-Ku | 2013-07-25 / 20130189956 - USER VERIFICATION DEVICE AND USER VERIFICATION METHOD | 1 |
Masanori Kato | JP | Chiyoda-Ku | 2015-05-14 / 20150130380 - MOTOR CONTROL DEVICE | 3 |
Fukutaro Kato | JP | Chiyoda-Ku | 2015-07-09 / 20150192559 - DIAGNOSIS METHOD AND MAINTENANCE METHOD FOR OIL-FILLED ELECTRICAL EQUIPMENT | 8 |
Yoshihiro Kato | JP | Miyagi | 2010-08-19 / 20100207052 - METHOD FOR PRODUCING MAGNETIC PARTICLE | 1 |
Yoshihiro Kato | JP | Nirasaki-Shi | 2010-07-08 / 20100171198 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, SEMICONDUCTOR MANUFACTURING APPARATUS AND STORAGE MEDIUM | 1 |
Yoshihiro Kato | JP | Tokyo | 2015-12-03 / 20150351236 - METHOD FOR MANUFACTURING METAL FOIL-CLAD LAMINATE | 16 |
Kichiro Kato | JP | Suntou-Gun | 2012-08-16 / 20120204559 - SUPERCHARGING SYSTEM FOR INTERNAL COMBUSTION ENGINE | 3 |
Naoki Kato | JP | Kanagawa | 2011-09-29 / 20110238883 - INFORMATION PROCESSING DEVICE | 1 |
Seiji Kato | JP | Wako-Shi | 2013-07-11 / 20130175102 - SWING ARM DEVICE FOR ELECTRIC TWO- OR THREE-WHEELED VEHICLE | 3 |
Yuzuru Kato | JP | Shinagawa-Ku | 2013-11-21 / 20130306299 - TEMPERATURE CONTROL SYSTEM | 1 |
Seiji Kato | JP | Tokyo | 2014-10-16 / 20140307399 - ELECTRONIC CONTROLLER | 2 |
Seiji Kato | JP | Minato-Ku | 2013-10-17 / 20130271933 - ELECTRONIC CONTROL UNIT | 4 |
Motoi Kato | JP | Gunma | 2009-12-24 / 20090314600 - ELECTROMAGNETIC CLUTCH | 1 |
Seiji Kato | JP | Toyota-City | 2015-01-22 / 20150025802 - NAVIGATION APPARATUS | 8 |
Kiyoshi Kato | JP | Sagamihara | 2015-12-31 / 20150378198 - SEMICONDUCTOR DEVICE | 16 |
Toshihisa Kato | JP | Handa-Shi | 2014-05-15 / 20140136070 - MOTION CONTROL DEVICE FOR VEHICLE | 10 |
Masahiro Kato | JP | Tokyo | 2014-09-04 / 20140247030 - SEMICONDUCTOR MODULE AND BOOST RECTIFIER CIRCUIT | 10 |
Tomoki Kato | JP | Chita-Gun | 2010-06-10 / 20100144789 - 1-Isopropyl-2-Oxo-1,2-dihydropyridine-3-carboxamide Derivatives having 5-HT4 Receptor Agonistic Activity | 1 |
Tomoki Kato | JP | Kawasaki | 2010-08-12 / 20100204975 - Simulation method, electronic apparatus design method, and simulation apparatus | 3 |
Yoshikiyo Kato | JP | Tokyo | 2015-06-11 / 20150161267 - Deduplication in Search Results | 2 |
Tomoki Kato | JP | Sodegaura-Shi | 2016-04-28 / 20160118602 - MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME | 18 |
Tomoki Kato | JP | Chiba | 2016-03-03 / 20160064669 - AROMATIC AMINE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT ELEMENTS USING SAME | 23 |
Tomoki Kato | JP | Kanagawa | 2012-03-29 / 20120074551 - SEMICONDUCTOR DEVICE | 2 |
Tomoki Kato | JP | Aichi-Ken | 2009-05-28 / 20090137584 - SULFONYL BENZIMIDAZOLE DERIVATIVES | 4 |
Yuzuru Kato | JP | Tokyo | 2016-02-18 / 20160046870 - HYDROCARBON SYNTHESIS REACTION APPARATUS | 14 |
Ryoji Kato | JP | Kanagawa | 2016-04-28 / 20160119740 - Identifying Resources from a Device in a Communications Network | 20 |
Fumio Kato | JP | Osaka | 2015-10-01 / 20150280216 - NICKEL-HYDROGEN STORAGE BATTERY AND BATTERY PACK | 42 |
Noritsugu Kato | JP | Okazaki-City | 2010-09-23 / 20100237174 - FUEL INJECTOR | 2 |
Kozo Kato | JP | Okazaki | 2010-11-04 / 20100281134 - ARCHITECTURE FOR A SELF-HEALING COMPUTER SYSTEM | 1 |
Eiji Kato | JP | Hyogo | 2011-12-01 / 20110293483 - FLUE GAS PURIFYING DEVICE | 2 |
Shinichiro Kato | JP | Saitama | 2011-10-06 / 20110239787 - CONTROL UNIT FOR ELECTRIC POWER STEERING DEVICE | 2 |
Yoshiaki Kato | JP | Urayasu-Shi | 2015-12-03 / 20150346000 - SCALE AND OPTICAL ENCODER | 1 |
Masahisa Kato | JP | Nagoya-Shi | 2011-10-06 / 20110243608 - OPENING/CLOSING DEVICE FOR UPPER UNIT CASE, AND IMAGE RECORDING APPARATUS PROVIDED WITH THE SAME | 3 |
Hiroki Kato | JP | Tokyo | 2015-09-17 / 20150260153 - OPPOSED SWASH PLATE TYPE FLUID PRESSURE ROTATING MACHINE | 23 |
Kozo Kato | JP | Okazaki-Shi | 2011-09-15 / 20110222316 - ELECTRICALLY INSULATED SWITCHING ELEMENT DRIVER AND METHOD FOR CONTROLLING SAME | 2 |
Hiroki Kato | JP | Takasaki | 2010-05-13 / 20100116083 - THROTTLE CONTROL DEVICE | 1 |
Hiroki Kato | JP | Kanagawa | 2014-06-05 / 20140156739 - CLIENT DEVICE, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING SYSTEM | 8 |
Hiroki Kato | JP | Saitama | 2009-06-18 / 20090156652 - THERAPEUTIC AGENT FOR FOOD COMPETENCE DISORDER IN STOMACH | 1 |
Hiroki Kato | JP | Kyoto | 2009-04-23 / 20090102358 - Organic el element, organic el display device, and process for producing organic el element | 1 |
Toyoji Kato | JP | Matsudo-Shi | 2010-08-19 / 20100206839 - BOTTLE | 1 |
Seiichi Kato | JP | Yamato-Shi | 2012-06-14 / 20120147257 - ELECTRONIC APPARATUS INCORPORATING RECORDING MEDIUM FOR RECORDING DATA SUCH AS IMAGE AND/OR CHARACTER | 2 |
Takahira Kato | JP | Kariya-Shi | 2011-10-06 / 20110242789 - EDGE LIGHTING DIAL STRUCTURE | 1 |
Kiichi Kato | JP | Odawara-Shi | 2010-01-07 / 20100002240 - OPTICAL TOMOGRAPHIC IMAGING APPARATUS AND OPTICAL PROBE | 1 |
Noriyuki Kato | JP | Kyoto | 2011-10-20 / 20110255660 - X-RAY INSPECTION METHOD AND X-RAY INSPECTION APPARATUS | 2 |
Takaaki Kato | JP | Nagoya-Shi | 2009-02-05 / 20090033468 - RFID tag information communicating apparatus | 3 |
Yoshiaki Kato | JP | Tokyo | 2015-11-19 / 20150332444 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD | 19 |
Takaaki Kato | JP | Anjo-Shi | 2015-07-02 / 20150183074 - APPARATUS, SYSTEM AND METHOD FOR CUTTING A MATERIAL | 8 |
Yasushi Kato | JP | Toyota-Shi | 2014-05-01 / 20140116076 - VEHICULAR AIR CONDITIONING CONTROL DEVICE, VEHICULAR AIR CONDITIONING CONTROL METHOD, VEHICULAR AIR CONDITIONING CONTROL PROGRAM, AND RECORDING MEDIUM | 2 |
Tomomi Kato | JP | Kanagawa | 2011-10-06 / 20110243865 - MELANIN PRODUCTION INHIBITOR | 1 |
Hisato Kato | JP | Hachioji-City | 2011-10-06 / 20110244269 - Perpendicular magnetic recording medium | 1 |
Takehito Kato | JP | Anjo-Shi | 2011-10-06 / 20110245010 - VEHICLE TRANSMISSION | 1 |
Yasuyuki Kato | JP | Miyoshi-Shi | 2011-10-06 / 20110245033 - VEHICULAR HYBRID DRIVE SYSTEM | 1 |
Tetsuya Kato | JP | Kobe-Shi | 2015-12-03 / 20150346852 - OPERATION APPARATUS | 1 |
Shunya Kato | JP | Ashigarakami-Gun | 2011-10-06 / 20110245538 - SQUARYLIUM COMPOUND, METHOD FOR PRODUCING THE SAME AND INFRARED ABSORBENT | 1 |
Kinji Kato | JP | Okayama | 2011-10-06 / 20110245540 - PROCESS FOR PRODUCING XYLYLENEDIAMINE | 1 |
Haruhisa Kato | JP | Fujimino-Shi | 2010-11-18 / 20100290538 - VIDEO CONTENTS GENERATION DEVICE AND COMPUTER PROGRAM THEREFOR | 1 |
Mikito Kato | JP | Aki | 2009-05-21 / 20090126141 - VEHICLE WINDSHIELD WIPER SYSTEM | 1 |
Chiaki Kato | JP | Naka-Gun | 2011-10-13 / 20110248071 - AUSTENITIC WELDING MATERIAL, AND PREVENTIVE MAINTENANCE METHOD FOR STRESS CORROSION CRACKING AND PREVENTIVE MAINTENANCE METHOD FOR INTERGRANULAR CORROSION, USING SAME | 1 |
Yuji Kato | JP | Tokyo | 2015-01-15 / 20150015678 - INFORMATION PROCESSING APPARATUS, IMAGING APPARATUS, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND PROGRAM FOR REMOVING UNWANTING OBJECTS FROM IMAGES | 3 |
Sumiyo Kato | JP | Chiyoda-Ku | 2010-08-12 / 20100199928 - WOUND-PROTECTION WEAR FOR ANIMAL | 1 |
Takashi Kato | JP | Kanagawa | 2014-09-18 / 20140268299 - COLORED COMPOSITION AND IMAGE DISPLAY STRUCTURE | 20 |
Kazumichi Kato | JP | Watarai-Gun | 2008-08-21 / 20080197719 - LINEAR ACTUATOR | 1 |
Nobu Kato | JP | Annaka-Shi | 2014-06-26 / 20140179863 - ADDITION CURABLE SELF-ADHESIVE SILICONE RUBBER COMPOSITION | 4 |
Tomonao Kato | JP | Nagano | 2009-12-17 / 20090308532 - PROCESS FOR PRODUCING LAMINATED FILM CAPACITOR | 1 |
Tetsuaki Kato | JP | Minamitsuru-Gun | 2011-10-20 / 20110257785 - ROBOT SYSTEM | 8 |
Tetsuya Kato | JP | Hitachi-Shi, Ibaraki | 2015-11-12 / 20150323867 - PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE FILM, AND METHOD FOR FORMING RESIST PATTERN | 1 |
Seiko Kato | JP | Saitama | 2015-11-19 / 20150329354 - METHOD FOR PRODUCING MICROCHANNEL, AND MICROCHANNEL | 2 |
Eiji Kato | US | Princeton | 2015-11-12 / 20150323452 - DYNAMIC MEASUREMENT OF MATERIAL PROPERTIES USING TERAHERTZ RADIATION WITH REAL-TIME THICKNESS MEASUREMENT FOR PROCESS CONTROL | 1 |
Taku Kato | JP | Tokyo | 2013-06-06 / 20130145162 - DEVICE AND AUTHENTICATION METHOD THEREFOR | 1 |
Takayuki Kato | JP | Kanagawa | 2015-11-12 / 20150322063 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUND OR SALT THEREOF | 1 |
Hisashi Kato | US | Plainview | 2014-10-30 / 20140320891 - SYSTEMS AND METHODS FOR REALLOCATING IMAGE PROCESSING DEVICES | 2 |
Hiromu Kato | JP | Kawasaki-Shi, Kanagawa | 2015-11-12 / 20150324557 - ELECTRONIC DEVICE, UNLOCKING METHOD, AND NON-TRANSITORY STORAGE MEDIUM | 1 |
Noriaki Kato | JP | Otsu-Shi, Shiga | 2015-11-12 / 20150320921 - METHOD FOR STERILIZING BLOOD PURIFIER AND BLOOD PURIFIER PACKAGE | 1 |
Ryota Kato | JP | Yokohama-Shi | 2015-06-25 / 20150178599 - INK JET PRINTING APPARATUS, INK JET PRINTING METHOD, AND IMAGE PROCESSING APPARATUS | 17 |
Ryota Kato | JP | Nagoya-Shi | 2013-09-26 / 20130251410 - Optical Scanner | 7 |
Ryota Kato | JP | Nagoya | 2009-04-30 / 20090109273 - Optical Scanner | 2 |
Hidetada Kato | JP | Matsumoto-Shi, Nagano | 2016-05-05 / 20160120461 - INFORMATION PROCESSING SYSTEM, PROGRAM, AND INFORMATION PROCESSING METHOD | 1 |
Tetsuhiro Kato | JP | Kyoto-Shi, Kyoto | 2015-11-05 / 20150316332 - HEAT-CONDUCTING FOAM SHEET FOR ELECTRONIC INSTRUMENTS AND HEAT-CONDUCTING LAMINATE FOR ELECTRONIC INSTRUMENTS | 1 |
Jun Kato | JP | Yokohama-Shi | 2015-11-05 / 20150315322 - IMPRINTING METHOD AND CURABLE COMPOSITION FOR IMPRINTING | 1 |
Masatomo Kato | JP | Ikoma-Shi | 2014-02-13 / 20140045842 - METHOD FOR TREATING AN INFLAMMATORY DISEASE BY ADMINISTERING A 1,2,3,4-TETRAHYDROQUINOXALINE COMPOUND CONTAINING A PHENYL GROUP HAVING A SULFONIC ACID ESTER STRUCTURE INTRODUCED THEREIN AS A SUBSTITUENT | 14 |
Yoshiaki Kato | JP | Fujisawa-Shi, Kanagawa | 2015-11-05 / 20150314777 - MODE SWITCHING CONTROL DEVICE FOR A HYBRID VEHICLE | 1 |
Toshihiro Kato | JP | Toyota-Shi | 2014-10-02 / 20140290348 - ABNORMALITY DETECTING DEVICE OF INTERNAL COMBUSTION ENGINE | 6 |
Mitsuki Kato | JP | Tottori | 2015-11-05 / 20150313790 - MASSAGE MACHINE | 1 |
Megumi Kato | JP | Ibaraki-Shi | 2008-10-16 / 20080252827 - LAMINATED OPTICAL FILM AND PRODUCTION METHOD THEREOF | 1 |
Takayuki Kato | JP | Kariya-Shi | 2016-03-03 / 20160064716 - BATTERY MODULE | 16 |
Tomotsugu Kato | JP | Mishima-Gun | 2015-10-29 / 20150311425 - METHOD FOR MANUFACTURING PIEZOELECTRIC CERAMIC, PIEZOELECTRIC CERAMIC, AND PIEZOELECTRIC ELEMENT | 1 |
Yasutaka Kato | JP | Nagoya | 2011-10-27 / 20110262683 - POLYMER ALLOY FIBER AND FIBER STRUCTURE | 1 |
Atsushi Kato | JP | Tokyo | 2016-03-03 / 20160062220 - LIGHT SOURCE DEVICE AND PROJECTION-TYPE DISPLAY DEVICE | 14 |
Tomoaki Kato | JP | Nagoya-Shi | 2015-07-23 / 20150207299 - SPARK PLUG | 14 |
Seiji Kato | JP | Himeji-Shi, Hyogo | 2015-11-12 / 20150322180 - METHOD FOR PRODUCING POLYACRYLIC ACID (SALT)-BASED WATER ABSORBENT RESIN | 2 |
Junichi Kato | JP | Osaka | 2013-04-25 / 20130099678 - LIGHTING DEVICE, LIGHTING CONTROL DEVICE AND LIGHTING SYSTEM | 2 |
Yoshimichi Kato | JP | Osaka | 2009-10-29 / 20090268450 - LIGHTING DEVICE AND METHOD OF PRODUCING THE SAME | 1 |
Susumu Kato | JP | Osaka | 2012-07-05 / 20120171565 - ALKALINE PRIMARY BATTERY | 9 |
Terukazu Kato | JP | Osaka | 2016-04-21 / 20160108052 - SULFUR-CONTAINING HETEROCYCLIC DERIVATIVE HAVING BETA SECRETASE INHIBITORY ACTIVITY | 4 |
Syuji Kato | JP | Osaka | 2012-06-07 / 20120140924 - VIDEO INPUT DEVICE AND VIDEO DISPLAY SYSTEM | 3 |
Isao Kato | JP | Osaka | 2014-02-27 / 20140059656 - FUNCTIONAL DEVICE, ACCESS APPARATUS, ACCESS SYSTEM, AND COMMUNICATION ESTABLISHING METHOD | 9 |
Kentaro Kato | JP | Osaka | 2010-05-13 / 20100118213 - PROJECTION DISPLAY DEVICE | 1 |
Norishige Kato | JP | Tokyo | 2015-06-11 / 20150158322 - POST-PROCESSING APPARATUS, IMAGE FORMING SYSTEM, AND POST-PROCESSING METHOD | 5 |
Masahiro Kato | JP | Aichi | 2013-12-12 / 20130326955 - DEVICE FOR DETECTING OPENING/CLOSING OF CHARGER LID | 1 |
Norishige Kato | JP | Hachioji-Shi | 2009-12-24 / 20090317211 - NOTCH FORMING APPARATUS, BOOKBINDING APPARATUS AND BOOKBINDING SYSTEM | 2 |
Hiroshi Kato | JP | Kanagawa | 2015-12-03 / 20150350135 - INFORMATION PROCESSING APPARATUS, ELECTRONIC INFORMATION BOARD, STORAGE MEDIUM OF SOFTWARE PROGRAM, INFORMATION PROCESSING SYSTEM, AND ELECTRONIC INFORMATION BOARD SYSTEM | 12 |
Eiichi Kato | JP | Osaka | 2010-06-03 / 20100132589 - BODY FRAME STRUCTURE OF RAILWAY VEHICLE | 3 |
Shinetsu Kato | JP | Osaka | 2009-05-28 / 20090135897 - SEMICONDUCTOR INTEGRATED CIRCUIT AND ELECTRONIC DEVICE | 1 |
Seiichi Kato | JP | Wako-Shi | 2013-12-12 / 20130328352 - STRUCTURE FOR SIDE PORTION OF VEHICLE | 1 |
Haruo Kato | JP | Anjo-Shi | 2014-01-30 / 20140027600 - SEAT SLIDE APPARATUS FOR VEHICLE | 2 |
Mineko Kato | JP | Osaka | 2009-08-13 / 20090202643 - Oil-in-water emulsions containing lignan-class compounds and compositions containing the same | 1 |
Takumi Kato | JP | Osaka | 2009-10-22 / 20090260286 - BIOMASS GASIFICATION APPARATUS | 1 |
Yumiko Kato | JP | Osaka | 2016-03-31 / 20160092723 - ELAPSED-TIME DETERMINATION APPARATUS, DECIDING APPARATUS, DECIDING METHOD, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM STORING CONTROL PROGRAM | 30 |
Hisae Kato | JP | Osaka | 2015-10-01 / 20150279420 - SIGNAL-QUALITY-INFORMATION CORRECTION DEVICE, SIGNAL-QUALITY-INFORMATION CORRECTION METHOD, AND INFORMATION REPRODUCTION SYSTEM | 8 |
Kazumichi Kato | JP | Osaka | 2013-08-29 / 20130224434 - RESIN FOAM SHEET AND RESIN FOAM MEMBER | 8 |
Yukiko Kato | JP | Osaka | 2011-02-03 / 20110028808 - METHOD AND APPARATUS FOR EXAMINATION OF CANCER, SYSTEMIC LUPUS ERYTHEMATOSUS (SLE), OR ANTIPHOSPHOLIPID ANTIBODY SYNDROME USING NEAR-INFRARED LIGHT | 1 |
Hirofumi Kato | JP | Osaka | 2011-04-14 / 20110087049 - METHOD OF PRODUCING PURIFIED OPTICALLY ACITVE 4-AMINO-3-(SUBSTITUTED PHENYL)BUTANOIC ACID COMPOUND | 1 |
Kei Kato | JP | Osaka | 2011-11-03 / 20110265239 - ANTI-SHOCK BATTING GLOVES | 2 |
Mariyo Kato | JP | Osaka | 2014-11-27 / 20140350119 - OPHTHALMIC COMPOSITION COMPRISING GERANYLGERANYLACETONE | 5 |
Katsumi Kato | JP | Osaka | 2010-06-03 / 20100132389 - COMPRESSOR AND REFRIGERATION APPARATUS USING THE SAME | 1 |
Chiaki Kato | JP | Osaka | 2008-09-04 / 20080213569 - Film for Pressure Roller | 1 |
Kokichi Kato | JP | Osaka | 2008-09-11 / 20080221488 - METHOD FOR MONITORING LIVING BODY ACTIVITIES, AND OPTICAL FIBER TYPE FLAT SHAPED BODY SENSOR, GARMENT STYLED OPTICAL FIBER TYPE FLAT SHAPED BODY SENSOR AND HUMAN BODY FITTED OPTICAL FIBER TYPE FLAT SHAPED BODY SENSOR USED FOR THE SAME | 1 |
Masashi Kato | JP | Osaka | 2015-04-16 / 20150105415 - TRICYCLIC COMPOUND AND USE THEREOF | 4 |
Kikuya Kato | JP | Osaka | 2010-04-08 / 20100087507 - USE OF RPN2 GENE EXPRESSION INHIBITOR | 1 |
Koji Kato | JP | Ito-Gun | 2013-05-30 / 20130137883 - Process for Production of Triterpene Alcohol | 1 |
Hiroyuki Kato | JP | Tokyo | 2016-05-19 / 20160142592 - IMAGE CAPTURE APPARATUS HAVING FUNCTION OF GENERATING FRAME SYNCHRONIZATION SIGNAL AT CONSTANT CYCLE | 16 |
Katsuzo Kato | JP | Osaka | 2010-04-15 / 20100089674 - Tractor | 2 |
Haruki Kato | JP | Osaka | 2010-10-14 / 20100259126 - MOTOR AND ELECTRONIC DEVICE COMPRISING THE SAME | 3 |
Ryou Kato | JP | Osaka | 2015-11-19 / 20150333215 - NITRIDE SEMICONDUCTOR LIGHT-EMITTING DIODE | 32 |
Masaki Kato | JP | Chiyoda-Ku | 2015-06-11 / 20150162808 - ROTARY ELECTRIC MACHINE WITH POWER CONVERTER | 11 |
Shinpei Kato | JP | Shizuoka | 2015-12-17 / 20150362356 - FLUID LEVEL SENSOR | 2 |
Yosuke Kato | JP | Yokohama | 2011-11-03 / 20110267885 - NON-VOLATILE MEMORY AND METHOD WITH EVEN/ODD COMBINED BLOCK DECODING | 1 |
Hiroshi Kato | JP | Kariya | 2016-05-19 / 20160138683 - MULTIPLE SPEED TRANSMISSION | 19 |
Makoto Kato | JP | Inazawa-Shi, Aichi | 2015-10-22 / 20150299548 - HEAT STORAGE MATERIAL COMPOSITION AND HEAT STORAGE MATERIAL | 1 |
Hiroyuki Kato | JP | Kyoto | 2010-09-30 / 20100249615 - BLOOD PRESSURE MONITOR | 2 |
Chisato Kato | JP | Aichi-Gun | 2010-03-04 / 20100055530 - POLYMER ELECTROLYTE FUEL CELL | 3 |
Toshimi Kato | JP | Hanishina-Gun | 2016-04-28 / 20160114511 - HEATING DEVICE OF INJECTION MOLDING MACHINE | 2 |
Koji Kato | JP | Sakado-Shi | 2015-10-22 / 20150297795 - CARRIER FOR TRANSFERRING CELL SHEET FOR TRANSPLANTATION | 1 |
Takayuki Kato | JP | Shizuoka | 2016-05-05 / 20160126530 - BATTERY TERMINAL | 8 |
Hirokazu Kato | JP | Sodegaura-Shi | 2011-11-10 / 20110274767 - PRODUCTION METHOD OF TITANIUM OXIDE SOL | 8 |
Hidetoshi Kato | JP | Anjo-Shi | 2010-08-12 / 20100200414 - WORK ELECTRODEPOSITION COATING METHOD AND ELECTRODEPOSITION COATING DEVICE | 1 |
Hiroyuki Kato | JP | Osaka-Shi | 2014-05-29 / 20140144906 - HEATING COOKING DEVICE | 4 |
Itsuku Kato | JP | Anjo-Shi | 2015-10-22 / 20150298308 - DRIVING TOOL | 5 |
Masayoshi Kato | JP | Anjo-Shi | 2010-07-01 / 20100167872 - VEHICLE DRIVE APPARATUS | 1 |
Takeo Kato | JP | Ibaraki | 2016-02-04 / 20160033431 - THERMAL DIFFUSIVITY MEASURING DEVICE | 1 |
Yoshitaka Kato | JP | Anjo-Shi | 2011-06-30 / 20110154740 - DOOR OPENING AND CLOSING APPARATUS FOR VEHICLE | 1 |
Ryuhei Kato | JP | Yao-Shi | 2015-10-15 / 20150295347 - CONNECTOR AND ELECTRONIC DEVICE PROVIDED WITH SAME | 1 |
Hisataka Kato | JP | Tsukubamirai-Shi | 2012-04-12 / 20120085953 - MULTIPLE ELECTROMAGNETIC VALVE | 2 |
Seiki Kato | JP | Tokyo | 2016-01-07 / 20160001656 - ON-BOARD UNIT AND ELECTRIC VEHICLE MANAGEMENT SYSTEM | 3 |
Nobumasa Kato | JP | Kyoto | 2015-10-15 / 20150294074 - BRAIN ACTIVITY TRAINING APPARATUS AND BRAIN ACTIVITY TRAINING SYSTEM | 1 |
Katsuhiko Kato | JP | Kawasaki-Shi | 2011-11-10 / 20110272563 - PHOTOELECTRIC SENSOR | 1 |
Masahiro Kato | JP | Chiba | 2011-11-10 / 20110273092 - IC Chip Coating Material and Vacuum Fluorescent Display Device Using Same | 1 |
Taro Kato | JP | Tokyo | 2014-06-05 / 20140154116 - SCROLL COMPRESSOR | 5 |
Hiroyuki Kato | JP | Izumisano-Shi | 2010-12-02 / 20100303993 - NOVEL SOYBEAN PROTEIN MATERIAL AND METHOD FOR PRODUCING THE SAME | 4 |
Akemi Kato | JP | Tokyo | 2011-11-10 / 20110274914 - COATING COMPOSITION FOR FORMING HYDROPHILIC COATING FILM | 2 |
Mitsuhisa Kato | JP | Yokohama-Shi | 2009-02-26 / 20090052951 - DEVELOPING ROLLER, DEVELOPING APPARATUS, AND IMAGE FORMING APPARATUS | 1 |
Fumihiko Kato | JP | Toki-City | 2011-03-17 / 20110065058 - ROTARY KILN AND PRODUCT | 2 |
Masanori Kato | JP | Yokohama-Shi | 2009-12-03 / 20090296639 - WIRELESS BASE STATION, CHANNEL ALLOCATING SYSTEM, AND CHANNEL ALLOCATING METHOD | 2 |
Hideaki Kato | JP | Yokohama-Shi | 2014-02-13 / 20140044288 - MULTI-CHANNEL AUDIO ENHANCEMENT SYSTEM | 2 |
Tomonori Kato | JP | Yokohama-Shi | 2010-02-04 / 20100030386 - Pressure Regulator and Vibration Isolator | 1 |
Kouichi Kato | JP | Yokohama-Shi | 2013-12-05 / 20130323178 - INDOCYANINE GREEN-CONTAINING PARTICLE AND CONTRAST AGENT FOR PHOTOACOUSTIC IMAGING HAVING THE PARTICLE | 6 |
Taku Kato | JP | Kanagawa-Ken | 2014-09-04 / 20140250302 - DEVICE | 22 |
Soichiro Kato | JP | Yokohama-Shi | 2016-01-07 / 20160003482 - COMBUSTION HEATER | 7 |
Ryu Kato | JP | Yokohama-Shi | 2012-11-08 / 20120282773 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 6 |
Tomoya Kato | JP | Yokohama-Shi | 2011-03-31 / 20110075237 - CRYSTALLIZATION APPARATUS, CRYSTALLIZATION METHOD, DEVICE, AND LIGHT MODULATION ELEMENT | 4 |
Ryoma Kato | JP | Yokohama-Shi | 2011-05-05 / 20110103535 - FAST REACTOR HAVING REFLECTOR CONTROL SYSTEM AND NEUTRON REFLECTOR THEREOF | 2 |
Hiromi Kato | JP | Yokohama-Shi | 2013-03-21 / 20130070884 - MAINTENANCE AND REPAIR DEVICE FOR STRUCTURE INSIDE NUCLEAR REACTOR | 2 |
Kazuya Kato | JP | Osaka | 2015-10-22 / 20150305129 - DISCHARGE LAMP LIGHTING DEVICE AND HEADLIGHT USING SAME | 2 |
Kaoru Kato | JP | Yokohama-Shi | 2010-08-05 / 20100193972 - SPHERICAL SINTERED FERRITE PARTICLES, RESIN COMPOSITION FOR SEMICONDUCTOR ENCAPSULATION COMPRISING THEM AND SEMICONDUCTOR DEVICES PRODUCED BY USING THE SAME | 1 |
Kazunori Kato | JP | Yokohama-Shi | 2015-10-22 / 20150304446 - MANAGING SYSTEM AND MANAGING METHOD | 9 |
Kazumasa Kato | JP | Yokohama-Shi | 2011-02-10 / 20110030455 - VIBRATING WIRE VISCOMETERS | 1 |
Zenichiro Kato | JP | Mishima-Shi | 2010-11-11 / 20100282194 - SEALED-TYPE LASH ADJUSTER | 1 |
Taiki Kato | JP | Nirasaki City, Yamanashi | 2016-04-28 / 20160118589 - Organic Semiconductor Film, Method for Manufacturing Same, and Transistor Structure | 1 |
Yoshinaga Kato | US | 2015-10-15 / 20150296176 - TRANSMISSION SYSTEM AND PROGRAM | 1 | |
Tsukasa Kato | US | 2015-10-15 / 20150290702 - UP-DRAWING CONTINUOUS CASTING APPARATUS AND UP-DRAWING CONTINUOUS CASTING METHOD | 1 | |
Norio Kato | JP | Shizuoka | 2015-04-09 / 20150101078 - DROUGHT TOLERANT PLANTS AND RELATED CONSTRUCTS AND METHODS INVOLVING GENES ENCODING DTP21 POLYPEPTIDES | 2 |
Minako Kato | JP | Kawasaki-Shi | 2015-07-16 / 20150199574 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 25 |
Kouhei Kato | JP | Chiryu-Shi | 2011-11-17 / 20110278282 - FABRIC MATERIAL | 1 |
Masaaki Kato | JP | Tamano-Shi | 2015-10-15 / 20150290484 - METHOD AND APPARATUS OF DECOMPOSING FLUORINATED ORGANIC COMPOUND | 8 |
Hisataka Kato | JP | Okazaki-Shi | 2009-02-12 / 20090039727 - MOTOR AND ELECTRIC POWER STEERING APPARATUS | 1 |
Hiromu Kato | JP | Kawasaki | 2015-11-12 / 20150324557 - ELECTRONIC DEVICE, UNLOCKING METHOD, AND NON-TRANSITORY STORAGE MEDIUM | 2 |
Go Kato | JP | Yokosuka-Shi | 2015-04-02 / 20150091465 - Power Supply Circuit and Luminaire | 13 |
Toshie Kato | JP | Yokohama | 2011-11-17 / 20110279167 - INPUT/OUTPUT CIRCUIT AND SYSTEM | 1 |
Hiroko Kato | JP | Yokkaichi-City | 2012-08-30 / 20120220173 - CONNECTOR | 3 |
Tamiyu Kato | JP | Kawasaki-Shi | 2013-12-19 / 20130339590 - SEMICONDUCTOR DEVICE WITH NONVOLATILE MEMORY PREVENTED FROM MALFUNCTIONING CAUSED BY MOMENTARY POWER INTERRUPTION | 1 |
Hiroyuki Kato | JP | Kariya-Shi | 2012-07-12 / 20120178571 - TRANSMISSION | 2 |
Yoshio Kato | JP | Kobe-Shi | 2011-06-30 / 20110159344 - NON-AQUEOUS ELECTROLYTE SECONDARY CELL | 1 |
Masaya Kato | JP | Kobe-Shi | 2015-12-31 / 20150375237 - DUST COLLECTOR, DUST COLLECTION SYSTEM, AND DUST COLLECTION METHOD | 2 |
Masatoshi Kato | JP | Kobe-Shi | 2016-02-04 / 20160030803 - GOLF CLUB FITTING APPARATUS | 7 |
Isao Kato | JP | Kobe-Shi | 2013-07-04 / 20130170935 - TRANSFER ROBOT | 1 |
Fumihiro Kato | JP | Kobe-Shi | 2013-12-26 / 20130340587 - SHAVING TOOL | 1 |
Shigehiro Kato | JP | Tokyo | 2011-11-17 / 20110282913 - DIALOGUE CONTROL SYSTEM, METHOD AND COMPUTER READABLE STORAGE MEDIUM, AND MULTIDIMENSIONAL ONTOLOGY PROCESSING SYSTEM, METHOD AND COMPUTER READABLE STORAGE MEDIUM | 1 |
Yoshiaki Kato | JP | Yamanashi | 2015-10-08 / 20150284184 - WORKPIECE CONVEYOR SYSTEM | 1 |
Megumi Kato | JP | Okazaki-Shi | 2011-11-17 / 20110283374 - METHOD FOR PRODUCING HETEROGENOUS EMBRYONIC CHIMERIC ANIMAL USING A STEM CELL | 1 |
Hiroyuki Kato | JP | Mishima-Shi | 2015-02-12 / 20150042623 - INFORMATION PROCESSING APPARATUS AND COMPUTER PROGRAM | 7 |
Tatsuhisa Kato | JP | Toyama | 2010-03-25 / 20100074943 - MEDICINAL COMPOSITION FOR TRANSDERMAL ABSORPTION, MEDICINAL COMPOSITION STORING UNIT AND TRANSDERMAL ABSORPTION PREPARATION USING THE SAME | 1 |
Kikuo Kato | JP | Kanagawa | 2011-11-24 / 20110284987 - SEMICONDUCTOR DEVICE | 1 |
Satoshi Kato | JP | Zama-Shi | 2010-12-30 / 20100328509 - PHOTOELECTRIC CONVERSION APPARATUS, CONTROL METHOD THEREOF, IMAGING APPARATUS, AND IMAGING SYSTEM | 2 |
Yoshiro Kato | JP | Kariya-Shi | 2015-10-01 / 20150280582 - RESONANCE DC/DC CONVERTER | 1 |
Hiroshi Kato | JP | Yokohama-City | 2008-08-21 / 20080200219 - Charger, electronic instrument, and charging system | 4 |
Hiroshi Kato | JP | Wako-Shi | 2016-02-25 / 20160052203 - METHOD FOR PRODUCING FIBER-REINFORCED RESIN BONDED BODY | 4 |
Jeff Kato | US | Greeley | 2011-11-24 / 20110285264 - HANDLING OF STORAGE MEDIA CARTRIDGES | 1 |
Hidemi Kato | JP | Sapporo | 2015-10-01 / 20150280246 - METHOD FOR PRODUCING CATALYST AND CATALYST | 1 |
Toshitada Kato | JP | Noda-City | 2015-10-01 / 20150279654 - TREATING SOLUTION FOR ELECTRONIC PARTS, AND PROCESS FOR PRODUCING ELECTRONIC PARTS | 1 |
Satoshi Kato | JP | Mie | 2010-02-04 / 20100030325 - BLOOD ANTICOAGULANT MATERIAL, COATING MATERIAL AND INDWELLING DEVICE COMPRISING THE SAME, AND TREATMENT USING BLOOD ANTICOAGULANT MATERIAL | 1 |
Satoshi Kato | JP | Kanagawa | 2011-01-27 / 20110019512 - Optical disk reproducing device and optical disk recording and reproducing device | 1 |
Yuki Kato | JP | Otawara | 2015-10-01 / 20150278993 - MEDICAL IMAGE DIAGNOSTIC APPARATUS AND MEDICAL IMAGE PROCESSING APPARATUS | 1 |
Satoshi Kato | JP | Yokohama-Shi | 2010-09-30 / 20100244256 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Takashi Kato | JP | Ichihara-Shi | 2011-06-23 / 20110147657 - POLYMERIZABLE LIQUID CRYSTAL COMPOUND, POLYMERIZABLE LIQUID CRYSTAL COMPOSITION AND ANISOTROPIC POLYMER | 2 |
Satoshi Kato | JP | Kawasaki-Shi | 2016-02-11 / 20160044288 - PHOTOELECTRIC CONVERSION APPARATUS | 11 |
Hiroshi Kato | JP | Okuya-Shi | 2008-12-04 / 20080298855 - Developing Device, Image Forming Apparatus, and Image Forming System | 1 |
Miki Kato | JP | Kariya-City | 2014-05-08 / 20140125709 - DISPLAY DEVICE FOR VEHICLE | 3 |
Satoshi Kato | JP | Tokyo | 2016-05-05 / 20160122845 - HOT STAMP MOLDED BODY, AND METHOD FOR PRODUCING HOT STAMP MOLDED BODY | 12 |
Hiroshi Kato | JP | Kariya-Shi | 2014-12-18 / 20140371025 - AUTOMATIC TRANSMISSION FOR VEHICLE | 3 |
Satoshi Kato | JP | Shizuoka-Ken | 2010-01-21 / 20100011920 - METHOD AND APPARATUS FOR MACHNING V GROOVES | 1 |
Satoshi Kato | JP | Chiryu-Shi | 2015-10-01 / 20150277415 - PROGRAMMABLE LOGIC CONTROLLER AND PROGRAMMING TOOL FOR PROGRAMMABLE LOGIC CONTROLLER | 1 |
Akira Kato | JP | Osaka | 2015-12-10 / 20150357116 - COIL STRUCTURE AND POWER SOURCE DEVICE | 8 |
Hiroshi Kato | JP | Okayama-Shi | 2011-11-24 / 20110287335 - REINFORCED ELECTROLYTE MEMBRANE FOR FUEL CELL, MEMBRANE-ELECTRODE ASSEMBLY FOR FUEL CELL, AND POLYMER ELECTROLYTE FUEL CELL COMPRISING THE SAME | 1 |
Satoshi Kato | JP | Aichi | 2009-08-20 / 20090205755 - FACILITY FOR PRODUCTION OF HIGH STRENGTH STEEL SHEET OR HOT DIP ZINC COATED HIGH STRENGTH STEEL SHEET EXCELLENT IN ELONGATION AND HOLE EXPANDABILITY | 1 |
Jun Kato | JP | Kawasaki | 2015-10-01 / 20150278018 - DISTRIBUTED STORAGE SYSTEM AND METHOD | 20 |
Hiroshi Kato | JP | Maebashi-Shi | 2009-10-29 / 20090266187 - STEERING APPARATUS | 1 |
Satoshi Kato | JP | Aichi-Ken | 2008-12-18 / 20080312755 - Safe Plc, Sequence Program Creation Support Software and Sequence Program Judgment Method | 1 |
Yoshiko Kato | JP | Yokohama-Shi | 2015-06-11 / 20150162281 - INTEGRATED CIRCUIT DEVICE AND METHOD FOR MANUFACTURING THE SAME | 16 |
Yoshiaki Kato | JP | Nishinomiya-Shi | 2010-04-01 / 20100078827 - MULTILAYER WIRING STRUCTURE OF SEMICONDUCTOR DEVICE, METHOD OF PRODUCING SAID MULTILAYER WIRING STRUCTURE AND SEMICONDUCTOR DEVICE TO BE USED FOR RELIABILITY EVALUATION | 1 |
Tsuyoshi Kato | JP | Kitakatsushika-Gun | 2011-11-24 / 20110288211 - AQUEOUS COATING AGENT | 1 |
Takaaki Kato | JP | Tokyo | 2015-08-20 / 20150231604 - COMPOSITE OXIDE CATALYST, METHOD FOR PRODUCING THE SAME, AND METHOD FOR PRODUCING UNSATURATED NITRILE | 8 |
Yusuke Kato | JP | Tokyo | 2016-05-19 / 20160141740 - TWO-PORT TRIPLATE-LINE/WAVEGUIDE CONVERTER | 4 |
Hiroshi Kato | JP | Saitama-Shi | 2009-11-19 / 20090284855 - LENS BARREL DRIVER | 2 |
Hiroshi Kato | JP | Saitama | 2009-12-24 / 20090314892 - AIRPLANE WING STRUCTURE | 1 |
Hiroshi Kato | JP | Anjo-Shi | 2010-03-25 / 20100075535 - CONNECTOR | 1 |
Hiroshi Kato | JP | Nikko-Shi | 2012-01-05 / 20120002374 - ELECTRONIC CONTROL DEVICE | 2 |
Hiroshi Kato | JP | Kanagawa | 2015-12-03 / 20150350135 - INFORMATION PROCESSING APPARATUS, ELECTRONIC INFORMATION BOARD, STORAGE MEDIUM OF SOFTWARE PROGRAM, INFORMATION PROCESSING SYSTEM, AND ELECTRONIC INFORMATION BOARD SYSTEM | 12 |
Takahisa Kato | JP | Tokyo | 2015-12-17 / 20150362525 - SURFACE FORCE MEASURING METHOD AND SURFACE FORCE MEASURING APPARATUS | 23 |
Shinobu Kato | JP | Hiroshima-City | 2015-10-01 / 20150273982 - AIR-CONDITIONING CONTROL DEVICE FOR VEHICLE | 1 |
Hiroshi Kato | JP | Okaya-Shi | 2012-10-18 / 20120262516 - INK JET RECORDING AQUEOUS PIGMENT INK | 6 |
Hiroshi Kato | JP | Odawara-Shi | 2016-01-28 / 20160028908 - IMAGE FORMING APPARATUS | 17 |
Tsutomu Kato | JP | Nagoya-Shi | 2014-10-16 / 20140307038 - Tape Cassette | 11 |
Norihisa Kato | JP | Hiroshima-Ken | 2010-02-11 / 20100035810 - ADIPONECTIN PRODUCTION ENHANCER | 1 |
Hirokatsu Kato | JP | Oota | 2011-04-07 / 20110080698 - ELECTRONIC APPARATUS | 1 |
Nobumasa Kato | JP | Soraku-Gun | 2015-10-01 / 20150272461 - BRAIN ACTIVITY ANALYZING APPARATUS, BRAIN ACTIVITY ANALYZING METHOD AND BIOMARKER APPARATUS | 1 |
Yusuke Kato | JP | Kyoto-Shi | 2011-12-01 / 20110290241 - NEBULIZERS AND FUNCTION UNITS ATTACHABLE TO NEBULIZER | 1 |
Sho Kato | JP | Tokyo | 2016-03-10 / 20160072424 - CONTROL DEVICE OF ALTERNATING-CURRENT ELECTRIC MOTOR | 9 |
Hirokatsu Kato | JP | Tokyo | 2010-12-23 / 20100321869 - Supporting structure and display device | 4 |
Yoko Kato | JP | Kashiwa-Shi | 2008-12-18 / 20080310887 - TONER SUPPLYING ROLLER AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hiroshi Kato | JP | Toyama | 2011-11-10 / 20110275797 - N-ACYL ANTHRANILIC ACID DERIVATIVE OR SALT THEREOF | 2 |
Yoko Kato | JP | Tokyo | 2009-10-15 / 20090258007 - Anti-Perp Antibody | 1 |
Hisae Kato | JP | Osaka | 2015-10-01 / 20150279420 - SIGNAL-QUALITY-INFORMATION CORRECTION DEVICE, SIGNAL-QUALITY-INFORMATION CORRECTION METHOD, AND INFORMATION REPRODUCTION SYSTEM | 8 |
Akira Kato | JP | Sayamashi | 2014-01-09 / 20140010053 - RADIO-CONTROLLED WRISTWATCH | 1 |
Hironobu Kato | JP | Odawara-Shi | 2010-09-16 / 20100233262 - COATED TABLET | 1 |
Norihiko Kato | JP | Aichi-Ken | 2015-10-01 / 20150275799 - INDUSTRIAL VEHICLE | 9 |
Hiroshi Kato | JP | Nagoya | 2010-05-06 / 20100110493 - Printer | 2 |
Hiroshi Kato | JP | Nukata-Gun | 2010-05-13 / 20100116524 - WIRE HARNESS FIXING TOOL | 1 |
Eiko Kato | JP | Chiba-Shi | 2011-06-16 / 20110144062 - DERMATOLOGICAL ANTI-WRINKLE AGENT | 1 |
Takeshi Kato | JP | Shiga-Ken | 2014-01-09 / 20140011036 - LAMINATED POLYESTER FILM | 1 |
Hiroshi Kato | JP | Kariya | 2016-05-19 / 20160138683 - MULTIPLE SPEED TRANSMISSION | 19 |
Hiroshi Kato | JP | Tsukuba-Shi | 2015-02-12 / 20150047066 - PLANT HAVING INCREASED RESISTANCE OR SUSCEPTIBILITY TO 4-HPPD INHIBITOR | 2 |
Hiroshi Kato | JP | Tochigi-Ken | 2010-04-29 / 20100103632 - Housing case for electronic circuit board | 1 |
Ryou Kato | JP | Osaka | 2015-11-19 / 20150333215 - NITRIDE SEMICONDUCTOR LIGHT-EMITTING DIODE | 32 |
Shunya Kato | JP | Seto-Shi Aichi-Ken | 2015-09-24 / 20150266465 - HYBRID VEHICLE | 1 |
Masaru Kato | JP | Saitama | 2012-05-17 / 20120119352 - ELECTROLESS GOLD PLATING SOLUTION FOR FORMING FINE GOLD STRUCTURE, METHOD OF FORMING FINE GOLD STRUCTURE USING SAME, AND FINE GOLD STRUCTURE FORMED USING SAME | 3 |
Hiroshi Kato | JP | Tokyo | 2016-04-28 / 20160119567 - SOLID-STATE IMAGING APPARATUS | 14 |
Tomoya Kato | JP | Chiba | 2012-02-09 / 20120032179 - THIN-FILM TRANSISTOR ARRAY DEVICE, ORGANIC EL DISPLAY DEVICE, AND METHOD OF MANUFACTURING THIN-FILM TRANSISTOR ARRAY DEVICE | 2 |
Yohei Kato | US | 2015-09-24 / 20150267941 - HEAT PUMP DEVICE | 1 | |
Kyoichi Kato | JP | Ibaraki | 2011-12-08 / 20110298376 - Apparatus And Method For Producing Plasma | 1 |
Yukio Kato | JP | Hiroshima | 2016-02-04 / 20160032247 - DIFFERENTIATION-INDUCING CULTURE MEDIUM ADDITIVE AND USE THEREOF | 9 |
Hiroshi Kato | JP | Aichi | 2010-11-25 / 20100295280 - AIRBAG | 1 |
Hideto Kato | JP | Annaka-Shi | 2016-05-05 / 20160122586 - SILICONE RESIN, RESIN COMPOSITION, RESIN FILM, SEMICONDUCTOR DEVICE, AND MAKING METHOD | 17 |
Eiichi Kato | JP | Konan-Shi | 2009-12-03 / 20090294369 - Selective Fixing Agents for Halogenated Aromatic Compounds Contained in Media and Method for Selective Fixing | 1 |
Hiroshi Kato | JP | Kasuga | 2011-02-03 / 20110026386 - OPTICAL DISC RECORDING APPARATUS, COMPUTER-READABLE RECORDING MEDIUM RECORDING A FILE MANAGEMENT PROGRAM, AND OPTICAL DISC | 1 |
Yutaka Kato | JP | Kyoto-Shi | 2015-09-17 / 20150262415 - IMAGE PROCESSING DEVICE, SYSTEM, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 1 |
Hiroshi Kato | JP | Nagoya-Shi | 2011-03-03 / 20110051653 - TELEPHONE DEVICE AND TELEPHONE COMMUNICATION SYSTEM | 2 |
Tsutomu Kato | JP | Aichi-Ken | 2009-05-21 / 20090129844 - Print Tape and a Print Cassette | 1 |
Tsutomu Kato | JP | Takaokashi | 2015-08-27 / 20150243493 - METHOD FOR PROCESSING SUBSTRATE AND SUBSTRATE PROCESSING APPARATUS | 3 |
Hisaki Kato | JP | Tokyo | 2014-01-16 / 20140015175 - LOWER VESSEL OF RH DEGASSER | 1 |
Akiyoshi Kato | JP | Komaki-Shi | 2014-02-20 / 20140048415 - APPARATUS AND PROCESS FOR GAS SENSOR CONTROL | 3 |
Shunsaku Kato | JP | Kyoto | 2016-01-07 / 20160006834 - POSTED INFORMATION SHARING SYSTEM, INFORMATION-PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, STORAGE MEDIUM, AND COMPUTER PLATFORM | 16 |
Tsutomu Kato | JP | Kawasaki-Shi | 2010-02-18 / 20100040386 - Apparatus and method of image forming | 1 |
Tsutomu Kato | JP | Takaoka-Shi | 2012-05-17 / 20120122318 - SUBSTRATE PROCESSING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 8 |
Tsutomu Kato | JP | Nagoya-Shi | 2014-10-16 / 20140307038 - Tape Cassette | 11 |
Yukinari Kato | JP | Yokohama | 2013-05-23 / 20130127422 - BATTERY CONTROL DEVICE | 1 |
Tsutomu Kato | JP | Kawasaki | 2011-05-05 / 20110103862 - IMAGE FORMING APPARATUS AND GUIDE THEREFOR CAPABLE OF REDUCING TONER SCATTERED ON RECORDING MEDIUM | 1 |
Tsutomu Kato | JP | Higashinada-Ku | 2011-12-15 / 20110307352 - METHOD FOR RECOMMENDING DISPOSABLE INCONTINENCE ARTICLES | 2 |
Katsuya Kato | JP | Osaka | 2012-09-27 / 20120243628 - RECEPTION DEVICE, RECEPTION METHOD, AND RECEPTION PROGRAM | 4 |
Issei Kato | JP | Nara | 2009-10-29 / 20090270388 - FUSED AZOLE-PYRIMIDINE DERIVATIVES | 1 |
Shinpei Kato | JP | Makinohara-Shi | 2015-09-17 / 20150260564 - SENSOR | 1 |
Issei Kato | JP | Osaka-Fu | 2009-11-19 / 20090286717 - Glycopeptide Antibiotic Derivative | 1 |
Taichi Kato | JP | Isehara | 2013-03-28 / 20130075738 - Display Device and Electronic Device Using the Same | 2 |
Tsutomu Kato | JP | Okazaki-Shi | 2015-09-17 / 20150258661 - ABRASIVE GRINDING WHEEL | 1 |
Yasuyoshi Kato | JP | Yokohama-Shi | 2015-09-17 / 20150258494 - CATALYST STRUCTURE FOR EXHAUST GAS CLEANING | 1 |
Shigeki Kato | JP | Ibaraki | 2011-12-15 / 20110306150 - ALLERGEN DETECTION METHOD USING IMMUNOCHROMATOGRAPHY | 1 |
Yasuki Kato | JP | Tokyo | 2014-01-16 / 20140017328 - PARTICLE COMPOSITION AND PHARMACEUTICAL COMPOSITION USING PARTICLE COMPOSITION | 1 |
Yoshihiro Kato | JP | Katsushika-Ku | 2015-02-19 / 20150050472 - RESIN COMPOSITION, PREPREG AND LAMINATE | 4 |
Misugi Kato | JP | Saitama | 2011-12-15 / 20110306781 - Method for Producing Optically Active Fluorinated Oxetane | 1 |
Noriaki Kato | US | 2015-09-17 / 20150258264 - BLOOD PURIFIER AND BLOOD PURIFIER PACKAGE | 1 | |
Noriaki Kato | JP | Shiga | 2011-10-27 / 20110259816 - POROUS HOLLOW FIBER MEMBRANE AND A POROUS HOLLOW FIBER MEMBRANE FOR THE TREATMENT OF A PROTEIN-CONTAINING LIQUID | 3 |
Noriaki Kato | JP | Otsu-Shi | 2015-11-12 / 20150320921 - METHOD FOR STERILIZING BLOOD PURIFIER AND BLOOD PURIFIER PACKAGE | 5 |
Rihito Kato | JP | Shinagawa-Ku | 2015-09-10 / 20150256505 - ELECTRONIC MAIL MONITORING | 1 |
Hiroshi Kato | JP | Kawasaki | 2015-09-10 / 20150253595 - OPTICAL MODULE AND OPTICAL MODULATION DEVICE | 4 |
Darryl Kato | US | San Francisco | 2016-05-12 / 20160130300 - INHIBITORS OF HEPATITIS C VIRUS | 19 |
Koichi Kato | JP | Susono-Shi | 2015-09-10 / 20150252858 - RELEASE MECHANISM FOR FRICTION CLUTCH | 1 |
Hisaya Kato | JP | Takahama-City | 2011-12-22 / 20110308355 - Adsorption agent for noble metal, method for manufacturing the same, and method for recovering noble metal | 1 |
Jun Kato | US | Tucson | 2012-09-20 / 20120238700 - METHOD FOR PRODUCING METAL OXIDE ORGANIC COMPOUND COMPOSITE | 2 |
Masahiro Kato | JP | Tokorozawa | 2014-02-13 / 20140046568 - TORQUE DISTRIBUTION APPARATUS, TORQUE DISTRIBUTION METHOD, TORQUE DISTRIBUTION VALUE GENERATION METHOD, AND PROGRAM | 3 |
Masahiro Kato | JP | Sakado | 2014-01-16 / 20140018989 - EFFICIENCY MAP GENERATING APPARATUS, EFFICIENCY MAP GENERATING METHOD, AND PROGRAM | 1 |
Syunji Kato | JP | Tokyo | 2011-12-22 / 20110309954 - Touch-type key input apparatus | 1 |
Hideaki Kato | JP | Saitama | 2012-03-08 / 20120056210 - LIGHT EMITTING APPARATUS AND MULTI-SURFACE PATTERN SUBSTRATE | 2 |
Satoru Kato | JP | Kawasaki | 2015-09-03 / 20150249666 - COMMUNICATION DEVICE AND COMMUNICATION CONTROL METHOD IN COMMUNICATION DEVICE | 1 |
Hiroyuki Kato | JP | Kanagawa | 2012-12-20 / 20120318218 - VALVE TIMING CONTROL APPARATUS OF INTERNAL COMBUSTION ENGINE | 2 |
Takuya Kato | JP | Osaka | 2011-12-22 / 20110311223 - WAVEFORM RECONSTRUCTION DEVICE, WAVEFORM RECONSTRUCTION SYSTEM, AND WAVEFORM RECONSTRUCTION METHOD | 1 |
Mitsuhisa Kato | JP | Shizuoka-Ken | 2011-12-22 / 20110311264 - IMAGE FORMING APPARATUS | 1 |
Masanari Kato | JP | Shiga | 2011-12-22 / 20110311444 - IMAGING DIAGNOSTIC AGENT AND EXTRACORPOREAL DIAGNOSTIC AGENT FOR INCURABLE NEUROLOGICAL DISEASES | 1 |
Takeshi Kato | JP | Kagoshima-Shi | 2014-01-23 / 20140020659 - LAMINATED PIEZOELECTRIC ELEMENT, INJECTION APPARATUS PROVIDED WITH SAME, AND FUEL INJECTION SYSTEM PROVIDED WITH SAME | 1 |
Hisato Kato | JP | Wako | 2011-12-22 / 20110313594 - ON-VEHICLE REMOTE CONTROL APPARATUS AND METHOD FOR CONTROLLING REMOTELY CONTROLLABLE ON-VEHICLE DEVICE | 1 |
Shinobu Kato | JP | Chichibu-Shi | 2014-01-23 / 20140021679 - SHEET CONVEYING APPARATUS | 1 |
Shinji Kato | JP | Tsukuba-Shi | 2014-01-23 / 20140021781 - CONSTRUCTION MACHINE | 1 |
Hiroaki Kato | JP | Kariya-Shi | 2011-12-22 / 20110313595 - ELECTRONIC KEY | 1 |
Keita Kato | JP | Haibara-Gun | 2015-09-10 / 20150253662 - ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING PATTERN, RESIST FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE | 9 |
Takanori Kato | JP | Tokyo | 2015-09-03 / 20150247541 - RAILWAY VEHICLE BRAKE DISC | 3 |
Takanori Kato | JP | Nishinomiya-Shi | 2013-09-19 / 20130243640 - STEEL FOR WHEEL | 1 |
Susumu Kato | JP | Tokyo | 2014-01-23 / 20140023844 - COATED PRINTING PAPERS AND PROCESSES FOR PREPARING THEM | 1 |
Yoshinori Kato | JP | Matsudo-Shi | 2014-01-23 / 20140023986 - MOTORIZED SYRINGE FOR USE WITH TWO TYPES OF DENTAL ANESTHETIC SOLUTION-CONTAINING CARTRIDGES | 2 |
Takanori Kato | JP | Gunma | 2015-09-17 / 20150262880 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Takanori Kato | JP | Nishinomiya | 2014-08-28 / 20140238790 - BRAKE DISC FOR RAILWAY VEHICLE | 3 |
Ikunoshin Kato | JP | Otsu-Shi | 2015-08-20 / 20150232530 - METHOD FOR EXPRESSION OF SPECIFIC GENE | 14 |
Takamasa Kato | JP | Yamanashi | 2013-11-07 / 20130295751 - THIN FILM FORMING DEVICE FOR SOLAR CELL AND THIN FILM FORMING METHOD | 2 |
Takamasa Kato | JP | Tokorozawa | 2014-04-17 / 20140107938 - INFORMATION PROCESSING SYSTEM USING NUCLEOTIDE SEQUENCE-RELATED INFORMATION | 4 |
Takamasa Kato | JP | Kofu-Shi | 2008-11-27 / 20080291346 - MEMORY DEVICE, DATA RECORDING METHOD, AND IC TAG | 1 |
Fumiyasu Kato | JP | Aichi | 2016-01-07 / 20160003241 - VANE PUMP | 3 |
Takeshi Kato | JP | Aichi | 2011-12-29 / 20110315091 - HOT-WATER SUPPLY SYSTEM | 1 |
Hirotsugu Kato | JP | Hekinan-City | 2015-08-27 / 20150239407 - VEHICULAR ELECTRONIC CONTROL DEVICE | 2 |
Yasuo Kato | JP | Aichi-Ken | 2015-08-27 / 20150239247 - LIQUID DISCHARGE APPARATUS AND PIEZOELECTRIC ACTUATOR | 1 |
Hitoshi Kato | JP | Yamanashi-Ken | 2009-07-09 / 20090175705 - SUBSTRATE TRANSFER APPARATUS AND VERTICAL HEAT PROCESSING APPARATUS | 1 |
Hitoshi Kato | JP | Tokyo | 2011-09-15 / 20110223744 - METHOD FOR MANUFACTURING AN OPTICAL SEMICONDUCTOR DEVICE AND COMPOSITION FOR FORMING A PROTECTIVE LAYER OF AN OPTICAL SEMICONDUCTOR DEVICE | 2 |
Hitoshi Kato | JP | Yamanashi | 2010-03-18 / 20100064969 - SEMICONDUCTOR MANUFACTURING PLANT | 1 |
Yoshiaki Kato | JP | Toyama | 2009-12-17 / 20090309134 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Hitoshi Kato | JP | Ibaraki | 2015-07-23 / 20150201785 - METHOD FOR OPERATING FOOD MILL | 3 |
Hitoshi Kato | JP | Iwate | 2016-03-03 / 20160064246 - SUBSTRATE PROCESSING APPARATUS AND METHOD OF PROCESSING A SUBSTRATE | 54 |
Hitoshi Kato | JP | Aichi-Ken | 2010-07-29 / 20100187089 - COLLECTION-AMOUNT DETECTION METHOD FOR PARTICULATE MATTERS AND COLLECTION-AMOUNT DETECTION APPARATUS THEREFOR AND EXHAUST-GAS CONVERTING APPARATUS | 1 |
Hitoshi Kato | JP | Sagamihara-Shi | 2012-06-28 / 20120166755 - Switching Apparatus and Data Management Method of Same | 2 |
Kazuya Kato | JP | Kyoto-Fu | 2013-09-26 / 20130249313 - POWER TRANSMISSION SYSTEM AND POWER RECEIVING JACKET | 3 |
Hitoshi Kato | JP | Wako-Shi | 2010-09-30 / 20100244489 - REINFORCING ELEMENT STRUCTURE | 1 |
Hiroshi Kato | JP | Tokyo | 2016-04-28 / 20160119567 - SOLID-STATE IMAGING APPARATUS | 14 |
Manabu Kato | JP | Susono-Shi | 2015-03-05 / 20150064610 - FUEL CELL MEMBRANE-ELECTRODE ASSEMBLY AND PRODUCTION METHOD THEREFOR | 13 |
Tetsuro Kato | JP | Minato-Ku | 2010-07-29 / 20100189430 - IMAGING DEVICE | 1 |
Hisashi Kato | JP | Minato-Ku | 2015-08-20 / 20150236989 - SERVER APPARATUS AND CLIENT APPARATUS IN PRESENCE DISPLAY SYSTEM | 1 |
Yuki Kato | JP | Gotenba-Shi | 2016-03-03 / 20160064771 - SULFIDE SOLID ELECTROLYTE MATERIAL, BATTERY, AND PRODUCING METHOD FOR SULFIDE SOLID ELECTROLYTE MATERIAL | 7 |
Kazumichi Kato | JP | Ibaraki-Shi | 2015-08-06 / 20150218422 - RESIN FOAM AND FOAM MATERIAL | 10 |
Norihiko Kato | JP | Handa-Shi | 2012-05-03 / 20120109442 - POWER SUPPLY SYSTEM FOR ELECTRICALLY POWERED VEHICLE AND METHOD FOR CONTROLLING THE SAME | 11 |
Kimiyasu Kato | JP | Otsu-Shi | 2015-08-20 / 20150233024 - FIBER BUNDLE WITH PIECED PART, PROCESS FOR PRODUCING SAME, AND PROCESS FOR PRODUCING CARBON FIBER | 1 |
Fumiki Kato | JP | Tsukuba-Shi | 2015-08-20 / 20150235984 - Method of Manufacturing Semiconductor Device and Semiconductor Device Manufacturing Apparatus | 1 |
Takaya Kato | JP | Tokyo | 2015-01-22 / 20150026789 - APPARATUS, METHOD, AND PROGRAM FOR VALIDATING USER | 8 |
Tomotake Kato | JP | Yokohama-Shi | 2016-02-18 / 20160046164 - SUSPENSION COIL SPRING | 3 |
Makoto Kato | JP | Chita-Shi | 2013-08-08 / 20130200311 - RESIN COMPOSITE MATERIAL | 2 |
Daishi Kato | JP | Yokohama-Shi | 2016-05-05 / 20160124383 - END MEMBER, PROCESS CARTRIDGE, AND METHOD OF SEPARATING PROCESS CARTRIDGE | 1 |
Kenji Kato | CN | Jiangsu | 2013-05-16 / 20130122420 - ALKALI-DEVELOPABLE PHOTOSENSITIVE RESIN COMPOSITION | 1 |
Noboru Kato | JP | Nagaokakyo-Shi | 2016-04-28 / 20160117531 - METHOD FOR DETERMINING EXISTENCE OF WIDEBAND IMPEDANCE MATCHING CIRCUIT IN A WIRELESS IC DEVICE SYSTEM | 174 |
Soichiro Kato | JP | Fujisawa-Shi | 2014-01-30 / 20140029876 - Linear Motion Guide Device | 1 |
Toshihisa Kato | JP | Nagoya | 2010-04-29 / 20100106374 - VEHICLE STABILIZATION CONTROL DEVICE | 1 |
Manabu Kato | JP | Kanagawa | 2014-01-30 / 20140030594 - CONDUCTIVE POLYMER/POROUS CARBON MATERIAL COMPOSITE AND ELECTRODE MATERIAL USING SAME | 1 |
Kazuo Kato | JP | Chiba-Shi | 2015-02-05 / 20150039263 - ELECTRONIC APPARATUS AND PROGRAM | 34 |
Takaaki Kato | JP | Tokyo | 2015-08-20 / 20150231604 - COMPOSITE OXIDE CATALYST, METHOD FOR PRODUCING THE SAME, AND METHOD FOR PRODUCING UNSATURATED NITRILE | 8 |
Takeyoshi Kato | JP | Tokyo | 2011-05-26 / 20110124928 - Gas production facility, gas supply container, and gas for manufacture of electronic devices | 2 |
Toshihisa Kato | JP | Handa-City | 2012-07-05 / 20120173111 - VEHICLE BEHAVIOR CONTROL DEVICE | 5 |
Daiki Kato | JP | Osaka | 2015-06-04 / 20150155512 - LUMINESCENT ELEMENT AND LIGHTING DEVICE USING THE SAME | 6 |
Chiaki Kato | JP | Kure-Shi | 2010-06-24 / 20100154735 - ENGINE BALANCER | 1 |
Takeyoshi Kato | JP | Miyagi | 2008-12-25 / 20080315201 - Apparatus for Producing Electronic Device Such as Display Device, Method of Producing Electronic Device Such as Display Device, and Electronic Device Such as Display Device | 1 |
Chie Kato | JP | Toyota-Shi | 2013-05-16 / 20130118831 - STRUCTURE HAVING SOUND ABSORPTION CHARACTERISTIC | 1 |
Tomoki Kato | JP | Ichihara-Shi | 2016-05-12 / 20160133847 - AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT | 6 |
Kunihisa Kato | JP | Warabi-Shi | 2015-08-13 / 20150228879 - THERMOELECTRIC CONVERSION MATERIAL AND PRODUCTION METHOD THEREFOR | 1 |
Shingo Kato | JP | Kanagawa | 2015-09-10 / 20150254541 - PRINT INSTRUCTION APPARATUS, PRINTING SYSTEM, PRINT INSTRUCTION METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 2 |
Toshihisa Kato | JP | Handa-Shi | 2014-05-15 / 20140136070 - MOTION CONTROL DEVICE FOR VEHICLE | 10 |
Shinichi Kato | JP | Tokyo | 2015-09-24 / 20150271212 - RELAY DEVICE, RELAY METHOD AND INFORMATION PROCESSING SYSTEM | 11 |
Takayuki Kato | JP | Susono-Shi | 2016-04-21 / 20160111799 - Battery Terminal | 1 |
Hiroki Kato | JP | Hyogo | 2016-04-21 / 20160111692 - POWER SUPPLY DEVICE | 1 |
Hiroyuki Kato | JP | Shizuoka | 2011-03-17 / 20110063662 - PRINTER AND PRINT DATA GENERATION METHOD | 1 |
Kenichi Kato | JP | Nagoya-City | 2012-01-05 / 20120000363 - Air filter device | 1 |
Eisuke Kato | JP | Tokyo | 2015-08-13 / 20150226218 - Screw Compressor and Chiller Unit Provided with Same | 1 |
Satoshi Kato | JP | Chiba-Shi | 2015-08-13 / 20150225825 - ALUMINUM ALLOY CAST PRODUCT AND METHOD FOR PRODUCING THE SAME | 1 |
Kousuke Kato | JP | Osaka-Shi | 2015-08-13 / 20150224815 - TRANSFER TOOL AND REFILL FOR TRANSFER TOOL | 1 |
Aiko Kato | JP | Machida-Shi | 2016-03-24 / 20160086992 - SOLID-STATE IMAGE PICKUP DEVICE, IMAGE PICKUP SYSTEM USING SOLID-STATE IMAGE PICKUP DEVICE, AND METHOD OF MANUFACTURING SOLID-STATE IMAGE PICKUP DEVICE | 9 |
Yoshihiko Kato | JP | Kanagawa | 2012-01-05 / 20120002016 - Long-Distance Target Detection Camera System | 1 |
Yoshinori Kato | JP | Tokyo | 2016-03-03 / 20160059345 - FRICTION-STIR WELDING TOOL, FRICTION STIR WELDING DEVICE, AND METHOD FOR MANUFACTURING WELDING MATERIAL | 12 |
Hiroshi Kato | JP | Hachioji-Shi, Tokyo | 2016-04-21 / 20160109331 - TIRE CONTACT STATE ESTIMATION METHOD | 1 |
Junya Kato | JP | Chiba-Shi | 2012-01-05 / 20120002323 - METHOD FOR INSPECTION OF MAGNETIC RECORDING MEDIUM, MAGNETIC RECORDING MEDIUM, AND MAGNETIC RECORDING/REPRODUCING DEVICE | 1 |
Hiroshi Kato | JP | Yokohama-Shi | 2015-08-06 / 20150220049 - IMAGE FORMING APPARATUS | 1 |
Taro Kato | US | 2014-02-06 / 20140035086 - SOLID-STATE IMAGE SENSOR | 1 | |
Masahiro Kato | JP | Sakai-Shi | 2015-08-06 / 20150219987 - Photomask, Method of Manufacturing Photomask and Exposure Apparatus | 1 |
Manabu Kato | JP | Aichi-Ken | 2015-08-06 / 20150219433 - DISPLACEMENT SENSOR | 1 |
Hisayuki Kato | JP | Aichi | 2015-12-10 / 20150354709 - Valve Device | 3 |
Keita Kato | JP | Shizuoka | 2015-10-15 / 20150293454 - METHOD OF FORMING PATTERN AND DEVELOPER FOR USE IN THE METHOD | 20 |
Noriko Kato | JP | Hyogo | 2012-01-05 / 20120003673 - Screening method, composition containing substance selected by the screening method, and binding substance | 1 |
Keith G. Kato | US | Rancho Cucamonga | 2016-04-21 / 20160109211 - MULTISEGMENTED TOROIDAL MAGNETIC FIELD PROJECTOR | 1 |
Eishin Kato | JP | Fukui-Shi | 2015-08-06 / 20150216818 - JAVA GINGER EXTRACT AND MANUFACTURING METHOD | 1 |
Hirokazu Kato | JP | Nisshin-Shi | 2016-04-21 / 20160108880 - ENGINE CONTROL APPARATUS | 2 |
Manabu Kato | JP | Susono-Shi | 2015-03-05 / 20150064610 - FUEL CELL MEMBRANE-ELECTRODE ASSEMBLY AND PRODUCTION METHOD THEREFOR | 13 |
Mitsuharu Kato | JP | Aichi-Gun | 2014-02-06 / 20140038454 - STRUCTURE FOR CONNECTING ELEMENT TO CONDUCTIVE MEMBER | 1 |
Manabu Kato | JP | Shizuoka-Ken | 2011-07-14 / 20110171549 - FUEL CELL SYSTEM AND METHOD OF DETECTING ABNORMALITY OF FUEL CELL SYSTEM | 6 |
Manabu Kato | JP | Saitama-Shi | 2012-08-30 / 20120218363 - SCANNING OPTICAL APPARATUS AND IMAGE FORMING APPARATUS INCLUDING THE SAME | 2 |
Masato Kato | JP | Nagoya-Shi | 2012-04-26 / 20120099572 - COMMUNICATION DEVICE, COMPUTER PROGRAM PRODUCT, AND METHOD OF CONTROLLING OPERATION OF COMMUNICATION DEVICE | 2 |
Manabu Kato | JP | Kawasaki-Shi | 2012-07-26 / 20120188624 - SCANNING OPTICAL APPARATUS | 5 |
Taku Kato | JP | Takasago-Shi, Hyogo | 2016-04-21 / 20160108438 - NOVEL SUCROSE UNASSIMILATING FLOCCULENT YEAST | 1 |
Yumi Kato | JP | Tokyo | 2009-07-09 / 20090174662 - MOUSE | 3 |
Manabu Kato | JP | Utsunomiya-Shi | 2009-11-12 / 20090279920 - OPTICAL SCANNING DEVICE AND IMAGE FORMING APPARATUS USING THE SAME | 7 |
Manabu Kato | JP | Kosai-Shi | 2009-06-18 / 20090153000 - MOTOR, THERMISTOR, AND MANUFACTURING METHOD OF THE SAME | 1 |
Manabu Kato | JP | Shibata-Shi | 2009-06-11 / 20090148964 - METHOD FOR FORMING DIELECTRIC SiOCH FILM HAVING CHEMICAL STABILITY | 1 |
Manabu Kato | JP | Nagoya-Shi | 2011-12-08 / 20110298600 - COMMUNICATION SYSTEM FOR VEHICLE | 3 |
Kazuhiro Kato | JP | Yokohama Kanagawa | 2015-08-13 / 20150229125 - ELECTROSTATIC PROTECTION CIRCUIT | 2 |
Yoshihiro Kato | JP | Nirasaki City | 2015-07-30 / 20150214429 - METHOD FOR MANUFACTURING ROD-TYPE LIGHT EMITTING DEVICE AND ROD-TYPE LIGHT EMITTING DEVICE | 1 |
Yoshihiro Kato | JP | Tsukuba City | 2015-07-30 / 20150214423 - METHOD FOR MANUFACTURING OPTICAL DEVICE AND OPTICAL DEVICE | 1 |
Manabu Kato | JP | Hitachimirai | 2012-01-05 / 20120004836 - Method of Estimation of Traffic Information, Device of Estimation of Traffic Information and Car Navigation Device | 2 |
Hiroyuki Kato | JP | Koza-Gun | 2015-03-19 / 20150075461 - VARIABLE VALVE ACTUATION APPARATUS OF INTERNAL COMBUSTION ENGINE | 9 |
Masahiro Kato | JP | Kamisu-Shi | 2016-04-21 / 20160108228 - THERMOPLASTIC ELASTOMER COMPOSITION AND MOLDED BODY | 1 |
Makoto Kato | JP | Ichinomiya-Shi | 2015-12-17 / 20150360150 - WATER PURIFIER | 2 |
Hidero Kato | JP | Osaka-Fu | 2011-07-21 / 20110178475 - PLUNGER FOR INJECTOR, AND SYRINGE AND PREFILLED SYRINGE THAT USES THE SAME | 1 |
Keiichi Kato | JP | Aichi-Ken | 2012-06-28 / 20120160947 - PRETENSIONER | 2 |
Osamu Kato | JP | Iwate | 2015-07-30 / 20150210567 - SCALE SUPPRESSION APPARATUS, GEOTHERMAL POWER GENERATION SYSTEM USING THE SAME, AND SCALE SUPPRESSION METHOD | 2 |
Osamu Kato | JP | Hiroshima | 2009-02-12 / 20090042036 - HIGH-SOLID ANTICORROSIVE COATING COMPOSITION | 1 |
Tatsuya Kato | JP | Miyoshi-Shi | 2011-04-07 / 20110080027 - RETRACTABLE SEAT FOR VEHICLE | 1 |
Osamu Kato | JP | Miyazaki | 2014-03-13 / 20140073129 - SEMICONDUCTOR DEVICE INCLUDING BOTTOM SURFACE WIRING AND MANUFACTURING METHOD OF THE SEMICONDUCTOR DEVICE | 2 |
Tatsuya Kato | JP | Aichi | 2011-03-10 / 20110057678 - Ceramic Substrate, Functional Ceramic Substrate, Probe Card and Method for Manufacturing Ceramic Substrate | 1 |
Osamu Kato | JP | Yokosuka-Shi | 2011-08-11 / 20110195669 - MOBILE COMMUNICATION SYSTEM | 3 |
Tatsuya Kato | JP | Yokohama-Shi | 2010-08-26 / 20100216528 - FOLDING MOBILE TERMINAL | 2 |
Tatsuya Kato | JP | Shizuoka | 2010-06-17 / 20100152457 - Intermediate compound for synthesis of viridiofungin a derivative | 5 |
Tatsuya Kato | JP | Nagoya | 2009-12-31 / 20090321114 - ELECTRICAL INSPECTION SUBSTRATE UNIT AND MANUFACTURING METHOD THEREFORE | 1 |
Tatsuya Kato | JP | Yokkaichi-Shi | 2013-09-12 / 20130235666 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACURING THE SAME | 3 |
Tatsuya Kato | JP | Tokyo | 2015-03-19 / 20150080549 - PEPTIDE-COMPOUND CYCLIZATION METHOD | 7 |
Hideki Kato | JP | Miyagi | 2015-07-23 / 20150203749 - ALKALINE EARTH METAL SILICATE PHOSPHOR AND METHOD FOR PRODUCING SAME | 1 |
Kunihito Kato | JP | Nisshin-Shi Aichi-Ken | 2015-07-23 / 20150206758 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hisaya Kato | JP | Kasugai-Shi | 2016-04-28 / 20160114618 - BONDING DEVICE FOR DECORATIVE MATERIAL, PRODUCTION DEVICE FOR COATED ARTICLE, BONDING METHOD FOR DECORATIVE MATERIAL, AND PRODUCTION METHOD FOR COATED ARTICLE | 2 |
Takumi Kato | JP | Gotemba-Shi | 2014-06-05 / 20140154494 - METHOD OF MANUFACTURING A BONDED BODY | 2 |
Takumi Kato | JP | Matsuyama-Shi | 2015-10-15 / 20150290911 - Method of Manufacturing Joined Body of Fiber-Reinforced Composite Material and Metal Member, and Fiber-Reinforced Composite Material Used for the Method | 7 |
Makoto Kato | JP | Aichi | 2015-11-26 / 20150337882 - CLIP ATTACHMENT STRUCTURE | 10 |
Yasumasa Kato | JP | Tokyo | 2015-07-23 / 20150202854 - LAMINATED GLASS PRODUCTION METHOD | 8 |
Shingo Kato | JP | Chiba | 2011-06-16 / 20110143336 - MARKER FOR ESTIMATING THE DIAGNOSIS OF CERVICAL ADENOCARCINOMA OR FOR ESTIMATING THE PROGNOSIS OF CERVICAL CANCER | 1 |
Makoto Kato | JP | Kanagawa | 2010-08-26 / 20100217125 - ULTRASONIC DIAGNOSIS DEVICE AND ULTRASONIC PROBE FOR USE IN ULTRASONIC DIAGNOSIS DEVICE | 8 |
Koichi Kato | JP | Ibaraki | 2015-12-10 / 20150352021 - FUNCTIONAL NONWOVEN FABRIC AND MANUFACTURING METHOD THEREFOR | 1 |
Kichiro Kato | JP | Sunto-Gun | 2015-12-31 / 20150377118 - COOLING DEVICE FOR TURBOCHARGER OF INTERNAL COMBUSTION ENGINE PROVIDED WITH BLOWBY GAS RECIRCULATION DEVICE (AS AMENDED) | 2 |
Tadakazu Kato | JP | Tokyo | 2013-05-09 / 20130111961 - METHOD FOR MANUFACTURING LEAF SPRINGS | 1 |
Tatsuhiro Kato | JP | Owariasahi-Shi | 2015-07-16 / 20150197427 - WATER PURIFIER | 1 |
Tomoo Kato | JP | Haibara-Gun | 2015-09-10 / 20150252311 - CLEANING COMPOSITION, CLEANING PROCESS, AND PROCESS FOR PRODUCING SEMICONDUCTOR DEVICE | 2 |
Mitsunori Kato | US | Cambridge | 2012-01-19 / 20120015907 - Furopyridine compounds and uses thereof | 1 |
Koya Kato | JP | Nagoya-Shi | 2014-07-10 / 20140194570 - PRODUCTION METHOD OF CRYSTALLINE POLYAMIDE RESIN | 2 |
Akira Kato | JP | Kako-Shi | 2013-06-20 / 20130158260 - AMINODIHYDROTHIAZINE DERIVATIVES | 3 |
Hideto Kato | JP | Annaka-Shi | 2016-05-05 / 20160122586 - SILICONE RESIN, RESIN COMPOSITION, RESIN FILM, SEMICONDUCTOR DEVICE, AND MAKING METHOD | 17 |
Hideto Kato | JP | Takasaki-Shi | 2014-09-18 / 20140261978 - TEMPORARY ADHESIVE COMPOSITION, AND METHOD OF PRODUCING THIN WAFER | 4 |
Tomoyo Sakata Kato | US | Sunnyvale | 2015-07-09 / 20150191489 - IMIDAZO BICYCLIC IMMINIUM COMPOUNDS AS ANTITUMOR AGENTS | 1 |
Masao Kato | JP | Shiga | 2015-07-09 / 20150190607 - CONTROL METHOD, CONTROL DEVICE, AND EYE MASK | 1 |
Yoshiaki Kato | JP | Chuo-Ku | 2009-10-08 / 20090252951 - Protective sheet for preventing scratches | 1 |
Sho Kato | JP | Chiyoda-Ku | 2015-08-20 / 20150236609 - POWER CONVERSION DEVICE | 2 |
Ryu Kato | JP | Mie | 2014-02-20 / 20140048862 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 1 |
Midori Kato | JP | Tokyo | 2015-12-10 / 20150356849 - Monitoring System | 2 |
Fumio Kato | JP | Kanagawa | 2012-11-08 / 20120279369 - PUNCH PRESS | 2 |
Fukutaro Kato | JP | Chiyoda-Ku | 2015-07-09 / 20150192559 - DIAGNOSIS METHOD AND MAINTENANCE METHOD FOR OIL-FILLED ELECTRICAL EQUIPMENT | 8 |
Yoshiyuki Kato | JP | Chiyoda-Ku | 2015-07-02 / 20150187044 - GRAPHICS RENDERING DEVICE | 1 |
Masayuki Kato | JP | Chiyoda-Ku, Tokyo | 2015-12-10 / 20150352377 - PARTICLE BEAM THERAPY SYSTEM | 1 |
Shotaro Kato | JP | Susono-Shi Shizuoka-Ken | 2015-07-02 / 20150184699 - ENGAGING DEVICE | 1 |
Naoki Kato | JP | Tokyo | 2016-04-21 / 20160110896 - Scatter Diagram Display Device and Surface Analyzer | 3 |
Takayoshi Kato | JP | Handa-Shi | 2011-01-13 / 20110009233 - CARRIER ASSEMBLY FOR SUPPORTING PINION GEARS | 1 |
Daisaku Kato | JP | Daisaku | 2012-01-26 / 20120020643 - THUMBNAIL GENERATING APPARATUS AND THUMBNAIL GENERATING METHOD | 1 |
Keita Kato | JP | Shizuoka-Ken | 2015-11-26 / 20150338736 - ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM AND METHOD OF FORMING PATTERN | 1 |
Daiki Kato | JP | Echizen-Shi | 2012-05-10 / 20120113548 - VOICE COIL MOTORS AND MAGNETIC CIRCUITS THEREFOR | 3 |
Hirokazu Kato | JP | Kanagawa | 2016-05-12 / 20160133549 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 8 |
Hideki Kato | JP | Tokyo-To | 2012-01-26 / 20120022760 - WEIGHT-RELATED PHYSICAL QUANTITY ESTIMATING SYSTEM AND CONTROL DEVICE FOR VEHICLES | 1 |
Eriko Kato | JP | Tokyo | 2012-02-16 / 20120040647 - PORTABLE TELEPHONE | 2 |
Masaki Kato | JP | Kawasaki-Shi | 2013-04-25 / 20130100349 - Apparatus and Method for Black Bar Detection In Digital TVs and Set-Top Boxes | 1 |
Tomoaki Kato | JP | Nagoya | 2015-11-26 / 20150340842 - SPARK PLUG, AND PRODUCTION METHOD THEREFOR | 10 |
Shuichi Kato | JP | Nagoya-Shi | 2016-01-28 / 20160026150 - Developer Cartridge | 26 |
Takaaki Kato | JP | Anjo-Shi | 2015-07-02 / 20150183074 - APPARATUS, SYSTEM AND METHOD FOR CUTTING A MATERIAL | 8 |
Hirokazu Kato | JP | Osaka | 2008-09-25 / 20080232645 - TRACKING A SURFACE IN A 3-DIMENSIONAL SCENE USING NATURAL VISUAL FEATURES OF THE SURFACE | 1 |
Yasuhiro Kato | JP | Nagoya-Shi | 2016-03-03 / 20160059569 - INK CONTAINING DEVICE | 13 |
Hirokazu Kato | JP | Zushi-Shi | 2009-09-24 / 20090239373 - CHEMICAL MECHANICAL POLISHING METHOD AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Hirokazu Kato | JP | Okayama-Shi | 2009-09-24 / 20090237080 - MAGNETIC FIELD GENERATOR AND NUCLEAR MAGNETIC RESONANCE DEVICE PROVIDED WITH THE MAGNETIC FIELD GENERATOR | 1 |
Hirokazu Kato | JP | Susono-Shi | 2013-07-04 / 20130173142 - VEHICLE CONTROL DEVICE | 4 |
Hirokazu Kato | JP | Kariya-Shi | 2015-06-04 / 20150151329 - Pattern Forming Method | 4 |
Akihiko Kato | JP | Tokyo | 2016-03-31 / 20160094794 - SOLID-STATE IMAGE PICKUP APPARATUS, SIGNAL PROCESSING METHOD FOR A SOLID-STATE IMAGE PICKUP APPARATUS, AND ELECTRONIC APPARATUS | 14 |
Hirokazu Kato | JP | Mito | 2011-11-24 / 20110284768 - FLUORESCENCE ANALYZING DEVICE AND FLUORESCENCE ANALYZING METHOD | 4 |
Hirokazu Kato | US | Fishkill | 2010-06-10 / 20100143849 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Hirokazu Kato | JP | Sodegaura-Shi | 2011-11-10 / 20110274767 - PRODUCTION METHOD OF TITANIUM OXIDE SOL | 8 |
Hirokazu Kato | US | Wappingers Falls | 2010-05-13 / 20100120255 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Hirokazu Kato | JP | Yokohama-Shi | 2016-02-11 / 20160042942 - PATTERN FORMING METHOD AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 3 |
Shinji Kato | JP | Kosai-Shi | 2013-07-18 / 20130181512 - WIRE-HARNESS ROUTING DEVICE | 3 |
Shigeaki Kato | JP | Nirasaki-Shi | 2011-01-06 / 20110000883 - PLASMA PROCESSING APPARATUS, FOCUS RING, AND SUSCEPTOR | 1 |
Hideki Kato | JP | Hamamatsu-Shi | 2015-06-25 / 20150176808 - ILLUMINATING APPARATUS | 1 |
Koichi Kato | JP | Okazaki-Shi Aichi | 2015-06-25 / 20150176694 - POWER TRANSMISSION UNIT | 1 |
Takashi Kato | JP | Obu | 2015-06-25 / 20150176543 - EVAPORATED FUEL PROCESSING APPARATUS | 1 |
Sota Kato | JP | Tokyo | 2015-06-25 / 20150175541 - PARTIALLY SATURATED NITROGEN-CONTAINING HETEROCYCLIC COMPOUND | 1 |
Shinichiro Kato | JP | Nagoya-Shi | 2014-02-27 / 20140053955 - STEEL FOR COLD PUNCHING AND STEEL ELEMENT FOR STEEL BELT USING THE SAME | 1 |
Hiroaki Kato | JP | Neyagawa-Shi | 2013-12-19 / 20130334004 - CLUTCH OPERATING DEVICE | 3 |
Masahiro Kato | JP | Tokyo | 2014-09-04 / 20140247030 - SEMICONDUCTOR MODULE AND BOOST RECTIFIER CIRCUIT | 10 |
Masahiro Kato | JP | Itami-Shi | 2016-05-19 / 20160138164 - POROUS METAL BODY AND METHOD OF PRODUCING THE SAME | 6 |
Masahiro Kato | JP | Matsumoto-Shi | 2011-03-03 / 20110050269 - Method for evaluating semiconductor device | 1 |
Manabu Kato | JP | Tokyo | 2016-02-18 / 20160046124 - Ink Jet Recording Device | 2 |
Ken Kato | JP | Anjo-Shi | 2013-04-25 / 20130098194 - Steering Apparatus for a Vehicle | 1 |
Masahiro Kato | JP | Tochigi | 2011-01-06 / 20110000816 - DURABLE AUTOMOTIVE WINDSHIELD COATING AND THE USE THEREOF | 2 |
Yuichi Kato | JP | Fukuoka | 2016-05-19 / 20160137505 - METHOD FOR SEPARATING METALLIC SINGLE-WALLED CARBON NANOTUBE FROM SEMICONDUCTIVE SINGLE-WALLED CARBON NANOTUBE | 1 |
Masahiro Kato | JP | Tokorozawa-Shi | 2010-05-06 / 20100115026 - CONTENT DISTRIBUTION SYSTEM AND METHOD, AND SERVER DEVICE, AND CLIENT DEVICE | 6 |
Masahiro Kato | JP | Kanagawa | 2016-02-11 / 20160039312 - TRACTION CONTROL DEVICE AND TRACTION CONTROL METHOD | 21 |
Keiichi Kato | JP | Kariya-Shi | 2016-04-14 / 20160103184 - BATTERY STATE ESTIMATION APPARATUS | 1 |
Shigeaki Kato | JP | Yokkaichi-Shi | 2010-11-25 / 20100298183 - ADDITIVE FOR OILS AND LUBRICANT COMPRISING THE SAME | 1 |
Masahiro Kato | JP | Tsurugashima-Shi | 2010-01-14 / 20100008193 - REPRODUCTION SYSTEM | 1 |
Masahiro Kato | JP | Komaki-Shi | 2011-10-27 / 20110260071 - COMPTON CAMERA | 3 |
Masahiro Kato | JP | Okayama | 2009-01-29 / 20090026973 - LIGHTING APPARATUS | 1 |
Masahiro Kato | JP | Naka-Gun | 2014-11-20 / 20140339978 - PHOSPHOR | 15 |
Takayuki Kato | JP | Kanagawa-Ken | 2012-02-02 / 20120027127 - AMPLIFIER | 1 |
Kazuaki Kato | JP | Ibaraki | 2015-06-11 / 20150160163 - Hydrogen Concentration Meter | 1 |
Noritsugu Kato | JP | Chiryu-City | 2015-06-11 / 20150159612 - FUEL INJECTOR | 1 |
Makoto Kato | JP | Osaka | 2012-02-02 / 20120027396 - ELECTRONIC DEVICE, CONNECTION DETECTION METHOD AND CONNECTION DETECTION SYSTEM | 1 |
Shunya Kato | JP | Seto-Shi Aichi | 2015-06-11 / 20150158481 - CONTROL SYSTEM FOR HYBRID DRIVE UNIT | 1 |
Tomoe Kato | JP | Inashiki-Gun | 2010-01-07 / 20100003388 - SEASONING | 1 |
Takatoshi Kato | JP | Tokyo | 2015-12-24 / 20150372911 - COMMUNICATION PATH MANAGEMENT METHOD | 2 |
Taketo Kato | JP | Osaka | 2014-11-13 / 20140336315 - DRIPPING INHIBITOR AND RESIN COMPOUND | 2 |
Teruo Kato | JP | Chiba | 2014-05-08 / 20140128710 - ELECTRONIC DEVICE | 6 |
Hiroyuki Kato | JP | Meguro-Ku | 2009-02-19 / 20090045398 - MANUFACTURE METHOD FOR ZnO BASED COMPOUND SEMICONDUCTOR CRYSTAL AND ZnO BASED COMPOUND SEMICONDUCTOR SUBSTRATE | 1 |
Hiroyuki Kato | JP | Osaka | 2012-05-17 / 20120118279 - COOKING DEVICE | 2 |
Koichi Kato | JP | Nishikamo-Gun | 2008-09-11 / 20080220924 - Vehicle planetary gear device | 1 |
Koichi Kato | JP | Kanagawa-Ken | 2008-12-11 / 20080305647 - Method for Manufacturing a Semiconductor Device | 1 |
Hirokazu Kato | JP | Akishima Tokyo | 2015-06-04 / 20150156443 - ELECTRONIC APPARATUS AND DISPLAY CONTROL METHOD | 1 |
Koichi Kato | JP | Osaka | 2009-01-08 / 20090013313 - DEBUG DEVICE, DEBUG METHOD, AND PROGRAM | 1 |
Yoshinaga Kato | JP | Ohta-Ku, Tokyo | 2016-05-05 / 20160127686 - TRANSMISSION TERMINAL, PROGRAM, IMAGE DISPLAY METHOD AND TRANSMISSION SYSTEM | 2 |
Koichi Kato | JP | Saitama-Shi | 2009-10-01 / 20090245767 - LENS ASSEMBLY AND IMAGING DEVICE | 1 |
Ken Kato | JP | Saitama | 2016-01-21 / 20160015790 - NOVEL POWDERED MILK PRODUCT AND METHOD FOR PRODUCING THE SAME | 18 |
Koichi Kato | JP | Kanagawa | 2016-02-04 / 20160033920 - SURFACE PROCESSOR AND ELECTROPHOTOGRAPHIC APPARATUS | 8 |
Koichi Kato | JP | Hitachi | 2012-11-15 / 20120285729 - ELECTRICAL WIRE HOLDING DEVICE | 3 |
Yoshikuni Kato | JP | Miyazaki -Pref | 2009-07-23 / 20090185326 - FILM CAPACITOR | 2 |
Hideki Kato | JP | Gifu | 2012-02-09 / 20120034415 - CARBON FIBER STRUCTURE AND METHOD FOR MANUFACTURING THE SAME | 9 |
Yasuhiro Kato | JP | Kawasaki | 2016-05-19 / 20160136568 - ACIDIC GAS ABSORBING AGENT, METHOD FOR REMOVING ACIDIC GAS AND APPARATUS FOR REMOVING ACIDIC GAS | 3 |
Koichi Kato | JP | Yokohama-Shi | 2015-09-17 / 20150263117 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 10 |
Tomohisa Kato | JP | Tsukuba-City | 2012-02-02 / 20120025153 - SILICON CARBIDE SINGLE CRYSTAL AND MANUFACTURING METHOD OF THE SAME | 1 |
Yohei Kato | JP | Tokyo | 2016-03-24 / 20160084556 - REFRIGERATION CYCLE APPARATUS AND METHOD OF OPERATING THE SAME | 14 |
Yoichi Kato | JP | Fukui | 2011-02-10 / 20110033824 - USE OF SERICIN FOR IMPROVING THE FEELING IN USE OF DENTURE | 2 |
Yoichi Kato | JP | Toyota-Shi | 2010-04-29 / 20100101902 - DISC ROTOR | 1 |
Yoichi Kato | JP | Koto-Ku | 2012-12-20 / 20120322539 - GAMING MACHINE AND CONTROL METHOD THEREOF | 7 |
Tatsuya Kato | JP | Yokkaichi | 2016-03-31 / 20160093382 - MEMORY DEVICE AND METHOD FOR OPERATING THE SAME | 3 |
Yoichi Kato | JP | Fukui-Ken | 2009-08-06 / 20090197331 - Compositions for cryopreservation of cells | 1 |
Makiko Kato | JP | Tokyo | 2010-02-25 / 20100047861 - PROCESS FOR PRODUCING USEFUL SUBSTANCE | 2 |
Takayuki Kato | JP | Saitama | 2013-04-18 / 20130091973 - ACCELERATOR | 1 |
Yoshihisa Kato | JP | Hitachi | 2012-05-10 / 20120111600 - AQUEOUS ABSORPTIVE POLYMER-CONTAINING RESIN COMPOSITION-PRODUCING METHOD, AQUEOUS ABSORPTIVE POLYMER-CONTAINING RESIN COMPOSITION, AND POROUS SUBSTANCE-PRODUCING METHOD USING SAME AND POROUS SUBSTANCE, INSULATED ELECTRIC CABLE-PRODUCING METHOD, INSULATED ELECTRIC CABLE AND COAXIAL CABLE | 11 |
Ikunoshin Kato | JP | Shiga | 2013-04-25 / 20130102048 - METHOD OF RETROVIRUS STORAGE | 29 |
Daigo Kato | JP | Tokyo | 2014-03-06 / 20140066685 - METHOD FOR DISPOSAL OF RADIOACTIVE WASTE | 1 |
Masatomo Kato | JP | Seto-Shi | 2014-03-06 / 20140066685 - METHOD FOR DISPOSAL OF RADIOACTIVE WASTE | 1 |
Tamiyu Kato | JP | Kanagawa | 2012-02-09 / 20120033495 - SEMICONDUCTOR DEVICE | 1 |
Masahiro Kato | JP | Tokoyozama | 2014-03-06 / 20140067181 - TORQUE DISTRIBUTION APPARATUS, TORQUE DISTRIBUTION METHOD, TORQUE DISTRIBUTION VALUE GENERATION METHOD, AND PROGRAM | 1 |
Koichi Kato | JP | Ageo-Shi | 2014-03-06 / 20140067256 - Position Calculation Method and Position Calculation Apparatus | 2 |
Tatsurou Kato | JP | Hitachi | 2011-04-07 / 20110079411 - INSULATING SPACER FOR GAS-INSULATED ELECTRICAL EQUIPMENT | 1 |
Yukinari Kato | JP | Kanagawa | 2012-02-09 / 20120034521 - CURRENT COLLECTOR FOR SECONDARY BATTERY AND SECONDARY BATTERY USING THE SAME | 1 |
Yasutomo Kato | JP | Toyota-Shi | 2015-08-13 / 20150227221 - MOBILE TERMINAL DEVICE, ON-VEHICLE DEVICE, AND ON-VEHICLE SYSTEM | 4 |
Kazuo Kato | JP | Aichi | 2011-02-10 / 20110033711 - RESIN-METAL BONDED ARTICLE AND METHOD FOR PRODUCING THE SAME | 2 |
Kazuo Kato | JP | Kanagawa-Ken | 2010-11-04 / 20100279139 - COPPER BASED SINTERED SLIDE MEMBER | 1 |
Kazuo Kato | JP | Naka | 2010-10-14 / 20100258739 - Charged particle beam apparatus | 1 |
Takuji Kato | JP | Fukuoka | 2014-07-03 / 20140187797 - LEAVING SUBSTITUENT-CONTAINING COMPOUND, ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC SEMICONDUCTOR FILM CONTAINING THE MATERIAL, ORGANIC ELECTRONIC DEVICE CONTAINING THE FILM, METHOD FOR PRODUCING FILM-LIKE PRODUCT, PI-ELECTRON CONJUGATED COMPOUND AND METHOD FOR PRODUCING THE PI-ELECTRON CONJUGATED COMPOUND | 11 |
Kazuo Kato | JP | Nagoya | 2010-09-30 / 20100245016 - REACTOR FOR ELECTRICAL DEVICES | 1 |
Kazuo Kato | JP | Nagoya-City | 2010-04-22 / 20100099805 - Polymerization-curable composition, method for polymerization curing thereof, and polymerization-cured resin composition | 1 |
Kazuo Kato | JP | Toyota-Shi | 2009-03-19 / 20090074981 - OIL TYPE RELEASE AGENT FOR METAL CASTING, SPRAY METHOD, AND ELECTROSTATIC SPRAY APPARATUS | 1 |
Kazuo Kato | JP | Chiba | 2013-08-01 / 20130194898 - ELECTRONIC TIMEPIECE | 6 |
Keita Kato | JP | Haibara-Gun | 2015-09-10 / 20150253662 - ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING PATTERN, RESIST FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE | 9 |
Keita Kato | JP | Kawanishi-Shi | 2012-02-02 / 20120029669 - RECORDING AND REPRODUCING APPARATUS | 1 |
Hiroshi Kato | JP | Kyoto | 2016-04-07 / 20160096205 - SUBSTRATE HOLDING AND ROTATING DEVICE, SUBSTRATE PROCESSING DEVICE EQUIPPED WITH SAME, AND SUBSTRATE PROCESSING METHOD | 1 |
Hiroki Kato | JP | Osato-Gun | 2015-05-21 / 20150141475 - THERAPEUTIC AGENT FOR FOOD COMPETENCE DISORDER IN STOMACH | 1 |
Yukinori Kato | JP | Toyoake-Shi | 2009-01-15 / 20090014677 - FUEL INJECTION VALVE | 1 |
Hirohisa Kato | JP | Gamagori-City | 2015-05-21 / 20150138821 - RESIN OPTICAL MEMBER AND AUTOMOTIVE LAMP | 1 |
Jun Kato | JP | Wako-Shi | 2015-07-16 / 20150198120 - VALVE REFERENCE POSITION-LEARNING DEVICE FOR INTERNAL COMBUSTION ENGINE | 2 |
Takeshi Kato | KR | Gyeonggi-Do | 2015-05-21 / 20150138218 - DISPLAY DRIVER AND DISPLAY DEVICE INCLUDING THE SAME | 1 |
Yoshiyuki Kato | JP | Yokohama | 2015-05-21 / 20150137496 - AIRBAG DEVICE | 1 |
Riichi Kato | JP | Tokyo | 2015-05-21 / 20150136562 - PAPER-SLIP HANDLING DEVICE AND AUTOMATED TRANSACTION DEVICE | 1 |
Noriji Kato | JP | Kanagawa | 2016-04-07 / 20160098827 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 18 |
Chihiro Kato | JP | Aichi | 2008-12-18 / 20080309872 - Ophthalmologic Instrument | 1 |
Fumio Kato | JP | Aichi | 2011-10-20 / 20110255364 - POWDER/PARTICULATE MATERIAL AGITATOR | 3 |
Shunya Kato | JP | Toyota-Shi Aichi | 2015-05-21 / 20150142232 - CONTROL DEVICE FOR HYBRID VEHICLE | 1 |
Shogo Kato | JP | Aichi | 2009-07-02 / 20090168353 - Electronic apparatus | 1 |
Masaharu Kato | JP | Aichi | 2012-05-17 / 20120119499 - Hydroelectric Power Generating Equipment | 2 |
Yoshifumi Kato | JP | Aichi | 2009-12-10 / 20090301870 - ELECTROCHEMICAL DEVICE AND EXHAUSTGAS PURIFICATION APPARATUS | 2 |
Chisato Kato | JP | Aichi | 2011-09-29 / 20110236786 - FUEL CELL | 3 |
Takashi Kato | JP | Fujisawa-Shi | 2015-07-16 / 20150200614 - MAGNET TEMPERATURE ESTIMATING SYSTEM FOR SYNCHRONOUS ELECTRIC MOTOR | 3 |
Koya Kato | JP | Aichi | 2011-12-08 / 20110301290 - METHOD FOR PRODUCING POLYAMIDE RESIN | 2 |
Takekazu Kato | JP | Kyoto | 2015-07-30 / 20150214768 - ON-DEMAND MULTIPLE POWER SOURCE MANAGEMENT SYSTEM, ON-DEMAND MULTIPLE POWER SOURCE MANAGEMENT SYSTEM PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM RECORDING THE PROGRAM | 5 |
Jin Kato | JP | Tokyo | 2012-07-19 / 20120180483 - SOLAR HEAT RECEIVING APPARATUS | 2 |
Hisaya Kato | JP | Aichi | 2008-09-11 / 20080216945 - Laminated Body, Device and Method for Manufacturing the Same, and Cutter Used for Manufacturing the Same | 1 |
Yukihiro Kato | JP | Aichi | 2009-03-05 / 20090061167 - INKJET INK COMPOSITION FOR COLOR FILTER, PRODUCTION METHOD FOR COLOR FILTER, AND COLOR FILTER | 1 |
Masashi Kato | JP | Aichi | 2009-03-26 / 20090083864 - White hair development model animal, method for establishing white hair development model animal, method for passage of white hair development model animal, method for study on white hair development-control means, and composition for control of white hair development | 1 |
Chisa Kato | JP | Aichi | 2009-06-18 / 20090152792 - Solenoid device, automatic document feeder, and image forming apparatus | 1 |
Takanobu Kato | JP | Aichi | 2013-07-18 / 20130183754 - NUCLEIC ACID CONSTRUCT CONTAINING A NUCLEIC ACID DERIVED FROM THE GENOME OF HEPATITIS C VIRUS (HCV) OF GENOTYPE 2a, AND A CELL HAVING SUCH NUCLEIC ACID CONSTRUCT INTRODUCED THEREIN | 6 |
Hironobu Kato | JP | Aichi | 2009-09-03 / 20090220643 - METHOD OF PRODUCING BREAD | 1 |
Keishi Kato | JP | Aichi | 2014-03-27 / 20140085834 - DEVICE MOUNTING BOARD AND SEMICONDUCTOR POWER MODULE | 2 |
Kiyohide Kato | JP | Aichi | 2009-12-24 / 20090319171 - Route Guidance System and Route Guidance Method | 1 |
Ryoji Kato | JP | Yokosuka | 2014-03-13 / 20140075532 - Authentication Server and Communication Device | 1 |
Hiroya Kato | JP | Iwata-Shi | 2011-12-15 / 20110304197 - Wheel Bearing Apparatus | 4 |
Kazumi Kato | JP | Aichi | 2010-02-04 / 20100028254 - ZINC OXIDE PARTICLE, ZINC OXIDE PARTICLE FILM, AND PROCESSES FOR PRODUCING THESE | 2 |
Yoshiyuki Kato | JP | Nirasaki City | 2012-02-16 / 20120037316 - METHOD OF SUPPLYING ETCHING GAS AND ETCHING APPARATUS | 1 |
Kozo Kato | JP | Aichi | 2010-09-16 / 20100230782 - SEMICONDUCTOR DEVICE | 1 |
Toshihiro Kato | JP | Aichi | 2011-04-21 / 20110089397 - SPIN-POLARIZED ELECTRON SOURCE | 1 |
Satoru Kato | JP | Aichi | 2011-01-20 / 20110014101 - CATALYST FOR PURIFICATION OF EXHAUST GAS, AND APPARATUS AND METHOD FOR PURIFICATION OF EXHAUST GAS USING THE CATALYST | 1 |
Sonoo Kato | JP | Aichi | 2010-06-03 / 20100132396 - ICE DISPENSER | 1 |
Daichi Kato | JP | Aichi | 2011-05-12 / 20110108426 - METHOD FOR PRODUCING MULTILAYER COATING FILM | 1 |
Wakako Kato | JP | Aichi | 2008-12-04 / 20080299271 - LIQUID FOODS AND PROCESS FOR PRODUCING THE SAME | 1 |
Mami Kato | JP | Aichi | 2009-10-01 / 20090247439 - LUBRICANTS FOR USE IN PROCESSING OF METALLIC MATERIAL | 3 |
Sumio Kato | JP | Akita | 2015-05-14 / 20150133292 - EXHAUST GAS PURIFYING CATALYST AND CARRIER | 1 |
Yoshihisa Kato | JP | Aichi | 2010-03-11 / 20100059961 - TORSION BEAM SUSPENSION | 1 |
Tomohisa Kato | JP | Aichi | 2010-07-01 / 20100167049 - Chip-Resistant Film | 1 |
Hidehiko Kato | JP | Aichi | 2010-07-15 / 20100175465 - TEST SECTION FOR WIND-TUNNEL TESTING APPARATUS AND WIND TUNNEL TEST APPARATUS EMPLOYING THE SAME | 1 |
Keiko Kato | US | Urbana | 2015-05-14 / 20150132650 - VANADIUM OXIDE BASED AMORPHOUS CATHODE MATERIALS FOR RECHARGEABLE MAGNESIUM BATTERY | 1 |
Hirokazu Kato | JP | Gunma-Ken | 2015-07-09 / 20150194894 - TRENCH MOSFET HAVING AN INDEPENDENT COUPLED ELEMENT IN A TRENCH | 2 |
Fumitaka Kato | JP | Higashimatsuyama-Shi | 2015-05-14 / 20150132656 - SLURRY COMPOSITION, ELECTRODE, ELECTRODE FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY, AND METHOD OF MANUFACTURING ELECTRODE FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Yoshimasa Kato | JP | Mie | 2013-08-29 / 20130222086 - ELECTROMAGNETIC RELAY | 3 |
Yohei Kato | JP | Aichi | 2010-08-12 / 20100199468 - Attachment Structure | 1 |
Makio Kato | JP | Aichi | 2010-12-02 / 20100300168 - Method for Shot Peening | 1 |
Aya Kato | JP | Haga-Gun | 2016-03-31 / 20160089313 - Method for Searching for Malodor Control Agent, Malodor Control Agent, and Malodor Control Method | 2 |
Takeshi Kato | JP | Osako | 2012-02-16 / 20120040840 - METHOD FOR PRODUCING METAL LAMINATED SUBSTRATE FOR OXIDE SUPERCONDUCTING WIRE, AND OXIDE SUPERCONDUCTING WIRE USING THE SUBSTRATE | 1 |
Hirohisa Kato | JP | Tsukubamirai-Shi | 2015-07-23 / 20150205246 - IMAGE FORMING APPARATUS | 2 |
Shingo Kato | JP | Yokohama | 2014-07-10 / 20140196035 - MANAGEMENT SYSTEM, RECORDING MEDIUM AND METHOD FOR MANAGING VIRTUAL MACHINES | 3 |
Ayumi Kato | JP | Tokyo | 2015-07-30 / 20150213580 - DISPLAY CONTROL APPARATUS, DISPLAY CONTROL METHOD, PROGRAM, AND DISPLAY DEVICE | 2 |
Hisao Kato | JP | Nagoya-Shi | 2016-03-31 / 20160093892 - ELECTRODE FOR FUEL CELL AND METHOD FOR MANUFACTURING SAME | 1 |
Toshiyuki Kato | JP | Osaka | 2014-03-06 / 20140062989 - DISPLAY DEVICE AND METHOD OF DRIVING THE SAME | 17 |
Atsushi Kato | JP | Osaka-Shi | 2015-05-14 / 20150133607 - PADDING | 1 |
Toshiyuki Kato | JP | Hidaka-Shi | 2010-09-16 / 20100232872 - Tenon Rod and Tenon Joint | 1 |
Toshiyuki Kato | JP | Saitama Perfecture | 2010-01-14 / 20100010111 - Phenolic Foam | 1 |
Juri Kato | JP | Chino | 2016-04-14 / 20160103086 - SENSOR DEVICE | 7 |
Juri Kato | JP | Chino-Shi | 2012-09-27 / 20120242355 - SENSOR DEVICE AND MEASUREMENT METHOD | 2 |
Hirohisa Kato | JP | Mie | 2012-02-23 / 20120043699 - METHOD FOR PRODUCING ENDLESS BELT | 1 |
Kosuke Kato | JP | Fujisawa | 2009-01-08 / 20090013075 - Monitoring apparatus, executive program, and information processing system | 1 |
Tatsuro Kato | JP | Utsunomiya-Shi | 2015-05-14 / 20150131075 - DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE | 1 |
Tatsuro Kato | JP | Tokyo | 2016-02-18 / 20160049268 - Switchgear | 7 |
Tatsuro Kato | JP | Shiga | 2015-02-26 / 20150054603 - ELECTROMAGNET DEVICE AND ELECTROMAGNETIC RELAY USING THE SAME | 1 |
Tatsuro Kato | JP | Kusatsu-Shi | 2015-02-12 / 20150042425 - CONTACT MECHANISM AND ELECTROMAGNETIC RELAY | 3 |
Yudai Kato | JP | Hiroshima-Shi | 2015-12-10 / 20150354519 - DIESEL ENGINE | 2 |
Toshiyuki Kato | JP | Saitama | 2011-05-26 / 20110124257 - PHENOLIC FOAM | 2 |
Toshiyuki Kato | JP | Tokyo | 2009-04-09 / 20090090628 - NUCLEIC ACID DERIVATIVES AND METHODS OF USE | 1 |
Toshiyuki Kato | JP | Fukushima | 2012-10-25 / 20120270457 - EXPANDABLE RESOL-TYPE PHENOLIC RESIN MOLDING MATERIAL AND PHENOLIC RESIN FORM | 2 |
Masayuki Kato | JP | Kyoto | 2012-02-23 / 20120044644 - FAN SYSTEM AND ELECTRONIC DEVICE | 1 |
Yoichi Kato | JP | Saitama-Shi | 2012-02-23 / 20120044665 - OPTICAL APPARATUS | 1 |
Hideki Kato | JP | Odawara-Shi | 2015-05-07 / 20150126368 - METHOD OF PROVIDING PLANT WITH STRESS RESISTANCE | 1 |
Kimihiko Kato | JP | Shizuoka-Shi | 2015-05-07 / 20150126368 - METHOD OF PROVIDING PLANT WITH STRESS RESISTANCE | 1 |
Junji Kato | JP | Sapporo-Shi | 2016-02-11 / 20160038597 - CARRIER THAT TARGETS FUCOSYLATED MOLECULE-PRODUCING CELLS | 4 |
Takahisa Kato | JP | Akashi | 2011-03-17 / 20110065937 - METHOD FOR THE SEPARATION OF INTERMEDIATES WHICH MAY BE USED FOR THE PREPARATION OF ESCITALOPRAM | 1 |
Hajime Kato | JP | Tsuchiura | 2012-02-23 / 20120045370 - MICROREACTOR SYSTEM | 1 |
Naoki Kato | JP | Kariya-Shi | 2014-04-03 / 20140091453 - COOLING DEVICE AND SEMICONDUCTOR DEVICE | 2 |
Toshinao Kato | JP | Kikugawa-Shi | 2015-12-10 / 20150354642 - OVERLOAD LIMITING DEVICE | 2 |
Kenichi Kato | JP | Kariya-Shi | 2013-08-22 / 20130214173 - ION GENERATING DEVICE | 1 |
Tomokazu Kato | JP | Kariya-Shi | 2015-05-07 / 20150123457 - VEHICLE BRAKING CONTROL DEVICE | 1 |
Junji Kato | JP | Wako | 2012-10-11 / 20120259492 - VEHICLE | 1 |
Masahiro Kato | JP | Kanagawa | 2016-02-11 / 20160039312 - TRACTION CONTROL DEVICE AND TRACTION CONTROL METHOD | 21 |
Tetsuya Kato | JP | Okazaki-Shi, Aichi-Ken | 2015-12-10 / 20150355136 - SOx CONCENTRATION DETECTION DEVICE | 1 |
Hiromu Kato | US | San Francisco | 2016-03-31 / 20160094407 - SYSTEM AND METHOD FOR TRANSACTIONS IN A MULTITENANT APPLICATION SERVER ENVIRONMENT | 2 |
Yusuke Kato | JP | Wako | 2015-05-07 / 20150122205 - COOLING WATER PASSAGE STRUCTURE | 2 |
Naoto Kato | JP | Osaka | 2013-09-05 / 20130230683 - WHEEL PROTECTIVE FILM | 3 |
Mie Kato | JP | Susono-Shi | 2015-05-07 / 20150122218 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Junji Kato | JP | Hokkaido | 2014-01-30 / 20140030186 - COMBINED PHARMACEUTICAL PREPARATION | 1 |
Junji Kato | JP | Sapporo-Shi, Hokkaido | 2016-02-11 / 20160038593 - DRUG CARRIER AND DRUG CARRIER KIT FOR INHIBITING FIBROSIS | 3 |
Jun Kato | JP | Itabashi-Ku | 2012-08-16 / 20120209533 - ACTIVITY MONITOR, METHOD OF CALCULATING TARGET ACTIVITY AMOUNT, AND STORAGE MEDIUM | 1 |
Jun Kato | JP | Tokorozawa-Shi | 2014-12-25 / 20140380205 - Interface Development and Operation | 1 |
Jun Kato | JP | Hitachi | 2012-12-27 / 20120329165 - BROMATE ION MEASUREMENT METHOD | 1 |
Satoshi Kato | JP | Kashima-Shi | 2015-02-05 / 20150037224 - METHOD FOR PRODUCING CARBAMATE, METHOD FOR PRODUCING ISOCYANATE, CARBAMATE PRODUCTION SYSTEM, AND ISOCYANATE PRODUCTION SYSTEM | 2 |
Jun Kato | JP | Nagano | 2013-09-26 / 20130250391 - LASER MICROSCOPE | 1 |
Jun Kato | JP | Niihama-Shi | 2013-10-10 / 20130266488 - CASSETTE FOR RADIOACTIVE ISOTOPE HANDLING APPARATUS, RADIOACTIVE ISOTOPE HANDLING APPARATUS, AND RADIOACTIVE ISOTOPE HANDLING SYSTEM | 1 |
Jun Kato | JP | Yokohoma | 2015-05-07 / 20150125379 - METHOD OF PURIFYING NANODIAMOND POWDER AND PURIFIED NANODIAMOND POWDER | 2 |
Jun Kato | JP | Nabari | 2013-03-21 / 20130068079 - DEMOLITION CUTTER | 1 |
Masayuki Kato | JP | Kawasaki-Shi | 2012-03-08 / 20120056313 - SEMICONDUCTOR PACKAGE | 1 |
Takahiro Kato | JP | Yokohama-Shi | 2012-03-08 / 20120056365 - IMAGE RECORDING APPARATUS AND CONTROL METHOD THEREOF | 1 |
Hideki Kato | JP | Tokyo | 2016-05-12 / 20160134824 - SOLID-STATE IMAGING DEVICE, IMAGING DEVICE, AND SIGNAL READING METHOD | 8 |
Hiroshi Kato | JP | Utsunomiya | 2012-03-08 / 20120057976 - Downwind Type Wind Turbine | 1 |
Hisataka Kato | JP | Fuji-Shi | 2015-10-15 / 20150292506 - ROTARY COMPRESSOR AND REFRIGERATION CYCLE DEVICE | 3 |
Masahiro Kato | JP | Kawasaki-Shi | 2014-04-10 / 20140097748 - SYSTEM FOR DETECTING SURROUNDING CONDITIONS OF MOVING BODY | 1 |
Takeshi Kato | KR | Yongin-City | 2015-05-28 / 20150146978 - DISPLAY DRIVING APPARATUS AND DRIVING METHOD THEREOF | 5 |
Hajime Kato | JP | Aichi | 2012-03-08 / 20120058889 - Composition containing carbon nanotubes, catalyst for producing carbon nanotubes, and aqueous dispersion of carbon nanotubes | 1 |
Kenji Hiroshi Kato | US | San Jose | 2012-09-13 / 20120233237 - DYNAMIC DATA TRANSACTION PROCESSING USING GATING CRITERIA | 2 |
Tetsuaki Kato | JP | Kanagawa | 2015-07-30 / 20150209852 - WORK PROCESSING APPARATUS AND DIE MOVING METHOD FOR WORK PROCESSING APPARATUS | 2 |
Tetsuaki Kato | JP | Yamanashi | 2009-04-09 / 20090091286 - Robot operating range setting device | 2 |
Tetsuaki Kato | JP | Saitama | 2015-06-04 / 20150156409 - PORTABLE DEVICE WITH DISPLAY FUNCTION | 4 |
Futoshi Kato | JP | Saijo-Shi | 2015-04-30 / 20150118786 - METHOD OF PRODUCING SOLAR CELL | 1 |
Tetsuaki Kato | JP | Hadano-Shi | 2011-05-05 / 20110106304 - DEVICE, PROGRAM, RECORDING MEDIUM AND METHOD FOR CORRECTING TAUGHT POINT | 2 |
Akifumi Kato | JP | Tokyo | 2010-06-24 / 20100162418 - RECOMBINANT PROTEIN S COMPOSITION | 1 |
Tetsuaki Kato | JP | Minamitsuru-Gun | 2011-10-20 / 20110257785 - ROBOT SYSTEM | 8 |
Kazuhiro Kato | JP | Yokohama-Shi | 2013-03-28 / 20130075798 - SEMICONDUCTOR DEVICE | 1 |
Masaki Kato | JP | Sagamihara-Shi | 2011-06-16 / 20110143075 - SPUTTERING TARGET AND MANUFACTURING METHOD THEREFOR, AND RECORDABLE OPTICAL RECORDING MEDIUM | 1 |
Yoshiyuki Kato | JP | Tokyo | 2015-07-23 / 20150207993 - IMAGING APPARATUS, IMAGING CONTROL METHOD AND STORAGE MEDIUM | 21 |
Atsushi Kato | JP | Hyogo | 2015-05-21 / 20150137676 - SHORT ARC DISCHARGE LAMP | 2 |
Tomoya Kato | JP | Hyogo | 2015-12-24 / 20150368469 - WATER-RESISTANT REGENERATED COLLAGEN FIBER CONTAINING ZIRCONIUM SALT AND PHOSPHORUS COMPOUND, METHOD FOR PRODUCING THE SAME, AND FIBER BUNDLE FOR HAIR CONTAINING THE SAME | 3 |
Yuzo Kato | JP | Tokyo | 2008-10-02 / 20080239257 - Stage Apparatus and Exposure Apparatus | 1 |
Naoki Kato | JP | Naka-Shi | 2015-04-30 / 20150118515 - TIN-PLATED COPPER-ALLOY TERMINAL MATERIAL | 9 |
Kazumichi Kato | JP | Ibaraki-Shi | 2015-08-06 / 20150218422 - RESIN FOAM AND FOAM MATERIAL | 10 |
Takeshi Kato | JP | Tokyo | 2016-01-07 / 20160005157 - Pattern-Measuring Apparatus and Semiconductor-Measuring System | 10 |
Takekazu Kato | JP | Kyoto-Shi | 2016-01-07 / 20160003876 - Living Activity Estimation System, Living Activity Estimation Device, Living Activity Estimation Program, And Recording Medium | 1 |
Yuki Kato | JP | Wakayama-Shi | 2015-04-23 / 20150111383 - COMPOSITION FOR SILICON WAFER POLISHING LIQUID | 1 |
Takuto Kato | JP | Seto | 2012-06-21 / 20120154735 - METHOD FOR MANUFACTURING LIQUID CRYSTAL DISPLAY DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Yoshihiro Kato | JP | Chiba-Ken | 2015-04-23 / 20150111044 - RESIN COMPOSITION, PREPREG, AND LAMINATED SHEET | 1 |
Masahiro Kato | JP | Naka | 2016-03-24 / 20160087163 - PHOSPHOR, METHOD FOR PRODUCING THE SAME, AND LIGHT-EMITTING DEVICE USING THE SAME | 11 |
Yasushi Kato | US | Pembroke Pines | 2009-05-28 / 20090138067 - Expandable supportive branched endoluminal grafts | 2 |
Yasushi Kato | JP | Tokyo | 2015-07-02 / 20150185428 - BINDER FIBER FOR OPTICAL FIBER UNIT | 10 |
Yasushi Kato | JP | Fukui | 2010-04-29 / 20100101265 - MOTOR CONTROL DEVICE, ITS CONTROL METHOD, AND MOTOR DEVICE | 1 |
Yasushi Kato | JP | Chiba | 2013-08-01 / 20130196172 - STAINLESS STEEL FOIL AND CATALYST CARRIER FOR EXHAUST GAS PURIFYING DEVICE USING THE FOIL | 6 |
Yasushi Kato | JP | Kyoto | 2015-12-10 / 20150357947 - MOTOR DRIVE DEVICE AND BRUSHLESS MOTOR EQUIPPED WITH SAME, AND AIR CONDITIONER | 7 |
Masanori Kato | JP | Tokyo | 2016-03-10 / 20160071529 - SIGNAL PROCESSING APPARATUS, SIGNAL PROCESSING METHOD, SIGNAL PROCESSING PROGRAM | 29 |
Yoshito Kato | JP | Yokohama-Shi | 2012-03-15 / 20120064426 - FUEL CELL SYSTEM AND FUEL-CELL VEHICLE | 1 |
Masataka Kato | JP | Hiratsuka-Shi | 2015-12-17 / 20150360470 - METHOD OF FORMING THROUGH-SUBSTRATE | 10 |
Chiyoko Kato | JP | Toyota-Shi | 2014-04-17 / 20140106932 - ENGINE AUTOMATIC CONTROL SYSTEM AND ENGINE AUTOMATIC CONTROL METHOD | 1 |
Hisatomo Kato | JP | Osaka | 2012-03-15 / 20120064954 - COMPOSITE ANTENNA AND PORTABLE TELEPHONE | 1 |
Norman S. Kato | US | Los Angeles | 2012-03-15 / 20120066322 - COMPUTER-NETWORK-BASED SYSTEM AND METHODOLOGY FOR ENHANCING PERSONAL-INFORMATION STORAGE AND TRANSFER CONTROL | 1 |
Norihiko Kato | JP | Handa-Shi | 2012-05-03 / 20120109442 - POWER SUPPLY SYSTEM FOR ELECTRICALLY POWERED VEHICLE AND METHOD FOR CONTROLLING THE SAME | 11 |
Shingo Kato | JP | Shizuoka-Shi (shizuoka) | 2016-01-07 / 20160003437 - VEHICLE LAMP | 1 |
Shingo Kato | JP | Shizuoka-Shi | 2015-08-27 / 20150241008 - VEHICLE LAMP UNIT | 2 |
Harumi Kato | JP | Nagoya-Shi | 2014-10-02 / 20140290549 - SEWING MACHINE | 16 |
Masamori Kato | JP | Tokyo | 2015-04-09 / 20150099710 - Feed Additive, Feed, and Method for Producing Feed | 1 |
Masakazu Kato | JP | Osaka | 2014-04-24 / 20140109358 - CLIP AND ELASTIC BODY ATTACHMENT COMPONENT | 1 |
Azusa Kato | JP | Tokyo | 2015-10-15 / 20150289523 - LACTOBACILLUS STRAIN AND FOOD HAVING ANTIFUNGAL ACTIVITY | 2 |
Toshiaki Kato | JP | Tokyo | 2013-06-27 / 20130160392 - HALF PRECAST SLAB AND METHOD FOR STRUCTURING HALF PRECAST SLAB | 3 |
Toshiyuki Kato | JP | Fujimino-Shi | 2013-03-21 / 20130072590 - PHENOLIC FOAM | 2 |
Yohei Kato | JP | Chigasaki | 2009-04-16 / 20090096800 - DISPLAY APPARATUS | 2 |
Ken Kato | JP | Fukui | 2012-03-22 / 20120070635 - WATERPROOF AND MOISTURE-PERMEABLE FABRIC AND A METHOD FOR PRODUCING THEREOF | 1 |
Junya Kato | JP | Matsumoto-Shi | 2016-05-19 / 20160136977 - Transportation Apparatus and Recording Apparatus | 6 |
Tadahiko Kato | JP | Shizuoka | 2012-03-22 / 20120071295 - POWER TRANSMITTING DEVICE | 1 |
Junya Kato | JP | Matsumoto | 2014-12-18 / 20140368570 - RECORDING DEVICE, CONVEYANCE DEVICE, AND CONVEYANCE METHOD FOR CONVEYING CONVEYANCE MEDIUM | 2 |
Junya Kato | JP | Kanagawa | 2015-11-26 / 20150341295 - INFORMATION PROCESSING APPARATUS, COMMUNICATION SYSTEM, NON-TRANSITORY COMPUTER READABLE MEDIUM, AND INFORMATION PROCESSING METHOD | 3 |
Hiroaki Kato | JP | Nagareyama | 2013-03-21 / 20130071889 - PRODUCTION METHOD FOR BIOFUEL | 1 |
Seiya Kato | JP | Tokyo | 2015-04-02 / 20150092988 - OBJECT DETECTION SYSTEM | 1 |
Shigeki Kato | JP | Tokyo | 2016-03-24 / 20160083957 - METHOD FOR CONSTRUCTING CYLINDRICAL TANK | 1 |
Ryo Kato | JP | Osaka | 2014-04-24 / 20140113007 - Chlorine Dioxide-Generating Product and Method for Generating Chlorine Dioxide | 1 |
Kyoko Kato | JP | Yokohama-Shi | 2012-03-29 / 20120074608 - CONTROL MODULE MANUFACTURING METHOD | 1 |
Takatoshi Kato | JP | Nagaokakyo-Shi | 2013-06-20 / 20130154757 - COMPOSITE ELECTRONIC MODULE | 2 |
Hidemasa Kato | JP | Iruma-Gun | 2010-10-28 / 20100274798 - Program recording medium, computer, and culture state analyzing method | 1 |
Kazunori Kato | JP | Yokohama-Shi | 2015-10-22 / 20150304446 - MANAGING SYSTEM AND MANAGING METHOD | 9 |
Yasushi Kato | JP | Nagoya-City | 2015-10-01 / 20150273461 - HONEYCOMB STRUCTURE | 2 |
Ken Kato | JP | Kyoto | 2015-04-16 / 20150105150 - STORAGE MEDIUM HAVING STORED THEREIN DISPLAY CONTROL PROGRAM, DISPLAY CONTROL APPARATUS, DISPLAY CONTROL SYSTEM, AND DISPLAY CONTROL METHOD | 2 |
Seiji Kato | JP | Hashima-Shi | 2015-03-26 / 20150086055 - PORTABLE ELECTRONIC DEVICE | 1 |
Isami Kato | JP | Kariya | 2015-03-26 / 20150084587 - FEEDING APPARATUS, CHARGING APPARATUS, AND FEEDING METHOD | 1 |
Naohiro Kato | JP | Himeji-Shi | 2016-05-12 / 20160129430 - OXIDATION CATALYST FOR EXHAUST GAS PURIFICATION, METHOD FOR PRODUCING THE SAME, AND EXHAUST GAS PURIFICATION METHOD USING THE SAME | 4 |
Ryuji Kato | JP | Kiyosu-Shi | 2013-10-31 / 20130286086 - Ink-Jet Recording Apparatus | 11 |
Shuichi Kato | JP | Tokyo | 2015-02-12 / 20150043184 - CIRCUIT SUBSTRATE AND ELECTRONIC DEVICE | 15 |
Yusuki Kato | JP | Susono-Shi | 2009-02-12 / 20090041835 - METHOD OF INHIBITING LEAKAGE OF DRUG ENCAPSULATED IN LIPOSOMES | 1 |
Go Kato | JP | Yokosuka-Shi | 2015-04-02 / 20150091465 - Power Supply Circuit and Luminaire | 13 |
Hisayuki Kato | JP | Kanagawa | 2012-04-05 / 20120080757 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hiromu Kato | JP | Tokyo | 2012-03-08 / 20120058726 - INFORMATION PROCESSING DEVICE, EXTERNAL DEVICE EXTENSION SYSTEM, EXTERNAL DEVICE EXTENSION METHOD, EXTERNAL DEVICE EXTENSION PROGRAM, AND PROGRAM RECORDING MEDIUM | 2 |
Saul Kato | US | New York | 2015-03-19 / 20150082465 - System and Method for Whole-Animal High-Throughput Compound Screening | 1 |
Shunya Kato | JP | Seto-Shi | 2016-04-28 / 20160114785 - HYBRID VEHICLE AND METHOD OF CONTROLLING THE SAME | 9 |
Takuma Kato | JP | Tokyo | 2012-04-05 / 20120081907 - LIGHT SOURCE MODULE | 1 |
Shinichi Kato | JP | Hiratsuka-Shi | 2015-03-19 / 20150079581 - DETECTION KIT FOR INFLUENZA A VIRUS | 1 |
Masayuki Kato | JP | Tokai-Shi | 2013-04-18 / 20130093121 - PRODUCTION METHOD FOR ANISOTROPIC BONDED MAGNET AND PRODUCTION APPARATUS FOR SAME | 2 |
Tsukasa Kato | JP | Toyota-Shi | 2015-10-15 / 20150290702 - UP-DRAWING CONTINUOUS CASTING APPARATUS AND UP-DRAWING CONTINUOUS CASTING METHOD | 5 |
Megumi Kato | JP | Hyogo | 2012-04-05 / 20120082976 - METHOD OF SCREENING FOR INSULIN SECRETION-POTENTIATING AGENTS | 2 |
Shigeyoshi Kato | JP | Toyokawa-Shi | 2015-05-28 / 20150144289 - CORE SAND FILLING METHOD | 3 |
Kazuyuki Kato | JP | Hyogo | 2011-02-17 / 20110039417 - PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 2 |
Shigeyuki Kato | JP | Kariya-Shi | 2014-05-01 / 20140116930 - COOLANT SYSTEM | 1 |
Seiji Kato | JP | Hyogo | 2015-08-27 / 20150240013 - PROCESS FOR PRODUCING POLYACRYLIC ACID (SALT)-BASED WATER ABSORBENT RESIN | 4 |
Seiji Kato | JP | Sakura-Shi | 2015-12-03 / 20150346438 - OPTICAL CONNECTOR | 5 |
Yusho Kato | JP | Hyogo | 2014-01-30 / 20140030707 - SMALL RNA-DEPENDENT TRANSLATIONAL REGULATORY SYSTEM IN CELL OR ARTIFICIAL CELL MODEL | 2 |
Erica Kato | SE | Lund | 2012-04-05 / 20120083260 - INFORMATION TERMINAL, INFORMATION PRESENTATION METHOD FOR AN INFORMATION TERMINAL, AND INFORMATION PRESENTATION PROGRAM | 1 |
Ryou Kato | JP | Hyogo | 2010-10-14 / 20100259184 - LIGHT-EMITTING DEVICE | 1 |
Naohiro Kato | JP | Hyogo | 2010-10-14 / 20100260652 - Catalyst for the removal of nitrogen oxides and method for the removal of nitrogen oxides with the same | 2 |
Yashuharu Kato | JP | Shizuoka | 2012-04-05 / 20120083488 - TETRACYCLIC COMPOUND | 1 |
Masaya Kato | JP | Hyogo | 2015-12-17 / 20150360235 - DUST COLLECTOR, ELECTRODE SELECTION METHOD FOR DUST COLLECTOR, AND DUST COLLECTION METHOD | 3 |
Masahito Kato | JP | Suntou-Gun | 2013-01-17 / 20130017007 - IMAGE FORMING APPARATUS | 4 |
Takayuki Kato | JP | Ikoma-Shi | 2015-03-19 / 20150077339 - INFORMATION PROCESSING DEVICE | 1 |
Yushi Kato | JP | Chofu-Shi | 2014-05-15 / 20140131649 - MAGNETORESISTANCE ELEMENT AND MAGNETIC MEMORY | 4 |
Makoto Kato | JP | Chiyoda-Ku | 2014-05-01 / 20140120349 - POLYALKYLENE OXIDE PARTICLES AND PRODUCTION METHOD FOR THE SAME | 2 |
Manabu Kato | JP | Susono-Shi Shizuoka-Ken | 2014-05-01 / 20140120435 - METHOD OF CONTROLLING FUEL CELL USING THE METHOD OF PREDICTING DEGRADATION OF FUEL CELL CATALYST | 1 |
Kazuhiko Kato | JP | Saitama | 2014-04-03 / 20140093734 - FLUORINE-DOPED TIN-OXIDE PARTICLES AND MANUFACTURING METHOD THEREFOR | 5 |
Takaaki Kato | JP | Kagawa | 2012-04-12 / 20120086133 - Semiconductor Device And Semiconductor Device Manufacturing Method | 1 |
Masahito Kato | JP | Tokyo | 2008-11-06 / 20080272060 - Method and Device for Cleaning Circulation Water | 1 |
Momoko Kato | JP | Iwaki | 2015-03-19 / 20150076402 - Dioxlane Derivative, Liquid Crystal Composition, Liquid Crystal Element, and Liquid Crystal Display Device | 8 |
Isao Kato | JP | Osaka | 2014-02-27 / 20140059656 - FUNCTIONAL DEVICE, ACCESS APPARATUS, ACCESS SYSTEM, AND COMMUNICATION ESTABLISHING METHOD | 9 |
Takashi Kato | JP | Makinohara-Shi | 2013-06-06 / 20130140054 - WIRE HARNESS | 3 |
Koichi Kato | JP | Toyota-Shi | 2015-03-26 / 20150083543 - RELEASE MECHANISM FOR A FRICTION CLUTCH | 2 |
Shigetoshi Kato | JP | Ageo-Shi, Saitama | 2016-05-12 / 20160128743 - OSTEOSYNTHESIS APPARATUS FOR PROXIMAL FEMUR FRACTURE AND MASTER SCREW-TYPE SCREW APPARATUS FOR OSTEOSYNTHESIS APPARATUS FOR PROXIMAL FEMUR FRACTURE | 1 |
Noriyuki Kato | JP | Tokyo | 2015-10-08 / 20150285954 - POLYCARBONATE RESIN, PRODUCTION METHOD THEREFOR, AND OPTICAL MOLDED BODY | 15 |
Koki Kato | JP | Kyoto | 2015-05-07 / 20150124409 - COMPOSITE MODULE | 2 |
Kimiko Kato | JP | Tokyo | 2011-01-20 / 20110011539 - DECORATIVE TAPE WINDING DEVICE | 1 |
Itsuro Kato | JP | Osaka | 2015-03-12 / 20150069680 - ELECTROMAGNETIC PUMP, QUENCH TANK, AND LIQUID METAL LOOP | 1 |
Yumiko Kato | JP | Tokyo | 2015-10-29 / 20150309463 - SHEET POST-PROCESSING DEVICE | 5 |
Tadaharu Kato | JP | Hamamatsu-Shi | 2015-07-23 / 20150206514 - INSTALLATION STRUCTURE FOR ACOUSTIC TRANSDUCER | 2 |
Noboru Kato | JP | Kyoto-Fu | 2014-06-26 / 20140176287 - LAMINATED COMMON MODE CHOKE COIL AND HIGH FREQUENCY COMPONENT | 4 |
Go Kato | JP | Fukuoka | 2013-09-12 / 20130234363 - METHOD OF MANUFACTURING LAMINATED CORE | 3 |
Eiji Kato | JP | Miyagi | 2013-10-31 / 20130284930 - MEASUREMENT DEVICE, METHOD, AND RECORDING MEDIUM | 10 |
Yoshitaka Kato | JP | Nagaokakyo-Shi | 2013-08-01 / 20130192366 - OSCILLATION TYPE INERTIA FORCE SENSOR | 3 |
Noburo Kato | JP | Nagaokakyo-Shi | 2014-03-20 / 20140077902 - HIGH-FREQUENCY SIGNAL TRANSMISSION LINE | 4 |
Noboro Kato | JP | Nagaokakyo-Shi | 2013-09-12 / 20130234808 - SIGNAL TRANSMISSION LINE AND CIRCUIT BOARD | 1 |
Masanori Kato | JP | Nagaokakyo-Shi | 2013-11-28 / 20130314174 - DUPLEXER MODULE | 3 |
Kiroaki Kato | JP | Nagaokakyo-Shi | 2008-08-21 / 20080199234 - TONER RECOVERY APPARATUS, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 1 |
Isao Kato | JP | Nagaokakyo-Shi | 2015-04-09 / 20150096792 - ELECTRONIC COMPONENT UNIT AND MANUFACTURING METHOD THEREFOR | 2 |
Jiro Kato | JP | Suwa-Shi | 2015-03-19 / 20150077476 - LIQUID-EJECTING HEAD, LIQUID-EJECTING APPARATUS, AND PIEZOELECTRIC DEVICE | 30 |
Ken Kato | JP | Shizuoka | 2013-01-24 / 20130020601 - LIGHT EMITTING DEVICE | 2 |
Masaru Kato | JP | Yokohama-Shi | 2012-04-19 / 20120094328 - FERMENTATION PRODUCT OF CEREAL PLANT-DERIVED MATERIAL AND IMMUNOMODULATOR | 1 |
Hisato Kato | JP | Tokyo | 2010-10-21 / 20100265309 - NON-AQUEOUS INK-JET INK AND INK-JET RECORDING METHOD | 5 |
Satoshi Kato | JP | Tokyo | 2016-05-05 / 20160122845 - HOT STAMP MOLDED BODY, AND METHOD FOR PRODUCING HOT STAMP MOLDED BODY | 12 |
Yuki Kato | JP | Azumino-Shi | 2012-09-13 / 20120229127 - MAGNETIC ABSOLUTE ENCODER AND MOTOR | 3 |
Hisato Kato | JP | Nagoya-City | 2012-05-17 / 20120119318 - SEMICONDUCTOR DEVICE WITH LATERAL ELEMENT | 3 |
Hisato Kato | JP | Okazaki-City | 2009-01-01 / 20090001411 - Semiconductor device | 1 |
Kazuomi Kato | JP | Osaka | 2012-04-19 / 20120096213 - CACHE MEMORY DEVICE, CACHE MEMORY CONTROL METHOD, PROGRAM AND INTEGRATED CIRCUIT | 1 |
Yuki Kato | JP | Nagano | 2012-10-25 / 20120271459 - POSITIONING APPARATUS FOR ACTUATOR WITH WAVE GEAR DEVICE | 1 |
Yasunori Kato | JP | Saitama | 2015-03-05 / 20150065909 - CENTRAL SENSITIZATION DIAGNOSIS DEVICE AND METHOD FOR OPERATING SAME | 1 |
Kenji Kato | JP | Tokyo | 2015-12-31 / 20150382509 - COOLING DEVICE AND POWER MODULE EQUIPPED WITH COOLING DEVICE | 7 |
Yuki Kato | JP | Mino | 2013-03-21 / 20130068616 - ELECTROCHEMICAL GAS SENSOR AND METHOD FOR CLAMPING THE SAME | 2 |
Taku Kato | JP | Funabashi-Shi | 2016-03-10 / 20160068674 - IMPRINT MATERIAL | 15 |
Yuki Kato | JP | Susono-Shi | 2015-12-24 / 20150372345 - SULFIDE SOLID ELECTROLYTE MATERIAL, BATTERY, AND METHOD FOR PRODUCING SULFIDE SOLID ELECTROLYTE MATERIAL | 18 |
Shizue Kato | JP | Kashiwa-Shi | 2012-09-13 / 20120231496 - COMPOSITION FOR SYNTHESIZING PROTEIN WITH REDUCED LIPOPOLYSACCHARIDE CONTAMINATION, METHOD FOR PRODUCING PROTEIN USING SAID COMPOSITION | 1 |
Kazuhiro Kato | JP | Tokyo | 2013-08-29 / 20130222298 - MOBILE INFORMATION TERMINAL | 8 |
Kazuya Kato | JP | Niigata | 2015-03-05 / 20150062951 - LIGHTING DEVICE, LIGHT FIXTURE, AND VEHICLE | 1 |
Yuichi Kato | JP | Shizuoka-Ken | 2015-03-05 / 20150062660 - FILE MANAGEMENT APPARATUS AND FILE MANAGEMENT METHOD | 1 |
Komei Kato | JP | Saitama-Shi | 2015-12-17 / 20150359635 - MEDICAL DEVICE AND SURFACE MODIFICATION METHOD FOR MEDICAL DEVICE | 8 |
Takahira Kato | JP | Kariya-City | 2013-10-03 / 20130258635 - INDICATOR DISPLAY DEVICE | 1 |
Shinichiro Kato | JP | Kariya-City | 2008-08-28 / 20080204281 - Electronic key system and portable unit | 1 |
Yuji Kato | JP | Niiza-Shi | 2011-05-05 / 20110101943 - CURRENT DETECTOR | 2 |
Shigehiro Kato | JP | Yasu-Shi, Shiga | 2016-02-11 / 20160037768 - COMPOSITION FOR ENHANCING PLANT DISEASE CONTROL EFFECT OF MONOSACCHARIDE | 1 |
Kenji Kato | JP | Chiba-Shi | 2010-05-27 / 20100128578 - Near-field optical head having tapered hole for guiding light beam | 2 |
Kenji Kato | JP | Chiba | 2013-05-09 / 20130114389 - NEAR-FIELD OPTICAL HEAD AND METHOD FOR MANUFACTURING SAME | 4 |
Yoshiaki Kato | JP | Kawasaki | 2015-06-25 / 20150177027 - OPTICAL ENCODER | 2 |
Kenji Kato | JP | Okazaki-City | 2010-05-06 / 20100107759 - Angular velocity detecting method | 1 |
Shinobu Kato | JP | Ogaki-Shi | 2015-12-31 / 20150382471 - WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 9 |
Kiyomi Kato | JP | Ama-Shi | 2015-03-05 / 20150059631 - SEWING MACHINE AND NON-TRANSITORY COMPUTER-READABLE MEDIUM | 1 |
Hajime Kato | JP | Shizuoka | 2016-04-14 / 20160104960 - CONNECTOR | 14 |
Kenji Kato | JP | Nagoya | 2011-11-03 / 20110271019 - PERIPHERAL DEVICE AND METHOD OF CONNECTING PERIPHERAL DEVICE WITH HOST DEVICE | 3 |
Narumi Kato | JP | Wako-Shi | 2016-03-17 / 20160076634 - VIBRATION ISOLATION STRUCTURE OF POWER UNIT INCLUDING TORQUE DAMPERS | 1 |
Seiichi Kato | JP | Tsuchiura | 2014-02-27 / 20140055756 - OPTICAL MODULE AND SCAN-TYPE IMAGE PROJECTION DISPLAY DEVICE | 10 |
Kenji Kato | JP | Kyoto | 2015-12-24 / 20150371833 - PLASMA DEVICE, CARBON THIN FILM MANUFACTURING METHOD AND COATING METHOD USING PLASMA DEVICE | 3 |
Kenji Kato | JP | Nagoya-Shi | 2015-12-31 / 20150377822 - GAS SENSOR CONTROLLER, GAS SENSOR SYSTEM, AND DETERIORATION DETERMINATION METHOD FOR GAS SENSOR ELEMENT | 13 |
Kenji Kato | JP | Abiko-Shi | 2010-03-04 / 20100054999 - HYDROGEN SENSOR AND HYDROGEN GAS DETECTING APPARATUS | 1 |
Kenji Kato | JP | Osaka | 2013-05-02 / 20130104937 - PURGING AGENT AND PURGING METHOD USING THE SAME | 2 |
Kenji Kato | JP | Aichi | 2009-09-03 / 20090218978 - Contact Detection Apparatus and Pinch Prevention Apparatus | 2 |
Osamu Kato | JP | Chiyoda-Ku | 2016-05-05 / 20160126557 - CURRENT COLLECTOR, ELECTRODE STRUCTURE, NONAQUEOUS ELECTROLYTE BATTERY, AND ELECTRICAL STORAGE DEVICE | 18 |
Nobuhiro Kato | JP | Aichi-Ken | 2015-04-02 / 20150090011 - LIQUID SENSOR | 9 |
Kenji Kato | JP | Hiki-Gun | 2009-08-06 / 20090194319 - PHOTOCURABLE RESIN COMPOSITION, DRY FILM, CURED PRODUCT, AND PRINTED CIRCUIT BOARD | 4 |
Kenji Kato | JP | Toki-Shi | 2009-07-16 / 20090179477 - FRAME STRUCTURE OF THE VEHICLE SEAT | 1 |
Shigeo Kato | JP | Kariya-City | 2015-12-17 / 20150363155 - AUDIO OUTPUT CONTROL DEVICE | 3 |
Tomoaki Kato | JP | Aichi | 2012-07-19 / 20120184171 - METHOD FOR MANUFACTURING IGNITION PLUG | 21 |
Sachiko Kato | JP | Mishima-Gun | 2010-10-21 / 20100267778 - Diphenyl ether compound, process for producing the same, and use | 1 |
Masatomo Kato | JP | Toyota-Shi, Aichi | 2016-03-17 / 20160076528 - SLIDING MEMBER, METHOD FOR MANUFACTURING SAME, AND COMPRESSOR SWASH PLATE USING SLIDING MEMBER | 1 |
Yuko Kato | JP | Kamakura | 2015-03-05 / 20150065717 - NIPECOTIC ACID DERIVATIVE AND USE THEREOF FOR MEDICAL PURPOSES | 1 |
Yuko Kato | JP | Kanagawa | 2016-05-12 / 20160133793 - SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING SAME | 5 |
Yuko Kato | JP | Ishikawa | 2013-09-26 / 20130248907 - SEMICONDUCTOR LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD OF THE SAME | 1 |
Yuko Kato | JP | Fukuoka-Ken | 2013-06-20 / 20130153948 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME | 3 |
Yuko Kato | JP | Kanaawa-Ken | 2011-09-22 / 20110227121 - SEMICONDUCTOR LIGHT EMMITING DEVICE | 1 |
Yasuo Kato | JP | Kanagawa | 2015-07-09 / 20150194289 - MULTI CHARGED PARTICLE BEAM WRITING APPARATUS AND MULTI CHARGED PARTICLE BEAM WRITING METHOD | 14 |
Shingo Kato | US | Dublin | 2015-03-05 / 20150061424 - ROTATING ELECTRIC MACHINE | 1 |
Shingo Kato | JP | Sagamihara-Shi | 2011-12-22 / 20110310291 - Image pickup apparatus | 3 |
Shintaro Kato | US | Boulder | 2016-03-31 / 20160091499 - CARDIOVASCULAR RISK EVENT PREDICTION AND USES THEREOF | 3 |
Shingo Kato | JP | Anjo-Shi | 2014-09-18 / 20140278098 - Display Control Device, Display Control Method, and Tangible Non-Transitory Computer-Readable Storage Medium | 1 |
Shingo Kato | JP | Toyota | 2013-10-31 / 20130283972 - VEHICLE DRIVE DEVICE | 1 |
Shingo Kato | JP | Yamato-Shi | 2009-12-10 / 20090307323 - APPARATUS AND METHOD FOR SUPPORTING SETTING OF DESTINATION OF EMAIL | 2 |
Hiroyuki Kato | JP | Aichi-Ken | 2012-04-26 / 20120096968 - TRANSMISSION | 1 |
Hiroyuki Kato | US | 2012-04-26 / 20120101457 - NEEDLE DEVICE | 1 | |
Hideyuki Kato | JP | Nishio-Shi | 2015-02-26 / 20150056864 - CONNECTOR WITH BUILT-IN ELECTRONIC CIRCUIT BOARD AND METHOD OF MANUFACTURING SAME | 1 |
Hisanori Kato | JP | Tokyo | 2014-11-06 / 20140325797 - Female Snap Button and Snap Button | 4 |
Noriko Kato | JP | Kariya-City | 2013-04-18 / 20130096829 - ROAD INFORMATION UPDATING SYSTEM AND NAVIGATION APPARATUS | 1 |
Go Kato | JP | Kanagawa-Ken | 2013-08-08 / 20130201699 - Lamp Unit and Luminaire | 1 |
Masao Kato | JP | Kanagawa-Ken | 2009-01-01 / 20090002730 - Adaptor, Image Supply Device, Printing System, and Control Method Therefor | 1 |
Hiromasa Kato | JP | Nagareyama | 2015-09-10 / 20150257252 - SEMICONDUCTOR CIRCUIT BOARD, SEMICONDUCTOR DEVICE USING THE SAME, AND METHOD FOR MANUFACTURING SEMICONDUCTOR CIRCUIT BOARD | 4 |
Masao Kato | JP | Atsugi-Shi | 2009-03-05 / 20090060438 - OPTICAL FIBER AND PRODUCTION METHOD THEREOF | 1 |
Takeumi Kato | JP | Kanagawa | 2012-04-26 / 20120098126 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR | 1 |
Hiroyasu Kato | JP | Kariya-City | 2011-08-18 / 20110200471 - Compressor and manufacturing method thereof | 2 |
Hideki Kato | JP | Nissin-Shi | 2012-10-11 / 20120256402 - CURTAIN AIRBAG SYSTEM | 4 |
Taro Kato | JP | Chiyoda-Ku | 2016-03-24 / 20160084546 - HEAT PUMP APPARATUS | 4 |
Kazuhito Kato | JP | Kanagawa-Ken | 2012-04-26 / 20120098676 - INFORMATION PROVIDING SYSTEM, INFORMATION CENTER, IN-VEHICLE DEVICE AND INFORMATION PROVIDING METHOD | 1 |
Naoki Kato | JP | Naka-Shi | 2015-04-30 / 20150118515 - TIN-PLATED COPPER-ALLOY TERMINAL MATERIAL | 9 |
Hideo Kato | JP | Kawasaki | 2012-05-10 / 20120116239 - IDENTIFICATION DEVICE | 2 |
Taisuke Kato | JP | Tokyo | 2012-04-26 / 20120099589 - CONTENT MANAGEMENT DEVICE AND CONTENT MANAGEMENT METHOD | 1 |
Bunshi Kato | JP | Tokyo | 2009-03-12 / 20090065102 - High Strength Seamless Steel Pipe for Machine Structure Use Superior in Toughness and Weldability, and Method of Production of The Same | 1 |
Daisuke Kato | JP | Miyagi | 2011-12-15 / 20110306743 - NOVEL CROSSLINKED HEXAARYL BISIMIDAZOLE COMPOUND AND DERIVATIVE THEREOF, METHOD FOR PRODUCING THE COMPOUND AND PRECURSOR COMPOUND TO BE USED IN THE PRODUCTION METHOD | 1 |
Hideo Kato | JP | Miyagi | 2015-07-30 / 20150214011 - PLASMA PROCESSING APPARATUS AND HIGH FREQUENCY GENERATOR | 4 |
Kazuyuki Kato | JP | Miyagi | 2015-08-13 / 20150228459 - PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS | 4 |
Takahiko Kato | JP | Miyagi | 2014-05-01 / 20140116620 - PLASMA PROCESSING APPARATUS | 1 |
Takehiro Kato | JP | Miyagi | 2014-07-31 / 20140213055 - SEMICONDUCTOR MANUFACTURING DEVICE AND PROCESSING METHOD | 1 |
Yasunori Kato | JP | Miyagi | 2009-02-19 / 20090046165 - PHOTOGRAPHING DEVICE | 1 |
Masao Kato | JP | Kawasaki-Shi | 2016-01-07 / 20160004942 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, PRINTING MEDIUM AND STORAGE MEDIUM | 33 |
Masao Kato | JP | Tochigi | 2011-03-24 / 20110069355 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 2 |
Yoichi Kato | JP | Shizuoka | 2012-04-26 / 20120100624 - OPTICAL REACTION MEASUREMENT DEVICE AND OPTICAL REACTION MEASUREMENT METHOD | 1 |
Koji Kato | JP | Gunma | 2012-04-26 / 20120100698 - METHOD FOR FORMING AN ALUMINUM NITRIDE THIN FILM | 1 |
Kazumichi Kato | JP | Osaka | 2013-08-29 / 20130224434 - RESIN FOAM SHEET AND RESIN FOAM MEMBER | 8 |
Daijiro Kato | JP | Abiko-Shi | 2013-12-12 / 20130328262 - IMAGE FORMING APPARATUS | 6 |
Masaya Kato | JP | Toyokawa-Shi | 2016-03-17 / 20160078766 - PARKING ASSIST SYSTEM | 9 |
Noriyasu Kato | JP | Aichi | 2012-04-26 / 20120101137 - NOVEL THIOPHENECARBOXAMIDE DERIVATIVE AND PHARMACEUTICAL USE THEREOF | 1 |
Shuhei Kato | JP | Kusatsu-Shi | 2011-11-03 / 20110269542 - SENSING BALL GAME MACHINE | 4 |
Shingo Kato | JP | Saitama | 2013-12-12 / 20130331227 - TRANSMISSION CONTROL DEVICE AND TRANSMISSION CONTROL METHOD | 2 |
Satoshi Kato | JP | Kashiwazaki-Shi | 2016-02-11 / 20160039705 - BENT GLASS PLATE FOR OPTICAL USE AND FABRICATION METHOD THEREOF | 1 |
Yasuki Kato | JP | Chiba | 2012-05-03 / 20120107377 - PARTICULATE COMPOSITION AND PHARMACEUTICAL COMPOSITION CONTAINING THE SAME | 8 |
Akira Kato | JP | Sunto-Gun | 2015-02-19 / 20150047756 - HIGH STRENGTH Mg ALLOY AND METHOD FOR PRODUCING SAME | 1 |
Wataru Kato | JP | Chiba | 2013-03-14 / 20130063480 - IMAGE GENERATION PROGRAM, RECORDING MEDIUM, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING DEVICE AND INFORMATION PROCESSING PROGRAM | 1 |
Tomokazu Kato | JP | Toyokawa-Shi | 2014-10-02 / 20140293338 - DEVICE FOR GENERATING DISPLAY DATA, INFORMATION DEVICE, AND DISPLAY SYSTEM | 12 |
Shiguma Kato | JP | Yokohama | 2016-03-17 / 20160074986 - POLISHING DEVICE AND METHOD FOR POLISHING SEMICONDUCTOR WAFER | 1 |
Kota Kato | JP | Minami-Ahigara-Shi | 2009-05-21 / 20090129198 - INTRA-MICROCHANNEL MIXING METHOD AND APPARATUS | 1 |
Takaya Kato | JP | Gumma | 2013-09-26 / 20130249326 - ROTARY SOLENOID | 2 |
Shuhei Kato | JP | Kusatsu City | 2014-07-03 / 20140187299 - SENSING BALL GAME MACHINE | 2 |
Tomoki Kato | JP | Chiba | 2016-03-03 / 20160064669 - AROMATIC AMINE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT ELEMENTS USING SAME | 23 |
Teruo Kato | JP | Tochigi | 2010-12-23 / 20100319480 - PEDAL DEVICE | 1 |
Hirokazu Kato | JP | Aichi-Ken | 2015-02-12 / 20150044874 - PATTERN FORMATION METHOD | 1 |
Yuji Kato | JP | Hyogo-Ken | 2009-02-05 / 20090032875 - SEMICONDUCTOR DEVICE | 1 |
Shinji Kato | JP | Kamakura-Shi | 2015-02-12 / 20150044079 - SLIDING MEMBERS AND PISTON PUMP/MOTOR | 1 |
Mitsuaki Kato | JP | Kawasaki | 2015-11-19 / 20150327780 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 8 |
Naoki Kato | JP | Togo-Cho | 2010-06-10 / 20100140038 - Piston apparatus of automatic transmission | 3 |
Naoki Kato | JP | Aichi-Gun | 2010-04-22 / 20100095795 - Transmission | 1 |
Naoki Kato | JP | Saitama | 2011-05-12 / 20110111660 - DOUBLE-SIDED ADHESIVE TAPE | 2 |
Kazuhiko Kato | JP | Niigata-Ken | 2009-04-09 / 20090089989 - METHOD OF FORMING GAS DIFFUSION LAYER FOR FUEL CELL | 1 |
Kazuhiko Kato | JP | Tokyo-To | 2009-05-28 / 20090136888 - Heat processing apparatus | 1 |
Kazuhiko Kato | JP | Ibaraki | 2011-06-23 / 20110154487 - SOFTWARE BEHAVIOR MODELING DEVICE, SOFTWARE BEHAVIOR MODELING METHOD, SOFTWARE BEHAVIOR VERIFICATION DEVICE, AND SOFTWARE BEHAVIOR VERIFICATION METHOD | 3 |
Naoki Kato | JP | Aichi | 2010-09-30 / 20100244314 - INJECTION MOLDING MACHINE FOR TWO-MATERIAL MOLDING AND METHOD OF CONTROLLING THE SAME | 1 |
Naoki Kato | JP | Nagoya-Shi | 2015-02-12 / 20150044325 - MOLD CLAMPING DEVICE AND INJECTION MOLDING MACHINE | 4 |
Kazuhiko Kato | JP | Anjo | 2010-07-01 / 20100163360 - Solenoid valve | 3 |
Naoki Kato | JP | Kodaira | 2010-03-11 / 20100064106 - DATA PROCESSOR AND DATA PROCESSING SYSTEM | 6 |
Kazuhiko Kato | JP | Sumida-Ku | 2010-09-09 / 20100226866 - SOLID PREPARATION FOR ORAL APPLICATION | 1 |
Kazuhiko Kato | US | Woodcliff Lake | 2010-09-30 / 20100245886 - METHOD, APPARATUS, SYSTEM, AND COMPUTER-READABLE STORAGE MEDIUM FOR SWITCHING BETWEEN PRINT MODES | 1 |
Kazuhiko Kato | JP | Tokyo | 2015-05-21 / 20150135841 - CAPACITIVE TRANSDUCER AND METHOD OF MANUFACTURING THE SAME | 7 |
Kazuhiko Kato | JP | Kanagawa | 2013-11-28 / 20130317955 - APPARATUS INFORMATION OBTAINING APPARATUS, OPERATION INFORMATION PROVIDING APPARATUS, OPERATION INFORMATION PROVIDING METHOD, OPERATION INFORMATION PROVIDING PROGRAM AND THE RECORDING MEDIUM | 3 |
Naoki Kato | JP | Aichi-Ken | 2014-05-01 / 20140117508 - SEMICONDUCTOR UNIT | 10 |
Kazuhiko Kato | JP | Komaki-Shi | 2012-03-29 / 20120074630 - ANTI-VIBRATION BUSH | 6 |
Kazuhiko Kato | JP | Shizuoka | 2011-11-10 / 20110275827 - Mitotic Kinesin Inhibitor | 4 |
Kazuhiko Kato | JP | Kariya | 2012-09-27 / 20120244025 - ELECTROMAGNETIC PUMP | 13 |
Kazuhiko Kato | JP | Ashiya-Shi | 2011-04-28 / 20110094410 - REGENERATION APPARATUS AND REGENERATION METHOD FOR ELECTROLESS PLATING | 1 |
Kazuhiko Kato | JP | Tsuruoka-Shi | 2008-12-25 / 20080314108 - PRESSING METHOD, METHOD OF MANUFACTURING PUNCHING PLATE, PUNCHING PLATE, AND LIQUID EJECTING HEAD | 1 |
Tomohiko Kato | JP | Tokyo | 2015-10-29 / 20150311519 - NEGATIVE ELECTRODE ACTIVE MATERIAL FOR LITHIUM ION SECONDARY BATTERY, NEGATIVE ELECTRODE FOR LITHIUM ION SECONDARY BATTERY, AND LITHIUM ION SECONDARY BATTERY | 14 |
Hideo Kato | JP | Utsunomiya-Shi | 2010-06-03 / 20100136446 - STOP METHOD FOR FUEL CELL SYSTEM | 1 |
Minoru Kato | JP | Utsunomiya-Shi | 2010-08-05 / 20100194962 - Digital camera | 3 |
Koji Kato | JP | Annaka-Shi | 2015-12-10 / 20150359042 - CARBON BODY COATED WITH PYROLYTIC BORON NITRIDE, AND A CARBON HEATER INCLUDING THIS CARBON BODY | 3 |
Tomonori Kato | JP | Kanagawa | 2016-04-21 / 20160107783 - PACKAGING BODY AND STORAGE METHOD | 18 |
Seima Kato | JP | Utsunomiya-Shi | 2015-09-17 / 20150260605 - REFRACTIVE-INDEX DISTRIBUTION MEASURING METHOD, REFRACTIVE-INDEX DISTRIBUTION MEASURING APPARATUS, METHOD OF MANUFACTURING OPTICAL ELEMENT, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 12 |
Masahiro Kato | JP | Osaka | 2016-03-17 / 20160073607 - ONION WITH REDUCED PUNGENCY THAT DOES NOT GENERATE LACHRYMATORY COMPONENT | 1 |
Keizou Kato | JP | Nagoya-City | 2016-03-10 / 20160072348 - PERMANENT MAGNET EMBEDDED-TYPE ROTATING ELECTRIC MACHINE AND MANUFACTURING METHOD THEREOF | 1 |
Kenji Kato | CN | Suzhou City | 2015-03-19 / 20150079505 - ALKALINE-DEVELOPABLE PHOTOSENSITIVE RESIN COMPOSITION, DRY FILM, CURED ARTICLE, AND PRINTED WIRING BOARD | 2 |
Hideaki Kato | JP | Kiyosu-Shi | 2015-01-29 / 20150028380 - WIRING SUBSTRATE AND SUBSTRATE MODULE | 4 |
Hideaki Kato | JP | Kodaira-Shi | 2009-08-13 / 20090201754 - SEMICONDUCTOR DEVICE HAVING TRANSMISSION CONTROL CIRCUIT | 1 |
Hideaki Kato | JP | Ogaki-Shi | 2010-09-09 / 20100226040 - RECORDING APPARATUS | 1 |
Hideaki Kato | JP | Nagasaki | 2016-03-24 / 20160088245 - SOLID-STATE IMAGING DEVICE, ELECTRONIC APPARATUS, LENS CONTROL METHOD, AND IMAGING MODULE | 4 |
Masakazu Kato | JP | Numazu Shizuoka | 2016-03-31 / 20160094046 - NON-CONTACT POWER SUPPLY DEVICE | 2 |
Yuichiro Kato | JP | Utsunomiya-Shi | 2011-06-16 / 20110141580 - IMAGE PICKUP APPARATUS HAVING LENS BARREL | 5 |
Yuji Kato | JP | Aichi | 2009-12-03 / 20090298628 - Hydraulic Auto-Tensioner | 1 |
Yuji Kato | JP | Chiba | 2010-01-14 / 20100007860 - Composite optical element and projection optical device | 1 |
Masayoshi Kato | JP | Tokyo | 2016-04-21 / 20160109824 - DEVELOPING DEVICE, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 9 |
Yoshiyasu Kato | JP | Nirasaki-Shi | 2015-01-29 / 20150028907 - PROBE APPARATUS | 1 |
Hisashi Kato | JP | Mie-Ken | 2015-01-29 / 20150028410 - NON-VOLATILE MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Mitsuhide Kato | JP | Nagaokakyo-Shi | 2015-01-29 / 20150026972 - METHOD OF PRODUCING ELECTRONIC COMPONENTS AND METHOD OF PRODUCING SUBSTRATE-TYPE TERMINALS | 1 |
Mitsuharu Kato | JP | Nagoya-Shi | 2015-01-29 / 20150032317 - CONTROL DEVICE OF HYBRID VEHICLE | 1 |
Ryo Kato | JP | Tokyo | 2013-09-05 / 20130230725 - LAMINATE FOR STRETCH-FORMING AND STRETCHED LAMINATE USING THE SAME | 8 |
Kiyoshi Kato | JP | Yokohama-Shi | 2013-12-19 / 20130336620 - OPTICAL MODULE AND METHOD OF MANUFACTURING OPTICAL MODULE | 3 |
Shin Kato | JP | Tokyo | 2014-01-30 / 20140026578 - COMBUSTOR NOZZLE ASSEMBLY, COMBUSTOR EQUIPPED WITH THE SAME, AND GAS TURBINE | 4 |
Kazufumi Kato | JP | Tokyo | 2015-09-24 / 20150270522 - Separator and Method of Preparing the Same, and Lithium Ion Secondary Battery | 2 |
Hidenori Kato | JP | Tokyo | 2014-06-12 / 20140162647 - CONGESTION CONTROL APPARATUS AND CONGESTION CONTROL METHOD | 5 |
Maki Kato | JP | Fuchu-Shi | 2016-01-07 / 20160001561 - METHOD FOR CLEANING LIQUID EJECTION HEAD | 13 |
Hideaki Kato | JP | Tokyo | 2013-03-14 / 20130064717 - ENGINE EXHAUST PURIFICATION DEVICE | 3 |
Yasuhisa Kato | JP | Hiratsuka-Shi | 2008-12-25 / 20080316279 - LIQUID EJECTION HEAD AND IMAGE FORMING APPARATUS | 1 |
Toshihiro Kato | JP | Tokyo | 2014-02-06 / 20140036089 - MONITOR CAMERA CONTROLLER | 13 |
Masaya Kato | JP | Tokyo | 2014-06-26 / 20140177104 - PERPENDICULAR MAGNETIC WRITE HEAD AND MAGNETIC RECORDING DEVICE | 5 |
Keisuke Kato | JP | Shizuoka-Ken | 2013-03-07 / 20130057028 - PROTECTIVE STRUCTURE FOR HIGH-VOLTAGE UNIT | 1 |
Takuya Kato | JP | Tokyo | 2014-12-04 / 20140354857 - ZOOM LENS AND IMAGING APPARATUS | 8 |
Makoto Kato | JP | Kyoto-Shi | 2013-03-07 / 20130056351 - Reference Electrode and Ion Concentration Measurement Device | 1 |
Yuzo Kato | JP | Ube-Shi | 2013-03-07 / 20130056349 - SPUTTERING TARGET AND METHOD OF MANUFACTURING MAGNETIC MEMORY USING THE SAME | 1 |
Yuji Kato | JP | Yokohama-Shi | 2010-06-24 / 20100159731 - SEMICONDUCTOR DEVICE SOCKET | 1 |
Takatoshi Kato | JP | Kanagawa | 2009-02-12 / 20090041468 - METHOD FOR RECOVERING DISTORTED OPTICAL SIGNAL BY EQUALIZING UNIT | 2 |
Toshihiko Kato | JP | Tokyo | 2011-02-03 / 20110028041 - CONNECTOR ASSEMBLIES | 1 |
Ryoko Kato | JP | Kanagawa | 2012-05-10 / 20120113297 - CAMERA DEVICE, EXPOSURE CONTROL METHOD, AND PROGRAM | 1 |
Yasuaki Kato | JP | Tokyo | 2016-01-28 / 20160025101 - PROPELLER FAN, BLOWER DEVICE, AND OUTDOOR EQUIPMENT | 9 |
Tomoaki Kato | JP | Tokyo | 2014-08-21 / 20140233962 - OPTICAL MODULATOR MODULE AND MODULATION METHOD FOR OPTICAL SIGNAL | 12 |
Takatoshi Kato | JP | Takatoshi Kato | 2009-03-05 / 20090063626 - CALL MANAGEMENT SYSTEM, CALL MANAGEMENT METHOD, MANAGEMENT SERVER, CLIENT SERVER, CLIENT TERMINAL, AND CALL DEVICE | 1 |
Takatoshi Kato | JP | Yokohama | 2012-10-04 / 20120253662 - INFORMATION TERMINAL DEVICE, INFORMATION TERMINAL MANAGEMENT SYSTEM, AND STORAGE MEDIUM IN WHICH PROGRAM IS STORED | 7 |
Mamoru Kato | JP | Tokyo | 2016-02-25 / 20160057105 - RELAY DEVICE, METHOD FOR SELECTING COMMUNICATION METHOD, AND PROGRAM | 5 |
Michio Kato | JP | Tokyo | 2010-09-16 / 20100230908 - HANDLER | 1 |
Nobuhiro Kato | JP | Tokai-Shi | 2015-12-03 / 20150346137 - LIQUID SENSOR | 5 |
Nobuhiro Kato | JP | Akishima-Shi | 2009-03-12 / 20090067723 - VIDEO IMAGE PROCESSING APPARATUS AND VIDEO IMAGE PROCESSING METHOD | 1 |
Nobuhiro Kato | JP | Aichi-Ken | 2015-04-02 / 20150090011 - LIQUID SENSOR | 9 |
Shiro Kato | JP | Tokyo | 2010-09-16 / 20100232560 - PH ADJUSTING SYSTEM AND PH ADJUSTING METHOD | 2 |
Takatoshi Kato | JP | Yokohama-Shi | 2015-04-16 / 20150104177 - FULL-DUPLEX OPTICAL TRANSCEIVER APPLICABLE TO DIGITAL COHERENT SYSTEM | 3 |
Yoshiyuki Kato | JP | Tokai-Mura | 2013-03-07 / 20130055909 - POWDER GRANULATION METHOD AND GRANULATION DEVICE | 1 |
Ryoichi Kato | JP | Tokyo | 2010-09-16 / 20100235089 - DISPLAY APPARATUS, DISPLAY METHOD, DISPLAY PROGRAM, AND RECORDING MEDIUM WITH THE DISPLAY PROGRAM FOR CONTROLLING DISPLAY OF AT LEAST A PORTION OF A MAP | 1 |
Harubumi Kato | JP | Tokyo | 2010-09-02 / 20100221744 - METHOD FOR PREDICTION OF POSTOPERATIVE PROGNOSIS AND DIAGNOSIS KIT | 1 |
Hiromichi Kato | JP | Tokyo | 2010-09-02 / 20100221928 - ELECTRICAL CONNECTOR | 4 |
Kazuki Kato | JP | Tokyo | 2012-08-23 / 20120215809 - SEARCH MEDIATION SYSTEM | 2 |
Satoru Kato | JP | Tokyo | 2014-09-25 / 20140288905 - HIGHLY ACCURATE CORRELATING METHOD FOR PHASE EQUILIBRIUM DATA, AND PHASE EQUILIBRIUM CALCULATION METHOD | 2 |
Tomoyuki Kato | JP | Odawara | 2013-12-05 / 20130326187 - STORAGE APPARATUS AND STORAGE AREA ALLOCATION METHOD | 8 |
Junichi Kato | JP | Tokyo | 2010-04-08 / 20100086348 - METHOD OF JOINING CABLE RACKS, AND A SPLICE PLATE | 1 |
Yasunobu Kato | JP | Kanagawa | 2014-12-11 / 20140365159 - FLUORESCENCE INTENSITY CORRECTING METHOD, FLUORESCENCE INTENSITY CALCULATING METHOD, AND FLUORESCENCE INTENSITY CALCULATING APPARATUS | 10 |
Yoshinosuke Kato | JP | Tokyo | 2010-04-01 / 20100083209 - BEHAVIORAL SYNTHESIS APPARATUS, BEHAVIORAL SYNTHESIS METHOD, AND COMPUTER READABLE RECORDING MEDIUM | 2 |
Katsuya Kato | JP | Tokyo | 2015-11-26 / 20150340997 - CASCODE AMPLIFIER | 7 |
Masaru Kato | JP | Tokyo | 2013-03-21 / 20130070359 - REFLECTION PLATE FOR OPTICAL ENCODER AND MANUFACTURING METHOD THEREOF, AND OPTICAL ENCODER | 3 |
Masayoshi Kato | JP | Tokyo | 2016-04-21 / 20160109824 - DEVELOPING DEVICE, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 9 |
Akihiko Kato | JP | Tokyo | 2016-03-31 / 20160094794 - SOLID-STATE IMAGE PICKUP APPARATUS, SIGNAL PROCESSING METHOD FOR A SOLID-STATE IMAGE PICKUP APPARATUS, AND ELECTRONIC APPARATUS | 14 |
Yasuo Kato | JP | Anan-Shi | 2015-01-22 / 20150023026 - LIGHT EMITTING DEVICE | 1 |
Yoshiko Kato | JP | Osaka | 2016-03-10 / 20160070955 - PORTRAIT GENERATING DEVICE AND PORTRAIT GENERATING METHOD | 1 |
Keiji Kato | JP | Tokyo | 2010-01-28 / 20100022881 - Ultrasound Breast Diagnostic System | 2 |
Keisuke Kato | JP | Tokyo | 2015-08-06 / 20150218877 - INSULATED GLAZING AND METHOD OF PRODUCING INSULATED GLAZING | 7 |
Masatoshi Kato | JP | Tokyo | 2011-06-23 / 20110151044 - PRESS WORKING SYSTEM | 2 |
Shingo Kato | JP | Tokyo | 2014-12-25 / 20140379327 - APPARATUS AND METHOD FOR HELPING IN THE READING OF AN ELECTRONIC MESSAGE | 4 |
Fukukazu Kato | JP | Tokyo | 2009-10-29 / 20090266683 - CONVEYOR CHAIN AND CONVEYOR CHAIN DRIVING DEVICE | 1 |
Yusaku Kato | JP | Tokyo | 2015-11-05 / 20150317552 - CARD, INFORMATION PROCESSING UNIT, AND RECORDING MEDIUM HAVING INFORMATION PROCESSING PROGRAM | 12 |
Mitsuhiro Kato | JP | Tokyo | 2009-10-22 / 20090265031 - AUTOMATIC WAREHOUSE | 1 |
Isao Kato | JP | Tokyo | 2009-10-08 / 20090250258 - Wiring Substrate, Semiconductor Package, Electronic Instrument, And Wiring Substrate Manufacturing Method | 1 |
Daisuke Kato | JP | Tokyo | 2016-02-11 / 20160043346 - ORGANIC EL DISPLAY DEVICE | 4 |
Kunihiko Kato | JP | Tokyo | 2015-06-11 / 20150162323 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 7 |
Osamu Kato | JP | Tokyo | 2015-10-22 / 20150303484 - CURRENT COLLECTOR, ELECTRODE, SECONDARY BATTERY, AND CAPACITOR | 2 |
Shigeki Kato | JP | Tsukuba-Shi | 2015-01-22 / 20150020561 - METHOD OF MANUFACTURING END-GROUP COMPONENTS WITH PURE NIOBIUM MATERIAL FOR SUPERCONDUCTING ACCELERATOR CAVITY | 1 |
Masanori Kato | JP | Kanagawa | 2014-01-23 / 20140023408 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 9 |
Shigemoto Kato | JP | Tokyo | 2009-08-20 / 20090206754 - Electromagnetic shielding light-transmitting member and method for manufacturing same | 2 |
Tadakatsu Kato | JP | Tokyo | 2016-03-10 / 20160069244 - SHIELD AND METHOD FOR PRODUCING SHIELD | 3 |
Yasuo Kato | JP | Niwa-Gun | 2014-11-13 / 20140331976 - CANISTER MODULE | 6 |
Yasuo Kato | JP | Kouza-Gun | 2010-04-01 / 20100081355 - SUBSTRATE HOLDING APPARATUS, CARRIER, SUBSTRATE PROCESSING APPARATUS, AND IMAGE DISPLAY DEVICE MANUFACTURING METHOD | 2 |
Yasuo Kato | JP | Okazaki | 2012-07-19 / 20120183327 - Packaging Arrangement for Image Formation Apparatus Accommodating Process Cartridge | 1 |
Sei Kato | JP | Tokyo | 2015-12-03 / 20150342568 - ULTRASONIC DIAGNOSTIC APPARATUS | 10 |
Masami Kato | JP | Tokyo | 2014-03-27 / 20140085376 - METHOD FOR CLEANING INKJET RECORDING HEAD, AND METHOD FOR FORMING IMAGE | 3 |
Junji Kato | JP | Tokyo | 2009-05-21 / 20090133119 - MASTER DEVICE AND DATA PROCESSING SYSTEM | 1 |
Shuichi Kato | JP | Tokyo | 2015-02-12 / 20150043184 - CIRCUIT SUBSTRATE AND ELECTRONIC DEVICE | 15 |
Katsuhiro Kato | JP | Tokyo | 2009-04-16 / 20090098942 - GAME SYSTEM, SERVER AND TERMINAL MACHINE | 3 |
Kenshiro Kato | JP | Tokyo | 2009-01-29 / 20090025849 - TIRE AND METHOD OF PRODUCING THE SAME | 1 |
Shoji Kato | JP | Tokyo | 2014-10-16 / 20140306849 - ELECTRONIC APPARATUS | 3 |
Tadashi Kato | JP | Tokyo | 2011-08-18 / 20110199099 - CONTAINER POSITION MEASURING METHOD AND CONTAINER POSITION MEASURING APPARATUS | 2 |
Kei Kato | JP | Tokyo | 2014-03-13 / 20140075038 - COMMUNICATION DEVICE, COMPUTER-READABLE STORAGE MEDIUM, AND COMMUNICATION SYSTEM | 2 |
Yasuyoshi Kato | JP | Hiroshima | 2015-07-02 / 20150182958 - CATALYST STRUCTURE | 16 |
Yasuyoshi Kato | JP | Kure | 2011-03-24 / 20110070140 - CATALYST FOR REMOVAL OF NITROGEN OXIDE AND METHOD FOR REMOVAL OF NITROGEN OXIDE | 2 |
Takaya Kato | JP | Tokyo | 2015-01-22 / 20150026789 - APPARATUS, METHOD, AND PROGRAM FOR VALIDATING USER | 8 |
Seiji Kato | JP | Toyota-City | 2015-01-22 / 20150025802 - NAVIGATION APPARATUS | 8 |
Tsutomu Kato | JP | Takaoka-Shi | 2012-05-17 / 20120122318 - SUBSTRATE PROCESSING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 8 |
Shinji Kato | JP | Toyota-Shi | 2015-12-10 / 20150353091 - CONTROL DEVICE FOR VEHICLE AND METHOD OF CONTROLLING VEHICLE | 5 |
Shinji Kato | JP | Yokohama | 2010-06-24 / 20100160568 - DIP FORMING LATEX COMPOSITION AND DIP FORMED ARTICLE | 1 |
Shinji Kato | JP | Osaka | 2009-10-22 / 20090262379 - Image forming apparatus providing user support in sleep mode | 3 |
Shinji Kato | JP | Ibaraki | 2009-10-01 / 20090247770 - PROCESS FOR MAKING LACTAM TACHYKININ RECEPTOR ANTAGONISTS | 1 |
Shinji Kato | JP | Osaka-Shi | 2009-09-24 / 20090237701 - Image forming apparatus | 1 |
Shinji Kato | JP | Mishima-Gun | 2008-11-13 / 20080278881 - ION GENERATOR | 1 |
Shinji Kato | JP | Kawasaki-Shi | 2012-06-28 / 20120163845 - IMAGE FORMING APPARATUS | 2 |
Shinji Kato | JP | Aichi-Ken | 2008-09-25 / 20080229939 - Tandem Press Apparatus | 1 |
Ryusuke Kato | JP | Shizuoka | 2009-07-02 / 20090165765 - SECONDARY AIR SUPPLY SYSTEM AND VEHICLE | 2 |
Katsuya Kato | JP | Shizuoka | 2014-09-25 / 20140288184 - GYLOXIME DERIVATIVE AND PEST CONTROL AGENT | 2 |
Eisuke Kato | JP | Shizuoka | 2012-10-04 / 20120247139 - Screw Compressor and Chiller Unit Using Same | 2 |
Yasuharu Kato | JP | Shizuoka | 2015-06-04 / 20150150845 - TETRACYCLIC COMPOUND | 3 |
Katsuaki Kato | JP | Shizuoka | 2011-02-24 / 20110041486 - APPARATUS OF CATALYST FOR PURIFYING EXHAUST GAS AND METHOD FOR PURIFYING EXHAUST GAS | 1 |
Hiroharu Kato | JP | Tokyo | 2011-06-23 / 20110148405 - MAGNETISM MEASURING METHOD AND DEVICE | 3 |
Yasunobu Kato | JP | Kanagawa | 2014-12-11 / 20140365159 - FLUORESCENCE INTENSITY CORRECTING METHOD, FLUORESCENCE INTENSITY CALCULATING METHOD, AND FLUORESCENCE INTENSITY CALCULATING APPARATUS | 10 |
Atsuhiko Kato | JP | Shizuoka | 2015-04-23 / 20150110793 - Humanized Anti-Epiregulin Antibody, and Cancer Therapeutic Agent Comprising Said Antibody as Active Ingredient | 6 |
Toyonori Kato | JP | Shizuoka | 2009-08-20 / 20090209644 - LIPOTOXICITY RELIEVING AGENT | 2 |
Hironori Kato | JP | Osaka | 2012-11-29 / 20120298831 - LIQUID-SEALED ANTIVIBRATION DEVICE | 1 |
Aiko Kato | JP | Machida-Shi | 2016-03-24 / 20160086992 - SOLID-STATE IMAGE PICKUP DEVICE, IMAGE PICKUP SYSTEM USING SOLID-STATE IMAGE PICKUP DEVICE, AND METHOD OF MANUFACTURING SOLID-STATE IMAGE PICKUP DEVICE | 9 |
Yoshikuni Kato | JP | Miyakonojo-City | 2014-05-08 / 20140126107 - CAPACITOR | 1 |
Takeshi Kato | JP | Kawasaki-Shi | 2013-12-19 / 20130335774 - DOCUMENT DATA TRANSMITTING AND RECEIVING SYSTEM, IMAGE FORMING APPARATUS, DOCUMENT READING APPARATUS, INFORMATION PROCESSING APPARATUS, AND DOCUMENT DATA TRANSMITTING AND RECEIVING METHOD | 1 |
Takashi Kato | JP | Kariya-Shi | 2012-11-29 / 20120300077 - VEHICLE SURROUNDINGS MONITORING DEVICE | 1 |
Goro Kato | JP | Kanagawa | 2011-03-17 / 20110064321 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING METHOD, INFORMATION PROCESSING DEVICE AND INFORMATION PROCESSING METHOD, INFORMATION RECORDING DEVICE AND INFORMATION RECORDING METHOD, INFORMATION REPRODUCING DEVICE AND INFORMATION REPRODUCING METHOD, STORAGE MEDIUM, AND PROGRAM | 3 |
Sho Kato | JP | Isehara | 2012-07-19 / 20120184064 - METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE | 15 |
Yasuo Kato | JP | Kanagawa | 2015-07-09 / 20150194289 - MULTI CHARGED PARTICLE BEAM WRITING APPARATUS AND MULTI CHARGED PARTICLE BEAM WRITING METHOD | 14 |
Takaki Kato | JP | Toyokawa-Shi | 2015-10-22 / 20150301491 - IMAGE FORMING APPARATUS | 4 |
Yoshihito Kato | JP | Tokyo | 2010-08-19 / 20100209441 - DRUG FOR SUPPRESSING PATHOGEN OCCURRING IN VIVO | 1 |
Shinichi Kato | JP | Nagano | 2013-10-31 / 20130288023 - INK SET, RECORDING METHOD, RECORDING APPARATUS, RECORDING SYSTEM AND RECORDED MATTER | 1 |
Ken Kato | JP | Kawagoe-Shi | 2013-09-26 / 20130252923 - SKIN-BEAUTIFYING AGENT | 7 |
Ken Kato | JP | Saitama | 2016-01-21 / 20160015790 - NOVEL POWDERED MILK PRODUCT AND METHOD FOR PRODUCING THE SAME | 18 |
Taro Kato | JP | Kawasaki-Shi | 2016-03-10 / 20160071896 - SOLID-STATE IMAGE SENSING DEVICE AND CAMERA | 21 |
Hidemi Kato | JP | Sendai-Shi | 2012-11-22 / 20120295129 - METAL MEMBER MANUFACTURING METHOD AND METAL MEMBER | 1 |
Shinichi Kato | JP | Kyoto-Shi | 2016-03-17 / 20160079085 - SEMICONDUCTOR MANUFACTURING METHOD AND SEMICONDUCTOR MANUFACTURING APPARATUS | 8 |
Masami Kato | JP | Osaka | 2013-08-22 / 20130213468 - COATING COMPOSITION FOR PROTECTION COVER OF SOLAR CELL | 1 |
Shuji Kato | JP | Osaka | 2013-11-14 / 20130305104 - DEVICE FAULT HANDLING SYSTEM AND COMMUNICATION-COMPATIBLE DEVICE | 1 |
Akira Kato | JP | Koka-Shi | 2015-07-30 / 20150210680 - AMINODIHYDROTHIAZINE DERIVATIVES | 2 |
Ken Kato | JP | Tokyo | 2015-12-17 / 20150362553 - Alignment Support Device and Alignment Support Method for Probe Device | 4 |
Ken Kato | JP | Kawagoe | 2015-03-26 / 20150086698 - POWER BEING RICH IN MILK-ORIGIN COMPLEX LIPIDS | 2 |
Atsuya Kato | JP | Hiratsuka-Shi | 2013-01-31 / 20130029159 - METHOD FOR FORMATION OF MULTI-LAYERED COATING FILM, AND COATED ARTICLE | 2 |
Seiichi Kato | JP | Osaka | 2012-05-17 / 20120121954 - HERMETICALLY SEALED BATTERY AND METHOD FOR MANUFACTURING THE SAME | 20 |
Kazunori Kato | JP | Shizuoka | 2009-04-16 / 20090096295 - ELECTRONIC DEVICE AND METHOD OF ACCESSING INSIDE OF ELECTRONIC DEVICE | 2 |
Hiroya Kato | JP | Shizuoka | 2010-09-23 / 20100239202 - DOUBLE-ROW ANGULAR BEARING, BEARING DEVICE FOR WHEEL, METHOD OF PRODUCING OUTER RACE, AND METHOD OF PRODUCING INNER RACE | 1 |
Tomoyuki Kato | JP | Shizuoka | 2010-10-21 / 20100266308 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 1 |
Tomoo Kato | JP | Shizuoka | 2011-03-31 / 20110076852 - CLEANING COMPOSITION, CLEANING PROCESS, AND PROCESS FOR PRODUCING SEMICONDUCTOR DEVICE | 1 |
Hideto Kato | JP | Shizuoka | 2008-10-16 / 20080253724 - Camera Integral With Optical Fiber | 1 |
Toshinao Kato | JP | Shizuoka | 2012-07-12 / 20120175982 - ROTATING ELECTRICAL MACHINE AND ROTATING APPARATUS | 2 |
Heizaburo Kato | JP | Shizuoka | 2013-04-04 / 20130081510 - CAM DEVICE | 2 |
Shinji Kato | JP | Aichi | 2013-07-25 / 20130190164 - SINTERED ZIRCONIA, AND COMPOSITION FOR SINTERING AND CALCINED BODY THEREFOR | 3 |
Shinji Kato | JP | Yokohama-Shi | 2011-03-31 / 20110074567 - PASSENGER DETECTION SYSTEM | 1 |
Shinji Kato | JP | Kanagawa | 2016-03-03 / 20160062260 - IMAGE FORMING APPARATUS | 21 |
Shinji Kato | JP | Shizuoka | 2011-03-03 / 20110048761 - WIRE CABLING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kimiya Kato | JP | Tokyo | 2015-12-17 / 20150363153 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 4 |
Takaaki Kato | JP | Ishikawa | 2012-12-13 / 20120317469 - DEVICE, METHOD, AND COMPUTER READABLE MEDIUM FOR PROVIDING FORMS | 1 |
Ryosuke Kato | JP | Chiyoda-Ku | 2014-02-27 / 20140057051 - PROCESS AND APPARATUS FOR PRODUCING FLUORINATED ORGANOSILICON COMPOUND THIN FILM | 1 |
Kengo Kato | JP | Chiyoda-Ku | 2013-01-03 / 20130003340 - TERMINAL BLOCK AND ELECTRONICS DEVICE UNIT | 1 |
Nobuo Kato | JP | Shizuoka | 2009-06-18 / 20090151701 - Connection Head Structure of High Pressure Fuel Injection Tube | 2 |
Suneet Katoch | US | Farmington Hills | 2014-02-13 / 20140046520 - METHOD AND APPARATUS FOR PROVIDING HYBRID FUNCTIONALITY IN A VEHICLE | 1 |
Rita Katoch | IN | Haryana | 2008-12-25 / 20080318878 - Antibacterial Agents | 1 |
Rita Katoch | IN | Chandigarh | 2009-08-27 / 20090215764 - Antimicrobial agents | 5 |
Atul Katoch | CA | Ontario | 2010-09-30 / 20100246303 - SENSE AMPLIFIERS AND EXEMPLARY APPLICATIONS | 1 |
Rakhi Anand Katoch | US | Rockville | 2011-03-24 / 20110070632 - Photo bioreactor and cultivation system for improved productivity of photoautotrophic cell cultures | 1 |
Sandeep Katoch | IN | Bangalore | 2014-10-02 / 20140297676 - RDF GRAPHS MADE OF RDF QUERY LANGUAGE QUERIES | 2 |
Akash Katoch | KR | Icheon | 2015-10-22 / 20150300980 - SENSOR INCLUDING CORE-SHELL NANOSTRUCTURE, AND METHOD FOR PRODUCING SAME | 1 |
Atul Katoch | CA | Kanata | 2016-02-25 / 20160055887 - MEMORY CIRCUITS AND METHOD FOR ACCESSING DATA OF THE MEMORY CIRCUITS | 31 |
Rajesh Katoch | IN | Uttar Pradesh | 2015-03-26 / 20150086765 - THIN FILM HETEROSTRUCTURES | 1 |
Anand Swarup Katoch | US | Rockville | 2011-03-24 / 20110070632 - Photo bioreactor and cultivation system for improved productivity of photoautotrophic cell cultures | 1 |
Rita Katoch | IN | New Delhi | 2014-07-03 / 20140187542 - AMINO GROUP-CONTAINING PYRROLIDINONE DERIVATIVE | 1 |
Atul Katoch | CA | Kanata | 2016-02-25 / 20160055887 - MEMORY CIRCUITS AND METHOD FOR ACCESSING DATA OF THE MEMORY CIRCUITS | 31 |
Wataru Katoda | JP | Osaka-Fu | 2011-03-03 / 20110054168 - METHOD FOR PREPARING ADENINE COMPOUND | 1 |
Takashi Katoda | JP | Kochi-Shi | 2010-10-21 / 20100265978 - PHOTONIC DEVICES FORMED OF HIGH-PURITY MOLYBDENUM OXIDE | 1 |
Wataru Katoda | JP | Osaka-Shi | 2011-02-24 / 20110046369 - METHOD FOR PREPARING ADENINE COMPOUND | 1 |
Shigeki Katogi | JP | Hitachi-Shi | 2014-05-01 / 20140120462 - PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR FORMING PATTERN-CURED FILM USING PHOTOSENSITIVE RESIN COMPOSITION, AND ELECTRONIC COMPONENT | 4 |
Shigeki Katogi | JP | Tsukuba-Shi | 2014-05-29 / 20140144481 - SOLAR CELL MODULE | 13 |
So Katogi | JP | Tokyo | 2012-05-10 / 20120117288 - Arbitration circuit and control method thereof | 1 |
Sadaji Katogi | JP | Iwata-Shi | 2008-10-23 / 20080260314 - Wheel support bearing assembly | 1 |
Kozo Katogi | JP | Hitachi | 2009-11-26 / 20090292448 - Engine Controller | 6 |
Kouzou Katogi | JP | Hitachi | 2011-02-03 / 20110029218 - Engine Control Apparatus | 2 |
Shigeki Katogi | JP | Ibaraki | 2015-01-22 / 20150024173 - PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR MANUFACTURING PATTERNED CURED FILM, AND ELECTRONIC COMPONENT | 17 |
Junichi Katogi | JP | Higashiibaraki | 2011-09-29 / 20110233412 - COLLIMATOR, RADIOLOGICAL IMAGING APPARATUS AND NUCLEAR MEDICINE DIAGNOSIS APPARATUS | 1 |
Shigeki Katogi | JP | Ibaraki | 2015-01-22 / 20150024173 - PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR MANUFACTURING PATTERNED CURED FILM, AND ELECTRONIC COMPONENT | 17 |
Syuichi Katogi | JP | Toyokawa-Shi | 2011-12-15 / 20110305477 - DEVELOPER CONTAINING DEVICE AND IMAGE FORMING APPARATUS | 5 |
Shigeki Katogi | JP | Tsukuba-Shi | 2014-05-29 / 20140144481 - SOLAR CELL MODULE | 13 |
Hiromi Katoh | JP | Nara-City | 2013-08-22 / 20130218240 - COLOR ADAPTIVE THERAPEUTIC LIGHT CONTROL SYSTEM | 1 |
Yoshinobu Katoh | JP | Kariya-Shi | 2013-08-29 / 20130225354 - PLANETARY GEAR REDUCER | 1 |
Takuji Katoh | JP | Kanagawa | 2013-08-29 / 20130225858 - ARYLAMINE COMPOUND | 1 |
Eisaku Katoh | JP | Tokyo | 2015-11-05 / 20150318499 - ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE | 36 |
Takayuki Katoh | JP | Yokohama | 2013-07-11 / 20130178172 - TUNABLE WIRELESS ANTENNA FOR INFORMATION HANDLING DEVICE WIRELESS COMMUNICATION | 1 |
Tsutomu Katoh | JP | Kawasaki | 2013-07-11 / 20130177341 - IMAGE FORMING APPARATUS AND GUIDE THEREFOR CAPABLE OF REDUCING TONER SCATTERED ON RECORDING MEDIUM | 1 |
Yuuichi Katoh | JP | Saitama | 2009-04-30 / 20090112582 - ON-VEHICLE DEVICE, VOICE INFORMATION PROVIDING SYSTEM, AND SPEECH RATE ADJUSTING METHOD | 1 |
Yasuhiko Katoh | JP | Fukuoka | 2015-05-14 / 20150132817 - ALCOHOLIC FERMENTATION YEAST AND METHOD FOR PRODUCING ETHANOL USING SAME | 3 |
Satoru Katoh | JP | Nissin-Shi | 2013-07-04 / 20130169206 - CONTROL DEVICE AND CONTROL METHOD FOR POWER CONTROL UNIT | 1 |
Masaki Katoh | JP | Nagaoka | 2013-06-27 / 20130162582 - INPUT DEVICE | 1 |
Ken Katoh | JP | Hokkaido | 2016-02-18 / 20160045563 - MUSCLE-ATROPHY-PREVENTING AGENT | 7 |
Hiroyuki Katoh | JP | Osaka-Shi | 2013-06-20 / 20130153571 - HEATING COOKER | 1 |
Shizue Katoh | JP | Chiba | 2013-06-06 / 20130143773 - HIGH-SPEED MATURATION METHOD FOR AN OLIGONUCLEOTIDE LIBRARY FOR THE PURPOSE OF PREPARING A PROTEIN LIBRARY | 2 |
Osamu Katoh | JP | Chikusa-Ku | 2013-05-23 / 20130131513 - RECANALIZING OCCLUDED VESSELS USING RADIOFREQUENCY ENERGY | 1 |
Hiromi Katoh | JP | Osaka | 2016-05-19 / 20160139497 - DISPLAY DEVICE | 41 |
Hironori Katoh | US | Redmond | 2014-02-20 / 20140048673 - PIVOTING DISPLAY DEVICE USED IN AIRCRAFT | 1 |
Tomonari Katoh | JP | Osaka-Fu | 2008-11-06 / 20080272759 - DC converter with halt mode setting means | 1 |
Sayaka Katoh | JP | Tokyo | 2016-02-04 / 20160033920 - SURFACE PROCESSOR AND ELECTROPHOTOGRAPHIC APPARATUS | 2 |
Yoshiki Katoh | JP | Chita-Gun | 2014-10-02 / 20140290296 - HEAT EXCHANGE SYSTEM | 13 |
Masahiro Katoh | JP | Kanagawa | 2016-01-28 / 20160026109 - APPARATUS AND METHOD OF FORMING IMAGE | 2 |
Taisuke Katoh | JP | Kanagawa | 2013-03-21 / 20130072467 - NITROGEN-CONTAINING HETEROCYCLIC COMPOUND | 1 |
Yohei Katoh | JP | Kanagawa | 2016-02-11 / 20160041632 - CONTACT DETECTION SYSTEM, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING APPARATUS | 1 |
Shuji Katoh | JP | Hitachiota | 2014-06-19 / 20140169048 - POWER CONVERSION DEVICE | 10 |
Naohito Katoh | JP | Kariya-City | 2013-03-14 / 20130063960 - VEHICLE LIGHTING APPARATUS | 1 |
Keita Katoh | JP | Shizuoka | 2015-12-31 / 20150376425 - INKJET RECORDING INK, INK CARTRIDGE, INKJET RECORDING METHOD, INKJET RECORDING DEVICE AND INK RECORDED MATTER | 24 |
Hiroaki Katoh | JP | Kyoto | 2014-12-11 / 20140363209 - TONER FOR DEVELOPING ELECTROSTATIC IMAGE, IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND PROCESS CARTRIDGE | 3 |
Sumio Katoh | JP | Osaka-Shi | 2015-08-27 / 20150243668 - NON-VOLATILE MEMORY DEVICE | 5 |
Ryohichi Katoh | JP | Kanagawa | 2013-02-14 / 20130038893 - INFORMATION PROCESSING APPARATUS AND STORAGE MEDIUM | 1 |
Tadasuke Katoh | JP | Bunkyo | 2013-02-07 / 20130036244 - COMMUNICATION CONTROL DEVICE, INFORMATION PROCESSING APPARATUS, AND PATH SELECTING METHOD | 1 |
Kiyoshi Katoh | JP | Anjo-Shi | 2013-02-07 / 20130032964 - METHOD OF MANUFACTURING MOLDED ARTICLE INCLUDING COMPONENT INSERT-MOLDED IN RESIN MIXED WITH SOLID POWDER | 1 |
Katsuaki Katoh | JP | Numazu-Shi | 2010-03-11 / 20100061903 - CATALYST SYSTEM TO BE USED IN AUTOMOBILE EXHAUST GAS PURIFICATION APPARATUS, EXHAUST GAS PURIFICATION APPARATUS USING THE SAME AND EXHAUST GAS PURIFICATION METHOD | 1 |
Shinichi Katoh | JP | Osaka-Shi | 2015-02-12 / 20150046956 - WIRELESS TRANSMISSION SYSTEM, RELAY DEVICE, WIRELESS SINK DEVICE, AND WIRELESS SOURCE DEVICE | 4 |
Tomomi Katoh | JP | Isehara City | 2009-02-26 / 20090051724 - HEAD ARRAY UNIT AND IMAGE FORMING APPARATUS | 1 |
Tomomi Katoh | JP | Kanagawa | 2015-06-11 / 20150158308 - IMAGE FORMING APPARATUS | 24 |
Tomomi Katoh | JP | Ebina-Shi | 2011-02-03 / 20110025789 - IMAGE FORMING APPARATUS | 5 |
Akiko Katoh | JP | Saitama | 2010-08-12 / 20100200543 - CRYSTAL OSCILLATOR PIECE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Akira Katoh | JP | Anjo-City | 2010-07-22 / 20100184562 - AUTOMATIC STOP/START CONTROLLER FOR INTERNAL COMBUSTION ENGINE | 1 |
Hideaki Katoh | JP | Hino-Shi | 2009-01-22 / 20090021060 - HEADREST STRUCTURE FOR VEHICLE | 2 |
Hidenori Katoh | JP | Nishio-Shi | 2008-09-04 / 20080215214 - Control apparatus and control method for vehicular drive apparatus | 1 |
Hideyuki Katoh | JP | Shizuoka | 2010-11-11 / 20100283478 - CONNECTOR CONDUCTION CHECK APPARATUS | 2 |
Hiroaki Katoh | JP | Nagaoka-Shi | 2010-01-14 / 20100009282 - IMAGE FORMING METHOD, IMAGE FORMING APPARATUS AND PROCESS CARTRIDGE | 1 |
Hiroyuki Katoh | JP | Gunma | 2010-07-01 / 20100163726 - Device for Determining Aim Position of Charged Particle Beam, Method of Using the Device, and Treatment Device Employing Device for Determining Aim Position | 1 |
Hisashi Katoh | JP | Wako | 2010-11-11 / 20100281680 - FORMING MACHINE FOR FORMING MEANDERING LOOP WINDING AND METHOD OF FORMING MEANDERING LOOP WINDING | 1 |
Hisashi Katoh | JP | Saitama | 2009-08-20 / 20090206096 - THREE-PIECE SQUARE CAN AND METHOD OF MANUFACTURING THE SAME | 3 |
Hitoshi Katoh | JP | Oshu-Shi | 2014-03-20 / 20140080320 - SEMICONDUCTOR PROCESSING SYSTEM INCLUDING VAPORIZER AND METHOD FOR USING SAME | 3 |
Jun Katoh | JP | Hyogo | 2010-01-14 / 20100009795 - PULLEY FOR CONTINUOUSLY VARIABLE TRANSMISSION | 3 |
Kaoru Katoh | JP | Annaka-Shi | 2012-03-29 / 20120074596 - SET OF RESIN COMPOSITIONS FOR PREPARING SYSTEM-IN-PACKAGE TYPE SEMICONDUCTOR DEVICE | 4 |
Kaoru Katoh | JP | Mitaka | 2012-09-13 / 20120229192 - SEMICONDUCTOR INTEGRATED CIRCUIT AND HIGH FREQUENCY MODULE WITH THE SAME | 2 |
Katsuhiko Katoh | JP | Fujisawa-Shi | 2009-09-24 / 20090240966 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND RECORDING MEDIUM | 1 |
Kazuaki Katoh | JP | Tochigi | 2011-12-29 / 20110315334 - HOLE OPENING DEVICE AND HOLE OPENING METHOD FOR EYELESS NEEDLE | 3 |
Kazuyuki Katoh | JP | Sendai-Shi | 2010-03-18 / 20100065434 - METHOD OF MANUFACTURING A SOLID ELECTROLYTIC CAPACITOR WITH A SUFFICIENTLY LOW IMPEDANCE IN A HIGH FREQUENCY RANGE | 3 |
Keisuke Katoh | JP | Shizuoka | 2008-10-30 / 20080265009 - Container Storage Box for Deformable Container Containing Fine Particles for Image Formation | 1 |
Kouichi Katoh | JP | Numazu-Shi | 2012-09-06 / 20120224930 - MACHINING METHOD, PROGRAM, MACHINING-PROGRAM GENERATING PROGRAM AND MACHINING APPARATUS OF PRESS DIE | 7 |
Kouji Katoh | JP | Toyoake-City | 2010-08-12 / 20100201817 - Vehicle periphery displaying apparatus | 1 |
Kunio Katoh | JP | Nagoya-City | 2010-03-04 / 20100057332 - ENGINE CONTROL SYSTEM FOR VEHICLE | 1 |
Makoto Katoh | JP | Aichi-Ken | 2009-02-05 / 20090031540 - Clip | 1 |
Makoto Katoh | JP | Toyota-Shi | 2009-12-31 / 20090320251 - Clip | 3 |
Masaaki Katoh | JP | Osaka-Shi | 2016-05-19 / 20160141465 - LIGHT EMITTING DEVICE AND FABRICATING METHOD THEREOF | 7 |
Masafumi Katoh | JP | Kawasaki | 2010-04-29 / 20100106830 - METHOD AND APPARATUS FOR COLLECTING AND DELIVERING STATISTICAL DATA | 2 |
Masahiro Katoh | JP | Kyoto | 2010-03-18 / 20100065340 - ELECTRONIC BALANCE | 1 |
Masakazu Katoh | JP | Aichi-Ken | 2009-07-30 / 20090189476 - INTERPHASE INSULATING MEMBER AND ROTATING ELECTRIC MACHINE | 1 |
Masaki Katoh | JP | Kobe | 2010-12-30 / 20100327822 - Distributed power supply system | 1 |
Masanori Katoh | JP | Minato-Ku | 2009-05-07 / 20090116082 - IMAGE CONVERSION APPARATUS, IMAGE CONVERSION PROGRAM STORAGE MEDIUM, AND IMAGE DISPLAY SYSTEM | 1 |
Naoya Katoh | JP | Ama-Gun | 2008-10-09 / 20080246955 - Method of detecting alcohol concentration and alcohol concentration detecting apparatus | 1 |
Naoya Katoh | JP | Ichikawa | 2010-09-16 / 20100231725 - ILLUMINANT INDEPENDENT COLOR CALIBRATION USING COLORED RAYS APPROACH | 3 |
Yuhei Katoh | JP | Kanagawa | 2016-04-14 / 20160105570 - PRINT CONTROL PROGRAM AND INFORMATION PROCESSING APPARATUS | 2 |
Noriyuki Katoh | JP | Ichihara-Shi | 2010-11-25 / 20100295205 - PROCESS FOR PRODUCTION OF POLYOLEFIN PELLETS | 1 |
Osamu Katoh | JP | Aichi | 2009-10-08 / 20090254107 - Catheter device | 2 |
Osamu Katoh | JP | Toyohashi | 2012-11-22 / 20120296262 - ENERGY FACILITATED COMPOSITION DELIVERY | 5 |
Satoru Katoh | JP | Nisshin-Shi | 2013-07-25 / 20130191006 - VEHICLE, AND CONTROL METHOD FOR VEHICLE | 7 |
Satoshi Katoh | JP | Miyagi | 2012-01-05 / 20120001976 - IMAGE FORMING APPARATUS AND METHOD FOR MAINTAINING HEAD | 3 |
Seiichi Katoh | JP | Miyagi-Ken | 2009-07-09 / 20090174922 - LIGHT DEFLECTING METHOD AND APPARATUS EFFICIENTLY USING A FLOATING MIRROR | 1 |
Seiichi Katoh | JP | Miyagi | 2012-05-10 / 20120116348 - LIQUID MEDICINE INJECTION AMOUNT ADJUSTING METHOD, LIQUID MEDICINE INJECTION AMOUNT ADJUSTING APPARATUS, AND LIQUID MEDICINE INJECTING SYSTEM | 4 |
Shingo Katoh | JP | Gunma | 2008-10-23 / 20080259762 - OPTICAL INFORMATION RECORDING MEDIUM | 1 |
Shingo Katoh | JP | Takasaki-Shi | 2009-06-18 / 20090154332 - OPTICAL INFORMATION RECORDING MEDIUM AND MANUFACTURING METHOD THEREOF | 4 |
Shuji Katoh | JP | Hitachiota | 2014-06-19 / 20140169048 - POWER CONVERSION DEVICE | 10 |
Susumu Katoh | JP | Takatsuki | 2015-10-22 / 20150299090 - SPIRO COMPOUNDS AND PHARMACEUTICAL USE THEREOF | 3 |
Takahiro Katoh | JP | Tochigi | 2009-03-19 / 20090076473 - Absorbent Article | 1 |
Shinji Katoh | JP | Anjo-Shi | 2012-12-27 / 20120326667 - BATTERY CHARGING SYSTEM FOR VEHICLE AND BATTERY CHARGE CONTROLLER IN THE SAME | 1 |
Takashi Katoh | JP | Sagamihara-Shi | 2009-09-24 / 20090239152 - Battery | 4 |
Takehiko Katoh | JP | Kawasaki | 2010-03-11 / 20100061008 - MAGNETIC DISK DRIVE | 1 |
Takeo Katoh | JP | Minato-Ku, Tokyo | 2009-12-03 / 20090293919 - METHOD FOR CLEANING SEMICONDUCTOR WAFER | 1 |
Takeshi Katoh | JP | Kizugawa-Shi | 2009-01-29 / 20090029279 - TONER, TWO-COMPONENT DEVELOPER, AND IMAGE FORMING APPARATUS USING THE TONER AND THE TWO-COMPONENT DEVELOPER | 1 |
Tetsuya Katoh | JP | Anjo-City | 2011-01-13 / 20110006288 - ORGANIC LUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Tsutomu Katoh | JP | Kawasaki-Shi | 2010-03-11 / 20100061752 - IMAGE FORMING APPARATUS AND CONTROL METHOD FOR SAME | 3 |
Tsuyoshi Katoh | JP | Chiba | 2011-12-29 / 20110315974 - ORGANIC LIGHT-EMITTING ELEMENT MATERIAL, ORGANIC LIGHT-EMITTING ELEMENT AND PROCESS FOR PRODUCING THE SAME | 2 |
Yasuo Katoh | JP | Yamaguchi | 2010-02-04 / 20100024509 - STEM SLIDE DEVICE | 2 |
Yoshiki Katoh | JP | Chita-Gun | 2014-10-02 / 20140290296 - HEAT EXCHANGE SYSTEM | 13 |
Yoshinori Katoh | JP | Kanagawa-Ken | 2010-04-01 / 20100079553 - LIQUID EJECTION HEAD DRIVE CIRCUIT, LIQUID EJECTION APPARATUS, AND METHOD OF PROTECTING LIQUID EJECTION HEAD DRIVE CIRCUIT | 1 |
Yoshinori Katoh | JP | Ashigarakami-Gun | 2011-03-31 / 20110074888 - Piezoelectric Actuator, Method of Driving Same, Liquid Ejection Apparatus and Piezoelectric Ultrasonic Osicllator | 1 |
Yuichi Katoh | JP | Chiba-Ken | 2009-10-01 / 20090247797 - Process for Producing Gas Hydrate Pellet | 2 |
Sayaka Katoh | JP | Atsugi-Shi | 2009-12-17 / 20090311017 - CLEANING BLADE AND IMAGE FORMING APPARATUS, PROCESS CARTRIDGE, AND IMAGE FORMING METHOD USING THE SAME | 1 |
Shigemitsu Katoh | JP | Tsu-Shi | 2013-01-03 / 20130000110 - METHOD FOR MANUFACTURING LITHIUM SECONDARY BATTERY, METHOD FOR MANUFACTURING STACKED BATTERY, AND METHOD FOR MANUFACTURING COMPOSITE BODY | 2 |
Masayuki Katoh | JP | Gotenba-Shi | 2015-10-22 / 20150298621 - OBJECT DETECTION APPARATUS AND DRIVING ASSISTANCE APPARATUS | 4 |
Kazuaki Katoh | JP | Utsunomiya-Shi | 2012-12-06 / 20120308957 - DENTAL ROOT CANAL TREATMENT INSTRUMENT AND MANUFACTURING METHOD THEREOF | 1 |
Tomohide Katoh | JP | Osaka | 2009-12-03 / 20090298983 - HEAT-SETTING INK COMPOSITION FOR OFFSET PRINTING PROCESS | 1 |
Yoshikazu Katoh | JP | Osaka | 2016-01-07 / 20160004646 - ENCRYPTION AND RECORDING APPARATUS, ENCRYPTION AND RECORDING SYSTEM, AND ENCRYPTION AND RECORDING METHOD | 32 |
Haruzo Katoh | JP | Osaka | 2010-10-07 / 20100252111 - PASTE COMPOSITION AND SOLAR CELL ELEMENT | 6 |
Jun-Ichi Katoh | JP | Osaka | 2009-12-24 / 20090318807 - ULTRASONOGRAPH | 2 |
Hideaki Katoh | JP | Osaka | 2012-05-10 / 20120113328 - MOUNTING SUBSTRATE FOR SEMICONDUCTOR LIGHT EMITTING ELEMENT, BACKLIGHT CHASSIS, DISPLAY DEVICE AND TELEVISION RECEIVER | 2 |
Takemi Katoh | JP | Osaka | 2011-03-17 / 20110065482 - MOBILE TERMINAL HAVING PULSE METER | 1 |
Shizue Katoh | JP | Kashiwa-Shi | 2015-10-01 / 20150274840 - ANTI-ADAM28 ANTIBODY FOR TREATING CANCER | 3 |
Naoya Katoh | JP | Nagoya-City | 2012-11-22 / 20120291748 - FUEL INJECTION SYSTEM | 1 |
Shigehito Katoh | JP | Miyoshi-Shi | 2013-10-10 / 20130264734 - METHODS OF RECYCLING WASTE RESIN PRODUCTS | 1 |
Hidehiro Katoh | JP | Tokyo | 2013-06-20 / 20130155262 - IMAGE PICKUP APPARATUS AND IMAGE BLUR CORRECTING METHOD FOR PERFORMING IMAGE BLUR CORRECTION BASED ON AT LEAST ONE OF SHUTTER SPEED AND AMOUNT OF IMAGE BLUR WHEN TAKING IMAGE | 1 |
Tatsuya Katoh | JP | Tokyo | 2014-04-10 / 20140097378 - FERRITE MAGNETIC MATERIAL, FERRITE MAGNET, AND FERRITE SINTERED MAGNET | 2 |
Shun Katoh | JP | Kitasaku-Gun | 2013-03-21 / 20130070478 - LIGHT DISTRIBUTION CONTROL MEMBER AND ILLUMINATING DEVICE USING THE SAME | 2 |
Kiyotada Katoh | JP | Shizuoka | 2014-08-28 / 20140242514 - PARTICULATE MATERIAL PRODUCTION METHOD, AND PARTICULATE MATERIAL PRODUCTION APPARATUS | 9 |
Keita Katoh | JP | Shizuoka | 2015-12-31 / 20150376425 - INKJET RECORDING INK, INK CARTRIDGE, INKJET RECORDING METHOD, INKJET RECORDING DEVICE AND INK RECORDED MATTER | 24 |
Yasunori Katoh | JP | Fuji-Shi | 2014-01-02 / 20140000534 - BURNER, COMBUSTION APPARATUS, METHOD FOR COMBUSTION, METHOD FOR CONTROLLING COMBUSTION, RECORDING MEDIUM, AND WATER HEATER | 1 |
Takashi Katoh | JP | Yokohama | 2015-01-22 / 20150026555 - DATA OUTPUT METHOD, COMPUTER-READABLE RECORDING MEDIUM STORING DATA OUTPUT PROGRAM AND DATA OUTPUT SYSTEM | 12 |
Yoshitaka Katoh | JP | Otsu | 2014-01-30 / 20140033153 - Method For Assisting in Logic Circuit Design to Place Cells on IC Substrate and Optimize Wiring, Device For Assisting in Logic Circuit Design Using This Method, and Computer Program Executable By This Device | 1 |
Teruo Katoh | JP | Yokohama | 2013-10-24 / 20130278166 - SEMICONDUCTOR CIRCUIT AND SEMICONDUCTOR APPARATUS | 1 |
Tomoya Katoh | JP | Nagoya-City | 2013-08-29 / 20130222089 - SOLENOID DEVICE | 1 |
Shuji Katoh | JP | Hitachiota-Shi | 2013-08-15 / 20130208519 - Switching Element, Power Converter, Direct Current Transmission System, Current Control Device, Method of Controlling Power Converter, and Method of Controlling Current in Voltage Source Converter | 1 |
Hitoshi Katoh | JP | Nagoya-City | 2013-08-01 / 20130192549 - CONTROL SYSTEM FOR VARIABLE VALVE TIMING APPARATUS | 1 |
Yoshinori Katoh | JP | Toyohashi | 2013-05-09 / 20130114746 - DATA TRANSMISSION SYSTEM, DATA TRANSMISSION METHOD, AND TRANSMISSION DEVICE | 1 |
Kohshi Katoh | JP | Hadano-Shi | 2015-12-24 / 20150367756 - VEHICLE SEAT | 11 |
Masaki Katoh | JP | Hyogo | 2012-10-18 / 20120262957 - DISTRIBUTED POWER SUPPLY SYSTEM | 1 |
Kiyotada Katoh | JP | Shizuoka | 2014-08-28 / 20140242514 - PARTICULATE MATERIAL PRODUCTION METHOD, AND PARTICULATE MATERIAL PRODUCTION APPARATUS | 9 |
Hiromi Katoh | JP | Osaka-Shi | 2015-08-06 / 20150220190 - DISPLAY APPARATUS | 16 |
Noriko Katoh | JP | Toyoake-City | / - | 1 |
Shunya Katoh | JP | Ashigarakami-Gun | 2015-07-09 / 20150192715 - HEAT RAY CUTTING FILM AND METHOD FOR PRODUCING SAME, AND LAMINATED GLASS AND HEAT RAY CUTTING MEMBER | 11 |
Takashi Katoh | JP | Yokohama | 2015-01-22 / 20150026555 - DATA OUTPUT METHOD, COMPUTER-READABLE RECORDING MEDIUM STORING DATA OUTPUT PROGRAM AND DATA OUTPUT SYSTEM | 12 |
Shuji Katoh | JP | Tokyo | 2014-09-18 / 20140268896 - Reactor Apparatus and Power Converter Using Same | 2 |
Toshie Katoh | JP | Akiruno | 2014-07-31 / 20140211898 - PHASE INTERPOLATION CIRCUIT AND RECEIVER CIRCUIT | 1 |
Yasutoshi Katoh | JP | Kakegawa-City | 2014-07-10 / 20140195070 - VEHICLE INFORMATION RECORDING APPARATUS | 1 |
Yasutoshi Katoh | JP | Kakegwa-City | 2014-05-15 / 20140132404 - PEDESTRIAN COLLISION DETECTION SYSTEM, PEDESTRIAN COLLISION NOTIFICATION SYSTEM, AND VEHICLE COLLISION DETECTION SYSTEM | 1 |
Takashi Katoh | JP | Ashigarakami-Gun | 2014-06-12 / 20140163148 - COLORANT-CONTAINING PARTICLES, COLORANT-CONTAINING PARTICLE DISPERSION, AND POLYMER COMPOUND | 1 |
Masafumi Katoh | JP | Yokohama | 2016-01-07 / 20160007401 - WIRELESS COMMUNICATION SYSTEM, WIRELESS COMMUNICATION METHOD, TRANSMISSION DEVICE, CONTROL METHOD, AND RECORDING MEDIUM | 2 |
Eisaku Katoh | JP | Hachioji-Shi | 2015-06-25 / 20150179958 - ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHTING DEVICE AND DISPLAY DEVICE | 4 |
Dai Katoh | JP | Musashino-Shi | 2008-12-04 / 20080297198 - Two-wire transmitter | 1 |
Toshiyuki Katoh | JP | Kanagawa | 2008-10-02 / 20080239578 - Magnetic disk drive, wiring connection structure and terminal structure | 1 |
Eiichi Katoh | JP | Kanagawa | 2016-03-31 / 20160092848 - SYSTEM AND SERVICE DETERMINATION METHOD | 2 |
Yuichi Katoh | JP | Kanagawa | 2009-05-28 / 20090135548 - Positive Electrode for Electric Double Layer Capacitors, and Electric Double Layer Capacitors | 1 |
Kenji Katoh | JP | Suntou-Gun | 2011-06-02 / 20110126523 - EXHAUST EMISSION PURIFIER OF INTERNAL COMBUSTION ENGINE | 2 |
Kenji Katoh | JP | Shizuoka-Ken | 2011-04-07 / 20110079001 - EXHAUST PURIFYING DEVICE OF INTERNAL COMBUSTION ENGINE | 7 |
Kenji Katoh | JP | Akishima | 2010-05-06 / 20100112909 - METHOD OF AND APPARATUS FOR ABRADING OUTER PERIPHERAL PARTS OF A SEMICONDUCTOR WAFER | 1 |
Tsutomu Katoh | JP | Kanagawa | 2012-06-14 / 20120148300 - BELT UNIT AND IMAGE FORMING APPARATUS EMPLOYING SAME | 3 |
Osamu Katoh | JP | Kanagawa | 2009-08-27 / 20090215132 - Novel amide hydrolase gene | 1 |
Katsuhiko Katoh | JP | Kanagawa | 2012-09-20 / 20120239948 - INFORMATION PROCESSING APPARATUS, POWER MODE CONTROL METHOD, AND POWER MODE CONTROL PROGRAM PRODUCT | 4 |
Kenji Katoh | JP | Tokyo | 2011-09-08 / 20110217566 - CORROSION-RESISTANT STEEL FOR CHIMNEY/FLUE USE IN NATURAL GAS-FIRED OR LIQUEFIED PETROLEUM GAS-FIRED PLANTS | 3 |
Kenji Katoh | JP | Futtsu-Shi | 2010-01-07 / 20100003161 - Steel for Crude Oil Tank, Method for Producing the Same, Crude Oil Tank and Corrosion Prevention Method Thereof | 1 |
Kenji Katoh | JP | Chiba | 2008-11-06 / 20080274008 - Corrosion-Resistant Steel Excellent in Toughness of Base Metal and Weld Portion, and Method of Manufacturing the Same | 1 |
Tetsuo Katoh | JP | Kanagawa | 2011-01-20 / 20110013916 - IMAGE FORMING APPARATUS, PROCESS UNIT CARTRIDGE, AND METHOD OF MANAGING REPLACEMENT LIFE OF PROCESS UNIT CARTRIDGE | 1 |
Masahiko Katoh | JP | Kanagawa | 2011-05-12 / 20110109996 - MANUFACTURING METHOD FOR A HEAD-STACK ASSEMBLY, APPARATUS FOR INTERCONNECTION OF THE HEAD-STACK ASSEMBLY, AND HEAD-STACK ASSEMBLY | 1 |
Tsuyoshi Katoh | JP | Chiba-Shi | 2012-08-30 / 20120217491 - COMPOSITION FOR ANODE BUFFER LAYER, HIGH-MOLECULAR WEIGHT COMPOUND FOR ANODE BUFFER LAYER, ORGANIC ELECTROLUMINESCENCE ELEMENT, AND PRODUCTION PROCESS AND USES OF THE SAME | 1 |
Shigeo Katoh | JP | Kariya-City | 2014-11-20 / 20140340212 - DISPLAY CONTROL APPARATUS | 4 |
Tadashi Katoh | JP | Miyagi | 2014-08-21 / 20140235821 - NOVEL PHOSPHATIDYLINOSITOL-3-KINASE INHIBITOR AND PHARMACEUTICAL COMPOSITION | 1 |
Yasuo Katoh | JP | Aichi-Ken | 2012-08-16 / 20120206542 - LIQUID EJECTION APPARATUS | 1 |
Hideki Katoh | JP | Hyogo | 2014-09-18 / 20140275397 - RECLAIMED RESIN COMPOSITION, MOLDING, IMAGE FORMING APPARATUS, AND METHOD FOR PRODUCING RECLAIMED RESIN COMPOSITION | 1 |
Masaya Katoh | JP | Kanagawa | 2016-03-03 / 20160062627 - POWER DISPLAY SYSTEM, IMAGE PROCESSING APPARATUS, AND USER INTERFACE | 2 |
Hidehiro Katoh | JP | Ohta-Ku | 2014-10-09 / 20140300765 - IMAGE PICKUP APPARATUS, IMAGE PICKUP METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Hidehiro Katoh | JP | Tokyo-To | 2015-04-02 / 20150092068 - Image Pickup Apparatus and Image Shake Correction Method | 4 |
Hiromi Katoh | JP | Osaka-Shi | 2015-08-06 / 20150220190 - DISPLAY APPARATUS | 16 |
Hiromi Katoh | JP | Nara | 2010-02-25 / 20100045904 - LIQUID CRYSTAL DISPLAY | 6 |
Hiromi Katoh | JP | Hiratsuka-Shi | 2009-10-08 / 20090253839 - Coating composition and method of forming coating film | 2 |
Kaoru Katoh | JP | Tokyo | 2014-11-13 / 20140334214 - Inverter Device | 1 |
Hiroyuki Katoh | JP | Kanagawa | 2013-01-03 / 20130003116 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND NON-TRANSITORY COMPUTER-READABLE MEDIUM | 2 |
Yutai Katoh | US | Oak Ridge | 2015-10-29 / 20150310948 - FULLY CERAMIC NUCLEAR FUEL AND RELATED METHODS | 2 |
Shunya Katoh | JP | Kanagawa | 2016-05-12 / 20160131809 - PHASE DIFFERENCE FILM, POLARIZATION PLATE, AND LIQUID CRYSTAL DISPLAY DEVICE | 6 |
Shunji Katoh | JP | Kanagawa | 2016-02-11 / 20160041497 - MAGNETIC PERMEABILITY DETECTOR, DEVELOPING DEVICE, IMAGE FORMING APPARATUS, AND OSCILLATION SIGNAL FREQUENCY CALCULATION METHOD THEREFOR | 14 |
Yuji Katoh | JP | Kanagawa | 2014-11-27 / 20140346148 - WELDING OPERATION MANAGEMENT DEVICE, WELDING OPERATION MANAGEMENT SYSTEM, WELDING OPERATION MANAGEMENT METHOD, AND WELDING OPERATION TERMINAL | 1 |
Naotaka Katoh | JP | Yamato-Shi | 2010-07-22 / 20100180457 - INDICATOR, DETECTOR, AND DETECTION METHOD | 1 |
Keita Katoh | JP | Kanagawa | 2016-05-12 / 20160130452 - INK, INK CARTRIDGE, INKJET RECORDING DEVICE, AND COPOLYMER | 6 |
Natsumi Katoh | JP | Yokohama City | 2008-12-04 / 20080298866 - DEVELOPING AGENT CIRCULATION SYSTEM AND IMAGE FORMING APPARATUS USING SAME | 1 |
Natsumi Katoh | JP | Atsugi-Shi | 2011-11-03 / 20110268478 - DEVELOPMENT DEVICE AND IMAGE FORMING APPARATUS | 7 |
Natsumi Katoh | JP | Kanagawa | 2012-03-22 / 20120070195 - DEVELOPING APPARATUS AND IMAGE FORMING APPARATUS USING SAME | 5 |
Sumio Katoh | JP | Osaka | 2015-07-23 / 20150206977 - METAL OXIDE TRANSISTOR | 5 |
Ryuuta Katoh | JP | Kanagawa | 2016-03-17 / 20160075146 - IMAGE FORMATION APPARATUS, METHOD FOR EXAMINING DISCHARGE OF TRANSPARENT DROPLETS, AND PROGRAM FOR EXAMINING DISCHARGE OF TRANSPARENT DROPLETS | 1 |
Shunji Katoh | JP | Kanagawa | 2016-02-11 / 20160041497 - MAGNETIC PERMEABILITY DETECTOR, DEVELOPING DEVICE, IMAGE FORMING APPARATUS, AND OSCILLATION SIGNAL FREQUENCY CALCULATION METHOD THEREFOR | 14 |
Kohshi Katoh | JP | Kanagawa-Ken | 2015-04-23 / 20150108806 - CONVEYANCE SEAT | 2 |
Motonobu Katoh | JP | Tottori | 2012-04-19 / 20120093785 - HUMAN ARTIFICIAL CHROMOSOME (HAC) VECTOR | 1 |
Takashi Katoh | JP | Nagoya-Shi | 2012-04-19 / 20120091793 - VEHICLE WINDOW OPENING AND CLOSING CONTROL DEVICE | 1 |
Yoshinori Katoh | JP | Matsudo-Shi | 2012-04-12 / 20120088206 - LINEARLY MOTORIZED DENTAL SYRINGE | 1 |
Yoshinobu Katoh | JP | Aichi | 2012-04-26 / 20120100949 - PLANETARY GEAR MECHANISM | 2 |
Atsushi Katoh | JP | Tokyo | 2015-03-26 / 20150082543 - MATTRESS AND BED DEVICE | 1 |
Yoshikazu Katoh | JP | Osaka | 2016-01-07 / 20160004646 - ENCRYPTION AND RECORDING APPARATUS, ENCRYPTION AND RECORDING SYSTEM, AND ENCRYPTION AND RECORDING METHOD | 32 |
Satoshi Katoh | JP | Sakai-Shi | 2012-03-15 / 20120062492 - DISPLAY DEVICE | 1 |
Kei Katoh | JP | Tokyo | 2016-05-19 / 20160136843 - CUTTING APPARATUS | 1 |
Yuji Katoh | JP | Kanagawa-Ken | 2012-02-16 / 20120037600 - WELDING OPERATION MANAGEMENT DEVICE, WELDING OPERATION MANAGEMENT SYSTEM, WELDING OPERATION MANAGEMENT METHOD, AND WELDING OPERATION TERMINAL | 1 |
Takahira Katoh | JP | Kariya-City | 2012-03-08 / 20120056736 - Display device for vehicle | 3 |
Kunio Katoh | JP | Nagoya | 2013-06-06 / 20130140883 - VEHICLE POWER MANAGEMENT SYSTEM, VEHICLE POWER INFORMATION MANAGING APPARATUS AND VEHICLE ELECTRICAL LOAD | 2 |
Seiji Katoh | JP | Sakura-Shi | 2014-05-15 / 20140133807 - OPTICAL CONNECTOR RECEPTACLE, RECEPTACLE HOUSING, OPTICAL CONNECTOR ADAPTER, AND ADAPTER HOUSING | 5 |
Yuh Katoh | JP | Tokyo | 2015-07-02 / 20150181997 - WALLET | 1 |
Jun Katoh | JP | Kobe-Shi | 2012-01-26 / 20120021240 - JOINT PRODUCT BETWEEN STEEL PRODUCT AND ALUMINUM MATERIAL, SPOT WELDING METHOD FOR THE JOINT PRODUCT, AND ELECTRODE CHIP FOR USE IN THE JOINT PRODUCT | 1 |
Naoto Katoh | JP | Tokyo | 2015-07-23 / 20150203505 - INDOLE CARBOXAMIDE DERIVATIVE | 1 |
Hiroki Katoh | JP | Tokyo | 2016-03-03 / 20160064644 - PIEZOELECTRIC COMPOSITION AND PIEZOELECTRIC DEVICE | 2 |
Yosuke Katoh | JP | Tokyo | 2015-08-20 / 20150234860 - BACKUP SYSTEM | 1 |
Yoshihito Katoh | JP | Nagoya-Shi | 2011-12-29 / 20110319771 - VITAL LUMINAL PART EVALUATING APPARATUS | 1 |
Shingo Katoh | JP | Toyota-Shi | 2011-12-29 / 20110319215 - LUBRICATION OF A PLANETARY GEAR DEVICE | 1 |
Takamasa Katoh | JP | Tokorozawa | 2012-05-17 / 20120124376 - Information Processing System Using Nucleotide Sequence-Related Information | 2 |
Satoshi Katoh | JP | Kanagawa | 2016-04-07 / 20160096377 - MODIFICATION DEVICE, MODIFICATION METHOD, COMPUTER PROGRAM PRODUCT, IMAGE FORMING APPARATUS, AND IMAGE FORMING SYSTEM | 4 |
Issei Katoh | JP | Osaka-Fu | 2011-04-28 / 20110098471 - OXAZOLIDINONE DERIVATIVE HAVING FUSED RING | 1 |
Kohshi Katoh | JP | Hadano-Shi | 2015-12-24 / 20150367756 - VEHICLE SEAT | 11 |
Takeyoshi Katoh | JP | Tokyo | 2008-09-11 / 20080217617 - Thin Film Transistor, Wiring Board and Methods of Manufacturing the Same | 1 |
Toshie Katoh | JP | Tokyo | 2010-04-01 / 20100079172 - DIFFERENTIAL OUTPUT CIRCUIT | 1 |
Makoto Katoh | JP | Tokyo | 2010-11-25 / 20100294544 - Bending-Type Rigid Printed Wiring Board and Process for Producing the Same | 1 |
Kohki Katoh | JP | Tokyo | 2008-10-02 / 20080244733 - Information management system, information management method, and computer program product | 1 |
Shin Katoh | JP | Tokyo | 2008-12-18 / 20080310738 - Device for Outputting Character Recognition Results, Character Recognition Device, and Program Therefor | 1 |
Tohru Katoh | JP | Tokyo | 2009-01-15 / 20090016200 - METHOD OF MANUFACTURING PIN PHOTODIODE | 1 |
Kazuya Katoh | JP | Tokyo | 2014-02-13 / 20140041213 - ELECTROCHEMICAL DEVICE MANUFACTURING | 4 |
Hironobu Katoh | JP | Tokyo | 2009-11-12 / 20090280310 - Melt-Extrusion Film and Stretched Film | 2 |
Michio Katoh | JP | Tokyo | 2010-02-04 / 20100025594 - Neutron Moderator, Neutron Irradiation Method, and Hazardous Substance Detection Apparatus | 1 |
Takao Katoh | JP | Tokyo | 2012-04-19 / 20120095358 - LIVING BODY STATE MONITOR APPARATUS | 2 |
Toshihiro Katoh | JP | Tokyo | 2015-03-26 / 20150084717 - MEASUREMENT DEVICE, SEMICONDUCTOR DEVICE AND IMPEDANCE ADJUSTMENT METHOD | 4 |
Satoshi Katoh | JP | Tokyo | 2011-05-12 / 20110109770 - IMAGING APPARATUS, IMAGING METHOD, AND PROGRAM | 2 |
Tomohiko Katoh | JP | Tokyo | 2010-12-23 / 20100323097 - DIELECTRIC DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Akiko Katoh | JP | Tokyo | 2011-07-07 / 20110163063 - METHOD FOR MANUFACTURING CRYSTAL OSCILLATOR | 2 |
Yuuki Katoh | JP | Omaezaki City | 2008-11-20 / 20080283100 - METHOD FOR CLEANING INTERNAL PARTS OF GASOLINE ENGINES | 2 |
Hiroyuki Katoh | JP | Tokyo | 2010-05-20 / 20100123923 - IMAGE FORMING APPARATUS, METHOD OF TRANSFERRING IMAGE DATA, AND COMPUTER PROGRAM PRODUCT | 1 |
Shinichi Katoh | JP | Osaka | 2014-05-22 / 20140143815 - WIRELESS TRANSMISSION SYSTEM, RELAY DEVICE, WIRELESS SINK DEVICE, AND WIRELESS SOURCE DEVICE | 3 |
Miki Katoh | JP | Kariya-City | 2008-10-02 / 20080238913 - DISPLAY DEVICE | 2 |
Yoshiki Katoh | JP | Kariya-City | 2016-05-12 / 20160129756 - VEHICULAR HEAT MANAGEMENT SYSTEM | 14 |
Tatsuya Katoh | JP | Ebina-Shi | 2015-10-29 / 20150311403 - LED LIGHTING APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 1 |
Koji Katoh | JP | Annaka-Shi | 2011-10-20 / 20110256412 - Aluminum nitride film and a substance coated with same | 1 |
Masaki Katoh | JP | Kobe City | 2011-10-13 / 20110249475 - GRID-CONNECTED INVERTER | 1 |
Masahiro Katoh | JP | Toyama-Shi | 2014-12-25 / 20140373681 - MO-SI-B-BASED ALLOY POWDER, METAL-MATERIAL RAW MATERIAL POWDER, AND METHOD OF MANUFACTURING A MO-SI-B-BASED ALLOY POWDER | 2 |
Tatsuya Katoh | JP | Kanagawa | 2011-05-05 / 20110102929 - DISK DRIVE AND METHOD OF TIMING CONTROL FOR SERVO-DATA DETECTION | 1 |
Naoya Katoh | JP | Chiba | 2015-05-14 / 20150131907 - INFORMATION PROCESSING DEVICE AND METHOD, AND PROGRAM | 24 |
Takeshi Katoh | JP | Mie | 2011-09-29 / 20110236643 - DISPLAY MODULE AND METHOD OF MANUFACTURING DISPLAY MODULE | 1 |
Shunya Katoh | JP | Ashigarakami-Gun | 2015-07-09 / 20150192715 - HEAT RAY CUTTING FILM AND METHOD FOR PRODUCING SAME, AND LAMINATED GLASS AND HEAT RAY CUTTING MEMBER | 11 |
Hidehiro Katoh | JP | Yokohama-Shi | 2016-03-03 / 20160065823 - IMAGING DEVICE AND SCENE DETERMINATION METHOD | 2 |
Sasumu Katoh | JP | Nirasaki-Shi | 2011-09-22 / 20110226178 - FILM DEPOSITION SYSTEM | 1 |
Shinya Katoh | JP | Minami-Ashigara-Shi | 2011-09-15 / 20110223337 - Extrusion coating apparatus and coating method as well as coating film forming method | 1 |
Kaoru Katoh | JP | Hitachinaka | 2011-09-15 / 20110221519 - SEMICONDUCTOR INTEGRATED CIRCUIT AND HIGH FREQUENCY MODULE USING THE SAME | 1 |
Yoshinobu Katoh | JP | Toyohashi-Shi | 2013-08-15 / 20130210568 - ECCENTRIC ROCKING TYPE REDUCTION GEAR | 2 |
Shunya Katoh | JP | Minami-Ashigara-Shi | 2015-07-02 / 20150185383 - INFRARED RAY CUTTING FILM, INFRARED RAY CUTTING LAMINATED GLASS, AND INFRARED RAY CUTTING MEMBER | 3 |
Takeaki Katoh | JP | Shizuoka-Ken | 2014-05-22 / 20140139882 - IMAGE PROCESSING SYSTEM, IMAGE PROCESSING METHOD, AND COMPUTER READABLE RECORDING MEDIUM STORING PROGRAM THEREOF | 2 |
Yuichi Katoh | JP | Ichihara-Shi | 2011-09-08 / 20110217210 - Gas hydrate production apparatus | 1 |
Tetsuroh Katoh | JP | Osaka | 2015-11-19 / 20150332850 - METHOD FOR MANUFACTURING POWDER MAGNETIC CORE, POWDER MAGNETIC CORE, AND COIL COMPONENT | 1 |
Yohichi Katoh | JP | Yokohama-Shi | 2009-04-23 / 20090106435 - DATA TRANSMISSION AND RECEPTION SYSTEM WITH ACCURATE TIME INFORMATION | 1 |
Kohshi Katoh | JP | Hadano-Shi, Kanagawa-Ken | 2015-12-03 / 20150343924 - VEHICLE SEAT APPARATUS | 1 |
Kazuhito Katoh | JP | Inazawa-City | 2011-08-18 / 20110198733 - SEMICONDUCTOR DEVICE AND METHOD OF PATTERNNING RESIN INSULATION LAYER ON SUBSTRATE OF THE SAME | 1 |
Kohshi Katoh | JP | Aichi-Ken | 2015-12-03 / 20150343924 - VEHICLE SEAT APPARATUS | 1 |
Kazuhiko Katoh | JP | Kanagawa | 2011-08-04 / 20110191131 - APPROPRIATE PRINTER SELECTION SIMULATION SYSTEM, INK CONSUMPTION AMOUNT SIMULATION DEVICE, AND COST SERVER | 1 |
Hiroaki Katoh | JP | Nagaokakyo-Shi | 2009-07-23 / 20090186291 - NON-MAGNETIC TONER FOR ONE-COMPONENT DEVELOPMENT AND METHOD OF PREPARING THE TONER, AND IMAGE DEVELOPER, IMAGE FORMING APPARATUS, PROCESS CARTRIDGE AND IMAGE FORMING METHOD | 8 |
Sayaka Katoh | JP | Kanagawa | 2013-05-23 / 20130129395 - BELT FOR AN IMAGE FORMING APPARATUS, AND IMAGE FORMING APPARATUS | 7 |
Mitsuru Katoh | JP | Anjo-Shi | 2011-07-21 / 20110175483 - STATOR FOR ELECTRIC ROTATING MACHINE | 2 |
Kazuya Katoh | US | Arlington | 2010-04-29 / 20100101629 - Light Transmissible Solar Cell Module, Process for Manufacturing Same, and Solar Cell Panel Thereof | 3 |
Yoshihiro Katoh | JP | Hofu-Shi | 2011-07-14 / 20110172468 - METHOD FOR PRODUCING ALCOHOL, METHOD FOR PRODUCING HYDROGEN OR SYNTHESIS GAS USING THE METHOD FOR PRODUCING ALCOHOL, AND ALCOHOL | 1 |
Masaki Katoh | JP | Niigata | 2011-07-07 / 20110163864 - DISPLAY DEVICE FOR VEHICLE | 1 |
Tomotsugu Katoh | JP | Ebina-Shi | 2009-04-02 / 20090086009 - Laser Direct Imaging Apparatus and Imaging Method | 1 |
Tetsuhiro Katoh | JP | Kobe-Shi | 2012-06-28 / 20120162407 - VIDEO PROCESSING DEVICE | 3 |
Tomomi Katoh | JP | Kanagawa | 2015-06-11 / 20150158308 - IMAGE FORMING APPARATUS | 24 |
Takeo Katoh | JP | Tokyo | 2012-04-05 / 20120080775 - METHOD OF POLISHING SILICON WAFER AS WELL AS SILICON WAFER | 15 |
Reiko Katoh | JP | Yokohama-Shi | 2011-06-23 / 20110151131 - Bacteriostatically Treating Method | 1 |
Tatsuya Katoh | JP | Osaka | 2011-05-12 / 20110108979 - SEMICONDUCTOR DEVICE AND DISPLAY APPARATUS | 8 |
Masataka Katohno | JP | Fukushima | 2008-11-27 / 20080293951 - Amin Derivative, and Production Method and Use Thereof | 1 |
Bobby Katoli | US | Dallas | 2016-03-10 / 20160071209 - SYSTEM AND COMPUTER PROGRAM PRODUCT FOR MANAGING CROWD FUNDING | 1 |
Parvaneh Katoli | US | Arlington | / - | 1 |
Lynn Katon | US | Independence | 2009-02-05 / 20090037946 - Dynamically displaying content to an audience | 1 |
Thomas M. Katona | US | San Carlos | 2015-07-23 / 20150207032 - METHODS AND DEVICES FOR LIGHT EXTRACTION FROM A GROUP III-NITRIDE VOLUMETRIC LED USING SURFACE AND SIDEWALL ROUGHENING | 1 |
Thomas Katona | US | Fremont | 2012-01-12 / 20120007102 - High Voltage Device and Method for Optical Devices | 1 |
Jaroslav Katona | BE | Mortsel | 2015-12-24 / 20150368483 - A METHOD TO PREPARE A METALLIC NANOPARTICLE DISPERSION | 1 |
Róbert Katona | HU | Ujszentivan | 2014-10-02 / 20140295501 - NOVEL METHOD TO LOAD A MAMMALIAN ARTIFICIAL CHROMOSOME WITH MULTIPLE GENES | 1 |
Zoltan Katona | HU | Eger | 2009-09-03 / 20090221853 - PROCESS FOR THE PREPARATION OF 2-CHLOROETHOXY-ACETIC ACID-N,N-DIMETHYLAMIDE | 3 |
Thomas Katona | US | San Luis Obispo | 2015-05-21 / 20150138752 - LINEAR LAMP REPLACEMENT | 1 |
Gergely Katona | HU | Budapest | 2015-03-26 / 20150085346 - Method for Scanning Along a Continuous Scanning Trajectory With a Scanner System | 10 |
Michael Katona | US | Gig Harbor | 2013-03-14 / 20130061972 - FLUID FILLED JACKET FOR CONCRETE PIPE | 1 |
Thomas M. Katona | US | Fremont | 2014-01-30 / 20140027789 - POWER LIGHT EMITTING DIODE AND METHOD WITH UNIFORM CURRENT DENSITY OPERATION | 1 |
Paul G. Katona | US | Upland | 2014-01-30 / 20140026485 - Single Layer Gas Processing | 1 |
Thomas M. Katona | US | Goleta | 2014-01-23 / 20140021883 - POWER LIGHT EMITTING DIODE AND METHOD WITH CURRENT DENSITY OPERATION | 3 |
Thomas M. Katona | US | San Luis Obispo | 2015-10-22 / 20150300617 - LINEAR PENDANT LUMINAIRE | 1 |
Tamás Katona | HU | Algyo | 2011-03-24 / 20110068572 - SWAGED COUPLING FOR HIGH-PRESSURE HOSE, HIGH-PRESSURE HOSE WITH SWAGED COUPLING, AND METHOD FOR MAKING THE SAME | 2 |
Gergely Katona | HU | Budapest | 2015-03-26 / 20150085346 - Method for Scanning Along a Continuous Scanning Trajectory With a Scanner System | 10 |
Denise M. Katona | US | Perry | 2009-07-09 / 20090176141 - Multilayered composite proton exchange membrane and a process for manufacturing the same | 1 |
Michael G. Katona | US | Gig Harbor | 2009-09-03 / 20090220302 - PLASTIC DETENTION CHAMBER FOR STORMWATER RUNOFF AND RELATED SYSTEM AND METHODS | 1 |
Russell Katona | US | Cleveland | 2010-06-24 / 20100154131 - WASHING SYSTEM AND METHOD FOR LOAD SIZE AND WATER RETENTION DETECTION | 1 |
Josef Katona | DE | Radolfzell | 2010-08-05 / 20100198114 - ADJUSTING PARAMETERS OF AN APPARATUS FOR SHOCKWAVE TREATMENT | 4 |
Tamás Katona | HU | Algyo | 2016-01-07 / 20160003381 - FLEXIBLE METAL PIPE, METHOD AND APPARATUS FOR PRODUCING THE SAME, AND HOSE COMPRISING THE FLEXIBLE METAL PIPE | 5 |
Yusuke Katono | JP | Kiyosu-Shi | 2014-01-16 / 20140018503 - POLYMER ALLOY AND RUBBER PRODUCT, AND PRODUCTION METHOD THEREOF | 2 |
Etsuji Katono | JP | Tokyo | 2010-12-16 / 20100316266 - MEDICAL IMAGE DIAGNOSTIC APPARATUS AND REMOTE MAINTENANCE SYSTEM | 1 |
Kenichi Katono | JP | Tokyo | 2016-03-17 / 20160077654 - Touch Panel-Type Operation Panel and Control Method Therefor | 3 |
Hajime Katono | JP | Niigata-Ken | 2016-05-19 / 20160139632 - METHOD OF MANUFACTURING SURFACE PANEL | 2 |
Kenichi Katono | JP | Hitachi-Shi | 2014-02-06 / 20140037039 - Fuel Assembly and Reactor Core | 2 |
Yoshihisa Katono | JP | Saitama | 2011-11-24 / 20110288708 - BATTERY UNIT HEATING APPARATUS | 2 |
Mehdi Katoozi | US | Issaquah | 2012-03-15 / 20120065697 - IMPLANTABLE MEDICAL DEVICE TELEMETRY WITH HOP-ON-ERROR FREQUENCY HOPPING | 3 |
George A. Katopis | US | Poughkeepsie | 2013-01-24 / 20130024400 - ADVANCED MODELING OF PRINTED CIRCUIT BOARD COSTS | 6 |
Vilas Katore | IN | Vadodara | 2011-11-10 / 20110275836 - PROCESS FOR THE PREPARATION OF DULOXETINE AND SALTS THEREOF | 1 |
Kenji Katori | JP | Kanagawa | 2014-05-22 / 20140141177 - MANUFACTURING METHOD OF DEPOSITION MATERIAL, MANUFACTURING APPARATUS OF DEPOSITION MATERIAL, ELECTRON BEAM IRRADIATION DEPOSITION METHOD AND DEPOSITION MATERIAL FOR ELECTRON BEAM IRRADIATION DEPOSITION | 8 |
Kentaro Katori | JP | Nakano-Ku | 2009-12-10 / 20090304404 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 1 |
Tomohiro Katori | JP | Tokyo | 2015-10-01 / 20150282084 - COMMUNICATION CONTROL DEVICE, COMMUNICATION CONTROL METHOD, AND RECORDING MEDIUM | 13 |
Kentaro Katori | JP | Toyokawa-Shi | 2009-07-09 / 20090175636 - IMAGE FORMING APPARATUS | 1 |
Yuto Katori | JP | Wako-Shi | 2016-02-11 / 20160040606 - CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 2 |
Hidetoshi Katori | JP | Wako-Shi | 2015-07-09 / 20150194972 - OPTICAL LATTICE CLOCK, CLOCK DEVICE AND LASER LIGHT SOURCE | 1 |
Katsuyoshi Katori | JP | Tamaku Kawasaki | 2015-12-10 / 20150355978 - SYSTEMS AND METHODS FOR BACKING UP STORAGE VOLUMES IN A STORAGE SYSTEM | 4 |
Yoshiko Katori | US | San Jose | 2010-04-01 / 20100080842 - TRANSDERMAL EXTENDED-DELIVERY DONEPEZIL COMPOSITIONS AND METHODS FOR USING THE SAME | 2 |
Ryosuke Katori | JP | Osaka | 2015-12-10 / 20150351380 - TISSUE-PRESERVING LIQUID AND TISSUE-PRESERVING METHOD | 1 |
Katsuyoshi Katori | JP | Kawasaki | 2016-05-19 / 20160140008 - FLASH COPY FOR DISASTER RECOVERY (DR) TESTING | 5 |
Keiji Katori | JP | Chiba-Ken | 2011-11-24 / 20110286611 - DIGITAL AMPLIFIER | 1 |
Takuma Katori | JP | Tokyo | 2010-04-01 / 20100079785 - PRINTING SERVICE PROVIDING METHOD AND PRINTING SERVICE PROVIDING SYSTEM | 3 |
Eisaku Katori | JP | Kyoto | 2015-10-15 / 20150291762 - RECYCLED FIBER AND RECYCLED FIBER MOLDING | 1 |
Katsuyoshi Katori | JP | Kanagawa | 2016-02-11 / 20160041758 - TAPE-MANAGED PARTITION SUPPORT FOR EFFECTIVE WORKLOAD ALLOCATION AND SPACE MANAGEMENT | 6 |
Kenji Katori | JP | Kanagawa | 2014-05-22 / 20140141177 - MANUFACTURING METHOD OF DEPOSITION MATERIAL, MANUFACTURING APPARATUS OF DEPOSITION MATERIAL, ELECTRON BEAM IRRADIATION DEPOSITION METHOD AND DEPOSITION MATERIAL FOR ELECTRON BEAM IRRADIATION DEPOSITION | 8 |
Hikaru Katori | JP | Tokyo | 2016-02-04 / 20160033045 - SLIDING COMPONENT | 1 |
Yoichi Katori | JP | Suginami-Ku | 2009-10-22 / 20090260780 - METHOD AND APPARATUS FOR COOLING BREAD JUST AFTER BAKED | 2 |
Satoru Katori | JP | Himeji-Shi | 2010-09-16 / 20100230234 - DEFORMED-COIN DETECTOR | 1 |
Masato Katori | JP | Kawasaki | 2015-12-10 / 20150358811 - COMMUNICATION SYSTEM AND BASE STATION | 8 |
Tomohiro Katori | JP | Tokyo | 2015-10-01 / 20150282084 - COMMUNICATION CONTROL DEVICE, COMMUNICATION CONTROL METHOD, AND RECORDING MEDIUM | 13 |
Katsuyoshi Katori | JP | Yamato | 2013-12-12 / 20130332673 - SELECTING A VIRTUAL TAPE SERVER IN A STORAGE SYSTEM TO PROVIDE DATA COPY WHILE MINIMIZING SYSTEM JOB LOAD | 2 |
Masato Katori | JP | Kawasaki | 2015-12-10 / 20150358811 - COMMUNICATION SYSTEM AND BASE STATION | 8 |
Yoshiko Katori Stowell | US | San Jose | 2010-07-15 / 20100178307 - TRANSDERMAL ANTI-DEMENTIA ACTIVE AGENT FORMULATIONS AND METHODS FOR USING THE SAME | 1 |
Seiichi Katou | JP | Tokyo | 2016-03-24 / 20160086628 - Optical Component Positioning Device and Optical Recording Device Using Same | 9 |
Yuuzou Katou | JP | Ube-Shi | 2014-05-29 / 20140144775 - MgO TARGET FOR SPUTTERING | 1 |
Kouhei Katou | JP | Mishima | 2015-09-03 / 20150249174 - BACK-FACE PROTECTION SHEET FOR SOLAR CELL MODULE, AND SOLAR CELL MODULE USING SAME | 2 |
Yukitoshi Katou | JP | Hatanoshi | 2012-12-06 / 20120310227 - MEDICAL DEVICE | 1 |
Manabu Katou | JP | Kanagawa | 2012-12-13 / 20120314817 - DATA RECEIVING DEVICE, SEMICONDUCTOR INTEGRATED CIRCUIT, AND METHOD FOR CONTROLLING DATA RECEIVING DEVICE | 1 |
Kei Katou | JP | Chiyoda-Ku | 2015-03-05 / 20150063743 - OPTICAL-WAVEGUIDE-ELEMENT MODULE | 3 |
Isao Katou | JP | Gunma | 2011-06-09 / 20110132020 - HEAT EXCHANGER AND HEAT PUMP DEVICE USING THE SAME | 1 |
Hiroaki Katou | JP | Kanagawa | 2015-08-13 / 20150228737 - SEMICONDUCTOR DEVICE | 8 |
Keisuke Katou | JP | Shizuoka-Ken | 2013-09-19 / 20130241282 - Structure For Routing High-Voltage Cable in Vehicle | 1 |
Atsushi Katou | JP | Tokyo | 2015-10-08 / 20150286127 - PROJECTOR | 18 |
Kazusuke Katou | JP | Miyazaki | 2011-07-21 / 20110178740 - METHOD OF STORING EVALUATION RESULT, METHOD OF DISPLAYING EVALUATION RESULT, AND EVALUATION RESULT DISPLAY DEVICE | 1 |
Seiichi Katou | JP | Kodaira-Shi | 2013-09-26 / 20130253090 - METHOD FOR MANUFACTURING RUBBER COMPOSITION | 1 |
Takashi Katou | JP | Yokkaichi-City | 2013-07-11 / 20130175069 - METHOD OF MANUFACTURING WATERPROOF INTERMEDIATE SPLICED PORTION OF WIRES AND WATERPROOF INTERMEDIATE UNIT OF WIRES | 1 |
Ichirou Katou | JP | Miyagi | 2013-10-10 / 20130264873 - POWER SUPPLY CONTROL SYSTEM | 1 |
Kouichi Katou | JP | Osaka | 2010-12-30 / 20100332905 - PROGRAM EXECUTION DEVICE AND METHOD FOR CONTROLLING THE SAME | 1 |
Seiichi Katou | JP | Osaka | 2010-11-04 / 20100279158 - SECONDARY CELL AND ITS MANUFACTURING METHOD | 1 |
Norifumi Katou | JP | Osaka | 2009-02-12 / 20090044219 - DEVICE CONTROL METHOD USING AN OPERATION SCREEN, AND ELECTRONIC DEVICE AND SYSTEM USING THE METHOD | 1 |
Megumi Katou | JP | Osaka | 2010-10-07 / 20100253884 - POLARIZING PLATE, MANUFACTURING METHOD THEREOF, OPTICAL FILM AND IMAGE DISPLAY | 2 |
Masakazu Katou | JP | Osaka | 2010-09-16 / 20100232983 - Inverter Compressor Operating Method and Compressor Driving Device | 3 |
Junichi Katou | JP | Osaka | 2009-08-27 / 20090212718 - Illumination control system | 1 |
Shinetsu Katou | JP | Osaka | 2013-07-04 / 20130170667 - CLOCK REGENERATION CIRCUIT AND DIGITAL AUDIO REPRODUCTION DEVICE | 4 |
Kazunori Katou | JP | Kawasaki | 2010-11-04 / 20100279694 - DISPLAY PANEL STRUCTURE, ELECTRONIC DEVICE USING THE SAME, AND MOBILE INFORMATION EQUIPMENT | 7 |
Yoshikazu Katou | JP | Kawasaki | 2008-09-11 / 20080222568 - PROGRAM, METHOD AND SYSTEM FOR SELECTING THE THREE-DIMENSIONAL MODEL OF A COMPONENT | 1 |
Yoshiaki Katou | JP | Kawasaki | 2009-08-20 / 20090207570 - COVER PART FOR ELECTRONIC EQUIPMENT AND ELECTRONIC EQUIPMENT HAVING THE SAME | 2 |
Takashi Katou | JP | Kawasaki | 2009-11-12 / 20090278990 - ERROR DETECTION METHOD AND CIRCUIT, AND ERROR REDUCTION METHOD AND CIRCUIT | 1 |
Yousuke Katou | JP | Kawasaki | 2014-05-15 / 20140136747 - ELECTRONIC DEVICE AND DEVICE ACCESS METHOD | 2 |
Kazuhiko Katou | JP | Kariya-Shi | 2013-07-04 / 20130169003 - BODY STRUCTURE OF VEHICLE | 1 |
Yuuichi Katou | JP | Sizuoka-Ken | 2011-08-25 / 20110203544 - PISTON FOR INTERNAL COMBUSTION ENGINE | 1 |
Tomomi Katou | JP | Shizuoka | 2013-10-31 / 20130285610 - POWER CONTROL APPARATUS, METHOD, PROGRAM, AND INTEGRATED CIRCUIT, AND STORAGE BATTERY UNIT | 1 |
Hiroyo Katou | JP | Shizuoka-Ken | 2011-12-08 / 20110299109 - IMAGE PROCESSING APPARATUS AND MANAGEMENT APPARATUS | 12 |
Yumi Katou | JP | Kanagawa | 2014-07-24 / 20140205134 - ELECTRONIC DEVICE | 6 |
Takatoshi Katou | JP | Kanagawa | 2013-08-22 / 20130218935 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 1 |
Sadahiro Katou | JP | Kanagawa | 2013-12-12 / 20130328106 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Takashi Katou | JP | Oyama-Shi | 2015-03-19 / 20150078967 - EXHAUST TREATMENT UNIT AND WORK VEHICLE HAVING EXHAUST TREATMENT UNIT | 8 |
Yukitoshi Katou | JP | Hadano | 2015-12-03 / 20150342592 - MEDICAL DEVICE AND TREATMENT METHOD | 1 |
Hiroshi Katou | JP | Isesaki-Shi | 2011-01-06 / 20110000759 - BANKNOTE IDENTIFICATION APPARATUS | 2 |
Hiroshi Katou | JP | Aichi-Ken | 2009-10-22 / 20090264237 - Automatic transmission | 1 |
Hiroshi Katou | JP | Kariya | 2014-09-18 / 20140262673 - HYDRAULIC CLUTCH AND TRANSMISSION DEVICE PROVIDED WITH THE SAME | 7 |
Hiroshi Katou | JP | Kariya-Shi | 2013-08-01 / 20130196813 - TRANSMISSION | 3 |
Hiroshi Katou | JP | Anjo | 2009-01-08 / 20090011892 - Automatic transmission | 6 |
Hajime Katou | JP | Tokyo | 2013-04-11 / 20130088521 - ELECTRONIC APPARATUS AND PROGRAM WHICH CAN CONTROL DISPLAY IN ACCORDANCE WITH A USER OPERATION | 2 |
Tomonori Katou | JP | Kanagawa | 2015-11-19 / 20150329712 - POLYETHYLENE-BASED STRUCTURE | 8 |
Yuuki Katou | JP | Osaka | 2012-05-10 / 20120112380 - Apparatus and Method for Inflation Extrusion Molding of Pressure-Sensitive Adhesive Sheet | 2 |
Daisuke Katou | JP | Kobe-Shi | 2011-09-01 / 20110212364 - POSITIVE ELECTRODE FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND METHOD OF MANUFACTURING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING THE POSITIVE ELECTRODE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hidekazu Katou | JP | Aichi | 2011-10-06 / 20110239739 - METHOD OF MANUFACTURING GAS SENSOR, AND GAS SENSOR | 1 |
Kenji Katou | JP | Aichi-Ken | 2011-10-06 / 20110245935 - Control system having electronic control units and electronic control unit for the system | 1 |
Naozumi Katou | JP | Yokohama-Shi | 2014-01-02 / 20140000554 - CONTROL DEVICE FOR MULTI-CYLINDER INTERNAL COMBUSTION ENGINE | 2 |
Shigeyuki Katou | JP | Saitama-Shi | 2013-11-28 / 20130313762 - VACUUM FORMING METHOD | 1 |
Kiyoshi Katou | JP | Yokohama-Shi | 2013-10-17 / 20130272663 - OPTICAL ASSEMBLY | 2 |
Manabu Katou | JP | Osaka | 2014-10-30 / 20140323722 - OXADIAZOLE DERIVATIVE HAVING ENDOTHELIAL LIPASE INHIBITORY ACTIVITY | 4 |
Hiroshi Katou | JP | Miyoshi-Shi, Aichi | 2015-11-05 / 20150314727 - MOTIVE POWER OPERATION GUIDANCE APPARATUS | 1 |
Tomonori Katou | JP | Kanagawa | 2015-11-19 / 20150329712 - POLYETHYLENE-BASED STRUCTURE | 8 |
Motomichi Katou | JP | Nara | 2014-10-30 / 20140322624 - FUEL CELL SYSTEM AND METHOD FOR OPERATING THE SAME | 15 |
Hirotsugu Katou | JP | Hekinan-Shi | 2011-11-03 / 20110270490 - VEHICLE-USE ELECTRONIC CONTROL DEVICE | 1 |
Tetsuya Katou | JP | Kanagawa | 2012-02-16 / 20120037959 - SEMICONDUCTOR DEVICE WITH LESS POWER SUPPLY NOISE | 8 |
Takeo Katou | JP | Kanagawa | 2011-01-06 / 20110001593 - PERMANENT MAGNET AND METHOD OF MANUFACTURING SAME | 5 |
Yusuke Katou | JP | Kanagawa | 2011-08-18 / 20110199520 - Image processing apparatus and image processing method | 3 |
Hiroyuki Katou | JP | Kanagawa | 2010-07-29 / 20100187149 - PACKAGING DEVICE, CUSHIONING MEMBER USED FOR THE SAME, AND METHOD OF MANUFACTURING CUSHIONING MEMBER | 1 |
Masahiko Katou | JP | Kanagawa | 2010-07-01 / 20100167094 - BATTERY STRUCTURE | 1 |
Hisaya Katou | JP | Kanagawa | 2009-09-24 / 20090238260 - TRANSMITTER AND RECEIVER FOR DIGITAL BROADCASTING | 1 |
Hirotaka Katou | JP | Kanagawa | / - | 1 |
Takazou Katou | JP | Kanagawa | 2009-07-30 / 20090191373 - Single Layer Film and Hydrophilic Material Comprising the Same | 1 |
Satoshi Katou | JP | Kanagawa | 2009-07-02 / 20090171037 - POLYESTER DERIVED FROM BIOMASS RESOURCES AND METHOD FOR PRODUCTION THEREOF | 1 |
Takashi Katou | JP | Kanagawa | 2012-03-29 / 20120075656 - IMAGE FORMING APPARATUS, IMAGE FORMING SYSTEM AND COMPUTER READABLE MEDIUM | 2 |
Kouji Katou | JP | Kanagawa | 2009-02-19 / 20090047501 - EASY-OPEN END | 1 |
Mutsumi Katou | JP | Yokohama | 2014-01-23 / 20140021513 - COMPOUND SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 2 |
Hiroshi Katou | JP | Anjo-Shi | 2013-12-19 / 20130337964 - AUTOMATIC TRANSMISSION | 1 |
Akitoshi Katou | JP | Anjo-Shi | 2013-12-19 / 20130337964 - AUTOMATIC TRANSMISSION | 1 |
Ichirou Katou | JP | Shizuoka | 2015-10-08 / 20150288296 - POWER CONVERSION DEVICE | 1 |
Ryouhei Katou | JP | Yokohama | 2015-09-10 / 20150252393 - NOVEL HYDROLASE PROTEIN | 2 |
Shinji Katou | JP | Shizuoka | 2012-02-02 / 20120024564 - HARNESS WIRING APPARATUS | 2 |
Takashi Katou | JP | Makinohara-Shi | 2011-12-08 / 20110297415 - WIRE HARNESS | 1 |
Katsumi Katou | JP | Osaka | 2014-01-16 / 20140017108 - SCROLL COMPRESSOR | 1 |
Kazunobu Katou | JP | Kisarazu Chiba | 2015-09-10 / 20150256179 - SWITCHING CONTROL CIRCUIT AND WIRELESS COMMUNICATION DEVICE | 1 |
Akio Katou | JP | Shizuoka | 2012-01-05 / 20120000282 - LIQUID LEVEL DETECTING APPARATUS | 1 |
Hiroaki Katou | JP | Kanagawa | 2015-08-13 / 20150228737 - SEMICONDUCTOR DEVICE | 8 |
Aya Katou | JP | Tokyo | 2012-01-12 / 20120007539 - NUMERICAL CONTROL DEVICE | 1 |
Tsutomu Katou | JP | Tokyo | 2013-09-26 / 20130249780 - PROJECTION DISPLAY AND LACK OF BRIGHTNESS UNIFORMITY COMPENSATION METHOD | 2 |
Kouji Katou | JP | Tokyo | 2014-04-03 / 20140091171 - WIRE REEL, REINFORCING BAR BINDING MACHINE, AND ROTATIONAL INFORMATION DETECTING METHOD | 9 |
Osamu Katou | JP | Osaka | 2014-06-05 / 20140157023 - NETWORK TERMINAL, METHOD FOR CONTROLLING THE SAME, AND NETWORK SYSTEM | 2 |
Kiyoo Katou | JP | Tokyo | 2010-08-19 / 20100210760 - PROCESS FOR MANUFACTURING MODIFIED CONJUGATED DIENE POLYMER, COMPOSITION COMPRISING THE POLYMER, AND TIRE COMPRISING THE COMPOSITION | 1 |
Yuukou Katou | JP | Tokyo | 2016-04-07 / 20160099486 - SECONDARY BATTERY ELECTROLYTE AND SECONDARY BATTERY | 14 |
Tomohiro Katou | JP | Tokyo | 2009-10-01 / 20090243835 - Combination smoke and heat detector | 1 |
Masanori Katou | JP | Tokyo | 2012-11-15 / 20120290296 - Method, Apparatus, and Computer Program for Suppressing Noise | 3 |
Yoshiaki Katou | JP | Tokyo | 2009-05-14 / 20090125791 - Decoding Device | 1 |
Manabu Katou | JP | Tokyo | 2016-05-19 / 20160142679 - Sensor Placement Determination Device and Sensor Placement Determination Method | 3 |
Kenichi Katou | JP | Tokyo | 2010-09-09 / 20100227654 - MOBILE COMMUNICATION TERMINAL AND COMMUNICATION METHOD | 2 |
Daisuke Katou | JP | Tokyo | 2009-12-17 / 20090308517 - LAMINATED BODY AND METHOD OF PRODUCING THE SAME AS WELL AS INNERLINER FOR PNEUMATIC TIRE AND PNEUMATIC TIRE | 1 |
Yasunori Katou | JP | Tokyo | 2009-08-06 / 20090196203 - WIRELESS COMMUNICATION SYSTEM AND COMMUNICATION CONTROL METHOD | 1 |
Yoshikazu Katou | JP | Tokyo | 2011-11-17 / 20110279816 - PHOTOMASK MOUNTING/HOUSING DEVICE AND RESIST INSPECTION METHOD AND RESIST INSPECTION APPARATUS USING SAME | 2 |
Seiichi Katou | JP | Tokyo | 2016-03-24 / 20160086628 - Optical Component Positioning Device and Optical Recording Device Using Same | 9 |
Kunihiko Katou | JP | Tokyo | 2008-10-30 / 20080265956 - Semiconductor device having input circuits activated by clocks having different phases | 1 |
Keigo Katou | JP | Toyota-Shi | 2012-01-19 / 20120011969 - FASTENING TOOL | 1 |
Yoshiki Katou | JP | Tokyo | 2014-07-10 / 20140194739 - ULTRASOUND PROBE | 7 |
Taiki Katou | JP | Tsukuba City | 2015-07-02 / 20150187593 - ETCHING METHOD, STORAGE MEDIUM AND ETCHING APPARATUS | 1 |
Yoshiki Katou | JP | Ota-Ku | 2013-04-25 / 20130102904 - ULTRASONIC DIAGNOSTIC DEVICE AND PROGRAM | 1 |
Daisuke Katou | JP | Kobe-City | 2012-01-26 / 20120021282 - POSITIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, AND METHOD FOR PRODUCING THE SAME | 1 |
Takeshi Katou | JP | Tokyo | 2012-11-29 / 20120303752 - CONTENT SELECTING SYSTEM, PROVIDING APPARATUS, TRANSFER APPARATUS AND CONTENT SELECTING METHOD | 3 |
Riichi Katou | JP | Tokyo | 2015-06-25 / 20150179014 - BANKNOTE HANDLING DEVICE | 2 |
Akane Katou | JP | Kanagawa | 2013-04-18 / 20130096823 - Navigation Device and Guidance Method for Information Relating to Destination | 1 |
Takashi Katou | JP | Osaka | 2015-07-09 / 20150192822 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Tsuyoshi Katou | JP | Tokyo | 2013-04-25 / 20130101895 - ELECTROLYTE SOLUTION FOR A SECONDARY BATTERY | 2 |
Tetsuya Katou | JP | Kanagawa | 2012-02-16 / 20120037959 - SEMICONDUCTOR DEVICE WITH LESS POWER SUPPLY NOISE | 8 |
Kenji Katou | JP | Miyoshi-City | 2013-04-11 / 20130090783 - VEHICULAR CONTROL SYSTEM AND PORTABLE TERMINAL | 1 |
Tomohisa Katou | JP | Tsukuba-Shi | 2013-10-10 / 20130266810 - SILICON CARBIDE POWDER FOR PRODUCING SILICON CARBIDE SINGLE CRYSTAL AND A METHOD FOR PRODUCING THE SAME | 2 |
Souichirou Katou | JP | Tokyo | 2015-05-14 / 20150132279 - RADIATION STERILIZATION-RESISTANT PROTEIN COMPOSITION | 1 |
Shouta Katou | JP | Aichi | 2013-03-28 / 20130077953 - ACCESSORY, IMAGING APPARATUS, AND DETECTION METHOD | 1 |
Sadaaki Katou | JP | Ibaraki | 2015-07-02 / 20150185611 - Photosensitive Resin Composition, Photosensitive Film, Rib Pattern Formation Method, Hollow Structure and Formation Method for Same, and Electronic Component | 2 |
Masanobu Katou | JP | Toyoake-Shi | 2012-03-15 / 20120061067 - Heat sink | 1 |
Tomoki Katou | JP | Kawasaki | 2016-05-12 / 20160134261 - SIGNAL PROCESSING APPARATUS, SIGNAL PROCESSING METHOD, AND SIGNAL PROCESSING SYSTEM | 5 |
Yuuki Katou | JP | Ibaraki-Shi | 2014-02-20 / 20140050874 - ADHESIVE SHEET | 3 |
Kazuhito Katou | JP | Kanagawa | 2012-03-29 / 20120078553 - BATTERY CHARGE AMOUNT INCREASE FACILITY INFORMATION PROVISION DEVICE AND METHOD | 1 |
Shintarou Katou | JP | Tokyo | 2016-03-03 / 20160060630 - METHOD FOR EVALUATING REDOX ACTIVITY OF NUCLEIC ACID MOLECULE AND NUCLEIC ACID MOLECULE HAVING REDOX ACTIVITY | 6 |
Takashi Katou | JP | Oyama-Shi | 2015-03-19 / 20150078967 - EXHAUST TREATMENT UNIT AND WORK VEHICLE HAVING EXHAUST TREATMENT UNIT | 8 |
Masayuki Katou | JP | Osaka | 2012-04-19 / 20120092120 - REACTOR | 1 |
Rie Katou | JP | Ichihara-Shi | 2015-10-01 / 20150279717 - TAPE FOR PROCESSING WAFER, METHOD FOR MANUFACTURING TAPE FOR PROCESSING | 5 |
Shinya Katou | JP | Ichihara-Shi | 2015-10-01 / 20150279717 - TAPE FOR PROCESSING WAFER, METHOD FOR MANUFACTURING TAPE FOR PROCESSING | 5 |
Takuya Katou | JP | Tokyo | 2015-03-05 / 20150059845 - CZTS-BASED THIN FILM SOLAR CELL AND METHOD OF PRODUCTION OF SAME | 1 |
Kouji Katou | JP | Tokyo | 2014-04-03 / 20140091171 - WIRE REEL, REINFORCING BAR BINDING MACHINE, AND ROTATIONAL INFORMATION DETECTING METHOD | 9 |
Naohiro Katou | JP | Daito-Shi | 2012-05-03 / 20120106106 - COMMUNICATION APPARATUS | 1 |
Keisuke Katou | JP | Yokohama-Shi | 2013-12-12 / 20130331533 - POLYMER FOR LITHOGRAPHY | 3 |
Keizou Katou | JP | Hachiouji | 2012-05-10 / 20120112741 - METHOD FOR PRODUCING MAGNETORESISTIVE EFFECT ELEMENT, MAGNETIC SENSOR, ROTATION-ANGLE DETECTION DEVICE | 1 |
Akitomo Katou | JP | Tokyo | 2015-01-29 / 20150027937 - SEAWATER DESALINATION SYSTEM | 1 |
Kouji Katou | JP | Ibaraki | 2012-10-18 / 20120263920 - Photosensitive Polymer Composition, Method of Producing Pattern and Electronic Parts | 2 |
Kenji Katou | JP | Miyazaki | 2008-09-11 / 20080216573 - Semiconductor acceleration sensor | 1 |
Yoshimasa Katou | JP | Watarai-Gun | 2008-09-25 / 20080231397 - Electromagnetic Relay | 1 |
Makoto Katou | JP | Ichinomiya | 2008-10-23 / 20080257577 - Power tool | 1 |
Tooru Katou | JP | Akita | 2008-10-30 / 20080267433 - Bone-Conduction Loudspeaker Set, Electronic Equipment, Electronic Translation System, Auditory Support System, Navigation Apparatus, and Cellular Phone | 1 |
Toshikazu Katou | JP | Ageo | 2008-11-20 / 20080282681 - EXHAUST EMISSION PURIFYING APPARATUS FOR ENGINE | 1 |
Keiji Katou | JP | Hyogo | 2008-11-27 / 20080289957 - Vacuum Film Forming Apparatus | 1 |
Michiya Katou | JP | Gifu-Ken | 2008-12-11 / 20080303672 - VALVE-INTEGRATED TRANSPONDER | 2 |
Atsushi Katou | JP | Toyohashi-City | 2008-12-11 / 20080301898 - Wiper system | 1 |
Seiichi Katou | JP | Tsuchiura | 2008-12-25 / 20080320507 - Optical Disk Apparatus | 1 |
Naoji Katou | JP | Anjo | 2009-01-08 / 20090007709 - Automatic transmission | 1 |
Masahiro Katou | JP | Ibaraki | 2009-01-08 / 20090007425 - Method for Manufacturing Multilayer Wiring Board | 1 |
Makoto Katou | JP | Hyogo | 2009-02-05 / 20090036644 - METHOD OF REMOVING RESIDUAL ETHYLENE OXIDE MONOMER IN POLYETHYLENE OXIDE | 1 |
Masanori Katou | JP | Kanagawa | 2015-06-25 / 20150179963 - ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR PRODUCING THE SAME | 4 |
Hideyuki Katou | JP | Hyogo | 2009-03-05 / 20090058287 - NOVEL 1,3,5-TRIS(DIARYLAMINO)BENZENES AND USE THEREOF | 1 |
Gou Katou | JP | Fukuoka-Ken | 2009-03-05 / 20090056103 - Rotor Shaft and Method of Manufacturing the Same | 1 |
Yukio Katou | JP | Ibaraki-Ken | 2009-03-19 / 20090073613 - Magnetic Head Supporting Mechanism | 1 |
Kenji Katou | JP | Nishikamo-Gun | 2012-05-03 / 20120109422 - Keyless entry system for vehicle | 3 |
Osamu Katou | JP | Sakai-Shi | 2009-05-14 / 20090121565 - Stator of Alternating-Current Rotary Electric Machine and Method of Insulating Stator Winding of Alternating-Current Rotary Electric Machine | 1 |
Kiyohide Katou | JP | Okazaki | 2009-05-28 / 20090138193 - Vehicle navigation apparatus and vehicle navigation program | 1 |
Hiroyo Katou | JP | Mishima-Shi | 2009-08-20 / 20090210278 - MAINTENANCE SCHEDULING SYSTEM, MAINTENANCE SCHEDULING METHOD, AND IMAGE FORMING APPARATUS | 1 |
Takayuki Katou | JP | Shizuoka | 2009-09-03 / 20090218553 - Electromagnetic Wave Absorption Material for Thermoforming | 1 |
Rin Katou | JP | Tokyo | 2014-12-25 / 20140379494 - AUCTION APPARATUS AND AUCTION METHOD | 4 |
Naoji Katou | JP | Aichi-Ken | 2009-10-22 / 20090264237 - Automatic transmission | 1 |
Akitoshi Katou | JP | Aichi-Ken | 2009-10-22 / 20090264237 - Automatic transmission | 1 |
Hajime Katou | JP | Tsuchiura | 2009-10-29 / 20090269251 - MICROREACTOR | 1 |
Shinichi Katou | JP | Tochigi | 2009-11-05 / 20090272341 - COOLING STRUCTURE FOR WORKING VEHICLE | 1 |
Makoto Katou | JP | Ibaraki | 2009-12-31 / 20090321746 - SILICON CARBIDE SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SAME | 2 |
Daisaku Katou | JP | Toyota-Shi | 2010-01-21 / 20100013261 - VEHICLE SUNSHADE DEVICE | 1 |
Shisei Katou | JP | Mobara | 2011-08-04 / 20110187953 - LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Tetsuya Katou | JP | Aikou | 2010-02-04 / 20100029526 - UREA GREASE COMPOSITION | 1 |
Yusei Katou | JP | Nagoya-Shi | 2010-02-04 / 20100028547 - POLYBENZIMIDAZOLE COATING COMPOSITION | 1 |
Chiaki Katou | JP | Kusatsu-Shi | 2010-02-18 / 20100041089 - IMPROVED METHOD FOR DETECTING CHEMICAL SUBSTANCES | 1 |
Osamu Katou | JP | Kyoto | 2010-02-18 / 20100039241 - POWER LINE COMMUNICATION DEVICE | 1 |
Hiroaki Katou | JP | Kawasaki-Shi | 2015-09-24 / 20150270392 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, ELECTRONIC DEVICE AND VEHICLE | 3 |
Yuuichirou Katou | JP | Yokohama-Shi | 2015-03-19 / 20150079320 - PLASTIC CONTAINER HAVING EXCELLENT DECORATIVE APPEARANCE | 3 |
Toshikazu Katou | JP | Saitama | 2010-04-08 / 20100086446 - Exhaust Emission Purifying Apparatus for Engine | 2 |
Yukitoshi Katou | JP | Ashigarakami-Gun | 2010-06-17 / 20100152732 - MEDICAL DEVICE | 1 |
Hirohisa Katou | JP | Gamagori-Shi | 2010-07-15 / 20100179790 - Method for Forming Functional Spectral Filter | 1 |
Shinnichi Katou | JP | Tokyo-To | 2010-07-15 / 20100177397 - FRONT FILTER FOR PLASMA DISPLAY AND PLASMA DISPLAY | 1 |
Michiya Katou | JP | Ichinomiya-Shi | 2015-12-03 / 20150347259 - SERVER MONITORING DEVICE AND SERVER MONITORING SYSTEM | 5 |
Akemi Katou | JP | Minato-Ku | 2010-08-19 / 20100206851 - WELDING OBSERVATION DEVICE | 1 |
Satoshi Katou | JP | Hitachi-Shi | 2010-08-19 / 20100206851 - WELDING OBSERVATION DEVICE | 1 |
Wataru Katou | JP | Kagoshima | 2010-08-26 / 20100214763 - PHOSPHOR, PROCESS FOR PRODUCING THE SAME, WAVELENGTH CONVERTER AND ILLUMINATION DEVICE | 1 |
Hajime Katou | JP | Chiyoda | 2014-05-29 / 20140147348 - CHEMICAL ANALYSIS APPARATUS AND CHEMICAL ANALYSIS METHOD | 2 |
Toshikazu Katou | JP | Ageo-Shi | 2010-09-23 / 20100236220 - EXHAUST EMISSION PURIFYING APPARATUS FOR ENGINE | 2 |
Motomichi Katou | JP | Nara | 2014-10-30 / 20140322624 - FUEL CELL SYSTEM AND METHOD FOR OPERATING THE SAME | 15 |
Yasuo Katou | JP | Shizuoka | 2010-11-25 / 20100298130 - METAL CARRIER CATALYST FOR CLEANING EXHAUST GAS | 1 |
Eigo Katou | JP | Hyogo | 2010-11-25 / 20100296926 - GAS TURBINE AND METHOD FOR OPENING CHAMBER OF GAS TURBINE | 2 |
Eigo Katou | JP | Hyogo-Ken | 2011-01-06 / 20110000218 - GAS TURBINE AND METHOD OF OPENING CHAMBER OF GAS TURBINE | 1 |
Satoshi Katou | JP | Yokohama-Shi | 2013-01-31 / 20130030145 - BIOMASS-RESOURCE-DERIVED POLYESTER AND PRODUCTION PROCESS THEREOF | 3 |
Shigeharu Katou | JP | Nagano | 2011-05-19 / 20110115481 - MAGNETIC ABSOLUTE ENCODER | 2 |
Tsutomu Katou | JP | Aichi | 2011-03-03 / 20110047812 - METHOD FOR CONTROLLING DRYING OF CLOTHES AND DRYER FOR CLOTHES | 1 |
Tomoaki Katou | JP | Toyama | 2011-03-24 / 20110070752 - ELECTRICAL CONNECTOR FOR CIRCUIT BOARD | 1 |
Mitsunobu Katou | JP | Kosai-Shi | 2011-03-17 / 20110061895 - ARM STRUCTURE | 2 |
Hidetoshi Katou | JP | Komaki-City | 2011-08-25 / 20110207241 - Formation method of metallic electrode of semiconductor device and metallic electrode formation apparatus | 2 |
Yoshiaki Katou | JP | Fujisawa-Shi | 2012-12-06 / 20120309591 - HYDRAULIC CONTROL DEVICE FOR AUTOMATIC TRANSMISSION | 5 |
Hideki Katou | JP | Shizuoka | 2011-04-28 / 20110094769 - COVERED CONDUCTOR FOR WIRE HARNESS AND WIRE HARNESS PRODUCED USING THE SAME | 1 |
Fusayoshi Katou | JP | Okazaki-City | 2015-02-26 / 20150057840 - VEHICLE-MOUNTED CONTROL SYSTEM AND VEHICLE-MOUNTED CONTROL DEVICE | 2 |
Hajime Katou | JP | Makinohara | 2011-05-12 / 20110108680 - ELECTRICAL WIRE FIXING MEMBER | 1 |
Hiroki Katou | JP | Ueda-Shi | 2010-03-18 / 20100066182 - RECIPROCATING VIBRATOR | 1 |
Masakazu Katou | JP | Sakai-Shi | 2010-03-25 / 20100073977 - ELECTRIC POWER SUPPLY CIRCUIT AND PAM CONTROL METHOD FOR THE SAME | 2 |
Kiyomitsu Katou | JP | Yokohama | 2011-10-06 / 20110246747 - RECONFIGURABLE CIRCUIT USING VALID SIGNALS AND METHOD OF OPERATING RECONFIGURABLE CIRCUIT | 4 |
Riichi Katou | JP | Nagoya-Shi | 2010-07-01 / 20100164161 - Bill Deposit/Withdrawal Machine For depositing/Withdrawing Bills | 2 |
Hitoshi Katou | JP | Ibaraki | 2010-07-08 / 20100174103 - MATERIAL FOR FORMING SILICON-CONTAINING FILM, AND SILICON-CONTAINING INSULATING FILM AND METHOD FOR FORMING THE SAME | 1 |
Yuuichi Katou | JP | Shizuoka-Ken | 2010-07-22 / 20100185380 - INTERNAL COMBUSTION ENGINE CONTROL APPARATUS AND METHOD | 1 |
Shigeyuki Katou | JP | Saitama | 2010-07-29 / 20100189989 - Foamed molding with soft insert component and its molding method | 1 |
Takeyoshi Katou | JP | Saitama | 2010-07-29 / 20100187272 - Fixture structure for in-vehicle electronic apparatus | 1 |
Fusaaki Katou | JP | Mie | 2010-09-09 / 20100227987 - PROPYLENE-BASED POLYMER, PRODUCTION METHOD THEREFOR, COMPOSITION USING THE SAME, AND APPLICATION THEREOF | 1 |
Yuuichi Katou | JP | Susono-Shi | 2014-01-02 / 20140000558 - FUEL INJECTION CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 5 |
Mitsunobu Katou | JP | Kosai | 2010-09-30 / 20100243319 - POWER SUPPLYING SYSTEM FOR A SLIDING STRUCTURE | 3 |
Tatsuya Katou | JP | Nagoya-Shi | 2010-10-21 / 20100264119 - ELECTRIC DISCHARGE MACHINING APPARATUS AND PROGRAMMING DEVICE | 1 |
Hidenori Katou | JP | Nagoya | 2015-03-19 / 20150076948 - POWER TRANSMISSION APPARATUS | 4 |
Yuuichi Katou | JP | Fukushima | 2014-10-23 / 20140316033 - INORGANIC-ORGANIC HYBRID MATERIAL, OPTICAL MATERIAL USING THE SAME, AND INORGANIC-ORGANIC COMPOSITE COMPOSITION | 3 |
Masahiro Katou | JP | Asaka | 2011-04-07 / 20110082006 - TRAINING MACHINE AND METHOD FOR CONTROLLING TRAINING MACHINE | 2 |
Masayuki Katou | JP | Osaka-Shi | 2012-05-24 / 20120126928 - REACTOR AND REACTOR-USE COMPONENT | 1 |
Shintarou Katou | JP | Koto-Ku | 2013-10-17 / 20130273530 - ANALYTICAL DEVICE AND ANALYTICAL METHOD | 5 |
Takashi Katou | JP | Kyoto | 2015-02-26 / 20150053882 - ELECTROLYTIC SOLUTION FOR ALUMINUM ELECTROLYTIC CAPACITOR, AND ALUMINUM ELECTROLYTIC CAPACITOR USING SAME | 1 |
Yuudai Katou | JP | Tokyo | 2015-12-17 / 20150361364 - SYSTEM AND METHOD FOR RECOVERING GAS CONTAINING CO2 AND H2S | 2 |
Hisaya Katou | JP | Kyoto | 2016-05-19 / 20160142757 - TRANSMITTING METHOD, RECEIVING METHOD, TRANSMITTING DEVICE, AND RECEIVING DEVICE | 8 |
Takehiko Katou | JP | Tokyo | 2014-12-18 / 20140366530 - VARIABLE FLOW VALVE MECHANISM AND VEHICLE TURBOCHARGER | 2 |
Hirotsugu Katou | JP | Hekinan-City | 2015-08-27 / 20150245471 - ELECTRONIC DEVICE | 2 |
Hisaya Katou | JP | Kyoto | 2016-05-19 / 20160142757 - TRANSMITTING METHOD, RECEIVING METHOD, TRANSMITTING DEVICE, AND RECEIVING DEVICE | 8 |
Sanae Katou | JP | Makinohara-Shi | 2016-03-03 / 20160064888 - TERMINAL INSERTION DEVICE AND TERMINAL INSERTION METHOD | 2 |
Kazunobu Katou | JP | Chiba-Ken | 2012-06-07 / 20120139570 - SEMICONDUCTOR DEVICE AND METHOD FOR TESTING SAME | 1 |
Yumi Katou | JP | Tokyo | 2015-08-06 / 20150220166 - DISPLAY CONTROL DEVICE, COMMUNICATION TERMINAL, DISPLAY CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM ON WHICH PROGRAM IS RECORDED | 3 |
Atsushi Katou | JP | Tokyo | 2015-10-08 / 20150286127 - PROJECTOR | 18 |
Yukitoshi Katou | JP | Hadano-Shi | 2014-10-30 / 20140324038 - MEDICAL DEVICE | 1 |
Keizou Katou | JP | Tokyo | 2014-10-30 / 20140320117 - METHOD FOR PRODUCING MAGNETO-RESISTIVE EFFECT ELEMENT, MAGNETIC SENSOR, ROTATION-ANGLE DETECTION DEVICE | 1 |
Kouhei Katou | JP | Mishima-Shi | 2014-10-30 / 20140318616 - BACK-PROTECTIVE SHEET FOR SOLAR CELL MODULE AND SOLAR CELL MODULE USING SAME | 1 |
Youji Katou | JP | Hirosaki-Shi | 2012-06-21 / 20120157391 - PROTEOGLYCAN-CONTAINING MATERIAL | 1 |
Kenji Katou | JP | Gifu | 2013-01-31 / 20130029114 - ALUMINUM ALLOY WELDED MEMBER | 1 |
Takehiko Katou | JP | Kawasaki-Shi | 2015-10-01 / 20150280481 - ELECTRONIC DEVICE, AND CAPACITOR CAPACITANCE DETECTION METHOD APPLIED TO THE SAME | 2 |
Jun Katou | JP | Tokyo | 2016-02-11 / 20160038831 - VIDEO GAME PROCESSING APPARATUS AND VIDEO GAME PROCESSING PROGRAM PRODUCT | 2 |
Kazuhiro Katou | JP | Osaka | 2012-07-05 / 20120171891 - PLUG | 1 |
Hidemi Katou | JP | Chiyoda-Ku | 2012-07-12 / 20120178014 - REACTION LAYER FOR FUEL CELL | 1 |
Atsushi Katou | JP | Odawara-Shi | 2012-07-19 / 20120184053 - METHOD OF MANUFACTURING A MAGNETIC READ SENSOR HAVING A LOW RESISTANCE CAP STRUCTURE | 1 |
Kazuhiro Katou | JP | Osaka City | 2012-07-19 / 20120184132 - DIRECT CURRENT OUTLET | 1 |
Kenichi Katou | JP | Hitachinaka | 2012-07-19 / 20120184192 - DUST COLLECTION ADAPTER AND POWER TOOL INCLUDING DUST COLLECTION ADAPTER | 1 |
Ayano Katou | JP | Ibaraki | 2014-09-11 / 20140255709 - LAMINATE, METHOD FOR PRODUCING THE SAME, AND METHOD FOR FORMING CONDUCTIVE PATTERN | 1 |
Taiki Katou | JP | Nirasaki City | 2015-12-24 / 20150368802 - FILM FORMING METHOD AND FILM FORMING APPARATUS | 1 |
Sadahiro Katou | JP | Yokohama-Shi | 2013-11-21 / 20130307024 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 4 |
Fumiaki Katou | JP | Kariya-Shi | 2012-08-30 / 20120219395 - SEATBELT DEVICE FOR WHEELCHAIR | 1 |
Takashi Katou | JP | Saitama | 2013-05-02 / 20130106175 - CONTROL DEVICE | 1 |
Takashi Katou | JP | Wako-Shi | 2013-10-24 / 20130277130 - STRUCTURE FOR MOUNTING POWER SUPPLY DEVICE IN VEHICLE | 1 |
Takuma Katou | JP | Kobe-Shi | 2013-10-03 / 20130262143 - SAMPLE ANALYZER, SAMPLE ANALYZING METHOD, AND SAMPLE ANALYZING SYSTEM | 1 |
Tomohisa Katou | JP | Ibaraki | 2013-09-19 / 20130239878 - APPARATUS AND METHOD FOR PRODUCTION OF ALUMINUM NITRIDE SINGLE CRYSTAL | 1 |
Tsuyoshi Katou | JP | Odawara-Shi | 2013-06-20 / 20130156037 - NETWORK RELAY APPARATUS | 1 |
Mariko Katou | JP | Tokyo | 2015-05-21 / 20150137393 - GAS SEPARATION DEVICE AND PACKING | 2 |
Yasuyuki Katou | JP | Wako-Shi | 2014-06-12 / 20140159433 - VEHICLE BODY LATERAL STRUCTURE | 3 |
Junichi Katou | JP | Kyoto | 2013-05-23 / 20130132670 - MEMORY UNIT AND METHOD FOR CONTROLLING CPU | 1 |
Keizou Katou | JP | Hachioji | 2014-02-06 / 20140036387 - MICROWAVE ASSISTED MAGNETIC RECORDING HEAD HAVING SPIN TORQUE OSCILLATOR, AND MAGNETIC RECORDING APPARATUS | 1 |
Shinichi Katou | JP | Nagano | 2014-04-24 / 20140113244 - TOOTH WHITENING COMPOSITION CONTAINING COLLOIDAL PLATINUM | 1 |
Chikage Katou | JP | Aichi-Ken | 2014-04-03 / 20140091452 - SEMICONDUCTOR MODULE WITH COOLING MECHANISM AND PRODUCTION METHOD THEREOF | 1 |
Kouji Katou | JP | Saitama | 2014-04-03 / 20140092271 - IMAGING LENS AND IMAGING DEVICE | 2 |
Youji Katou | JP | Aomori | 2014-03-20 / 20140080761 - PROTEOGLYCAN-CONTAINING MATERIAL | 1 |
Naoko Katou | JP | Shizuoka | 2014-09-11 / 20140257111 - MEDICAL TUBE AND CATHETER | 2 |
Kouta Katou | JP | Ashigarakami-Gun | 2013-10-10 / 20130266480 - ASSAY CHIP | 1 |
Etsuko Katou | JP | Hiratsuka | 2013-08-15 / 20130208598 - COMMUNICATION SYSTEM, CONTROL DEVICE, AND NODE DEVICE | 1 |
Tatsuichi Katou | JP | Hitachinaka | 2013-05-02 / 20130105690 - CHARGED PARTICLE BEAM APPARATUS | 1 |
Takashi Katou | JP | Utsunomiya-Shi | 2013-08-08 / 20130200654 - VEHICLE BODY REAR STRUCTURE | 1 |
Hidekazu Katou | JP | Ichinomiya-Shi | 2013-08-15 / 20130205872 - GAS SENSOR AND SUBASSEMBLY UNIT THEREFOR | 1 |
Hiroshi Katou | JP | Aichi-Gun | 2014-09-18 / 20140264959 - HARDENING RESIN COMPOSITION, SEALING MATERIAL, AND ELECTRONIC DEVICE USING THE SEALING MATERIAL | 3 |
Kenji Katou | JP | Kariya-Shi | 2014-04-10 / 20140096897 - ARTICLE VACUUM FORMATION METHOD AND VACUUM FORMING APPARATUS | 2 |
Yoshitake Katou | JP | Kanagawa | 2012-09-20 / 20120238043 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Katsuhiro Katou | JP | Tokyo | 2015-12-24 / 20150367249 - N-METHYL-2-PYRROLIDONE DISTILLING APPARATUS | 2 |
Hisaharu Katou | JP | Aichi | 2013-01-10 / 20130010462 - DISPLAY DEVICE | 1 |
Hiroaki Katou | JP | Tokyo | 2016-01-28 / 20160027916 - SEMICONDUCTOR DEVICE WITH GATE ELECTRODES BURIED IN TRENCHES | 1 |
Manabu Katou | JP | Toyonaka-Shi | 2012-10-04 / 20120253040 - OXADIAZOLE DERIVATIVE HAVING ENDOTHELIAL LIPASE INHIBITORY ACTIVITY | 1 |
Hideaki Katou | JP | Yamanashi | 2014-05-15 / 20140130348 - COMPONENT MOUNTER, NOZZLE, AND COMPONENT MOUNTING POSITION CORRECTING METHOD | 1 |
Haruhisa Katou | JP | Fujimino-Shi | 2011-06-16 / 20110142358 - IMAGE ENCODING DEVICE | 1 |
Ryou Katou | JP | Aichi | 2013-11-07 / 20130294926 - ANTIFOULING FILM, AIR BLOWER BLADE PROVIDED WITH SAME, AIR BLOWER, AND ANTIFOULING COATING MATERIAL | 2 |
Yuukou Katou | JP | Tokyo | 2016-04-07 / 20160099486 - SECONDARY BATTERY ELECTROLYTE AND SECONDARY BATTERY | 14 |
Hiroshi Katou | JP | Toyokawa-Shi | 2013-01-03 / 20130002082 - ROTOR AND METHOD FOR MANUFACTURING THE ROTOR | 2 |
Haruki Katou | JP | Tokyo | 2013-08-22 / 20130219224 - JOB CONTINUATION MANAGEMENT APPARATUS, JOB CONTINUATION MANAGEMENT METHOD AND JOB CONTINUATION MANAGEMENT PROGRAM | 1 |
Makoto Katou | JP | Tokyo | 2016-03-24 / 20160083670 - WATER-SOLUBLE METAL WORKING OIL AGENT | 2 |
Kei Katou | JP | Tokyo | 2014-03-27 / 20140085597 - PATTERNED RETARDATION FILM FOR THREE-DIMENSIONAL DISPLAY AND METHOD FOR PRODUCING MOLD FOR PATTERNED ALIGNMENT FILM FOR THREE-DIMENSIONAL DISPLAY | 1 |
Eigo Katou | JP | Tokyo | 2015-03-19 / 20150078887 - DISC SHAFT CENTER ADJUSTING MECHANISM IN GAS TURBINE | 3 |
Terumitsu Katougi | JP | Osaka | 2011-02-03 / 20110025860 - IMAGE OUTPUT APPARATUS, CAPTURED IMAGE PROCESSING SYSTEM, AND RECORDING MEDIUM | 1 |
Kouzo Katougi | JP | Hitachi | 2011-12-29 / 20110315108 - Control Device for Engine | 1 |
Junichi Katougi | JP | Higashiibaraki-Gun | 2008-11-20 / 20080283234 - Heat sink and method of making same | 1 |
Takao Katougi | JP | Kanagawa | 2009-03-05 / 20090056135 - ASSEMBLING METHOD OF BEARING UNIT | 3 |
Hidetaka Katougi | JP | Tokyo | 2015-11-26 / 20150336193 - CLEANING DEVICE FOR ELECTRICAL-DISCHARGE MACHINING FLUID AND CLEANING METHOD FOR ELECTRICAL-DISCHARGE MACHINING FLUID | 5 |
Terumitsu Katougi | JP | Osaka-Shi | 2015-07-30 / 20150213771 - DISPLAY CALIBRATION SYSTEM AND STORAGE MEDIUM | 1 |
Takao Katougi | JP | Fujisawa-Shi | 2012-04-05 / 20120079721 - ASSEMBLING METHOD OF BEARING UNIT | 1 |
Hidetaka Katougi | JP | Chiyoda-Ku | 2014-01-30 / 20140027409 - ELECTRIC-DISCHARGE MACHINING DEVICE | 6 |
Ravi Reddy Katpelly | US | Durham | 2014-08-07 / 20140223468 - Preview-Based Content Monitoring And Blocking System | 21 |
Ravi Reddy Katpelly | US | Cary | 2016-04-07 / 20160100049 - Systems And Methods For Initiating Communications With Contacts Based On A Communication Specification | 14 |
Ravi Katpelly | US | Cary | 2015-08-20 / 20150237476 - SYSTEMS AND METHODS FOR ASSOCIATING COMMUNICATION INFORMATION WITH A GEOGRAPHIC LOCATION-AWARE CONTACT ENTRY | 3 |
Ravi Katpelly | US | Durham | 2012-02-16 / 20120041954 - System and method for providing conditional background music for user-generated content and broadcast media | 1 |
Ravi Reddy Katpelly | US | Cary | 2016-04-07 / 20160100049 - Systems And Methods For Initiating Communications With Contacts Based On A Communication Specification | 14 |
Rodolphe Katra | US | Blaine | 2016-02-11 / 20160038181 - TUNNELING TOOL FOR DELIBERATE PLACEMENT OF AN ILR | 21 |
Rodolphe Katra | US | Blaine | 2016-02-11 / 20160038181 - TUNNELING TOOL FOR DELIBERATE PLACEMENT OF AN ILR | 21 |
Suresh Babu Katragadda | IN | Kolkata | 2015-06-25 / 20150174135 - FLAVANOID COMPOUNDS AND PROCESS FOR PREPARATION THEREOF | 1 |
Bhaskara Rao Katragadda | IN | Tenali | 2015-06-25 / 20150174185 - VIRAL TRAPPERS | 1 |
Venubabu Katragadda | IN | Chennai | 2013-08-15 / 20130210365 - METHOD AND SYSTEM FOR ENABLING A MOBILE DEVICE TO INTERFACE WITH A MEDICAL DEVICE | 1 |
Suresh Babu Katragadda | IN | Andhra Pradesh | 2012-01-26 / 20120022062 - Flavanoid Compounds and Process for Preparation Thereof | 2 |
Venkata Katragadda | US | Kennesaw | 2016-03-10 / 20160067139 - Shock Wave Electrodes with Fluid Holes | 4 |
Lalitesh Kumar Katragadda | IN | Banglore | 2013-10-17 / 20130275884 - MANAGING MODERATION OF USER-CONTRIBUTED EDITS | 1 |
Ramana Katragadda | US | Carlsbad | 2012-08-30 / 20120222038 - TASK DEFINITION FOR SPECIFYING RESOURCE REQUIREMENTS | 1 |
Lalitesh Kumar Katragadda | IN | Bangalore | 2016-05-19 / 20160139781 - Integrating Maps and Street Views | 1 |
Bhaskara Rao Katragadda | US | 2015-06-25 / 20150174185 - VIRAL TRAPPERS | 1 | |
Lalitesh Katragadda | IN | Bangalore | 2016-02-04 / 20160034515 - Assessing Risk of Inaccuracies in Address Components of Map Features | 3 |
Madan Katragadda | US | Ypsilanti | 2016-04-07 / 20160096866 - POTENT COMPSTATIN ANALOGS | 3 |
Lalitesh Katragadda | IN | Hyderabad | 2010-01-21 / 20100017382 - TRANSLITERATION FOR QUERY EXPANSION | 1 |
Sreenivas Katragadda | US | Aurora | 2010-09-30 / 20100250680 - Routing mechanisms for messaging applications using an enhanced gateway control function | 1 |
Sunil Katragadda | US | Westland | 2015-02-19 / 20150047374 - METHODS AND SYSTEMS FOR CONDENSATION CONTROL | 1 |
Lalitesh Kumar Katragadda | IN | Hyderabad | 2014-02-20 / 20140053077 - Integrating Maps and Street Views | 3 |
Rakesh Babu Katragadda | US | Ann Arbor | 2011-02-10 / 20110034977 - IMPLANTABLE ELECTRODE ARRAY ASSEMBLY INCLUDING A CARRIER FOR SUPPORTING THE ELECTRODES AND CONTROL MODULES FOR REGULATING OPERATION OF THE ELECTRODES EMBEDDED IN THE CARRIER, AND METHOD OF MAKING SAME | 1 |
Anandaram Katragadda | IN | Bangalore | 2016-01-21 / 20160020639 - METHODS AND SYSTEMS FOR SIMULTANEOUSLY WIRELESSLY CHARGING PORTABLE DEVICES USING CUSTOM-DESIGNED AND RETRO-DESIGNED POWER CONTROL AND SUPPLY ASSEMBLIES AND ARCHITECTURAL STRUCTURES FACILITATING HANDS-FREE OPERATION OF THE PORTABLE DEVICES AND INTERACTION THEREWITH | 1 |
Rakesh Katragadda | US | Ann Arbor | 2014-09-18 / 20140264647 - METHOD OF FORMING MONOLITHIC CMOS-MEMS HYBRID INTEGRATED, PACKAGED STRUCTURES | 2 |
Raghunath Sai Katragadda | US | Ann Arbor | 2012-06-21 / 20120157766 - DEVICE FOR ENDOSCOPIC TREATMENT OF UPPER GASTROINTESTINAL BLEEDING | 1 |
Vikram Katragadda | US | Lawrenceville | 2009-10-22 / 20090263476 - Composition of Rapid Disintegrating Direct Compression Buccal Tablet | 1 |
Madan Katragadda | US | Bedford | 2013-06-06 / 20130142792 - RAGE Fusion Protein Compositions And Methods Of Use | 1 |
Kerfegar K. Katrak | US | Kalamazoo | 2014-12-04 / 20140358346 - Optimized Power Supply Architecture | 9 |
Kerfegar K. Katrak | US | Fenton | 2012-09-27 / 20120245793 - MULTI-CELL VOLTAGE SECURE DATA ENCODING IN HYBRID VEHICLES | 13 |
Nicholas J. Katrana | US | Ft. Wayne | 2011-05-19 / 20110118846 - SHOULDER PROSTHETIC | 1 |
Nicholas J. Katrana | US | Fort Wayne | 2015-11-12 / 20150320429 - Patient-Specific Elbow Guides And Associated Methods | 30 |
Nicholas J. Katrana | US | Fort Wayne | 2015-11-12 / 20150320429 - Patient-Specific Elbow Guides And Associated Methods | 30 |
Reuven Katraro | IL | Rishon Lezion | 2012-11-29 / 20120300363 - BULK CAPACITOR AND METHOD | 4 |
Reuven Katraro | IL | Rishon Le Zion | 2009-09-24 / 20090237863 - ELECTROPHORETICALLY DEPOSITED CATHODE CAPACITOR | 1 |
Tomaz Katrasnik | SI | Maribor | 2015-12-03 / 20150347648 - Method for Simulation of an Internal Combustion Engine | 1 |
Prashant Katre | US | San Diego | 2015-12-03 / 20150350285 - ADAPTIVE AUDIO VIDEO (AV) STREAM PROCESSING | 4 |
Nikhil Ramchandra Katre | IN | Maharashtra | 2016-04-21 / 20160106939 - EXPANDABLE INTUBATION ASSEMBLIES | 1 |
Nikhil R. Katre | IN | Thane | 2013-02-14 / 20130037597 - SURGICAL FASTENER APPLYING APPARATUS | 3 |
Nikhil Ramchandra Katre | IN | Thane Distr. | 2015-09-03 / 20150245839 - URETHRAL ANASTOMOSIS DEVICE AND METHOD | 1 |
Prasad Vishwas Katre | IN | Pune | 2013-06-06 / 20130144682 - SYSTEM AND METHOD FOR ENHANCING COMMUNICATION SERVICES BASED ON USER BEHAVIOR AND RELATIVE TRENDING PATTERNS | 1 |
Nikhil R. Katre | IN | Maharashtra | 2016-05-19 / 20160135811 - SURGICAL FASTENER APPLYING APPARATUS | 4 |
Snehal S. Katre | US | San Diego | 2015-12-03 / 20150348202 - Insurance Claim Outlier Detection with Kernel Density Estimation | 1 |
Juliano Katrib | GB | Beeston | 2015-11-26 / 20150337410 - HEAP LEACHING | 1 |
Juliano Katrib | GB | Nottingham | 2015-11-05 / 20150314332 - SORTING MINED MATERIAL | 1 |
Amy G. Katriel | US | Oakland | 2011-07-28 / 20110181906 - PUBLISHING CONTENT TO SOCIAL NETWORK SITES FROM APPLICATIONS | 1 |
Yaron Katriel | IL | Natanya | 2013-11-28 / 20130316643 - Statistical Multiplexing in Satellite Communication Networks | 2 |
Lee M. Katrincic | US | King Of Prussia | 2008-10-23 / 20080262069 - CARVEDILOL PHOSPHATE SALTS AND/OR SOLVATES THEREOF, CORRESPONDING COMPOSITIONS, AND/OR METHODS OF TREATMENT | 1 |
Waleri Katrini | DE | Bietigheim-Bissingen | 2012-06-28 / 20120161466 - FOLDING SLIDING ROOF | 3 |
Ernie Katris | US | New Berlin | 2015-12-03 / 20150349544 - LIGHTING SYSTEMS FOR MEDICAL USE | 4 |
Vsevolod Katritch | US | San Diego | 2012-11-15 / 20120288913 - NOVEL FUSION PARTNERS FOR THE PURPOSE OF CRYSTALLIZING G-PROTEIN COUPLED RECEPTORS | 1 |
Alan Katritzky | US | Gainsville | 2009-09-24 / 20090235467 - NEW AMINOINDOLIZINES, DYEING COMPOSITION COMPRISING AT LEAST ONE AMINOINDOLIZINE, METHODS AND USES THEREOF | 1 |
Alan Roy Katritzky | US | Gainesville | 2011-06-09 / 20110132806 - REMOVAL OF NITROGEN COMPOUNDS FROM FCC DISTILLATE | 1 |
Alan R. Katritzky | US | Gainesville | 2011-08-18 / 20110202328 - System for the determination of selective absorbent molecules through predictive correlations | 4 |
Rupert Katritzky | MC | Monaco | 2010-04-15 / 20100089941 - APPARATUS FOR STORING AND DISPENSING PACKAGES | 1 |
Rupert Katritzky | FR | Monaco | 2010-02-11 / 20100032448 - DEVICE FOR AUTOMATICALLY DISPENSING AND EJECTING PRODUCTS STORED IN PARALLEL LONGITUDINAL ROWS | 2 |
Siva Prasad Katru | IN | Bangalore | 2013-04-04 / 20130082826 - METHOD AND SYSTEM FOR INTERFACING WITH MULTIPLE DEVICES | 1 |
Siva Prasad Katru | IN | Guntur | 2016-03-10 / 20160071319 - METHOD TO USE AUGUMENTED REALITY TO FUNCTION AS HMI DISPLAY | 4 |
Alexei G. Katrukha | FI | Turku | 2009-06-25 / 20090163415 - NT-proBNP, proBNP AND BNP IMMUNOASSAYS, ANTIBODIES AND STABLE STANDARD | 1 |
Darshan Katrumane | US | Sunnyvale | 2015-01-29 / 20150032637 - CREATING IMAGE PRODUCT DESIGN BY DISTRIBUTED USERS IN A TRUE TEMPORAL PARALLEL FASHION | 1 |
Mark Paul Kats | NL | Driebergen | 2009-12-03 / 20090297663 - BREAD IMPROVER | 1 |
Mikhail Kats | US | Cambridge | 2013-08-15 / 20130208332 - Amplitude, Phase and Polarization Plate for Photonics | 1 |
Mikhail A. Kats | US | Cambridge | 2015-04-30 / 20150116721 - ULTRA-THIN OPTICAL COATINGS AND DEVICES AND METHODS OF USING ULTRA-THIN OPTICAL COATINGS | 1 |
Yury Kats | US | Belmont | 2015-12-31 / 20150381725 - SERVICE PLAN TIERING, PROTECTION, AND REHYDRATION STRATEGIES | 3 |
Dmitiy Yakov Kats | US | San Diego | 2015-01-29 / 20150027679 - FINNED TUBE ASSEMBLIES FOR HEAT EXCHANGERS | 1 |
Roman Kats | US | Brookline | 2015-01-29 / 20150027217 - CONFINING PRESSURE MEASUREMENT FOR ZONAL ISOLATION EVALUATION | 3 |
Li-Hai Kats | IL | Haifa | 2010-09-16 / 20100234947 - IMPLANTED MEDICAL DEVICE ESPECIALLY USED IN COSMETIC SURGERY | 1 |
Gilad Kats | IL | Ashdod | 2010-09-30 / 20100247107 - Method and Apparatus for Increasing the Capacity of A Data Communication Channel | 1 |
Vladimir Kats | IL | Yokneam | 2008-10-02 / 20080239719 - Method and device for lighting for dentists and other professionals | 1 |
Mindert Kats | NL | Marum | 2008-09-11 / 20080216668 - Detector for Electric Cooking Appliance Detecting Presence of a Bowl and Its Temperature | 1 |
Romke Kats | NL | Eindhoven | 2008-09-04 / 20080211963 - Equipment For Audio-Video Processing System | 1 |
Vyacheslav D. Kats | US | East Rockaway | 2013-07-18 / 20130185011 - Surface Acoustic Wave Scale | 1 |
Eduard Kats | CA | Thornhill | 2014-06-05 / 20140154638 - METHOD AND APPARATUS FOR MEASURING A LOCATION AND ORIENTATION OF A PLURALITY OF IMPLANTS | 1 |
Mikhail Kats | US | Rockaway | 2015-10-15 / 20150295113 - CONCENTRATED PHOTOVOLTAIC SYSTEM MODULES USING III-V SEMICONDUCTOR SOLAR CELLS | 18 |
Semyon L. Kats | US | San Francisco | 2011-02-03 / 20110024047 - SUBSTRATE SUPPORT HAVING FLUID CHANNEL | 3 |
Marat Kats | US | Rockaway Beach | 2014-07-10 / 20140192787 - MULTIPLE APPEARANCE PROTOCOL FOR TIMELY ORGANIZED AD HOC NETWORK | 6 |
Lauren Jay Kats | US | Topeka | 2009-11-05 / 20090274796 - Methods for Enhancing Palatability of Compositions for Animal Consumption | 4 |
Lauren Kats | US | Topeka | 2009-05-28 / 20090136588 - Methods For Altering Food Intake, Modifying Nutrient Digestibility and Altering Stool Quality and/or Stool Frequency | 1 |
Sergey Kats | US | Los Angeles | 2009-02-05 / 20090037332 - Systems and Methods for Processing Banking Transactions | 1 |
Aggalos K. Katsaggelos | US | Chicago | 2013-01-17 / 20130016908 - System and Method for Compact Descriptor for Visual Search | 1 |
Aggelos Katsaggelos | US | Evanston | 2010-08-12 / 20100201870 - System and method for frame interpolation for a compressed video bitstream | 1 |
Aggelos K. Katsaggelos | US | Chicago | 2015-07-30 / 20150213616 - VISUAL INSPECTION SYSTEM FOR AUTOMATED DETECTION OF PARTICULATE MATTER IN FLEXIBLE MEDICAL CONTAINERS | 7 |
Yiannis Katsagounos | GR | Itea-Kirra | 2013-06-06 / 20130139887 - SCALABLE PRODUCTION OF DYE-SENSITIZED SOLAR CELLS USING INKJET PRINTING | 1 |
Norik Katsakhyan | US | Dunkirk | 2013-01-10 / 20130013371 - SYSTEM AND METHOD FOR TRACKING RETAIL SALES PERFORMANCE | 3 |
Stavros Katsanevas | FR | Paris | 2008-09-18 / 20080225861 - Installation of Intelligent Sensors for the High-Speed Acquisition of Data Via an Ethernet Network | 1 |
Mike J. Katsanevas | US | Salt Lake City | 2009-08-13 / 20090202287 - Wrist pen | 1 |
Nicholas Katsanis | US | Perry Hall | 2010-10-21 / 20100267576 - Compositions And Methods For Identifying And Treating Subjects At Risk Of Developing Type 2 Diabetes | 2 |
Rocio Katsanis | US | Shreveport | 2010-06-17 / 20100153583 - SYSTEM AND METHOD FOR DELIVERING CUSTOMIZED CONTENT TO MOBILE DEVICES | 1 |
Nico Katsanis | US | 2015-12-17 / 20150362491 - METHODS AND ASSAYS RELATING TO RNF216 | 1 | |
Nico Katsanis | US | Durham | 2015-12-17 / 20150362491 - METHODS AND ASSAYS RELATING TO RNF216 | 1 |
Rocio Katsanis | US | Astoria | 2015-06-11 / 20150163316 - Delivering Customized Content to Mobile Devices | 2 |
Dimitrios Katsanis | GB | Nottingham | 2013-05-09 / 20130113269 - BICYCLE WHEELS | 1 |
Vassiliki Katsanou | GR | Alimos | 2008-10-09 / 20080249047 - Modulation of Inflammation Through Modulation of Elavl1/HuR Expression | 1 |
Ramaz Katsarava | GE | Tbilisi | 2012-12-27 / 20120328706 - POLYMER PARTICLE DELIVERY COMPOSITIONS AND METHODS OF USE | 4 |
Ramaz Katsarava | US | Tbilisi | 2011-01-20 / 20110015367 - UNSATURATED POLY(ESTER-AMIDE) AND POLY(ETHER ESTER AMIDE) BIOMATERIALS | 1 |
Padelis Katsaros | DE | Schweinfurt | 2016-03-31 / 20160091027 - UNIVERSAL JOINT | 11 |
Stephen Katsaros | US | Denver | 2016-02-11 / 20160040835 - ADJUSTABLE SOLAR CHARGED LAMP | 2 |
Georgios Katsaros | AT | Linz | 2013-08-01 / 20130193484 - FIELD-EFFECT TRANSISTOR ON A SELF-ASSEMBLED SEMICONDUCTOR WELL | 1 |
Anthony F. Katsaros | US | Youngsville | 2014-02-13 / 20140044482 - LATCH FOR SECURING A COMPUTE NODE IN A COMPONENT STORAGE RACK | 3 |
Georgios Katsaros | US | Apex | 2015-02-19 / 20150049947 - DYNAMIC SERVICE CONFIGURATION DURING OCR CAPTURE | 2 |
Stephen B. Katsaros | US | Denver | 2010-04-22 / 20100095439 - ACTIVELY VENTILATED HELMET SYSTEMS AND METHODS | 2 |
Padelis Katsaros | DE | Schweinfurt | 2016-03-31 / 20160091027 - UNIVERSAL JOINT | 11 |
James Dean Katsaros | US | Midlothian | 2011-09-08 / 20110214384 - Flashing for Integrating Windows with Weather Resistant Barrier | 1 |
Itay Katsav | IL | Ramat Gan | 2014-10-23 / 20140317139 - SYSTEMS AND METHODS FOR AUTOMATED COMBINATION AND CONJUGATION OF INDICIA | 1 |
Ioannis Katsavounidis | US | Los Angeles | 2015-03-12 / 20150071346 - PARALLEL VIDEO ENCODING BASED ON COMPLEXITY ANALYSIS | 4 |
Ioannis Katsavounidis | US | Fremont | 2015-08-13 / 20150229935 - METHOD AND APPARATUS FOR MULTI-THREADED VIDEO DECODING | 2 |
Ulrich Katscher | DE | Nortderstedt | 2014-07-31 / 20140210472 - REDUCING THE RADIO-FREQUENCY TRANSMIT FIELD IN A PREDETERMINED VOLUME DURING MAGNETIC RESONANCE IMAGING | 1 |
Ulrich Katscher | NL | Eindhoven | 2016-04-21 / 20160109545 - VISUAL PRE-SCAN PATIENT INFORMATION FOR MAGNETIC RESONANCE PROTOCOL | 2 |
Ulrich Katscher | DE | Hamburg | 2015-09-17 / 20150260808 - RF AMPLIFIER CONTROL IN PARALLEL RF TRANSMISSION BASED ON POWER REQUIREMENTS | 8 |
Ulrich Katscher | DE | Norderstedt | 2015-02-19 / 20150051475 - TEMPERATURE DETERMINATION USING B1 FIELD MAPPING | 13 |
Ulrich Katscher | DE | Norderstedt | 2015-02-19 / 20150051475 - TEMPERATURE DETERMINATION USING B1 FIELD MAPPING | 13 |
Ulrich Katscher | DE | Hamburg | 2015-09-17 / 20150260808 - RF AMPLIFIER CONTROL IN PARALLEL RF TRANSMISSION BASED ON POWER REQUIREMENTS | 8 |
Harald Katschke | DE | Memmingerberg | 2013-12-05 / 20130320076 - SECURE CASE | 1 |
Kenneth Katschke | US | Schaumburg | 2015-03-26 / 20150088845 - METHOD AND SYSTEM FOR PROVIDING ALTERNATIVE RESULT FOR AN ONLINE SEARCH PREVIOUSLY WITH NO RESULT | 1 |
Kenneth Katschke | US | Millbrae | 2010-11-18 / 20100291694 - Crystal Structure of CRIg and C3B:CRIg Complex | 1 |
Kenneth James Katschke, Jr. | US | Millbrae | 2012-07-26 / 20120189626 - TREATMENT OF COMPLEMENT-ASSOCIATED DISORDERS | 1 |
Haymo Katschorek | DE | Obermichelbach | 2015-11-19 / 20150334824 - Multilayer Body Having Electrically Conductive Elements and Method for Producing Same | 7 |
Howard Paul Katseff | US | Sleepy Hollow | 2013-12-05 / 20130324096 - Method And Apparatus For Controlling A Network Device | 1 |
George N. Katselis | US | Redmond | 2012-05-03 / 20120110129 - WEBSITE REDEVELOPMENT WRAPPER | 1 |
Lev Katsenelenson | US | Los Angeles | 2011-05-12 / 20110108874 - Method to Provide Microstructure for Encapsulated Hgh-Brightness LED Chips | 1 |
Maksym Katsev | US | Irvine | 2015-07-09 / 20150193983 - CALIBRATION OF VIRTUAL REALITY SYSTEMS | 1 |
Themis Katsianos | US | Highland | 2016-02-11 / 20160044431 - IMMERSIVE AUDIO RENDERING SYSTEM | 12 |
Themis Katsianos | US | Highland | 2016-02-11 / 20160044431 - IMMERSIVE AUDIO RENDERING SYSTEM | 12 |
Andrew Katsifis | AU | New South Wales | 2011-07-21 / 20110178396 - Nicotinamide Derivatives | 1 |
Andrew Katsifis | AU | Sydney | 2012-04-19 / 20120093726 - Radiolabeled Fluorine Derivatives of Methionine | 1 |
Andrew Katsifis | AU | Lugarno | 2010-08-19 / 20100209345 - Fluorinated Ligands for Targeting Peripheral Benzodiazepine Receptors | 1 |
Peter L. Katsikas | US | Honolulu | 2012-11-08 / 20120284805 - SYSTEM FOR ELIMINATING UNAUTHORIZED ELECTRONIC MAIL | 4 |
Peter D. Katsikis | US | Merion Station | 2014-10-23 / 20140314746 - METHODS FOR TREATING OR PREVENTING FIBROSIS IN SUBJECTS AFFLICTED WITH SCLERODERMA | 9 |
Peter D. Katsikis | US | Merion Station | 2014-10-23 / 20140314746 - METHODS FOR TREATING OR PREVENTING FIBROSIS IN SUBJECTS AFFLICTED WITH SCLERODERMA | 9 |
Cynthia Katsingris | US | Westfield | 2013-03-14 / 20130066251 - MULTIPLE-USE BLOOD BLOTTING DEVICES FOR DIABETICS FOR USE WHEN MONITORING BLOOD GLUCOSE LEVELS | 1 |
Dina Katsir | IL | Lehavim | 2015-12-24 / 20150369803 - LOW FLUORESCENCE UTENSILS | 2 |
Dina Katsir | IL | Beer Sheva | 2009-06-04 / 20090139514 - Improved Solar Collector | 1 |
Dina Katsir | IL | Isrod | 2009-09-17 / 20090229998 - THIN-LAYERED STRUCTURE | 1 |
Anat Katsir | US | Wynnewood | 2014-10-02 / 20140294786 - Alimentary Protein-Based Scaffolds (APS) for Wound Healing, Regenerative Medicine and Drug Discovery | 1 |
George T. Katsiris | US | Chatham | 2016-03-10 / 20160070244 - USER-DEFINED SCENES FOR HOME AUTOMATION | 1 |
Ioannis Katsis | US | La Jolla | 2012-03-08 / 20120060107 - WEB-PAGE-BASED SYSTEM FOR DESIGNING DATABASE DRIVEN WEB APPLICATIONS | 1 |
Dimitrios Katsis | US | Emeryville | 2012-07-26 / 20120188461 - DATA LOOK AHEAD TO REDUCE POWER CONSUMPTION | 6 |
Basil Katsivalis | CA | Pointe-Claire | 2009-08-20 / 20090206231 - Adjustable equipment assembly and base therefor | 1 |
Leonid Katsman | CA | Vaughan | 2013-06-06 / 20130139350 - TURBO BRUSH | 1 |
Igor Katsman | US | Haifa | 2015-11-12 / 20150323324 - SYSTEMS AND METHODS FOR HANDHELD DEVICE BASED BATTERY EFFICIENT CONTEXT MONITORING, DETECTION OF A VEHICULAR MOTION AND IDENTIFICATION OF A SPECIFIC VEHICLE | 1 |
Diana Katsman | US | Sherman Oaks | 2015-03-19 / 20150079047 - OCULAR THERAPEUTICS USING EMBRYONIC STEM CELL MICROVESICLES | 2 |
Johannes Christiaan Katsman | NL | Hendrik-Ido-Ambacht | 2009-06-11 / 20090148363 - Production of Titanium Tetrachloride Using Fluidized Bed Reactor | 1 |
Yuri Katsman | IL | Hadera | 2010-02-18 / 20100040937 - SOLID FUEL COMPOSITION FOR A DIRECT LIQUID FUEL CELL | 3 |
Igor Katsman | IL | Haifa | 2014-12-25 / 20140379207 - SYSTEMS AND METHODS FOR TRANSFORMING SENSORY MEASUREMENTS OF A HANDHELD DEVICE LOCATED IN MOVING VEHICLE FROM DEVICE'S COORDINATE SYSTEM TO THAT OF A VEHICLE | 2 |
Semyon Katsman | US | New York | 2010-10-14 / 20100259010 - TRIVIA GAME AND METHOD OF PLAY | 1 |
Grigoriy Isayevich Katsman | US | Needham | 2015-02-12 / 20150043263 - DC-AC INVERTER HAVING CONTROLLED MAGNETIZATION REVERSAL OF ISOLATION TRANSFORMER CORE | 1 |
Robert Katsnelson | US | Staten Island | 2016-03-03 / 20160064909 - SUPPORT PLATE FOR MOUNTING A GANGBOX SIDEWAYS | 2 |
Mikhail Iosifovich Katsnelson | RU | Ekaterinburg | 2013-06-20 / 20130153090 - METHOD FOR THERMAL TREATMENT OF ARTICLES FROM IRON-BASED ALLOYS (VARIANTS) | 1 |
Yakov Katsnelson | US | Edgewater | 2015-08-27 / 20150238759 - TRANSCRANIAL ELECTROSTIMULATION DEVICE AND METHOD | 1 |
Arkady Katsnelson | US | Long Grove | 2014-09-18 / 20140282399 - SMART ENDPOINT ARCHITECTURE | 3 |
Marina M. Katsnelson | US | Burlington | 2010-12-30 / 20100327219 - SOLUTION FOR FORMING POLISHING SLURRY, POLISHING SLURRY AND RELATED METHODS | 1 |
Boris Katsnelson | RU | Voronezh | 2012-04-19 / 20120092964 - LONG-RANGE ACOUSTICAL POSITIONING SYSTEM ON CONTINENTAL SHELF REGIONS | 1 |
Boris G. Katsnelson | RU | Voronezh | 2012-08-02 / 20120197604 - SIMULATOR AND METHOD FOR SIMULATING AN ACOUSTIC FIELD OF AN ACOUSTIC WAVEGUIDE | 2 |
Boris Katsnelson | US | Port Monmouth | 2012-08-16 / 20120204350 - INFLATABLE FOAM PILLOW AND METHODS OF MAKING AND USING THE SAME | 1 |
Esfir Z. Katsnelson | US | Evanston | 2012-08-16 / 20120207002 - Magneto-optical device with an optically induced magnetization | 1 |
Yakov Katsnelson | US | Weehawken | 2008-12-25 / 20080319492 - Multi-channel electrostimulation apparatus and method | 1 |
Raphael Katsner | IL | Hod Hasharon | 2011-04-07 / 20110080325 - METHODS AND APPARATUS FOR BEAM STEERING USING STEERABLE BEAM ANTENNAS WITH SWITCHED PARASITIC ELEMENTS | 1 |
Alexandros Katsoulidis | US | Evanston | 2015-07-09 / 20150190799 - POROUS POLYMER NETWORKS AND ION-EXCHANGE MEDIA AND METAL-POLYMER COMPOSITES MADE THEREFROM | 2 |
Dimitris Katsoulis | US | Midland | 2015-07-09 / 20150191832 - Electrolytic Process To Silicides | 20 |
Dimitris Elias Katsoulis | US | Midland | 2015-08-20 / 20150232488 - Method Of Preparing Halogenated Silahydrocarbylenes | 13 |
Dimitris Elias Katsoulis | US | Midland | 2015-08-20 / 20150232488 - Method Of Preparing Halogenated Silahydrocarbylenes | 13 |
Dimitris E. Katsoulis | US | Midland | 2015-01-22 / 20150023861 - ALTERNATIVE METHODS FOR THE SYNTHESIS OF ORGANOSILICON COMPOUNDS | 3 |
Dimitris Katsoulis | US | Midland | 2015-07-09 / 20150191832 - Electrolytic Process To Silicides | 20 |
Elias Dimitris Katsoulis | US | Midland | 2010-07-29 / 20100188766 - Cyclic Dihydrogenpolysiloxanes, Hydrogenpolysiloxanes, Processes For Their Production, Silica Type Glass Moldings And A Process For Their Production, Optical Elements And A Process For Their Production | 2 |
Ilya Vladimirovich Katsov | RU | Saint-Petersburg | 2012-05-24 / 20120128104 - SPACE-TIME DECODER AND METHODS FOR DECODING ALAMOUTI-ENCODED SIGNALS IN HIGH-DOPPLER ENVIRONMENTS | 1 |
Jeffrey P. Katstra | US | South Boston | 2010-01-21 / 20100011610 - FLUIDIZED SPRAY DRYING | 2 |
Jeffrey P. Katstra | US | Watertown | 2015-07-16 / 20150196589 - FORMULATIONS FOR ALTERATION OF BIOPHYSICAL PROPERTIES OF MUCOSAL LINING | 3 |
Jeffrey P. Katstra | US | Andover | 2013-03-28 / 20130079289 - Pharmaceutical Compositions | 2 |
Hayato Katsu | JP | Nagaokakyo-Shi | 2015-04-02 / 20150091690 - LAMINATED PTC THERMISTOR ELEMENT | 7 |
Eita Katsu | JP | Yokohama-Shi | 2016-04-21 / 20160110037 - ELECTRONIC APPARATUS, STORAGE MEDIUM, AND METHOD FOR OPERATING ELECTRONIC APPARATUS | 1 |
Masanori Katsu | JP | Tokyo | 2016-05-12 / 20160134714 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 18 |
Yoshiya Katsu | US | Florence | 2013-03-14 / 20130061726 - Machine Tool Apparatus And Method | 1 |
Yayoi Katsu | JP | Nagaokakyo-Shi | 2013-06-13 / 20130146807 - ELECTRODE ACTIVE MATERIAL AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY HAVING THE SAME | 1 |
Yoshihiro Katsu | JP | Kanagawa | 2015-12-31 / 20150379943 - DISPLAY DEVICE AND DISPLAY METHOD | 8 |
Youbi Katsu | JP | Ota | 2014-10-16 / 20140310693 - IMPLEMENTING EDIT AND UPDATE FUNCTIONALITY WITHIN A DEVELOPMENT ENVIRONMENT USED TO COMPILE TEST PLANS FOR AUTOMATED SEMICONDUCTOR DEVICE TESTING | 1 |
Yusuke Katsu | JP | Komaki-Shi | 2014-08-28 / 20140242383 - CERAMIC SINTERED BODY | 2 |
Masahiko Katsu | JP | Ayase-Shi | 2011-09-29 / 20110236801 - FUEL CELL AND PRODUCTION OF FUEL CELL STACK | 3 |
Yoshiya Katsu | JP | Aichi Pref. | 2008-11-20 / 20080284117 - TOOL HOLDER AND MACHINE TOOL EQUIPPED WITH THE TOOL HOLDER | 1 |
Yuichiro Katsu | JP | Yokohama | 2011-11-17 / 20110280215 - COMMUNICATION SYSTEM AND METHOD, AND GATEWAY | 3 |
Masakazu Katsu | JP | Kanagawa | 2012-05-24 / 20120128584 - ANTI-CDH3 ANTIBODIES LABELED WITH RADIOISOTOPE LABEL AND USES THEREOF | 1 |
Takuji Katsu | JP | Kanagawa | 2013-10-17 / 20130271774 - PRINTING APPARATUS AND PRINTER DRIVER | 1 |
Yoshihiro Katsu | JP | Kanagawa | 2015-12-31 / 20150379943 - DISPLAY DEVICE AND DISPLAY METHOD | 8 |
Yasuhiro Katsu | JP | Chita-Gun | 2010-10-28 / 20100273794 - Benzimidazolone Compounds Having 5-HT4 Receptor Agonistic Activity | 1 |
Eita Katsu | JP | Osaka | 2015-07-09 / 20150193067 - TERMINAL DEVICE WITH DISPLAY FUNCTION | 5 |
Makoto Katsu | JP | Hyogo | / - | 1 |
Yusuke Katsu | JP | Komaki-Shi, Aichi | 2016-01-28 / 20160023952 - CERAMIC COMPOSITION AND CUTTING TOOL | 1 |
Masanori Katsu | JP | Tokyo | 2016-05-12 / 20160134714 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 18 |
Hayato Katsu | DE | Juelich | 2010-04-08 / 20100084619 - SEMICONDUCTOR CERAMIC MATERIAL | 2 |
Mitsunori Katsu | JP | Tokyo | 2016-05-19 / 20160142017 - RECONFIGURABLE OPERATIONAL AMPLIFIER | 2 |
Yasuhiro Katsube | JP | Tokyo | 2010-05-20 / 20100125749 - COMPUTER PROGRAM PRODUCT, FAILURE DIAGNOSIS METHOD, AND COMMUNICATION APPARATUS | 1 |
Tsuyoshi Katsube | JP | Yasu-Shi | 2010-06-10 / 20100139957 - Ceramic composition, method for producing the same, ceramic substrate and method for producing ceramic green layer | 1 |
Hiroshi Katsube | JP | Echizen-Shi | 2012-08-02 / 20120196032 - Electronic Device and Method for Manufacturing the Same | 1 |
Makoto Katsube | JP | Fukuoka | 2008-11-20 / 20080283157 - Method of Production of Hot Dipped Hot Rolled Steel Strip | 1 |
Yusaku Katsube | JP | Yokohama | 2016-01-07 / 20160006477 - Semiconductor Integrated Circuit and Radio Communication Terminal Including the Same | 11 |
Satoshi Katsube | JP | Hiratsuka-Shi | 2009-02-12 / 20090043643 - Managerial decision support system and method | 1 |
Toshio Katsube | JP | Kure-Shi | 2013-06-13 / 20130149204 - EXHAUST GAS TREATMENT SYSTEM WITH CO2 REMOVAL EQUIPMENT | 3 |
Naoyuki Katsube | JP | Tokyo | 2009-05-28 / 20090138327 - DELIVERY DATE ANSWERING PROGRAM, DELIVERY DATE ANSWERING METHOD, AND SYSTEM FOR IMPLEMENTING THE METHOD | 1 |
Yuko Katsube | JP | Tokyo | 2009-06-04 / 20090140284 - Transparent Inorganic Oxide Dispersion and Iorganic Oxide Particle-Containing Resin Composition, Composition for Sealing Light Emitting Element and Light Emitting element, Hard Coat Film and Optical Functional Film and Optical Component, and Method for Producing Inorganic Oxide Pariticle-Containing Resin | 1 |
Naoyuki Katsube | JP | Yokohama | 2009-06-18 / 20090157458 - SUPPLY CHAIN EVALUATION SYSTEM, METHOD, AND PROGRAM | 1 |
Yoshihisa Katsube | JP | Kawasaki | 2014-11-27 / 20140347291 - TOUCH PANEL APPARATUS AND METHOD OF CONTROLLING THE SAME | 1 |
Makoto Katsube | JP | Kitakyusyu-City | 2013-11-21 / 20130305799 - ROLLING MILL ROLL-CLEANING DEVICE AND CLEANING METHOD | 1 |
Tsuyoshi Katsube | JP | Kyoto | 2015-04-09 / 20150096791 - CERAMIC MULTILAYER SUBSTRATE | 1 |
Yasuyuki Katsube | JP | Tokyo | 2009-11-12 / 20090278496 - SERIES-CONNECTED RECHARGEABLE CELLS, SERIES-CONNECTED RECHARGEABLE CELL DEVICE, VOLTAGE-BALANCE CORRECTING CIRCUIT FOR SERIES-CONNECTED CELLS | 1 |
Akio Katsube | JP | Kanazawa-Shi | 2010-01-28 / 20100018041 - HOLDING JIG FOR ELECTRONIC PARTS | 1 |
Satoshi Katsube | JP | Fujisawa | 2012-11-01 / 20120278204 - DEVICE FOR SUPPORTING GOODS DELIVERY SCHEDULE COORDINATION AND METHOD OF SUPPORTING GOODS DELIVERY SCHEDULE COORDINATION | 1 |
Kenichi Katsube | JP | Yokohama-Shi | 2015-11-12 / 20150321587 - POWER SEAT OPERATION DEVICE AND POWER SEAT | 3 |
Takayuki Katsube | JP | Osaka | 2015-05-28 / 20150148385 - PHARMACEUTICAL COMPOSITION CONTAINING A COMPOUND HAVING A THROMBOPOIETIN RECEPTOR AGONISTIC ACTIVITY | 1 |
Shinya Katsube | JP | Okayama | 2015-04-30 / 20150114805 - SWITCH | 1 |
Tetsushi Katsube | JP | Yamaguchi | 2011-03-03 / 20110054172 - PYRIDYLAMINOACETIC ACID COMPOUND | 2 |
Yusaku Katsube | JP | Tokyo | 2015-10-29 / 20150310756 - SYSTEM FOR SUPPORTING EDUCATION AND INFORMATION TERMINAL | 2 |
Fumio Katsube | JP | Nagoya-City | 2014-05-29 / 20140147342 - HONEYCOMB CATALYST BODY | 1 |
Toshio Katsube | JP | Kure-Shi Hiroshima | 2012-12-27 / 20120325092 - EXHAUST GAS TREATMENT SYSTEM EQUIPPED WITH CARBON DIOXIDE REMOVAL DEVICE | 1 |
Tsuyoshi Katsube | JP | Nagaokakyo-Shi | 2013-10-10 / 20130266782 - METAL BASE SUBSTRATE | 5 |
Hiroyuki Katsube | JP | Hyogo | 2012-07-05 / 20120167776 - COOKING DEVICE | 3 |
Akio Katsube | JP | Nagaokakyo-Shi | 2015-07-09 / 20150194270 - SOLID ELECTROLYTIC CAPACITOR, ELECTRONIC COMPONENT MODULE, METHOD FOR PRODUCING SOLID ELECTROLYTIC CAPACITOR AND METHOD FOR PRODUCING ELECTRONIC COMPONENT MODULE | 2 |
Tetsushi Katsube | JP | Ube-Shi | 2016-03-03 / 20160060221 - SUBSTITUTED BIARYL COMPOUND | 3 |
Akio Katsube | JP | Kyoto | 2013-11-07 / 20130294034 - METHOD OF MANUFACTURING ELECTRONIC COMPONENT MODULE AND ELECTRONIC COMPONENT MODULE | 1 |
Toshio Katsube | JP | Hiroshima | 2014-11-27 / 20140345465 - CARBON DIOXIDE CHEMICAL ABSORPTION SYSTEM INSTALLED WITH VAPOR RECOMPRESSION EQUIPMENT | 5 |
Kotaro Katsube | JP | Yokohama | 2014-01-02 / 20140006226 - MONITORING APPARATUS AND MONITORING METHOD | 1 |
Akio Katsube | JP | Moriyama-Shi | 2011-01-13 / 20110006106 - METHOD FOR MANUFACTURING ELECTRONIC COMPONENT MODULE | 1 |
Yasuku Katsube | JP | Chiyoda-Ku | 2013-04-18 / 20130095779 - FILTER CIRCUIT AND RECEIVING DEVICE | 1 |
Hiroshi Katsube | JP | Tokyo | 2011-03-31 / 20110075077 - GREEN PIGMENT FOR COLOR FILTER, GREEN PIGMENT DISPERSION, PHOTOSENSITIVE COLOR COMPOSITION, COLOR FILTER, AND LIQUID CRYSTAL PANEL | 2 |
Yoshihiro Katsube | JP | Kodaira-Shi | 2012-05-03 / 20120103497 - PNEUMATIC TIRE FOR HEAVY LOAD | 1 |
Yusaku Katsube | JP | Yokohama | 2016-01-07 / 20160006477 - Semiconductor Integrated Circuit and Radio Communication Terminal Including the Same | 11 |
Tomohiro Katsube | JP | Chiba | 2011-03-17 / 20110066733 - INFORMATION PROCESSING APPARATUS, DATA ACQUISITION METHOD, AND PROGRAM | 3 |
Shogo Katsuda | JP | Ishikawa | 2013-05-16 / 20130123167 - DRUG INHIBITING THE PROGRESSION OF ATHEROSCLEROSIS, PREVENTIVE DRUG, BLOOD CHOLESTEROL-LOWERING DRUG, FUNCTIONAL FOOD, AND SPECIFIC HEALTH FOOD | 1 |
Nobuyuki Katsuda | JP | Hyogo | 2010-10-28 / 20100269727 - GAS GENERATOR | 2 |
Takeshi Katsuda | JP | Shunan-Shi | 2010-06-24 / 20100160597 - MANUFACTURING APPARATUS OF POLYLACTIC ACID AND MANUFACTURING METHOD OF POLYLACTIC ACID | 1 |
Shinichi Katsuda | JP | Yamato-Shi | 2012-02-02 / 20120026854 - INFORMATION RECORDING MEDIUM AND DISK APPARATUS USING THE MEDIUM | 4 |
Toshihiro Katsuda | JP | Toyota-Shi | 2014-06-26 / 20140176034 - MOTOR DRIVE SYSTEM | 3 |
Yasutoshi Katsuda | JP | Tokyo | 2013-03-28 / 20130077946 - IMAGING APPARATUS AND CONTROL METHOD OF IMAGING APPARATUS | 1 |
Naoki Katsuda | JP | Ogaki | 2015-11-05 / 20150319842 - CIRCUIT BOARD AND METHOD FOR MANUFACTURING THE SAME | 3 |
Hiroshi Katsuda | JP | Tokyo | 2016-02-04 / 20160037256 - MICROPHONE | 1 |
Takeo Katsuda | JP | Tokyo | 2012-12-20 / 20120320398 - COPY MACHINE AND NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM STORED WITH CONTROL PROGRAM FOR COPY MACHINE | 3 |
Osamu Katsuda | JP | Yokohama-Shi | 2009-12-31 / 20090323187 - Image capturing device | 1 |
Yasutoshi Katsuda | JP | Kanagawa | 2013-05-30 / 20130135519 - OPTICAL FUNCTION DEVICE AND IMAGE-CAPTURING DEVICE | 5 |
Naoki Katsuda | JP | Kyoto-Shi | 2008-10-02 / 20080239697 - Medical lighting apparatus and medical imaging apparatus | 1 |
Naoki Katsuda | JP | Kyoto | 2015-03-26 / 20150086941 - DENTAL TREATING APPARATUS | 4 |
Yoshio Katsuda | JP | Nishinomiya-Shi | 2012-05-17 / 20120122994 - INSECTICIDAL COMPOUND | 2 |
Kouhei Katsuda | JP | Minato-Ku | 2015-08-27 / 20150240039 - COMPOSITION FOR PRODUCING PROTECTIVE FILM, PROTECTIVE FILM, AND ELECTRICAL STORAGE DEVICE | 1 |
Yasutoshi Katsuda | JP | Osaka | 2013-05-09 / 20130113982 - IMAGE PICKUP ELEMENT AND IMAGE PICKUP DEVICE | 9 |
Kohei Katsuda | JP | Tokyo | 2009-07-09 / 20090176950 - METAL HYDRIDE COMPLEX, METHOD OF HYDROGENATING RING-OPENING POLYMERIZATION POLYMER OF CYCLOOLEFIN, AND PROCESS FOR PRODUCING PRODUCT OF HYDROGENATION OF RING-OPENING POLYMERIZATION POLYMER OF CYCLOOLEFIN | 1 |
Nobuyuki Katsuda | JP | Tatsuno-Shi | 2009-02-19 / 20090045614 - Safety ensuring device | 2 |
Yuji Katsuda | JP | Tsushima-Shi | 2008-10-02 / 20080242531 - ALUMINUM NITRIDE SINTERED BODY AND SEMICONDUCTOR MANUFACTURING APPARATUS MEMBER | 3 |
Hiroyuki Katsuda | JP | Okazaki-Shi | 2012-04-26 / 20120100445 - FUEL CELL SYSTEM AND METHOD OF OPERATING FUEL CELL SYSTEM | 2 |
Takeo Katsuda | JP | Koto-Ku | 2011-08-18 / 20110199636 - IMAGE COMBINING APPARATUS AND METHOD FOR ALIGNING POSITIONS OF IMAGES | 1 |
Shinichi Katsuda | JP | Kitakyushu-Shi | 2015-06-25 / 20150179491 - ROBOTIC SYSTEM AND DETECTION METHOD | 2 |
Toshizo Katsuda | JP | Okayama | 2010-03-25 / 20100074414 - Dose measuring method, and phantom and X-ray radiographic device used in dose measuring method | 1 |
Yuji Katsuda | JP | Tsushima-City | 2015-08-13 / 20150225298 - CERAMIC MATERIAL AND SPUTTERING TARGET MEMBER | 32 |
Shinichi Katsuda | JP | Fukuoka | 2015-09-10 / 20150253765 - TEACHING JIG, TEACHING SYSTEM, AND TEACHING METHOD | 5 |
Kenta Katsuhama | JP | Hitachiaka | 2009-05-21 / 20090128069 - Motor Control Apparatus and Control Apparatus for hybrid Electric Vehicles | 1 |
Kenta Katsuhama | JP | Hitachinaka | 2009-03-19 / 20090071735 - Controller of Field Winding Type Synchronous Motor, Electric Drive System, Electric Four Wheel Driving Vehicle, and Hybrid Automobile | 2 |
Tomoko Katsuhara | JP | Kanagawa | 2016-01-28 / 20160026297 - SENSOR DEVICE, INPUT DEVICE, AND ELECTRONIC APPARATUS | 13 |
Kazunari Katsuhara | JP | Nagahama-Shi | 2009-03-26 / 20090082499 - ALIPHATIC POLYESTER-BASED RESIN REFLECTIVE FILM AND REFLECTIVE PLATE | 1 |
Youji Katsuhara | JP | Kyoto-Shi | / - | 1 |
Kazunari Katsuhara | JP | Shiga | 2012-12-27 / 20120328855 - LAMINATE | 5 |
Mao Katsuhara | JP | Kanagawa | 2015-09-03 / 20150249137 - ELECTRONIC DEVICE, IMAGE DISPLAY DEVICE AND SENSOR, AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE | 25 |
Youji Katsuhara | JP | Kyoto | 2014-01-16 / 20140017977 - Garment with Cup Portions | 1 |
Kenji Katsuhara | JP | Chuo-Ku | 2008-08-28 / 20080204780 - Image forming apparatus, printer driver, program and recording medium | 1 |
Tomoko Katsuhara | JP | Kanagawa | 2016-01-28 / 20160026297 - SENSOR DEVICE, INPUT DEVICE, AND ELECTRONIC APPARATUS | 13 |
Hideya Katsuhara | JP | Kanagawa | 2014-10-02 / 20140295334 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 7 |
Yutaka Katsuhara | JP | Kawagoe-Shi | 2012-05-31 / 20120136177 - Process for Preparation of Hexafluoroacetone Monohydrate | 2 |
Yutaka Katsuhara | JP | Saitama | 2012-02-16 / 20120041237 - Process for Producing Fluoromethyl Hexafluoroisopropyl Ether | 2 |
Shinji Katsuhara | JP | Hiroshima-Shi | 2013-01-31 / 20130026795 - METHOD AND APPARATUS FOR MOUNTING DEVICE IN CONSTRUCTION MACHINE | 2 |
Shinsuke Katsuhara | JP | Tokyo | 2010-09-02 / 20100220905 - IMAGE DIAGNOSIS SUPPORT APPARATUS, RIGHT AND LEFT BREAST IMAGE POSITION CORRESPONDING METHOD AND PROGRAM | 1 |
Mao Katsuhara | JP | Kanagawa | 2015-09-03 / 20150249137 - ELECTRONIC DEVICE, IMAGE DISPLAY DEVICE AND SENSOR, AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE | 25 |
Gotoda Katsuhiko | TW | Hsin-Chu Hsien | 2010-09-02 / 20100221049 - CLEANSING APPARATUS AND IMAGE FORMING APPARATUS HAVING THE SAME | 2 |
Murayama Katsuhiko | JP | Tokyo | 2009-07-23 / 20090186488 - SINGLE WAFER ETCHING APPARATUS | 1 |
Hiramatsu Katsuhiko | JP | Osaka | 2009-12-10 / 20090303913 - TRANSMISSION OF MULTICAST/BROADCAST SERVICES IN A WIRELESS COMMUNICATION NETWORK | 1 |
Hiromitsu Katsui | JP | Osaka-Shi | 2014-05-29 / 20140147966 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND PRODUCTION METHOD FOR SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 8 |
Rie Katsui | JP | Osaka | 2008-10-30 / 20080268268 - TITANIUM OXIDE PHOTOCATALYST, METHOD FOR PRODUCING SAME AND USE THEREOF | 1 |
Hiromitsu Katsui | JP | Osaka-Shi | 2014-05-29 / 20140147966 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND PRODUCTION METHOD FOR SEMICONDUCTOR DEVICE AND DISPLAY DEVICE | 8 |
Hiromitsu Katsui | JP | Osaka | 2016-02-25 / 20160055791 - DISPLAY DEVICE AND DRIVE CURRENT DETECTION METHOD FOR SAME | 5 |
Masayoshi Katsui | JP | Machida | / - | 1 |
Noboru Katsui | JP | Tokyo | 2015-11-12 / 20150326775 - CAMERA DEVICE, CAMERA SYSTEM AND CAMERA CONTROL METHOD | 1 |
Masayoshi Katsui | JP | Kawasaki | 2011-12-15 / 20110306229 - CONNECTOR ASSEMBLY | 2 |
Seizo Katsui | JP | Osaka | 2013-01-03 / 20130000531 - METHOD FOR SUPPLYING COMBUSTION AIR IN VERTICAL INCINERATOR AND VERTICAL INCINERATOR | 1 |
Tadashi Katsui | JP | Kanagawa | 2011-06-16 / 20110142614 - COUNTER-ROTATING AXIAL FLOW FAN | 3 |
Shuji Katsui | US | New York | 2010-04-22 / 20100099241 - METHOD OF FABRICATING SEMICONDUCTOR DEVICE | 1 |
Hiromitsu Katsui | JP | Mie | 2011-03-10 / 20110057192 - ACTIVE MATRIX SUBSTRATE AND DISPLAY UNIT PROVIDED WITH IT | 1 |
Tadashi Katsui | JP | Kawasaki | 2015-07-09 / 20150192139 - INFORMATION PROCESSING APPARATUS AND AIR VOLUME CALCULATION METHOD | 21 |
Kenichi Katsukawa | JP | Settsu-Shi | 2012-11-29 / 20120302803 - PROCESS FOR PRODUCING 2-CHLORO-3,3,3-TRIFLUOROPROPENE | 2 |
Yota Katsukawa | JP | Himeji-Shi | 2016-03-10 / 20160069306 - AIR CLEANER FOR MOTORCYCLE | 3 |
Kenichi Katsukawa | JP | Osaka | 2015-11-19 / 20150329683 - PROCESS FOR PRODUCTION OF FLUORINE-CONTAINING BLOCK COPOLYMER | 2 |
Keiko Katsukawa | JP | Shinjuku-Ku | 2012-08-30 / 20120221215 - IN-VEHICLE APPARATUS AND INFORMATION PROCESSING CENTER | 1 |
Hiroyuki Katsukawa | JP | Aichi-Ken | 2008-09-04 / 20080213674 - SECONDARY BATTERY | 1 |
Keiko Katsukawa | JP | Tokyo | 2011-07-07 / 20110166778 - INFORMATION PROVIDING DEVICE AND INFORMATION PROVIDING SYSTEM | 1 |
Shiho Katsukawa | JP | Tokyo | 2012-03-15 / 20120065389 - METHOD FOR RECOVERY/REUSE OF N-OXYL COMPOUND | 4 |
Yoshitaka Katsukawa | JP | Kyoto | 2012-06-21 / 20120156123 - WATER-SOLUBLE CUTTING FLUID FOR SLICING SILICON INGOTS | 1 |
Koji Katsukawa | JP | Hitachi-Shi | 2014-11-13 / 20140331822 - Process of Leaching Gold | 4 |
Shingo Katsuki | JP | Koshi-Shi | 2010-03-11 / 20100061718 - COATING AND DEVELOPING APPARATUS, COATING AND DEVELOPING METHOD, AND STORAGE MEDIUM | 2 |
Masaya Katsuki | JP | Osaka | 2012-04-19 / 20120092562 - LIGHT SOURCE APPARATUS, IMAGE DISPLAY APPARATUS AND TELEVISION RECEIVING APPARATUS | 1 |
Masahiro Katsuki | JP | Tokyo | 2015-02-19 / 20150049121 - INFORMATION DISPLAY DEVICE, INFORMATION DISPLAY METHOD, AND NON-TRANSITORY STORAGE MEDIUM | 1 |
Yousuke Katsuki | JP | Oura-Gun | 2012-05-03 / 20120106584 - SEMICONDUCTOR LASER APPARATUS AND OPTICAL APPARATUS | 1 |
Koji Katsuki | JP | Kyoto | 2016-05-05 / 20160123918 - Sensor and Method for Removing Interfering Substance | 12 |
Koji Katsuki | JP | Kyoto-Shi | 2015-12-31 / 20150377818 - BIOSENSOR INCORPORATING PROTEIN-IMMOBILIZED MEMBRANE AND METHOD OF IMMOBILIZING PROTEIN IN BIOSENSOR | 5 |
Yoichi Katsuki | JP | Tokyo | 2011-08-25 / 20110208983 - SUBSTRATE BIAS SWITCHING UNIT FOR A LOW POWER PROCESSOR | 2 |
Shogo Katsuki | JP | Tokyo | 2015-01-22 / 20150024564 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Masatoshi Katsuki | JP | Yokohama-Shi | 2016-01-07 / 20160001230 - EXHAUST GAS TREATING CATALYST AND EXHAUST GAS PURIFICATION APPARATUS USING THE SAME | 1 |
Tomoya Katsuki | JP | Yokohama | 2014-09-25 / 20140289547 - MICROCONTROLLER AND METHOD OF CONTROLLING THE SAME | 1 |
Satoshi Katsuki | JP | Osaka | 2012-03-29 / 20120078415 - PARALLEL LINK ROBOT, AND METHOD OF TEACHING PARALLEL LINK ROBOT | 1 |
Takashi Katsuki | JP | Kawasaki | 2013-01-10 / 20130010447 - PACKAGED DEVICE AND METHOD OF FABRICATING PACKAGED-DEVICE | 12 |
Tsutomu Katsuki | JP | Fukuoka-Shi | 2012-07-12 / 20120178954 - METHOD FOR PRODUCTION OF OPTICALLY ACTIVE EPOXY COMPOUND, AND COMPLEX USED THEREFOR AND PROCESS FOR PRODUCING THE SAME | 8 |
Takashi Katsuki | JP | Nagano | 2012-07-12 / 20120175757 - METHOD OF MANUFACTURING SEMICONDUCTOR APPARATUS, THE SEMICONDUCTOR APPARATUS, AND IGNITOR USING THE SEMICONDUCTOR APPARATUS | 1 |
Masatoshi Katsuki | JP | Tokyo | 2015-12-31 / 20150375168 - EXHAUST GAS TREATMENT METHOD, EXHAUST GAS TREATMENT DEVICE, AND EXHAUST GAS TREATMENT SYSTEM | 1 |
Ryutaro Katsuki | JP | Ibaraki | 2013-11-07 / 20130296271 - Agri-Horticultural Pest Control Compositions Comprising 4-(3-Butynyl)Aminopyrimidine Derivatives | 1 |
Manabu Katsuki | JP | Tokyo | 2014-08-28 / 20140240533 - IMAGING DEVICE AND IMAGE SIGNAL PROCESSOR | 1 |
Kazuya Katsuki | JP | Tokyo | 2013-03-07 / 20130057718 - PHOTOGRAPHING SYSTEM, PATTERN DETECTION SYSTEM, AND ELECTRONIC UNIT | 3 |
Futoshi Katsuki | JP | Kisarazu-Shi | 2014-04-24 / 20140109723 - SINTERED FRICTION MATERIAL FOR HIGH-SPEED RAILWAY | 1 |
Jungo Katsuki | JP | Shiga | 2014-02-27 / 20140053615 - LAUNDRY PROCESSING APPARATUS | 1 |
Takashi Katsuki | JP | Isehara | 2013-12-26 / 20130342954 - ELECTRONIC DEVICE HAVING VARIABLE CAPACITANCE ELEMENT AND MANUFACTURE METHOD THEREOF | 1 |
Yukiko Katsuki | JP | Kitakyushu-Shi | 2013-12-26 / 20130345955 - ROAD NETWORK ANALYSIS SYSTEM | 1 |
Takuya Katsuki | JP | Osaka | 2011-10-06 / 20110242596 - Image forming system | 1 |
Futoshi Katsuki | JP | Osaka | 2011-10-06 / 20110244266 - TITANIUM MATERIAL AND METHOD FOR PRODUCING TITANIUM MATERIAL | 1 |
Yoshinori Katsuki | JP | Kyoto | 2009-11-05 / 20090273582 - TOUCH INPUT PROGRAM AND TOUCH INPUT DEVICE | 1 |
Toshihiro Katsuki | JP | Osaka | 2011-10-20 / 20110256437 - LITHIUM SECONDARY BATTERY POSITIVE ELECTRODE AND LITHIUM SECONDARY BATTERY | 1 |
Kiyoteru Katsuki | JP | Azumino-Shi | 2016-05-19 / 20160136961 - Ink Cartridge and Printer | 13 |
Nobuharu Katsuki | JP | Kyoto | 2016-04-07 / 20160096543 - HANDLE HEATER, HANDLE HEATER DEVICE, AND STEERING HANDLE | 2 |
Makoto Katsuki | JP | Nagoya-Shi | 2012-06-14 / 20120145804 - VEHICLE HEATING SYSTEM | 1 |
Yuichi Katsuki | JP | Toyota-Shi | 2016-03-03 / 20160065073 - VOLTAGE CONVERTER CONTROL APPARATUS | 1 |
Takayuki Katsuki | JP | Tokyo | 2016-04-07 / 20160098648 - PREDICTING A CONSUMER SELECTION PREFERENCE BASED ON ESTIMATED PREFERENCE AND ENVIRONMENTAL DEPENDENCE | 3 |
Futoshi Katsuki | JP | Tokyo | 2016-02-18 / 20160047016 - COPPER ALLOY POWDER, SINTERED COPPER ALLOY BODY, AND BRAKE LINING FOR USE IN HIGH-SPEED RAILWAYS | 1 |
Tomoya Katsuki | JP | Kariya-City | 2016-04-14 / 20160103159 - SEMICONDUCTOR DEVICE | 1 |
Shinya Katsuki | JP | Yokohama | 2016-04-14 / 20160100788 - BRAIN DYSFUNCTION ASSESSMENT METHOD, BRAIN DYSFUNCTION ASSESSMENT DEVICE, AND PROGRAM THEREOF | 1 |
Takashi Katsuki | JP | Kobe | 2014-12-18 / 20140367807 - ELECTRIC DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Kenji Katsuki | JP | Kanagawa | 2014-04-03 / 20140091746 - ELECTROMAGNETIC PUMP COMPENSATION POWER SUPPLY APPARATUS AND ELECTROMAGNETIC PUMP SYSTEM | 1 |
Koji Katsuki | JP | Kyoto | 2016-05-05 / 20160123918 - Sensor and Method for Removing Interfering Substance | 12 |
Yasuhito Katsuki | JP | Ritto-Shi | 2011-01-27 / 20110020078 - HELICAL BROACH FOR ROUGHING | 1 |
Yoji Katsuki | JP | Utsunomiya-Shi | 2011-01-13 / 20110005716 - VEHICULAR AIR CONDITIONING APPARATUS | 2 |
Takashi Katsuki | JP | Matsumoto-City | 2015-10-01 / 20150279754 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE CASING | 1 |
Keiko Katsuki | JP | Sakaide-Shi | 2010-12-23 / 20100323032 - AGENT FOR TREATING ULCER | 1 |
Fumie Katsuki | JP | Kakamigahara | 2010-12-16 / 20100318732 - DATA PROCESSOR | 2 |
Masanobu Katsuki | JP | Osaka-Shi | 2010-12-09 / 20100313211 - DISC DRIVE DEVICE | 1 |
Tsutomu Katsuki | JP | Fukuoka-Shi | 2012-07-12 / 20120178954 - METHOD FOR PRODUCTION OF OPTICALLY ACTIVE EPOXY COMPOUND, AND COMPLEX USED THEREFOR AND PROCESS FOR PRODUCING THE SAME | 8 |
Yoshimura Katsuki | JP | Ube-Shi | 2010-10-21 / 20100265256 - STRUCTURED DOCUMENT DRAWING SYSTEM, AND METHOD AND PROGRAM THEREFOR | 1 |
Nobuyuki Katsuki | JP | Kanagawa | 2010-07-08 / 20100171160 - SEMICONDUCTOR MEMORY | 2 |
Takeshi Katsuki | JP | Tokyo | 2010-06-10 / 20100140119 - WIPING ARTICLE | 1 |
Sunao Katsuki | JP | Kumamoto | 2010-06-03 / 20100134776 - HIGH REPETITION PULSE POWER SOURCE AND EXPOSURE DEVICE WITH HIGH REPETITION POWER SOURCE | 1 |
Ryohei Katsuki | JP | Fujinomiya-Shi | 2012-08-09 / 20120203269 - MEDICAL MANIPULATOR SYSTEM | 4 |
Junichi Katsuki | JP | Shunan-Shi | 2014-01-23 / 20140023549 - AUSTENITIC STAINLESS STEEL | 2 |
Kiyoteru Katsuki | JP | Azumino-Shi | 2016-05-19 / 20160136961 - Ink Cartridge and Printer | 13 |
Junichi Katsuki | JP | Yamaguchi | 2014-01-16 / 20140017517 - STAINLESS STEEL PLATE AND MANUFACTURING METHOD THEREOF | 1 |
Masatoshi Katsuki | JP | Kanagawa | 2012-01-19 / 20120014841 - EXHAUST GAS TREATING CATALYST AND EXHAUST GAS PURIFICATION APPARATUS USING THE SAME | 6 |
Manabu Katsuki | JP | Yokohama | 2012-02-23 / 20120047372 - OPTICAL DISC, OPTICAL DISC RECORDING METHOD, OPTICAL DISC REPRODUCTION METHOD, OPTICAL DISC DEVICE AND STORAGE SYSTEM | 4 |
Kyohei Katsuki | JP | Yamanashi | 2015-08-27 / 20150244234 - ROTOR WITH END RING AND ELECTRIC MOTOR | 1 |
Takamitsu Katsuki | JP | Fukuoka | 2015-08-27 / 20150244287 - POWER CONVERSION APPARATUS AND POWER CONVERSION METHOD | 1 |
Takashi Katsuki | JP | Yamanashi | 2015-08-27 / 20150245500 - COMPONENT MOUNTING LINE AND COMPONENT MOUNTING METHOD | 2 |
Yoji Katsuki | JP | Utsunomiya-Shi, Tochigi-Ken | 2011-01-13 / 20110005718 - VEHICULAR AIR CONDITIONING APPARATUS | 1 |
Takayo Katsuki | JP | Omihachiman-Shi | 2010-11-11 / 20100283114 - CHIP-TYPE SEMICONDUCTOR CERAMIC ELECTRONIC COMPONENT | 1 |
Kazuya Katsuki | JP | Kanagawa | 2015-08-13 / 20150228266 - AUDIO DEVICE, SOUND PROCESSING METHOD, SOUND PROCESSING PROGRAM, SOUND OUTPUT METHOD, AND SOUND OUTPUT PROGRAM | 1 |
Tomoya Katsuki | JP | Kanagawa | 2012-01-19 / 20120013497 - A/D conversion circuit and test method | 3 |
Keiko Katsuki | JP | Kagawa | 2010-10-14 / 20100260852 - LAXATIVE AGENT | 2 |
Yukiko Katsuki | JP | Kanagawa | 2013-07-04 / 20130173731 - MOBILE TERMINAL DEVICE | 1 |
Rie Katsuki | JP | Kawasaki | 2015-07-23 / 20150201808 - COOKING DEVICE | 1 |
Miyuki Katsuki | JP | Itami-Shi | 2008-09-25 / 20080235392 - Network file system | 1 |
Akio Katsuki | JP | Fukuoka | 2008-10-16 / 20080253830 - Method of Installing Anchor Bolt, Method of Drilling Anchor Bolt Hole, and Drilling Device | 1 |
Toshiyuki Katsuki | JP | Fukui | 2008-11-27 / 20080289157 - Hook-And-Loop Fastener Made Of Fabric | 1 |
Shinji Katsuki | JP | Tokyo | 2009-01-08 / 20090011709 - Content reproducing device, content reproducing system, automatic content receiving method, and automatic content transferring method | 1 |
Takashi Katsuki | JP | Kanagawa | 2009-06-18 / 20090156083 - METHOD OF MANUFACTURING DISPLAY APPARATUS WITH SAW TOUCH SENSOR | 1 |
Naoto Katsuki | JP | Tokyo | 2009-09-03 / 20090222122 - Spectacle Lens Supply System, Ordering System, and Manufacturing Method | 1 |
Masahiro Katsuki | JP | Minato-Ku | 2012-05-24 / 20120130818 - ADVERTISEMENT OUTPUT CONTROL DEVICE, ADVERTISEMENT OUTPUT CONTROL METHOD, AND RECORDING MEDIUM | 1 |
Hisakazu Katsuki | JP | Kita-Ku | 2010-01-28 / 20100021535 - LIGHT-STABILIZED SOFT CAPSULE FORMULATIONS | 1 |
Jiro Katsuki | JP | Yamanashi | 2009-10-08 / 20090253221 - METHOD OF MEASURING NITROGEN CONTENT, METHOD OF FORMING SILICON OXYNITRIDE FILM AND PROCESS FOR PRODUCING SEMICONDUCTOR DEVICE | 1 |
Ryutaro Katsuki | JP | Tsukuba-Shi | 2012-05-31 / 20120136150 - 4-(3-Butynyl)Aminopyrimidine Derivatives as Pest Control Agents for Agricultural and Horticultural Use | 1 |
Yasuhito Katsuki | JP | Tokyo | 2016-01-07 / 20160001384 - HELICAL BROACH | 2 |
Makoto Katsukura | JP | Tokyo | 2015-10-29 / 20150309089 - FAULT DETECTION DEVICE, FAULT DETECTION METHOD, AND PROGRAM | 11 |
Makoto Katsukura | JP | Chiyoda-Ku | 2013-07-18 / 20130185695 - SOFTWARE GENERATION DEVICE, SOFTWARE GENERATION METHOD AND PROGRAM | 2 |
Makoto Katsukura | JP | Tokyo | 2015-10-29 / 20150309089 - FAULT DETECTION DEVICE, FAULT DETECTION METHOD, AND PROGRAM | 11 |
Keita Katsuma | JP | Hofu-Shi | 2009-05-21 / 20090131628 - NORMAL-PRESSURE CATION-DYEABLE POLYESTER, TEXTILE PRODUCT MADE FROM THE SAME, AND PRODUCTION METHOD FOR THE SAME | 1 |
Masao Katsuma | JP | Chiyoda-Ku | 2009-03-05 / 20090056652 - VALVE TIMING CONTROL DEVICE FOR AN INTERNAL COMBUSTION ENGINE | 1 |
Toshifumi Katsuma | JP | Minato-Ku | 2013-09-19 / 20130244549 - GEAR GRINDING MACHINE | 2 |
Ryoji Katsuma | JP | Matsumoto-Shi | 2013-01-03 / 20130003174 - SCREEN | 9 |
Toshiaki Katsuma | JP | Saitama-Shi | 2011-04-07 / 20110080646 - MOLDED LENS | 8 |
Hideto Katsuma | JP | Hyogo | 2009-07-02 / 20090171622 - DISTORTION EVALUATING APPARATUS AND DISTORTION EVALUATING METHOD | 1 |
Toshiaki Katsuma | JP | Saitama-Ken | 2015-07-30 / 20150212299 - IMAGING LENS | 7 |
Keita Katsuma | JP | Osaka | 2010-04-08 / 20100087604 - SPLITTABLE CONJUGATE FIBER AND METHOD FOR PRODUCING THE SAME | 1 |
Kenichi Katsuma | JP | Chuo-Ko | 2012-09-13 / 20120229238 - Magnetic Member and Electronic Component | 1 |
Ryoji Katsuma | JP | Matsumoto-Shi | 2013-01-03 / 20130003174 - SCREEN | 9 |
Toshifumi Katsuma | JP | Ritto-Shi | 2010-02-18 / 20100041314 - GEAR MATCHING DEVICE AND GEAR MACHINING APPARATUS | 2 |
Takatoshi Katsuma | JP | Yokkaichi-City | 2011-07-14 / 20110170947 - CONNECTOR | 3 |
Katsuhiko Katsuma | JP | Osaka | 2013-09-26 / 20130249059 - COATING LIQUID FOR IMPURITY DIFFUSION | 3 |
Nobuhiro Katsuma | JP | Shiga | 2011-12-08 / 20110298487 - SEMICONDUCTOR TESTING APPARATUS AND TESTING METHOD | 3 |
Hiroko Katsumaru | JP | Izumisano-Shi | 2008-09-18 / 20080226769 - Process For Producing Soybean Protein and Process For Producing Processed Meat Food Using the Soybean Protein | 1 |
Masaki Katsumaru | JP | Wako-Shi | 2010-07-29 / 20100191530 - SPEECH UNDERSTANDING APPARATUS | 1 |
Norihiro Katsumaru | JP | Chiyoda-Ku | 2015-07-16 / 20150199382 - RELATED CONTENT RETRIEVAL DEVICE AND RELATED CONTENT RETRIEVAL METHOD | 1 |
Koji Katsumaru | JP | Naha-Shi, Okinawa | 2016-03-17 / 20160073827 - CONTAINER AID UTENSIL, FILTRATION AID UTENSIL, AND FILTERING METHOD | 1 |
Kenichi Katsumata | JP | Kanagawa | 2010-12-16 / 20100317512 - PHOTOCATALYTIC FILM, METHOD FOR FORMING PHOTOCATALYTIC FILM AND PHOTOCATALYTIC FILM COATED PRODUCT | 1 |
Motoyuki Katsumata | JP | Kanagawa | 2014-01-23 / 20140022167 - PROJECTION APPARATUS AND PROJECTION METHOD | 6 |
Tomoki Katsumata | JP | Kanagawa | 2015-02-19 / 20150048786 - ELECTRONIC DEVICE AND ELECTRONIC DEVICE CHARGING SYSTEM | 7 |
Tomoya Katsumata | JP | Yokohama-Shi | 2013-01-31 / 20130028428 - MOBILE ELECTRONIC DEVICE AND CONTROL METHOD | 2 |
Shunichi Katsumata | JP | Susono-Shi | 2014-01-02 / 20140001738 - COLLISION SENSING DEVICE AND OCCUPANT PROTECTING SYSTEM | 4 |
Yuji Katsumata | JP | Atsugi-Shi | 2014-07-03 / 20140188319 - TORQUE CONTROL DEVICE | 1 |
Toru Katsumata | JP | Tokyo | 2014-07-10 / 20140193632 - PHOTOSENSITIVE ALKALI-SOLUBLE SILICONE RESIN COMPOSITION | 1 |
Machiko Katsumata | JP | Susono-Shi | 2014-11-06 / 20140326213 - CONTROL DEVICE FOR SUPERCHARGED ENGINE | 6 |
Kousuke Katsumata | JP | Wako-Shi | 2014-12-18 / 20140367997 - FENDER PANEL MOUNTING STRUCTURE FOR VEHICLE | 2 |
Michiyuki Katsumata | JP | Kawasaki | 2015-08-06 / 20150220350 - INFORMATION PROCESSING DEVICE AND METHOD FOR MANAGING INFORMATION PROCESSING DEVICE | 2 |
Daisuke Katsumata | JP | Fujisawa | 2013-12-19 / 20130335009 - Inspection System, Charger/Discharger, and Inspection Method of Secondary Battery | 1 |
Ryota Katsumata | JP | Mie | / - | 1 |
Akio Katsumata | JP | Kawasaki | 2014-04-17 / 20140104953 - SEMICONDUCTOR STORAGE DEVICE AND METHOD FOR PRODUCING THE SAME | 1 |
Maomi Katsumata | JP | Tokyo | 2014-09-25 / 20140285171 - VOLTAGE CONVERSION CIRCUIT AND SWITCHING CONTROL CIRCUIT | 2 |
Yohei Katsumata | JP | Osaka | 2013-10-31 / 20130286359 - LIGHT SOURCE DEVICE AND PROJECTION TYPE DISPLAY APPARATUS EMPLOYING SAME LIGHT SOURCE DEVICE | 1 |
Kenji Katsumata | JP | Odawara | 2015-03-05 / 20150062805 - ELECTRONIC DEVICE | 5 |
Akitoshi Katsumata | JP | Ichinomiya-Shi | 2015-10-29 / 20150305696 - X-RAY TOMOGRAM IMAGING DEVICE | 5 |
Makoto Katsumata | JP | Susono | 2009-03-05 / 20090059716 - Method of applying raw materials | 1 |
Naomichi Katsumata | JP | Shizuoka | 2012-09-06 / 20120223058 - NON-CONSUMABLE ELECTRODE TYPE ARC WELDING APPARATUS | 1 |
Shinya Katsumata | JP | Tokyo | 2015-10-29 / 20150310597 - IMAGE PROCESSING APPARATUS, RADIATION IMAGING APPARATUS, CONTROL METHODS FOR THEM, GAIN IMAGE CREATION METHOD, RADIATION IMAGING SYSTEM, AND STORAGE MEDIUM | 3 |
Haruhiko Katsumata | JP | Susono-Shi | 2013-01-24 / 20130024090 - VEHICLE CONTROL APPARATUS | 1 |
Kazuhiro Katsumata | JP | Gotemba-Shi | 2013-01-17 / 20130015709 - BACKUP POWER SUPPLY DEVICE, AND POWER OUTPUT METHODAANM Matsunaga; WataruAACI Susono-shiAACO JPAAGP Matsunaga; Wataru Susono-shi JPAANM Katsumata; KazuhiroAACI Gotemba-ShiAACO JPAAGP Katsumata; Kazuhiro Gotemba-Shi JPAANM Yamaguchi; YutakaAACI Tagata-GunAACO JPAAGP Yamaguchi; Yutaka Tagata-Gun JP | 1 |
Shin-Ichi Katsumata | JP | Tokyo | 2013-01-24 / 20130021584 - IMAGE PROJECTION APPARATUS | 1 |
Makoto Katsumata | JP | Susono-Shi | 2015-10-15 / 20150294765 - Line-Shaped Assembly | 8 |
Akio Katsumata | JP | Kawasaki-Shi | 2013-08-08 / 20130200523 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Ryota Katsumata | JP | Yokohama-Shi | 2015-12-24 / 20150372006 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD THEREOF | 44 |
Hironori Katsumata | JP | Hamamatsu-Shi | 2015-12-24 / 20150367875 - HEATER ELEMENT AND STEERING WHEEL | 1 |
Shouji Katsumata | US | 2015-12-24 / 20150369091 - POPPET VALVE | 1 | |
Kazuhiko Katsumata | JP | Saitama-Shi | 2013-06-20 / 20130153547 - MULTI-CHAMBER HEAT TREATMENT DEVICE | 9 |
Kenji Katsumata | JP | Kawasaki | 2014-11-13 / 20140334095 - RADIATOR AND ELECTRONIC DEVICE HAVING THE SAME | 8 |
Shinji Katsumata | JP | Kanagawa | 2014-11-27 / 20140346728 - SHEET FEED DEVICE AND IMAGE FORMING APPARATUS | 2 |
Yukinori Katsumata | JP | Tokyo | 2015-12-17 / 20150365548 - DISPLAY PROCESSOR, DISPLAY PROCESSING METHOD AND ORDERING APPARATUS | 2 |
Satoru Katsumata | JP | Iwaki-Shi | 2009-08-06 / 20090196989 - SHARP BLADE AND ITS MANUFACTURING METHOD | 1 |
Hisashi Katsumata | JP | Yokkaichi | 2015-04-23 / 20150111013 - ARAMID-RESIN FILM LAMINATE AND METHOD FOR PRODUCING THE SAME | 2 |
Munehiro Katsumata | JP | Toyota-Shi | 2016-05-12 / 20160129905 - CONTROL SYSTEM FOR HYBRID VEHICLE | 2 |
Ryota Katsumata | JP | Yokkaichi | 2016-03-17 / 20160079250 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD THEREOF | 3 |
Toshinobu Katsumata | JP | Yamanashi | 2016-03-24 / 20160085014 - PLANAR LIGHT UNIT | 1 |
Hiroki Katsumata | JP | Hino-City | 2014-12-18 / 20140368131 - MOTOR DRIVE DEVICE | 1 |
Soichiro Katsumata | JP | Tokyo | 2015-07-30 / 20150211840 - POSITION DETECTION DEVICE, LENS BARREL, AND IMAGING APPARATUS | 2 |
Nozomu Katsumata | JP | Numazu-Shi | 2013-02-28 / 20130051097 - POWER SOURCE DEVICE | 1 |
Munehiro Katsumata | JP | Susono-Shi | 2015-01-08 / 20150012159 - SPEED CHANGE CONTROL SYSTEM AND SPEED CHANGE CONTROL METHOD FOR HYBRID VEHICLE | 1 |
Yutaro Katsumata | JP | Kyoto-Shi | 2015-01-15 / 20150015208 - DISCHARGE CONTROL DEVICE, DISCHARGE CONTROL METHOD AND COMPUTER READABLE MEDIUM | 1 |
Yasuhiro Katsumata | JP | Shimada-Shi | 2013-03-07 / 20130055947 - CENTER-LESS POINTER TYPE DISPLAY APPARATUS | 1 |
Masaaki Katsumata | JP | Osaka | 2013-12-12 / 20130327994 - METHOD OF MANUFACTURING REUSE PASTE, REUSE PASTE AND METHOD OF MANUFACTURING CIRCUIT BOARD USING REUSE PASTE | 9 |
Daisuke Katsumata | JP | Tokyo | 2016-05-19 / 20160140515 - TASK-DIRECTING SYSTEM AND TASK-DIRECTING METHOD | 2 |
Atsuhiro Katsumata | JP | Ashigarakami-Gun | 2015-03-05 / 20150062265 - INK COMPOSITION, INKJET RECORDING INK, AND INKJET RECORDING METHOD | 1 |
Kazuhiko Katsumata | JP | Inuyama-Shi | 2014-04-10 / 20140097174 - HEAT TREATMENT FURNACE AND METHOD OF REPLACING HEATER OF SAME | 1 |
Kenji Katsumata | JP | Miyagi | 2015-05-07 / 20150126029 - DRY FILM PHOTORESIST, MANUFACTURING METHOD OF DRY FILM PHOTORESIST, METAL PATTERN FORMING METHOD AND ELECTRONIC COMPONENT | 1 |
Yuichi Katsumata | JP | Fujisawa | 2015-12-10 / 20150354145 - SLIDING PLATE AND FLOOR PLATE FOR TURNOUT | 1 |
Masashi Katsumata | JP | Tokyo | 2015-05-28 / 20150145145 - IC EMBEDDED SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 1 |
Akira Katsumata | JP | Oota | 2015-06-04 / 20150156282 - RECORDING MEDIUM HAVING STORED IMAGE DISPLAY CONTROL PROGRAM, INFORMATION PROCESSING SYSTEM, AND IMAGE DISPLAY CONTROL METHOD | 1 |
Akiko Katsumata | JP | Gifu | 2015-06-11 / 20150157654 - RUTIN-RICH EXTRACT AND METHOD OF MAKING SAME | 1 |
Junko Katsumata | JP | Yokohama | 2009-02-19 / 20090049107 - Method and System of Database Management for Replica Database | 1 |
Akio Katsumata | JP | Kanagawa | 2012-02-02 / 20120025367 - SEMICONDUCTOR DEVICE | 1 |
Yuji Katsumata | JP | Kanagawa | 2012-01-19 / 20120016549 - CONTROL DEVICE AND CONTROL METHOD FOR ELECTRIC MOTOR VEHICLE | 1 |
Taiji Katsumata | JP | Kanagawa-Ken | 2016-04-21 / 20160108315 - OPTICALLY ANISOTROPIC LAYER, METHOD FOR PRODUCING THE OPTICALLY ANISOTROPIC LAYER, A LAMINATE, POLARIZING PLATE, DISPLAY DEVICE, LIQUID CRYSTAL COMPOUND, METHOD FOR PRODUCING THE LIQUID CRYSTAL COMPOUND, AND CARBOXYLIC ACID COMPOUND | 1 |
Hiroki Katsumata | JP | Tokyo | 2016-02-04 / 20160036319 - POWER FACTOR CORRECTION CIRCUIT | 1 |
Akio Katsumata | JP | Yokohama-Shi | 2015-11-19 / 20150332937 - SEMICONDUCTOR DEVICE, SEMICONDUCTOR STACKED MODULE STRUCTURE, STACKED MODULE STRUCTURE AND METHOD OF MANUFACTURING SAME | 2 |
Kiyohisa Katsumata | JP | Tokyo | 2011-12-22 / 20110313562 - DATA COLLECTION SYSTEM FOR VACUUM PROCESSING APPARATUS | 1 |
Ryota Katsumata | JP | Yokkaichi Mie | 2015-09-17 / 20150263024 - SEMICONDUCTOR MEMORY | 1 |
Ryota Katsumata | JP | Kanagawa-Ken | 2015-12-17 / 20150364489 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 33 |
Akitoshi Katsumata | JP | Gifu | 2014-08-21 / 20140234796 - METHOD FOR PERIODONTAL DISEASE MEASUREMENT | 2 |
Minoru Katsumata | JP | Chiba | 2009-07-02 / 20090168208 - LENS DRIVE APPARATUS | 1 |
Momoe Katsumata | JP | Niiza-Shi | 2015-05-07 / 20150125773 - ELECTRONIC APPARATUS, CONTROL METHOD AND PROGRAM THEREOF, AND BATTERY FOR OPERATING ELECTRONIC APPARATUS | 4 |
Jun Katsumata | JP | Kawasaki-Shi | 2015-10-01 / 20150274197 - ELECTRONIC CONTROLLER FOR ELECTRIC POWER STEERING | 1 |
Tetsushi Katsumata | JP | Susono-Shi | 2015-10-01 / 20150276057 - CLUTCH CONTROL DEVICE FOR AUTOMATIC TRANSMISSION | 1 |
Ryota Katsumata | JP | Yokahama-Shi | 2011-11-24 / 20110284947 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Makoto Katsumata | JP | Susono-Shi | 2015-10-15 / 20150294765 - Line-Shaped Assembly | 8 |
Nozomu Katsumata | JP | Gotemba-Shi | 2016-04-28 / 20160118831 - BATTERY CHARGING DEVICE | 1 |
Kazuhiko Katsumata | JP | Kakamigahara-Shi | 2014-10-02 / 20140291903 - VACUUM HEAT TREATMENT DEVICE | 2 |
Kazuhiko Katsumata | JP | Tokyo | 2014-05-15 / 20140131930 - HEAT TREATMENT APPARATUS | 5 |
Yasuyuki Katsumata | JP | Tokyo | 2012-03-08 / 20120055629 - MAGNESIUM ALLOY MEMBER | 2 |
Keiki Katsumata | JP | Tokyo | 2015-09-17 / 20150261182 - IMAGE FORMING APPARATUS AND CHARGING DEVICE | 3 |
Yuji Katsumata | JP | Atsugi-Shi, Kanagawa | 2015-12-17 / 20150365032 - MOTOR CONTROL DEVICE AND MOTOR CONTROL METHOD | 2 |
Tadayoshi Katsumata | JP | Inashiki-Gun | 2010-12-23 / 20100323081 - FLAVOR IMPROVING AGENT | 1 |
Tsutomu Katsumata | JP | Ito | 2015-10-29 / 20150309435 - TONER | 2 |
Shouji Katsumata | JP | Gotemba-Shi | 2015-12-24 / 20150369091 - POPPET VALVE | 6 |
Masaaki Katsumata | JP | Osaka | 2013-12-12 / 20130327994 - METHOD OF MANUFACTURING REUSE PASTE, REUSE PASTE AND METHOD OF MANUFACTURING CIRCUIT BOARD USING REUSE PASTE | 9 |
Kenji Katsumata | JP | Yokohama | 2016-04-14 / 20160100788 - BRAIN DYSFUNCTION ASSESSMENT METHOD, BRAIN DYSFUNCTION ASSESSMENT DEVICE, AND PROGRAM THEREOF | 2 |
Shouji Katsumata | JP | Shizuoka-Ken | 2010-09-30 / 20100242886 - SEALED LASH ADJUSTER AND SEAL STRUCTURE BETWEEN FIRST AND SECOND PARTS | 1 |
Masakazu Katsumata | JP | Hamamatsu-Shi | 2014-11-20 / 20140343863 - METHOD FOR EVALUATING VITALITY OF PLANT, AND MEASUREMENT SYSTEM AND EVALUATION SYSTEM | 4 |
Yoshifumi Katsumata | JP | Tokyo | 2011-12-15 / 20110306274 - POLISHING APPARATUS AND POLISHING METHOD | 2 |
Masaya Katsumata | JP | Susono-Shi | 2016-04-07 / 20160097607 - HEAT EXCHANGER TUBE PRECURSOR AND METHOD OF PRODUCING THE SAME | 4 |
Kenji Katsumata | JP | Yokohama-Shi | 2015-04-09 / 20150101005 - DIGITAL BROADCAST RECEIVER UNIT | 6 |
Toshinori Katsumata | JP | Kawasaki | 2010-04-08 / 20100088477 - MEMORY SHARE SYSTEM AND MEMORY SHARE APPARATUS | 1 |
Akira Katsumata | JP | Kawasaki | 2012-04-19 / 20120096455 - APPARATUS AND METHOD FOR MANAGEMENT OF SOFTWARE | 2 |
Toshihiro Katsumata | JP | Utsunomiya-Shi | 2009-02-26 / 20090050350 - WIRE HARNESS LEAD-OUT STRUCTURE OF PROTECTOR | 1 |
Makoto Katsumata | US | Bryn Mawr | 2011-11-10 / 20110274688 - PREVENTION OF TUMORS WITH MONOCLONAL ANTIBODIES AGAINST NEU | 3 |
Shin Katsumata | US | West Point | 2011-09-29 / 20110232881 - Corrugated Graphite Sheet Heat Transfer Device | 1 |
Shin Katsumata | US | Rockford | 2013-09-19 / 20130244827 - TOROIDAL TRACTION DRIVE | 8 |
Tsutomu Katsumata | JP | Ito-Shi | 2008-10-02 / 20080241725 - TONER FOR DEVELOPING AGENT AND METHOD FOR PRODUCING THE SAME | 1 |
Tsutomu Katsumata | JP | Yokohama | 2008-11-06 / 20080274320 - Process for Producing Polyalkylene Terephthalate, Process for Producing Polyalkylene Terephthalate Molding and Polyalkylene Terephthalate Molding | 1 |
Tsutomu Katsumata | JP | Shizuoka | 2009-03-19 / 20090075194 - DEVELOPING AGENT, METHOD FOR MANUFACTURING A DEVELOPING AGENT, AND IMAGE FORMING APPARATUS | 1 |
Tsutomu Katsumata | JP | Osaka-Hu | 2009-07-23 / 20090184216 - Hinge Device of Plane Display | 5 |
Ryota Katsumata | JP | Mie-Ken | 2015-09-03 / 20150249094 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 27 |
Tsutomu Katsumata | JP | Shizuoka-Ken | 2011-11-17 / 20110281210 - DEVELOPING AGENT | 3 |
Shiori Katsumata | JP | Kanagawa | 2014-04-17 / 20140104462 - IMAGING APPARATUS AND METHOD OF CONTROLLING THE IMAGING APPARATUS | 3 |
Naoki Katsumata | JP | Wako | 2011-05-05 / 20110101709 - DOOR HANDLE STROKE CHANGE STRUCTURE | 1 |
Takashi Katsumata | JP | Kariya-Shi | 2010-02-04 / 20100025783 - Sensor apparatus for detecting variations in a dynamic quantity while suppressing detection deviations that are caused by bending deformation of a sensor chip | 1 |
Yoshihiro Katsumata | JP | Hamamatsu-Shi | 2015-10-01 / 20150279342 - SCORE DISPLAYING METHOD AND STORAGE MEDIUM | 4 |
Motohiro Katsumata | JP | Shizuoka | 2011-08-25 / 20110208602 - SALE DATA PROCESSING TERMINAL AND CONTROL METHOD THEREOF | 1 |
Tomomi Katsumata | JP | Susono-Shi | 2015-11-19 / 20150333564 - VEHICLE CONTROL APPARATUS, VEHICLE, AND VEHICLE CONTROL METHOD | 1 |
Kazuhiko Katsumata | JP | Saitama-Shi | 2013-06-20 / 20130153547 - MULTI-CHAMBER HEAT TREATMENT DEVICE | 9 |
Taiji Katsumata | JP | Minami-Ashigara-Shi | 2009-06-04 / 20090143623 - Production Process of Cyanoethyl Ether | 2 |
Kiyomitsu Katsumata | JP | Tokyo | 2011-08-18 / 20110201659 - AGENT FOR PREVENTING OR TREATING ZOSTER-ASSOCIATED PAIN | 1 |
Masayuki Katsumata | JP | Kawasaki-Shi | 2009-01-08 / 20090010020 - LINEAR LIGHTING APPARATUS AND IMAGE READER USING THE SAME | 1 |
Itsuaki Katsumata | JP | Nagano-Pref. | 2009-02-26 / 20090051465 - DELAY LINE | 2 |
Ryota Katsumata | JP | Mie-Ken | 2015-09-03 / 20150249094 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 27 |
Kouichi Katsumata | JP | Tokyo | 2011-08-11 / 20110192298 - DRIVE CONTROL METHOD AND DRIVE CONTROL APPARATUS FOR PRINTING PRESS | 1 |
Shigeki Katsumata | JP | Miyoshi-Shi | 2011-03-10 / 20110057701 - SIGNAL CONTROL DEVICE | 1 |
Yasuhiro Katsumata | JP | Shizuoka | 2011-07-28 / 20110179991 - INDICATOR | 1 |
Ryota Katsumata | JP | Yokkaichi-Shi | 2012-01-12 / 20120008400 - NON-VOLATILE SEMICONDUCTOR STORAGE DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Takashi Katsumata | JP | Kariya-City | 2015-08-13 / 20150228540 - SEMICONDUCTOR DEVICE PRODUCING METHOD | 5 |
Noboru Katsumata | JP | Kanagawa-Ken | 2010-05-06 / 20100110291 - WIRELESS IMAGE TRANSFERRING APPARATUS, WIRELESS IMAGE RECEIVING APPARATUS AND WIRELESS IMAGE TRANSMITTING APPARATUS, AND WIRELESS IMAGE TRANSFERRING METHOD, WIRELESS IMAGE RECEIVING METHOD AND WIRELESS IMAGE TRANSMITTING METHOD | 1 |
Noriko Katsumata | JP | Yokohama-Shi | 2015-08-27 / 20150241413 - TEST STRIP | 2 |
Hiroaki Katsumata | JP | Susono-Shi | 2015-02-19 / 20150050189 - AIR PURIFICATION DEVICE FOR VEHICLE | 6 |
Takashi Katsumata | JP | Osaka | 2009-07-16 / 20090182043 - Pharmaceutical Preparation of Aqueous Solution Containing Platinum Complex | 1 |
Kenji Katsumata | JP | Kawasaki | 2014-11-13 / 20140334095 - RADIATOR AND ELECTRONIC DEVICE HAVING THE SAME | 8 |
Shin Katsumata | US | Rockford | 2013-09-19 / 20130244827 - TOROIDAL TRACTION DRIVE | 8 |
Yasuhiko Katsumata | JP | Aichi | 2011-07-14 / 20110169303 - VEHICULAR HOOD STRUCTURE | 1 |
Atsushi Katsumata | JP | Tokyo | 2009-12-10 / 20090304266 - CORRESPONDING POINT SEARCHING METHOD AND THREE-DIMENSIONAL POSITION MEASURING METHOD | 1 |
Hiroshi Katsumata | JP | Sagamihara-Shi | 2008-09-04 / 20080214019 - METHOD OF MANUFACTURING OXIDE FILM AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hajime Katsumata | JP | Kawasaki | 2009-12-10 / 20090306960 - MUSIC PLAYBACK APPARATUS AND MUSIC PLAYBACK METHOD | 2 |
Kenji Katsumata | JP | Yokohamna-Shi | 2008-09-11 / 20080218628 - Decoder Device And Receiver Using The Same | 1 |
Mikio Katsumata | JP | Maebashi-Shi | 2009-10-01 / 20090241721 - STEERING APPARATUS | 1 |
Takashi Katsumata | JP | Aichi | 2008-10-09 / 20080245296 - Coating Machine and Rotary Atomizing Head Thereof | 1 |
Kenichi Katsumata | JP | Aichi | 2010-11-04 / 20100279149 - PHOTOCATALYTIC FILM, METHOD FOR FORMING PHOTOCATALYTIC FILM AND PHOTOCATALYTIC FILM COATED PRODUCT | 5 |
Shinsuke Katsumata | JP | Aichi | 2016-01-28 / 20160025109 - BLOWER DEVICE | 1 |
Yasuhiro Katsumata | JP | Aichi | 2010-12-16 / 20100317512 - PHOTOCATALYTIC FILM, METHOD FOR FORMING PHOTOCATALYTIC FILM AND PHOTOCATALYTIC FILM COATED PRODUCT | 6 |
Seishi Katsumata | JP | Fukui | 2008-10-09 / 20080249154 - Preventive and/or Therapeutic Agent For Disease In Which Mitochondrial Benzodiazephine Receptor Participates | 1 |
Ikuo Katsumata | JP | Toyohashi-Shi | 2009-10-01 / 20090245960 - Method for removing chips on cutting machine and apparatus therefor | 1 |
Takehiko Katsumata | JP | Shizuoka | 2010-07-15 / 20100178611 - Lithography method of electron beam | 1 |
Makoto Katsumata | JP | Shizuoka | 2014-09-25 / 20140284102 - WIRE HARNESS INTERMEDIATE MEMBER, AND WIRE HARNESS | 5 |
Kiyohito Katsumata | JP | Shizuoka | 2010-11-18 / 20100288733 - VACUUM CIRCUIT BREAKER OF TANK TYPE | 1 |
Akio Katsumata | JP | Shizuoka | 2012-09-06 / 20120224014 - LABEL PRINTING DEVICE AND LABEL PRINTING METHOD | 3 |
Toru Katsumata | JP | Shizuoka | 2009-05-28 / 20090137724 - Thermoplastic Resin Composition | 1 |
Yuzoh Katsumata | JP | Shizuoka | 2009-06-18 / 20090154947 - IMAGE FORMING APPARATUS INCLUDING CONTROLLER DRIVING IMAGE CARRIERS | 1 |
Masaharu Katsumata | JP | Minamiashigara | 2008-10-16 / 20080250671 - WADING BOOT WITH STRATEGIC STUD PLACEMENT | 1 |
Yutaka Katsumata | JP | Inagi | 2009-08-13 / 20090202236 - ILLUMINATION CONTROLLER, ILLUMINATION CONTROL METHOD, AND IMAGING APPARATUS | 1 |
Mitsuru Katsumata | JP | Tokyo | 2015-07-09 / 20150194188 - IMAGE DECODING DEVICE, IMAGE DECODING METHOD, IMAGE ENCODING DEVICE, AND IMAGE ENCODING METHOD | 7 |
Hiroshi Katsumata | JP | Kanagawa-Ken | 2012-03-22 / 20120070966 - METHOD FOR MANUFACTURING SEMICONDUCTOR ELEMENT | 2 |
Toshinobu Katsumata | JP | Fujiyoshida-Shi | 2008-12-18 / 20080310184 - METHOD OF MANUFACTURING LIGHT GUIDE PLATE, LIGHT GUIDE PLATE, BACKLIGHT UNIT WITH THE LIGHT GUIDE PLATE AND DISPLAY APPARATUS HAVING THE SAME | 1 |
Shunichi Katsumata | JP | Susono-Shi Shizuoka-Ken | 2014-08-28 / 20140239618 - VEHICLE SIDE AIRBAG DEVICE | 2 |
Naoya Katsumata | JP | Kanagawa | 2011-03-17 / 20110065046 - Photosensitive resin compositions and photosensitive dry films using the same | 2 |
Taiji Katsumata | JP | Kanagawa | 2009-06-04 / 20090142507 - Ink composition for inkjet recording, inkjet recording method, and printed material | 1 |
Ryota Katsumata | JP | Kanagawa | 2011-07-21 / 20110175159 - NON-VOLATILE SEMICONDUCTOR STORAGE DEVICE | 2 |
Shunsuke Katsumata | JP | Kanagawa | 2015-07-02 / 20150189151 - INFORMATION PROCESSING APPARATUS, IMAGING APPARATUS, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING PROGRAM, AND IMAGING SYSTEM | 5 |
Yoshitaka Katsume | JP | Tokyo | 2013-11-14 / 20130303278 - AUTOMATIC MOVEMENT OF PLAYER CHARACTER IN NETWORK GAME | 3 |
Yoshitaka Katsume | US | 2015-08-13 / 20150224397 - AUTOMATIC MOVEMENT OF PLAYER CHARACTER IN NETWORK GAME | 1 | |
Tadashi Katsume | JP | Tokyo | 2015-06-04 / 20150151679 - ILLUMINATION DEVICE | 1 |
Shinji Katsume | JP | Isehara | 2010-12-23 / 20100322247 - INTERNETWORK DEVICE | 1 |
Hirotoshi Katsume | JP | Tokyo | 2009-01-15 / 20090015861 - Document management system, document management program, document management system configuration method, and server computer | 1 |
Hiroshi Katsumi | JP | Chiryu-Shi | 2012-11-15 / 20120285628 - ELECTRONIC-CIRCUIT-COMPONENT SUPPLYING DEVICE | 2 |
Hidemasa Katsumi | US | San Jose | 2010-02-11 / 20100034752 - INHALANT FORMULATIONS COMPRISING A BISPHOSPHONATE AND A PYRAZOLONE DERIVATIVE AND METHODS FOR USING THE SAME | 1 |
Keiko Katsumi | JP | Chiba | 2013-11-28 / 20130317201 - ANTI-CDH3 ANTIBODY HAVING HIGH INTERNALIZATION CAPACITY | 1 |
Toshiyuki Katsumi | JP | Tokyo | 2010-03-18 / 20100068513 - SURFACE TREATED STEEL SHEET | 1 |
Hidemasa Katsumi | JP | Kyoto | 2010-10-21 / 20100266670 - TRANSDERMALLY ABSORPTIVE PREPARATION | 1 |
Toru Katsumi | JP | Toride-Shi | 2012-12-13 / 20120315059 - IMAGE FORMING APPARATUS | 1 |
Hironori Katsumi | JP | Kobe-Shi | 2012-11-22 / 20120294763 - SPECIMEN ANALYZING APPARATUS AND SPECIMEN ANALYZING METHOD | 7 |
Keisuke Katsumi | JP | Osaka | 2009-08-13 / 20090201271 - VIDEO DISPLAY DEVICE | 1 |
Mitsugu Katsumi | JP | Fukuoka | 2011-07-21 / 20110174347 - RESONATOR FOR ULTRASONIC MACHINING AND ULTRASONIC MACHINING EQUIPMENT | 1 |
Yoshimasa Katsumi | JP | Aichi | 2014-10-02 / 20140290904 - HEAT EXCHANGE DEVICE AND DEVICE FOR RECEIVING HEAT GENERATION BODY | 8 |
Toyokazu Katsumi | JP | Kyoto | 2010-01-28 / 20100019736 - OSCILLATOR CIRCUIT | 1 |
Yoshimasa Katsumi | JP | Aichi | 2014-10-02 / 20140290904 - HEAT EXCHANGE DEVICE AND DEVICE FOR RECEIVING HEAT GENERATION BODY | 8 |
Mitsugu Katsumi | JP | Fukuoka-Shi | 2010-12-23 / 20100320255 - RESONATOR FOR JOINING METAL MEMBERS TOGETHER UTILIZING ULTRASONIC VIBRATION | 2 |
Yoshiaki Katsumi | JP | Okazaki-Shi | 2015-06-11 / 20150163470 - DEVICE AND METHOD FOR DISPLAYING INFORMATION IN LIGHT ILLUMINATED AREA AHEAD OF VEHICLE | 1 |
Keiko Katsumi | JP | Tokyo | 2013-09-19 / 20130245232 - HIGHLY EFFECTIVE ANTI-CADHERIN ANTIBODY FOR INDUCTION OF ANTIBODY-DEPENDENT CELLULAR CYTOTOXICITY IN VIVO | 3 |
Toshiharu Katsumori | JP | Tokyo | 2011-05-12 / 20110109685 - IMAGE RECORDING APPARATUS AND METHOD FOR CONTROLLING THE APPARATUS | 2 |
Ryuichi Katsumoto | JP | Saitama-Shi | 2013-08-22 / 20130214440 - LENS SHEET MANUFACTURING METHOD AND LENS SHEET MANUFACTURING APPARATUS | 3 |
Tadahiro Katsumoto | JP | Yokohama-Shi | 2014-04-17 / 20140103391 - NITRIDE LIGHT-EMITTING DIODE ELEMENT AND METHOD OF MANUFACTURING SAME | 5 |
Koji Katsumoto | JP | Tokyo | 2010-02-25 / 20100043344 - COMPOSITION FOR BUILDING MATERIAL, AND GYPSUM BOARD AND CONSTRUCTION METHOD USING THEM AND WALL AND THE LIKE | 1 |
Yuichiro Katsumoto | SG | Singapore | 2014-09-18 / 20140268529 - ARRAY OF ELEMENTS AND A HUMAN-COMPUTER INTERFACE DEVICE | 1 |
Kenichi Katsumoto | JP | Tokyo | 2014-12-18 / 20140372074 - TIP-OVER SENSOR | 3 |
Kazunari Katsumoto | JP | Osaka | 2012-01-19 / 20120013839 - DISPLAY PANEL AND DISPLAY DEVICE | 2 |
Michiaki Katsumoto | JP | Tokyo | 2008-09-18 / 20080226109 - ACOUSTIC VIBRATION REPRODUCING APPARATUS | 1 |
Yoichi Katsumoto | JP | Tokyo | 2016-03-24 / 20160082432 - FLOW CHANNEL DEVICE, ANALYSIS APPARATUS, AND FLUID APPARATUS | 24 |
Toshiyuki Katsumoto | JP | Tokyo | 2014-11-20 / 20140344703 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 8 |
Ryuichi Katsumoto | JP | Minami-Ashigara-Shi | 2010-08-26 / 20100213632 - METHOD AND APPARATUS FOR MANUFACTURING MOLDED PLATE | 2 |
Yoichi Katsumoto | JP | Kanagawa | 2014-10-16 / 20140305533 - METHOD OF PRODUCING FLOW CHANNEL DEVICE, AND FLOW CHANNEL DEVICE | 1 |
Ryuichi Katsumoto | JP | Shizuoka | 2010-02-04 / 20100027242 - BACKLIGHT UNIT | 5 |
Yoichi Katsumoto | JP | Tokyo | 2016-03-24 / 20160082432 - FLOW CHANNEL DEVICE, ANALYSIS APPARATUS, AND FLUID APPARATUS | 24 |
Ryuichi Katsumoto | JP | Fujinomiya-Shi | 2009-12-03 / 20090294998 - Method and Apparatus for Producing Embossed Sheet | 2 |
Mami Katsumoto | JP | Osaka | 2015-11-26 / 20150335579 - MULTILAYER TABLET CONTAINING TELMISARTAN AND HYDROCHLOROTHIAZIDE | 1 |
Kouji Katsumoto | JP | Tokyo | 2014-01-30 / 20140030173 - PROCESS FOR CONTINUOUS MODIFICATION OF DIHYDRATE GYPSUM AND MODIFIED DIHYDRATE GYPSUM OBTAINED BY THE PROCESS | 2 |
Yuko Katsumoto | JP | Yokohama-Shi | 2015-12-24 / 20150367665 - THERMAL TRANSFER RECORDING SHEET | 11 |
Yuko Katsumoto | JP | Yokohama-Shi | 2015-12-24 / 20150367665 - THERMAL TRANSFER RECORDING SHEET | 11 |
Toshiyuki Katsumoto | JP | Tokyo | 2014-11-20 / 20140344703 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 8 |
Kazunari Katsumoto | JP | Osaka-Shi | 2012-06-21 / 20120154457 - DISPLAY PANEL AND DISPLAY DEVICE | 1 |
Masumi Katsumoto | JP | Kanagawa | 2013-05-30 / 20130136983 - HYDROGEN ABSORBING ALLOY PARTICLES, ALLOY POWDER FOR ELECTRODE, AND ALKALINE STORAGE BATTERY | 1 |
Yukihisa Katsumoto | JP | Mishima-Gun | 2015-03-12 / 20150074855 - NOVEL CAMPANULA FLAVONOID 3',5'-HYDROXYLASE GENE AND ITS USE | 2 |
Yukihisa Katsumoto | JP | Osaka | 2011-05-26 / 20110126320 - Method for producing rose with altered petal colors | 6 |
Manabu Katsumura | JP | Kanagawa | 2015-12-17 / 20150360464 - LIQUID EJECTION DEVICE AND DUMMY JET METHOD | 2 |
Masahiro Katsumura | JP | Tsurugashima-Shi | 2010-01-14 / 20100009025 - MOLD FOR PATTERN TRANSFER | 2 |
Takayoshi Katsumura | JP | Matsumoto-Shi | 2009-04-23 / 20090102902 - Liquid Container | 3 |
Akitomi Katsumura | JP | Aichi | 2011-07-28 / 20110182037 - CARD DEVICE | 2 |
Manabu Katsumura | JP | Ashigarakami-Gun | 2008-10-02 / 20080241360 - RESIN FILM FORMING METHOD, RESIN FILM FORMING APPARATUS, AND ELECTRONIC CIRCUIT BOARD MANUFACTURING METHOD | 1 |
Noriyoshi Katsumura | JP | Saitama | 2016-05-19 / 20160140388 - INFORMATION PROCESSING APPARATUS AND COMPUTER READABLE MEDIUM | 3 |
Manabu Katsumura | JP | Kanagawa-Ken | 2011-11-24 / 20110284158 - Method and apparatus of manufacturing functionally gradient material | 1 |
Noriyoshi Katsumura | JP | Tokorozawa-Shi | 2016-03-24 / 20160086148 - MERCHANDISE ITEM REGISTRATION APPARATUS, AND MERCHANDISE ITEM REGISTRATION METHOD | 2 |
Tatsuro Katsumura | JP | Tokyo | 2015-09-03 / 20150247227 - METHOD FOR MANUFACTURING HEAVY WALL STEEL PIPE | 3 |
Masahiro Katsumura | JP | Saitama | 2011-11-10 / 20110272850 - MOLD AND METHOD FOR MANUFACTURING THE SAME | 2 |
Tatsuro Katsumura | JP | Aichi | 2015-04-30 / 20150114073 - TUBE EXPANDING METHOD FOR MANUFACTURING METAL TUBE | 1 |
Hidenori Katsumura | JP | Hyogo | 2016-03-17 / 20160079886 - VIBRATION POWER GENERATION DEVICE | 14 |
Yoshiteru Katsumura | JP | Yokohama City | 2010-12-23 / 20100321813 - SYSTEM, METHOD, AND APPARATUS FOR PERFORMING METROLOGY ON PATTERNED MEDIA DISKS WITH TEST PATTERN AREAS | 2 |
Toshihito Katsumura | JP | Tokyo | 2009-01-15 / 20090018405 - Exercise load measuring device | 1 |
Naoko Katsumura | JP | Tokyo | 2010-12-23 / 20100324134 - MEDICAMENT FOR PREVENTIVE AND/OR THERAPEUTIC TREATMENT OF BOWEL DISEASE | 3 |
Yoshiteru Katsumura | JP | Kanagawa | 2011-03-31 / 20110072644 - METHOD AND SYSTEM FOR MANUFACTURING A HARD-DISK DRIVE | 2 |
Yoshiteru Katsumura | JP | Yokohama | 2009-09-03 / 20090217510 - METHOD OF MANUFACTURING HARD DISK RECORDING DEVICE USING PATTERNED MEDIUM | 1 |
Hiroaki Katsumura | JP | Osaka | 2011-02-10 / 20110030892 - VACUUM HEAT INSULATING MATERIAL, METHOD OF PRODUCING VACUUM HEAT INSULATING MATERIAL, AND HEAT INSULATING BOX BODY USING VACUUM HEAT INSULATING MATERIAL | 2 |
Hiroshi Katsunaga | JP | Aichi-Ken | 2009-12-10 / 20090302801 - CHARGING SYSTEM AND VEHICLE AND CHARGE CONTROLLER FOR THE CHARGING SYSTEM | 1 |
Hiroshi Katsunaga | JP | Kariya-Shi | 2015-09-10 / 20150255991 - POWER RECEIVING DEVICE, POWER SUPPLY DEVICE, AND WIRELESS POWER TRANSFER APPARATUS | 7 |
Hiroshi Katsunaga | JP | Aichi | 2011-12-08 / 20110298926 - PARKING ASSISTANCE APPARATUS AND PARKING ASSISTANCE METHOD | 1 |
Toshiyasu Katsuno | JP | Nagoya-Shi | 2013-07-11 / 20130175926 - ON-VEHICLE LIGHT DISTRIBUTION CONTROL SYSTEM | 2 |
Iwao Katsuno | JP | Chiyoda-Ku | 2009-08-20 / 20090206457 - RESIN MOLDING PART AND MANUFACTURING METHOD THEREOF | 1 |
Masakazu Katsuno | JP | Chiyoda-Ku | 2015-09-24 / 20150267319 - SILICON CARBIDE SINGLE CRYSTAL SUBSTRATE AND PROCESS FOR PRODUCING SAME | 1 |
Aki Katsuno | JP | Shiga | 2011-06-09 / 20110132834 - COMPOSITE SEMIPERMEABLE MEMBRANE AND MANUFACTURING METHOD THEREFOR | 1 |
Takashi Katsuno | JP | Nisshin-Shi | 2011-08-25 / 20110207321 - SEMICONDUCTOR DEVICE MANUFACTURIING METHOD | 1 |
Yusuke Katsuno | JP | Kariya-Shi | 2015-11-19 / 20150328959 - AIR-CONDITIONING REGISTER | 1 |
Satoshi Katsuno | JP | Mie | 2015-11-12 / 20150322250 - PROPYLENE-ETHYLENE COPOLYMER RESIN COMPOSITION AND MOULDED ARTICLE, FILM AND SHEET THEREOF | 1 |
Hiroshi Katsuno | JP | Osaka | 2008-11-13 / 20080278747 - Thermal dye sublimation printer and ink ribbon cassette therefor | 1 |
Manami Katsuno | JP | Tokyo | 2010-07-29 / 20100189286 - AUDIO CONFERENCE SYSTEM | 1 |
Kenji Katsuno | JP | Nagano | 2009-11-19 / 20090286751 - PROGRESSION INHIBITOR FOR DISEASE ATTRIBUTED TO ABNORMAL ACCUMULATION OF LIVER FAT | 1 |
Hiroshi Katsuno | JP | Tokyo | 2016-02-04 / 20160035939 - SEMICONDUCTOR LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING ELEMENT | 54 |
Motonari Katsuno | JP | Kyoto | 2015-01-15 / 20150014753 - SOLID-STATE IMAGING DEVICE | 19 |
Kotaro Katsuno | JP | Shizuoka | 2009-11-12 / 20090277710 - DRY CLUTCH, AND MOTORCYCLE EQUIPPED WITH THE SAME | 1 |
Yutaka Katsuno | JP | Aki-Gun | 2010-07-01 / 20100163163 - BLOOD FILTER DEVICE AND METHOD OF PRODUCING THE SAME | 1 |
Akira Katsuno | JP | Kawasaki | 2011-12-08 / 20110302199 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING PROGRAM, AND INFORMATION PROCESSING METHOD | 18 |
Takashi Katsuno | JP | Ichinomiya-Shi | 2009-10-29 / 20090269908 - Manufacturing method of a semiconductor device | 2 |
Hiroshi Katsuno | JP | Komatsu | 2015-03-26 / 20150084069 - SEMICONDUCTOR LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING SEMICONDUCTOR LIGHT EMITTING ELEMENT | 2 |
Zentaro Katsuno | JP | Saitama | 2012-03-15 / 20120061159 - VEHICLE, AND HARNESS WIRING STRUCTURE THEREFOR | 1 |
Takashi Katsuno | JP | Ichinomiya-City | 2009-09-17 / 20090230405 - Diode having Schottky junction and PN junction and method for manufacturing the same | 1 |
Masakazu Katsuno | JP | Chiba | 2010-04-15 / 20100089311 - Seed crystal consisting of silicon carbide single crystal and method for producing ingot using the same | 2 |
Masayuki Katsuno | JP | Yaita-Shi | 2015-03-05 / 20150064600 - FUEL CELL ASSEMBLY AND METHOD OF MANUFACTURING SAME, AND BONDING PART MANUFACTURING METHOD AND DEVICE | 1 |
Tomoaki Katsuno | JP | Toyota-Shi | 2014-08-21 / 20140231552 - COATING NOZZLE FOR HIGH-VISCOSITY PAINT | 1 |
Hisashi Katsuno | JP | Chiba | 2009-03-19 / 20090075131 - DESULFURIZING AGENT AND METHOD OF DESULFURIZATION WITH THE SAME | 1 |
Takashi Katsuno | JP | Aichi-Gun | 2011-06-23 / 20110151654 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Yasuharu Katsuno | JP | Kawasaki-Shi | 2013-12-12 / 20130332611 - NETWORK COMPUTING OVER MULTIPLE RESOURCE CENTERS | 2 |
Yutaka Katsuno | JP | Hiroshima | 2011-05-19 / 20110118648 - BLOOD RESERVOIR | 4 |
Yasuharu Katsuno | JP | Tokyo | 2014-05-08 / 20140129297 - DETERMINING CALCULATION EXPRESSION FOR FINDING KPI RELATING TO BUSINESS PROCESS | 2 |
Takafumi Katsuno | JP | Kyoto | 2015-05-21 / 20150138298 - FINE WIRING PATTERN, MANUFACTURING METHOD THEREOF, AND THERMAL PRINT HEAD | 2 |
Yasuharu Katsuno | JP | Chigasaki | 2013-07-18 / 20130185413 - Integrated Metering of Service Usage for Hybrid Clouds | 1 |
Masakasu Katsuno | JP | Tokyo | 2015-03-19 / 20150075422 - EPITAXIAL SILICON CARBIDE MONOCRYSTALLINE SUBSTRATE AND METHOD OF PRODUCTION OF SAME | 2 |
Yoshiyuki Katsuno | JP | Tokyo | 2016-02-11 / 20160043364 - METHOD FOR PREVENTING ERRONEOUS LOADING OF COMPONENT-TO-BE-LOADED ON MAIN BODY SIDE APPARATUS, COMPONENT-TO-BE-LOADED AND BATTERY PACK | 9 |
Ryota Katsuno | JP | Tajimi-Shi | 2015-11-12 / 20150320967 - MOUNTING CARD | 1 |
Hiroshi Katsuno | JP | Ishikawa-Ken | 2015-11-26 / 20150340348 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 3 |
Eiji Katsuno | JP | Tokyo | 2015-07-23 / 20150206664 - ELECTRICITY STORAGE DEVICE | 2 |
Hiroshi Katsuno | JP | Komatsu Ishikawa | 2016-03-10 / 20160072019 - SEMICONDUCTOR LIGHT EMITTING ELEMENT | 2 |
Takuya Katsuno | JP | Nagoya-City | 2011-12-01 / 20110291530 - CRYSTAL ORIENTED CERAMIC COMPOSITE BODY, AND PIEZOELECTRIC/ELECTROSTRICTIVE ELEMENT | 5 |
Yoshiaki Katsuno | JP | Fujisawa-Shi | 2014-12-11 / 20140363112 - ROLLING BEARING | 2 |
Motonari Katsuno | JP | Toyama | 2014-07-31 / 20140210033 - SOLID-STATE IMAGING DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Hiroyuki Katsuno | JP | Setagaya-Ku | 2015-01-22 / 20150021813 - TIRE MOLD, TIRE, AND TIRE MANUFACTURING METHOD | 3 |
Masato Katsuno | JP | Anjo-Shi | 2015-07-30 / 20150211405 - FILTER FAULT DETECTION APPARATUS | 1 |
Masakazu Katsuno | JP | Tokyo | 2014-12-11 / 20140363607 - SILICON CARBIDE SINGLE CRYSTAL WAFER AND MANUFACTURING METHOD FOR SAME | 11 |
Masakazu Katsuno | JP | Tokyo | 2014-12-11 / 20140363607 - SILICON CARBIDE SINGLE CRYSTAL WAFER AND MANUFACTURING METHOD FOR SAME | 11 |
Masayuki Katsuno | JP | Wako | 2012-10-25 / 20120270136 - FUEL CELL | 1 |
Yasuharu Katsuno | JP | Kanagawa-Ken | 2015-07-16 / 20150200841 - METHOD AND APPARATUS FOR CONNECTING AN INFORMATION PROCESSOR TO MULTIPLE NETWORKS | 1 |
Hiroshi Katsuno | JP | Kanagawa | 2013-05-30 / 20130135519 - OPTICAL FUNCTION DEVICE AND IMAGE-CAPTURING DEVICE | 1 |
Zentaro Katsuno | JP | Wako | 2014-10-02 / 20140291960 - SADDLE-RIDE VEHICLE | 1 |
Yoshiyuki Katsuno | JP | Tokyo | 2016-02-11 / 20160043364 - METHOD FOR PREVENTING ERRONEOUS LOADING OF COMPONENT-TO-BE-LOADED ON MAIN BODY SIDE APPARATUS, COMPONENT-TO-BE-LOADED AND BATTERY PACK | 9 |
Hiroshi Katsuno | JP | Kanagawa-Ken | 2009-03-05 / 20090057707 - SEMICONDUCTOR LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Yoshiaki Katsuno | JP | Kanagawa | 2013-01-03 / 20130004107 - MULTIPLE ROW COMBINATION BALL BEARING | 2 |
Takashi Katsuno | JP | Nisshin-City | 2011-08-25 / 20110204383 - SIC SEMICONDUCTOR DEVICE HAVING SCHOTTKY BARRIER DIODE AND METHOD FOR MANUFACTURING THE SAME | 3 |
Hiroyuki Katsuno | JP | Tokyo | 2015-10-15 / 20150290978 - PNEUMATIC TIRE | 1 |
Hiroyuki Katsuno | JP | Kodaira-Shi | 2015-11-12 / 20150321518 - PNEUMATIC TIRE | 2 |
Ichiroh Katsunoi | JP | Tokyo | 2009-12-24 / 20090316169 - Controller unit and control method performed by controller unit | 2 |
Takahashi Katsunori | JP | Osaka | 2009-07-02 / 20090169860 - Closed-Cell Foamed Rubber Sheet, Laminate, and Waterproof/Watertight Sealing Material Made of the Sheet or Laminate | 1 |
Ishimiya Katsunori | SE | Malmo | 2009-10-22 / 20090262023 - ANTENNA ASSEMBLY, PRINTED WIRING BOARD AND DEVICE | 1 |
Ishimiya Katsunori | JP | Tokyo | 2008-11-20 / 20080287084 - Antenna Device and Portable Radio Communication Device Comprising Such Antenna Device | 1 |
Atsushi Katsunuma | JP | Tokyo | 2010-06-10 / 20100141950 - TUNABLE FILTER, LIGHT SOURCE APPARATUS, AND SPECTRAL DISTRIBUTION MEASURING APPARATUS | 1 |
Satoshi Katsunuma | JP | Kawasaki | 2013-08-29 / 20130226909 - Stream Data Processing Method and Device | 1 |
Satoshi Katsunuma | JP | Kokubunji | 2011-02-17 / 20110040827 - STREAM DATA PROCESSING METHOD AND APPARATUS | 1 |
Takayuki Katsunuma | JP | Kurokawa | 2012-09-06 / 20120225561 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Takayuki Katsunuma | JP | Kanagawa | 2015-09-24 / 20150265763 - FLUIDIC PUMP | 1 |
Takayuki Katsunuma | JP | Miyagi | 2015-10-15 / 20150294841 - PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS | 5 |
Takayuki Katsunuma | JP | Nirasaki-Shi | 2013-05-16 / 20130122714 - PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND STORAGE MEDIUM | 2 |
Takayuki Katsunuma | JP | Kurokawa-Gun | 2015-08-27 / 20150243522 - ETCHING METHOD | 2 |
Atsushi Katsunuma | JP | Shiraoka-Shi | 2015-08-20 / 20150234150 - IMAGING DEVICE | 1 |
Ayumi Katsunuma | JP | Otawara-Shi | 2014-11-13 / 20140336500 - MAGNETIC RESONANCE IMAGING APPARATUS AND METHOD FOR CONTROLLING MAGNETIC RESONANCE IMAGING APPARATUS | 6 |
Satoshi Katsunuma | JP | Nagareyama-Shi | 2010-04-01 / 20100083379 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND COMPUTER READABLE RECORDING MEDIUM | 1 |
Toshinari Katsunuma | JP | Nisshin-Shi | 2010-08-12 / 20100199810 - FASTENING TOOL | 1 |
Hideto Katsunuma | JP | Iwaki-City | 2015-05-28 / 20150146359 - DISPLAY DEVICE | 1 |
Jun Katsunuma | JP | Kanagawa | 2011-08-04 / 20110188186 - DISPLAY APPARATUS | 1 |
Satoshi Katsunuma | JP | Tokyo | 2016-01-07 / 20160004555 - DATA PROCESSING APPARATUS AND DATA PROCESSING METHOD | 1 |
Hisashi Katsuo | JP | Chiba | / - | 1 |
Isono Katsuo | KR | Seoul | 2008-10-30 / 20080266212 - PLASMA DISPLAY APPARATUS AND DRIVING METHOD THEREOF | 1 |
Satoshi Katsuo | JP | Kanagawa | 2012-09-27 / 20120242894 - CONVERTION APPARATUS AND CONVERTION METHOD | 1 |
Satoshi Katsuo | JP | Tokyo | 2011-06-09 / 20110135275 - RECORDING-AND-REPRODUCING APPARATUS AND CONTENT-MANAGING METHOD | 1 |
Seiji Katsuoka | JP | Tokyo | 2015-02-19 / 20150050863 - SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, SUBSTRATE HOLDING MECHANISM, AND SUBSTRATE HOLDING METHOD | 13 |
Hideaki Katsuoka | JP | Toyokawa-Shi | 2009-04-16 / 20090097886 - TONER CARTRIDGE | 1 |
Seiji Katsuoka | JP | Tokyo | 2015-02-19 / 20150050863 - SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, SUBSTRATE HOLDING MECHANISM, AND SUBSTRATE HOLDING METHOD | 13 |
Shigeo Katsura | JP | Hitachi-Shi | 2009-04-23 / 20090104107 - Methods of making and washing scorodite | 3 |
Taiji Katsura | JP | Suntou-Gun | 2015-12-31 / 20150378274 - TONER | 11 |
Isao Katsura | JP | Osaka | 2015-08-06 / 20150222469 - COMPENSATION APPARTUS, SIGNAL GENERATOR AND WIRELESS COMMUNICATION EQUIPMENT | 1 |
Yuki Katsura | JP | Tokyo | 2008-10-09 / 20080247040 - Diffusion sheet, rear projection screen provided with diffusion sheet, method of manufacturing mold for diffusion sheet, and method of manufacturing diffusion sheet | 3 |
Yuki Katsura | JP | Shinjuku-Ku | 2009-02-12 / 20090040605 - Diffusion sheet, rear projection screen provided with diffusion sheet, method of manufacturing mold for diffusion sheet, and method of manufacturing diffusion sheet | 1 |
Ryou Katsura | JP | Anjo-City | 2014-08-07 / 20140216409 - FUEL INJECTION APPARATUS | 2 |
Koji Katsura | JP | Kyoto | 2014-07-24 / 20140203726 - OSCILLATION CIRCUIT | 1 |
Takehisa Katsura | JP | Shizuoka-Ken | 2014-09-25 / 20140284128 - MOTORCYCLE | 2 |
Hiroaki Katsura | JP | Osaka | 2010-09-16 / 20100231253 - METHOD AND APPARATUS FOR INSPECTING SEMICONDUCTOR DEVICE | 2 |
Koyo Katsura | JP | Hitachioota-Shi | 2010-07-15 / 20100180140 - DATA PROCESSING SYSTEM AND IMAGE PROCESSING SYSTEM | 1 |
Youzou Katsura | JP | Hiroshima | 2009-02-12 / 20090038756 - Heater Unit and Thermal Fusion Apparatus For Synthetic Resin Members and Thermal Fusion Method For Synthetic Resin Members | 1 |
Ryota Katsura | JP | Tokyo | 2015-11-26 / 20150336008 - INFORMATION PROCESSING DEVICE AND PROGRAM | 1 |
Koji Katsura | JP | Kyoto-Shi | 2012-07-19 / 20120181931 - LED SHORT-CIRCUIT DETECTION CIRCUIT, LED DRIVE DEVICE, LED LIGHTING DEVICE, AND VEHICLE | 1 |
Tadashi Katsura | JP | Toyonaka-Shi | 2010-09-30 / 20100249446 - METHOD FOR PRODUCING N-METHACRYLOYL-4-CYANO-3-TRIFLUOROMETHYLANILINE | 1 |
Toshiyuki Katsura | JP | Shizuoka-Shi | 2013-03-28 / 20130078228 - VITAMIN-CONTAINING NUTRITION INFUSION FOR ADMINISTRATION THROUGH PERIPHERAL VEIN | 1 |
Shinji Katsura | JP | Toyohashi-Shi | 2013-06-27 / 20130164862 - SENSING METHOD FOR BIOPOLYMERS AND SENSING DEVICE THEREFOR | 1 |
Masayoshi Katsura | JP | Osaka | 2010-01-21 / 20100013213 - Structure for connection between integrated panel and fluid device | 1 |
Hidetsugu Katsura | JP | Mitaka-Shi | 2015-10-08 / 20150282783 - ULTRASONIC PROBE | 1 |
Masaomi Katsura | JP | Tokyo | 2013-01-31 / 20130025978 - ELEVATOR MONITORING DEVICE | 2 |
Shintaro Katsura | JP | Kariya-Shi | 2015-03-26 / 20150084380 - ROOF APPARATUS | 10 |
Hirofumi Katsura | JP | Kanagawa | 2012-10-18 / 20120265016 - ENDOSCOPE | 1 |
Sho Katsura | JP | Hyogo | 2014-11-06 / 20140329153 - ELECTRODE MATERIAL, ELECTRODE AND SECONDARY BATTERY | 2 |
Shintaro Katsura | US | Novi | 2016-02-04 / 20160031304 - Sunroof Apparatus | 4 |
Hideki Katsura | JP | Kanagawa | 2010-07-01 / 20100165283 - Liquid Crystal Display Device and Manufacturing Method Thereof | 1 |
Shinji Katsura | JP | Osaka-Shi | 2010-09-30 / 20100247469 - PERMANENT WAVE TREATMENT METHOD AND APPARATUS THEREOF | 1 |
Yuki Katsura | JP | Hiroshima | 2015-09-24 / 20150267172 - CULTURE MEDIUM ADDITIVE FOR USE IN SERUM-FREE CULTURING OF ANIMAL CELL, KIT AND USE THEREOF | 2 |
Yuki Katsura | JP | Hiroshima-Ken | / - | 1 |
Nobuo Katsura | JP | Yokohama-Shi | 2009-11-19 / 20090286135 - LIQUID SUPPLY CONTAINER AND FUEL CELL SYSTEM WITH SAME | 1 |
Sho Katsura | JP | Kobe-Shi | 2014-04-10 / 20140099544 - ELECTRODE MATERIAL AND MANUFACTURING METHOD THEREOF | 3 |
Misako Katsura | JP | Chuo-Ku, Tokyo | 2016-03-03 / 20160060652 - MICROVESICLE AND METHOD FOR PRODUCING THE SAME | 1 |
Hiroto Katsura | JP | Takasago-Shi | 2010-06-24 / 20100154434 - Gas Turbine | 1 |
Tomotaka Katsura | JP | Tokyo | 2014-01-16 / 20140016186 - WAVELENGTH CONVERSION CRYSTAL AND WAVELENGTH CONVERSION LASER DEVICE | 7 |
Shoo Katsura | JP | Kobe-Shi | 2009-12-03 / 20090297951 - ANODE FOR LITHIUM ION SECONDARY BATTERY, PRODUCTION METHOD THEREOF, AND LITHIUM ION SECONDARY BATTERY USING THE SAME | 1 |
Yuichiro Katsura | JP | Onga | 2013-11-28 / 20130315071 - APPARATUS AND METHOD FOR CONTROLLING A TRANSMISSION INTERVAL OF MAINTENANCE PACKETS IN A COMMUNICATION NETWORK | 2 |
Norichika Katsura | JP | Osaka | 2015-10-22 / 20150298933 - SHEET CONVEYING DEVICE AND IMAGE FORMING APPARATUS WITH THE SAME | 7 |
Norichika Katsura | JP | Nara | 2009-10-01 / 20090243188 - PAPER FEEDING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Yoshinori Katsura | JP | Hikone | 2010-12-30 / 20100327804 - CHARGEABLE ELECTRIC DEVICE | 2 |
Masanori Katsura | JP | Hakusan-Shi | 2010-02-11 / 20100033497 - Edge adjustment method, image processing device and display apparatus | 1 |
Daiji Katsura | JP | Hiroshima | 2013-02-07 / 20130034693 - DECORATIVE FILM STRUCTURE AND DECORATIVE MEMBER | 3 |
Akihito Katsura | JP | Osaka | 2014-12-18 / 20140369496 - KEY IMPLEMENTATION SYSTEM | 3 |
Isao Katsura | JP | Kariya-Shi | 2008-08-21 / 20080196237 - METHOD AND APPARATUS FOR MANUFACTURING HONEYCOMB COMPACT-BODY MOLDING DIE | 1 |
Tomotaka Katsura | JP | Chiyoda-Ku | 2015-10-22 / 20150303656 - SEMICONDUCTOR LASER APPARATUS | 1 |
Ryousuke Katsura | JP | Ube-Shi | 2014-10-16 / 20140309461 - METHOD FOR PRODUCING HIGH-PURITY 1,5-PENTANEDIOL | 2 |
Yoshimoto Katsura | JP | Tokyo | 2010-02-25 / 20100047854 - METHOD FOR DETECTION OF HUMAN PRECURSOR T CELL AND PRECURSOR B CELL | 1 |
Taiji Katsura | JP | Suntou-Gun | 2015-12-31 / 20150378274 - TONER | 11 |
Yosuke Katsura | JP | Hyogo | 2009-05-14 / 20090120297 - Steam-Water Separator | 1 |
Masayoshi Katsura | JP | Hyogo | 2011-12-29 / 20110318207 - BELLOWS PUMP | 4 |
Yoshimoto Katsura | JP | Abiko-Shi | 2011-06-30 / 20110158955 - METHOD FOR PRODUCING CELLS HAVING CHARACTERISTIC OF HEMATOPOIETIC STEM CELLS/PROGENITOR CELLS | 1 |
Takafumi Katsura | JP | Osaka | 2014-05-01 / 20140121047 - ELECTRIC DERAILLEUR MOTOR UNIT AND MOTORIZED DERAILLEUR | 4 |
Yosuke Katsura | JP | Kanagawa | 2009-08-06 / 20090197370 - Method and apparatus for manufacturing semiconductor device | 1 |
Naoki Katsura | JP | Shiga | 2013-05-23 / 20130131463 - BODY WEIGHT MANAGEMENT DEVICE | 1 |
Yuichiro Katsura | JP | Fukuoka | 2011-03-31 / 20110075559 - COMMUNICATION APPARATUS AND FRAME TRANSMISSION METHOD | 3 |
Hirofumi Katsura | JP | Ashigarakami-Gun | 2012-03-29 / 20120073614 - ENDOSCOPE CLEANING SYSTEM AND ENDOSCOPE CLEANING METHOD | 1 |
Hidetsugu Katsura | JP | Tokyo | 2015-10-01 / 20150276685 - ULTRASONIC PROBE | 1 |
Shintaro Katsura | JP | Kariya-Shi | 2015-03-26 / 20150084380 - ROOF APPARATUS | 10 |
Isao Katsura | JP | Osaka-Shi | 2014-05-22 / 20140140444 - COMPENSATION APPARATUS, SIGNAL GENERATOR AND WIRELESS COMMUNICATION EQUIPMENT | 1 |
Norichika Katsura | JP | Tenri-Shi | 2009-08-20 / 20090208236 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 6 |
Hiroaki Katsura | JP | Fukui | 2012-11-15 / 20120285731 - ELECTRODE BONDING STRUCTURE, AND MANUFACTURING METHOD FOR ELECTRODE BONDING STRUCTURE | 1 |
Koichi Katsura | JP | Kumagaya-Shi | 2012-07-05 / 20120170009 - FILTER BOX, FILTER APPARATUS, AND EXPOSURE APPARATUS | 4 |
Takehisa Katsura | JP | Shizuoka | 2013-04-25 / 20130098701 - MOTORCYCLE AND VEHICLE BODY FRAME | 1 |
Shinya Katsura | JP | Shimonoseki-Shi | 2016-02-11 / 20160040270 - ELECTRIC AND ELECTRONIC PART COPPER ALLOY SHEET WITH EXCELLENT BENDING WORKABILITY AND STRESS RELAXATION RESISTANCE | 5 |
Daiji Katsura | JP | Etajima-Shi | 2015-07-23 / 20150204233 - HEAT-INSULATING STRUCTURE OF MEMBER FACING ENGINE COMBUSTION CHAMBER, AND PROCESS FOR PRODUCING SAME | 3 |
Norichika Katsura | JP | Osaka-Shi | 2015-07-30 / 20150210490 - SHEET FEEDING DEVICE AND IMAGE FORMING APPARATUS | 4 |
Takushige Katsura | JP | Tokyo | 2015-05-21 / 20150141865 - STIMULUS PRESENTATION SYSTEM | 1 |
Yoshinori Katsura | CN | Suzhou | 2013-06-27 / 20130162199 - RECHARGEABLE ELECTRIC APPARATUS | 2 |
Yukiko Katsura | JP | Osaka | 2010-04-01 / 20100079377 - INPUT DISPLAY DEVICE, ELECTRONIC APPARATUS, AND COMPUTER-READABLE RECORDING MEDIUM | 1 |
Yuichiro Katsura | JP | Tokyo | 2015-02-19 / 20150048051 - RESIST PATTERN-FORMING METHOD, SUBSTRATE-PROCESSING METHOD, AND PHOTORESIST COMPOSITION | 1 |
Hideki Katsura | JP | Tokyo | 2015-03-12 / 20150073238 - SENSOR INSERTION DEVICE AND METHOD FOR OPERATING SAID DEVICE | 2 |
Ryo Katsura | JP | Okazaki-City | 2009-11-12 / 20090281707 - FUEL PRESSURE CONTROLLER AND FUEL PRESSURE CONTROL SYSTEM | 1 |
Yoshiaki Katsura | JP | Shiga | 2010-03-18 / 20100069527 - DENTAL SELF-ETCHING PRIMER COMPOSITION | 1 |
Kouji Katsura | JP | Tokyo | 2012-03-22 / 20120069594 - INTERNAL LIGHTING DISPLAY DEVICE AND DISPLAY PANEL THEREOF | 1 |
Takeharu Katsurada | JP | Aichi | 2012-10-25 / 20120271232 - CATHETER | 1 |
Morihiro Katsurada | JP | Osaka-Shi | 2015-07-02 / 20150185292 - POWER INFORMATION DISPLAY DEVICE, POWER INFORMATION DISPLAY SYSTEM AND POWER INFORMATION DISPLAY METHOD | 1 |
Keisuke Katsurada | JP | Chiyoda-Ku | 2015-04-30 / 20150115903 - VEHICULAR CHARGING SYSTEM | 2 |
Takeharu Katsurada | JP | Seto-Shi | 2015-03-26 / 20150088186 - BALLOON CATHETER | 1 |
Masaaki Katsurada | JP | Osaka | 2015-02-12 / 20150043010 - Contact Displacement Meter | 3 |
Hiroyuki Katsurada | JP | Itabashi-Ku | 2013-02-14 / 20130038872 - Probe | 1 |
Koichi Katsurada | JP | Aichi-Ken | 2012-02-09 / 20120036159 - SPEECH SEARCH DEVICE AND SPEECH SEARCH METHOD | 1 |
Manabu Katsurada | JP | Kanagawa | 2010-11-25 / 20100298550 - PROCESS FOR PRODUCTION OF RIBOFURANOSE DERIVATIVES | 3 |
Junichi Katsuragawa | JP | Kawasaki-Shi Kanagawa | 2009-09-03 / 20090218460 - Sucking and holding device | 1 |
Junichi Katsuragawa | JP | Obu-City | 2013-05-02 / 20130104584 - TWO-STAGE PRESSURISING REFRIGERATION CYCLE DEVICE | 1 |
Hiroshi Katsuragawa | JP | Osaka-Shi | 2014-07-31 / 20140213244 - WIRELESS RELAY COMMUNICATION SYSTEM, WIRELESS COMMUNICATION SYSTEM, MOBILE STATION APPARATUS DATABASE, BASE STATION APPARATUS, WIRELESS STATION APPARATUS, INTEGRATED CIRCUIT, COMPUTER PROGRAM, AND STORAGE MEDIUM | 3 |
Keiko Katsuragawa | JP | Atsugi-Shi | 2013-11-21 / 20130311417 - OPERATING DEVICE FOR IN-VEHICLE INFORMATION EQUIPMENT | 1 |
Hideki Katsuragawa | JP | Tokyo | 2009-01-29 / 20090027623 - Optical apparatus and projection display system | 1 |
Tadao Katsuragawa | JP | Tokyo | 2008-12-18 / 20080311429 - MAGNETIC FILM, MAGNETIC RECORDING/ REPRODUCING DEVICE, AND POLARIZATION CONVERSION COMPONENT | 1 |
Masami Katsuragawa | JP | Tokyo | 2015-05-21 / 20150138336 - HOUSING FOR AN UNDERWATER VIDEO CAMERA | 1 |
Yoshihiko Katsuragawa | JP | Hokkaido | 2011-01-13 / 20110009262 - PLANT GROWTH REGULATOR COMPOSITION | 2 |
Hiroshi Katsuragawa | JP | Osaka | 2015-03-05 / 20150063264 - MOBILE COMMUNICATION SYSTEM, BASE STATION APPARATUS AND MOBILE STATION APPARATUS | 23 |
Tadao Katsuragawa | JP | Kanagawa | 2009-11-05 / 20090274016 - MAGNETO-OPTICAL DEVICE | 1 |
Kunihiko Katsuragawa | JP | Kagawa | 2014-11-13 / 20140332436 - DISPOSABLE WEARING ARTICLE AND PACKAGED ASSEMBLY THEREOF | 2 |
Keiko Katsuragawa | JP | Kawasaki-Shi | 2008-10-23 / 20080262843 - SPEECH RECOGNITION APPARATUS AND METHOD | 1 |
Junichi Katsuragawa | JP | Kawasaki-Shi | 2015-11-12 / 20150325463 - ATTACHING APPARATUS | 6 |
Shigehiko Katsuragawa | JP | Oita | 2009-03-19 / 20090074276 - Voxel Matching Technique for Removal of Artifacts in Medical Subtraction Images | 1 |
Hitoshi Katsuragawa | JP | Osaka | 2009-02-19 / 20090045568 - Sheet material accumulating apparatus | 2 |
Kunihiko Katsuragawa | JP | Kanonji-Shi | 2015-07-02 / 20150182388 - DISPOSABLE DIAPER | 14 |
Kunihiko Katsuragawa | JP | Kanonji-Shi | 2015-07-02 / 20150182388 - DISPOSABLE DIAPER | 14 |
Ryuji Katsuragi | JP | Kawasaki-Shi | 2008-10-23 / 20080260948 - INK AND INK JET RECORDING METHOD | 1 |
Daigo Katsuragi | JP | Kanagawa | 2015-07-02 / 20150185442 - IMAGING LENS AND IMAGING UNIT | 1 |
Eijyu Katsuragi | JP | Tokyo | 2015-11-12 / 20150324145 - STORAGE SYSTEM AND DATA MANAGEMENT METHOD | 1 |
Masaki Katsuragi | JP | Hino-Shi | 2015-08-13 / 20150226269 - METHOD FOR MANUFACTURING PROPELLER SHAFT, AND PROPELLER SHAFT | 2 |
Naruto Katsuragi | JP | Hyogo | 2016-04-21 / 20160108109 - ANTIBODY TO PEPTIDE ENCODED BY Exon-21 OF PERIOSTIN, AND PHARMACEUTICAL COMPOSITION FOR PREVENTION OR TREATMENT OF INFLAMMATORY DISEASE CONTAINING SAID ANTIBODY | 1 |
Yasutaka Katsuragi | JP | Tokyo | 2011-04-07 / 20110081467 - METHOD AND DEVICE FOR ROASTING/COOLING BEAN | 1 |
Ken Katsuragi | JP | Anan-Shi | 2016-03-31 / 20160091151 - BACKLIGHT UNIT AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Kiyonori Katsuragi | JP | Osaka-Shi | 2016-03-24 / 20160083458 - ANTI-LIPOARABINOMANNAN ANTIBODY AND IMMUNOASSAY FOR ACID-FAST BACILLARY INFECTION USING THE ANTIBODY | 1 |
Toshifumi Katsuragi | JP | Tokyo | 2014-08-21 / 20140236140 - TREATMENT SYSTEM | 1 |
Naruto Katsuragi | JP | Osaka | 2012-03-08 / 20120058572 - ANTIBODY AGAINST PERIOSTIN, AND A PHARMACEUTICAL COMPOSITION COMPRISING IT FOR PREVENTING OR TREATING A DISEASE IN WHICH PERIOSTIN IS INVOLVED | 2 |
Takaya Katsuragi | JP | Tokyo | 2015-01-15 / 20150019052 - TRANSMISSION CONTROL DEVICE AND TRANSMISSION CONTROL METHOD | 2 |
Kiyonori Katsuragi | JP | Osaka | 2015-01-22 / 20150025226 - MONOCLONAL ANTIBODY FOR ANALYZING HIGH-MOLECULAR WEIGHT ADIPONECTIN AND UTILIZATION OF SAME | 1 |
Susumu Katsuragi | JP | Moka-Shi | 2015-10-01 / 20150275344 - HEAT-RESISTANT, CAST FERRITIC STEEL HAVING EXCELLENT MACHINABILITY AND EXHAUST MEMBER MADE THEREOF | 2 |
Koji Katsuragi | JP | Miyagi | 2013-12-05 / 20130321524 - INK SET, CARTRIDGE, INKJET RECORDING METHOD, AND INKJET RECORDING DEVICE | 6 |
Koji Katsuragi | JP | Kanagawa | 2015-09-17 / 20150258833 - TREATMENT LIQUID, INK SET, AND INKJET RECORDING METHOD | 5 |
Takehiko Katsuragi | JP | Ibaraki | 2010-04-22 / 20100095664 - HST Cooling Circuit | 1 |
Kota Katsuragi | JP | Tokyo | 2015-07-30 / 20150214804 - STATOR OF ROTARY ELECTRIC MACHINE | 1 |
Hiroaki Katsuragi | JP | Fukuoka | 2011-07-28 / 20110183117 - HOME OR PERSONAL CARE PRODUCT | 1 |
Tetsuya Katsuragi | JP | Kawasaki-Shi | 2009-10-22 / 20090265413 - COMMUNICATION APPARATUS, COMMUNICATION METHOD, FLOW CONTROL APPARATUS, CONTROL METHOD, AND COMPUTER PROGRAM | 1 |
Toshifumi Katsuragi | JP | Hachioji-Shi | 2016-04-21 / 20160106492 - LIVING TISSUE BONDING SYSTEM, TREATMENT INSTRUMENT CONTROL APPARATUS, AND OPERATION METHOD OF LIVING TISSUE BONDING SYSTEM | 3 |
Eiju Katsuragi | JP | Odawara | 2014-09-11 / 20140258617 - STORAGE APPARATUS AND STORAGE AREA ARRANGEMENT METHOD | 10 |
Eiju Katsuragi | JP | Odawara | 2014-09-11 / 20140258617 - STORAGE APPARATUS AND STORAGE AREA ARRANGEMENT METHOD | 10 |
Eiju Katsuragi | JP | Tokyo | 2015-09-10 / 20150254128 - STORAGE APPARATUS, STORAGE SYSTEM, AND DATA MANAGEMENT METHOD | 1 |
Yasuhiro Katsuragi | JP | Osaka | 2009-10-01 / 20090246259 - BIOCOMPATIBLE MATERIAL HAVING BIOCOMPATIBLE NON-WOVEN NANO- OR MICRO-FIBER FABRIC PRODUCED BY ELECTROSPINNING METHOD, AND METHOD FOR PRODUCTION OF THE MATERIAL | 1 |
Daigo Katsuragi | JP | Yokohama | 2012-05-03 / 20120105977 - ZOOM LENS AND PHOTOGRAPHING APPARATUS INCLUDING THE SAME | 2 |
Hiroshi Katsurahara | JP | Okazaki-City | 2014-10-23 / 20140311459 - FUEL INJECTION CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 12 |
Tom Katsurahara | JP | Kanagawa-Ken | 2009-04-16 / 20090098761 - Flat multi-conductor cable connector | 1 |
Hiroshi Katsurahara | JP | Okazaki-City | 2014-10-23 / 20140311459 - FUEL INJECTION CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 12 |
Hiroshi Katsurahara | JP | Anjo-City | 2010-12-02 / 20100300189 - DIAGNOSTIC SYSTEM FOR VARIABLE VALVE TIMING CONTROL SYSTEM | 3 |
Yuji Katsurahira | JP | Kazo-Shi | 2012-05-24 / 20120127132 - POSITION INPUT DEVICE AND COMPUTER SYSTEM | 5 |
Yuji Katsurahira | JP | Saitama | 2016-03-17 / 20160077611 - POSITION DETECTING DEVICE AND POSITION DETECTING METHOD | 9 |
Yuji Katsurahira | JP | Saitama | 2016-03-17 / 20160077611 - POSITION DETECTING DEVICE AND POSITION DETECTING METHOD | 9 |
Yuji Katsurahira | JP | Kazo-City | 2009-06-04 / 20090139780 - POSITION DETECTING APPARATUS AND POSITION POINTER | 2 |
Hiroaki Katsurai | JP | Kanagawa | 2015-02-05 / 20150035625 - INDUCTOR | 1 |
Hiroaki Katsurai | JP | Tokyo | 2016-03-24 / 20160087727 - Optical Modulator Driver Circuit and Optical Transmitter | 2 |
Toru Katsurai | JP | Osaka | 2013-12-26 / 20130342212 - DIAGNOSTIC DEVICE FOR DEGRADATION OF RECHARGEABLE LI-ION BATTERY | 1 |
Ronald Mark Katsuranis | US | Belmont | 2011-06-09 / 20110138287 - VOICE ACTIVATED SYSTEM AND METHOD TO ENABLE A COMPUTER USER WORKING IN A FIRST GRAPHICAL APPLICATION WINDOW TO DISPLAY AND CONTROL ON-SCREEN HELP, INTERNET, AND OTHER INFORMATION CONTENT IN A SECOND GRAPHICAL APPLICATION WINDOW | 2 |
Ronald M. Katsuranis | US | Belmont | 2009-08-20 / 20090210795 - VOICE ACTIVATED SYSTEM AND METHOD TO ENABLE A COMPUTER USER WORKING IN A FIRST GRAPHICAL APPLICATION WINDOW TO DISPLAY AND CONTROL ON-SCREEN HELP, INTERNET, AND OTHER INFORMATION CONTENT IN A SECOND GRAPHICAL APPLICATION WINDOW | 1 |
Takumi Katsurao | JP | Fukushima-Ken | 2012-01-12 / 20120009482 - POLYMER ELECTROLYTE AND NONAQUEOUS BATTERY CONTAINING THE SAME | 4 |
Kohei Katsuraya | JP | Aichi | 2013-02-07 / 20130033188 - IGNITION PLUG AND IGNITION APPARATUS | 3 |
Kohei Katsuraya | JP | Nagoya-Shi | 2015-11-19 / 20150333487 - IGNITION PLUG | 4 |
Kohei Katsuraya | JP | Aichi-Ken | 2013-09-12 / 20130234608 - IGNITION SYSTEM | 2 |
Kohei Katsuraya | JP | Nagoya | 2014-10-02 / 20140292179 - HIGH-FREQUENCY PLASMA SPARK PLUG | 8 |
Kohei Katsuraya | JP | Nagoya | 2014-10-02 / 20140292179 - HIGH-FREQUENCY PLASMA SPARK PLUG | 8 |
Satoru Katsurayama | JP | Tokyo | 2013-12-05 / 20130324641 - METHOD FOR MANUFACTURING ELECTRONIC COMPONENT, AND ELECTRONIC COMPONENT | 12 |
Satoru Katsurayama | JP | Shinagawa-Ku | 2012-06-21 / 20120156502 - ADHESIVE FILM, MULTILAYER CIRCUIT BOARD, ELECTRONIC COMPONENT AND SEMICONDUCTOR DEVICE | 1 |
Koichi Katsurayama | JP | Nagoya-City | 2008-12-18 / 20080311014 - PERMSELECTIVE MEMBRANE TYPE REACTOR | 2 |
Atsushi Katsurayama | JP | Anjo-Shi | 2015-07-09 / 20150191945 - DOOR LOCK DEVICE | 1 |
Satoru Katsurayama | JP | Utsunomiya City | 2013-06-13 / 20130149841 - WAFER DICING EMPLOYING EDGE REGION UNDERFILL REMOVAL | 1 |
Hisami Katsurayama | JP | Mie | 2016-03-24 / 20160087495 - ROTARY ELECTRIC MACHINE USING PERMANENT MAGNET | 1 |
Satoru Katsurayama | JP | Shinagawa | 2010-03-11 / 20100059872 - Adhesive Tape, Connected Structure and Semiconductor Package | 1 |
Mamoru Katsurayama | JP | Osaka | 2012-02-09 / 20120031791 - CASE | 1 |
Yuji Katsurayama | JP | Kyoto | 2014-03-13 / 20140072423 - CENTRIFUGAL FAN | 1 |
Satoru Katsurayama | JP | Tochigi | 2010-05-27 / 20100129960 - METHOD FOR BONDING SEMICONDUCTOR WAFERS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Toshiyuki Katsurayama | JP | Tokyo | 2015-01-29 / 20150027838 - DC FEEDER VOLTAGE CONTROL APPARATUS AND DC FEEDER VOLTAGE CONTROL SYSTEM | 1 |
Tomokazu Katsuro | JP | Fukuoka | 2010-10-14 / 20100262960 - Communication Terminal | 1 |
Akio Katsuro | JP | Higashimurayama-Shi | 2009-04-23 / 20090101282 - Apparatus and method for picking-up semiconductor dies | 2 |
Hajime Katsuro | JP | Nagoya-City | 2009-06-11 / 20090147482 - Electric control device and manufacturing method thereof | 1 |
Hirofumi Katsuse | KR | Yongin-Si | 2013-05-30 / 20130135275 - PIXEL CIRCUIT AND DISPLAY DEVICE | 1 |
Hirofumi Katsuse | JP | Tokyo | 2010-08-05 / 20100195031 - Liquid crystal display device | 3 |
Hirofumi Katsuse | JP | Yokohama-Shi | 2013-05-09 / 20130113690 - METHOD OF DRIVING ELECTRO-OPTIC DEVICE AND ELECTRO-OPTIC DEVICE | 2 |
Yoshihide Katsuse | JP | Ome-Shi | 2009-05-28 / 20090138653 - ELECTRONIC APPARATUS AND METHOD OF CONTROLLING A MEMORY UNIT CONNECTED TO THE SAME | 1 |
Shunsuke Katsuse | JP | Yokkaichi-City | 2013-09-12 / 20130237069 - ELECTRICAL JUNCTION BOX | 2 |
Hirofumi Katsuse | JP | Tsurumi-Ku | 2011-08-25 / 20110205196 - GATE SELECTION CIRCUIT OF LIQUID CRYSTAL PANEL, ACCUMULATING CAPACITY DRIVING CIRCUIT, DRIVING DEVICE, AND DRIVING METHOD | 1 |
Kazuhiko Katsushima | JP | Tokyo | 2010-06-24 / 20100157155 - DISPLAY PROCESSING DEVICE | 1 |
Hajime Katsushima | JP | Osaka | 2012-09-27 / 20120243263 - DC-DC CONVERTER CIRCUIT | 7 |
Akio Katsushima | JP | Kanagawa | 2014-10-30 / 20140320185 - PLL CIRCUIT | 2 |
Shinichi Katsushima | JP | Takasago-Shi | 2015-08-06 / 20150218476 - INDIRECT HEAT DRYING METHOD FOR PARTICULATE MATTER, REFINED-COAL PRODUCTION METHOD, INDIRECT HEAT DRYING DEVICE, AND REFINED-COAL PRODUCTION DEVICE | 2 |
Hiroshi Katsuta | JP | Toyota-Shi | 2015-02-26 / 20150057857 - VEHICLE AND CONTROL METHOD FOR VEHICLE | 12 |
Tadayoshi Katsuta | JP | Tokyo | 2016-04-28 / 20160118008 - DISPLAY DEVICE, METHOD OF DRIVING DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 9 |
Shohei Katsuta | JP | Osaka-Shi | 2016-05-19 / 20160139454 - LIGHT DIFFUSION MEMBER, METHOD FOR MANUFACTURING SAME, AND DISPLAY DEVICE | 28 |
Keiichi Katsuta | JP | Hitachi-Shi | 2013-01-24 / 20130024054 - RAILWAY SIGNALLING SYSTEM AND ON-BOARD SIGNALLING SYSTEM | 1 |
Tsuyoshi Katsuta | JP | Atsugi-Shi | 2011-09-15 / 20110221855 - DUPLEX PRINTING METHOD, BOOKBINDING METHOD, PRINTER FOR USE IN DUPLEX PRINTING METHOD | 1 |
Hidehiko Katsuta | JP | Yokohama-Shi | 2011-12-15 / 20110303685 - MULTILAYERED PLASTIC CONTAINER HAVING EXCELLENT DROP IMPACT RESISTANCE | 1 |
Tempei Katsuta | JP | Yamagata | 2011-12-15 / 20110305355 - VIBRATION DIRECTION CONVERTER PART FOR SPEAKER DEVICE AND SPEAKER DEVICE | 1 |
Masao Katsuta | JP | Fuchu | 2012-08-23 / 20120212910 - LOCKING DEVICE, ELECTRONIC DEVICE, AND LOCKING METHOD | 1 |
Souichi Katsuta | JP | Tokyo | 2015-12-03 / 20150343120 - AIR LEAK DETECTION DEVICE AND ELECTRICALLY POWERED SUCTION EQUIPMENT PROVIDED THEREWITH | 1 |
Yasushi Katsuta | JP | Susono-Shi | 2015-11-19 / 20150331344 - TONER | 9 |
Tetsuya Katsuta | JP | Chiba | 2014-10-09 / 20140301678 - SPRING UNIT AND SLIDING MECHANISM | 4 |
Yuji Katsuta | JP | Kanagawa | 2014-07-03 / 20140186277 - Methods and Compositions for Reducing Skin Damage | 2 |
Hiroo Katsuta | JP | Mishima-Shi | 2014-04-17 / 20140106169 - LIQUID CRYSTALLINE POLYESTER FIBER AND PROCESS FOR PRODUCTION OF THE SAME | 2 |
Shinji Katsuta | JP | Miyagi | 2014-10-09 / 20140299449 - CONVEYANCE DEVICE | 1 |
Kazuo Katsuta | JP | Tokyo | 2010-09-09 / 20100227020 - Device for forming headrest | 1 |
Masaharu Katsuta | JP | Kawasaki-Shi | 2014-10-09 / 20140299724 - TRAVERSING INCORE PROBE VALVE SUPPORT AND TRAVERSING INCORE PROBE SYSTEM | 1 |
Hiroyuki Katsuta | JP | Chiryu-Shi | 2010-02-11 / 20100031497 - METHOD OF FABRICATING REACTOR | 1 |
Shohei Katsuta | JP | Osaka-Shi | 2016-05-19 / 20160139454 - LIGHT DIFFUSION MEMBER, METHOD FOR MANUFACTURING SAME, AND DISPLAY DEVICE | 28 |
Tempei Katsuta | JP | Tendo-Shi | 2012-08-16 / 20120207321 - SPEAKER DEVICE | 1 |
Hiroshi Katsuta | JP | Aichi-Ken | 2010-02-11 / 20100032217 - POWER OUTPUT APPARATUS, VEHICLE EQUIPPED WITH POWER OUTPUT APPARATUS, AND CONTROL METHOD OF POWER OUTPUT APPARATUS | 4 |
Hiroyuki Katsuta | JP | Chiba | 2016-01-07 / 20160002198 - AMIDE DERIVATIVES, PROCESS FOR PREPARATION THEREOF AND USE THEREOF AS INSECTICIDE | 11 |
Nobuhiro Katsuta | JP | Kanagawa | 2016-03-31 / 20160091840 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 6 |
Yasushi Katsuta | JP | Suntou-Gun | 2011-01-13 / 20110008726 - PROCESS FOR PRODUCING TONER | 4 |
Shohei Katsuta | JP | Osaka | 2015-05-28 / 20150146132 - SURFACE LIGHT SOURCE DEVICE, DISPLAY DEVICE, AND LIGHTING DEVICE | 2 |
Izumi Katsuta | JP | Bunkyo-Ku | 2014-05-29 / 20140144456 - CLEANING AGENT COMPOSITION | 3 |
Takao Katsuta | JP | Tokyo | 2015-09-24 / 20150271161 - CONTROL SYSTEM, PROGRAM TRANSMISSION DEVICE, AUTHENTICATION SERVER, PROGRAM PROTECTION METHOD, PROGRAM TRANSMISSION METHOD, AND PROGRAM FOR PROGRAM TRANSMISSION DEVICE | 1 |
Tadayoshi Katsuta | JP | Minato-Ku | 2015-12-10 / 20150355764 - DISPLAY DEVICE | 1 |
Tempei Katsuta | JP | Tokyo | 2011-07-21 / 20110176703 - SPEAKER DEVICE | 1 |
Hiroshi Katsuta | JP | Shizuoka-Ken | 2008-11-13 / 20080279975 - METHOD AND APPARATUS FOR GENERATING AN INJECTION SPEED PATTERN | 1 |
Masanori Katsuta | JP | Hamamatsu-Shi | 2012-10-04 / 20120250941 - SOUND REPRODUCTION PROGRAM AND SOUND REPRODUCTION DEVICE | 2 |
Izumi Katsuta | JP | Tokyo | 2011-06-23 / 20110151102 - FAT OR OIL COMPOSITION | 2 |
Eiji Katsuta | JP | Aichi | 2009-05-21 / 20090126980 - PRINTED WIRING BOARD | 1 |
Tadayoshi Katsuta | JP | Aichi | 2016-02-25 / 20160054855 - DISPLAY DEVICE WITH TOUCH SENSOR, POTENTIAL CONTROL METHOD AND PROGRAM | 3 |
Koji Katsuta | JP | Tsukubamirai-Shi | 2009-04-30 / 20090108227 - PIPE JOINT | 1 |
Hideaki Katsuta | JP | Hiratsuka-Shi | 2011-06-09 / 20110135936 - WATER-BASED PRIMER COMPOSITION AND COATING METHOD USING THE SAME | 3 |
Takashi Katsuta | JP | Tatsuno-Shi | 2016-05-05 / 20160121841 - GAS GENERATOR FOR RESTRAINING DEVICE | 1 |
Shigeki Katsuta | JP | Tokyo | 2014-03-20 / 20140079892 - PROCESS FOR PRODUCING RESIN-COATED METAL PIGMENT | 4 |
Shigeo Katsuta | JP | Gunma | 2009-02-05 / 20090031559 - ELECTRONIC COMPONENT MOUNTING APPARATUS AND METHOD OF MOUNTING ELECTRONIC COMPONENTS | 1 |
Haruhiko Katsuta | JP | Sakura-Shi | 2016-01-07 / 20160007477 - METHOD FOR FORMING ELECTRICALLY CONDUCTIVE ULTRAFINE PATTERN, ELECTRICALLY CONDUCTIVE ULTRAFINE PATTERN, AND ELECTRIC CIRCUIT | 2 |
Takahiro Katsuta | JP | Tochigi | 2015-10-01 / 20150274212 - PERIPHERAL STRUCTURE OF FRONT HOOD OF VEHICLE | 1 |
Hideaki Katsuta | JP | Amagasaki-Shi | 2012-12-06 / 20120305862 - WATER-BASED PRIMER COMPOSITIONS AND COATING METHODS USING THE SAME | 1 |
Hiroyuki Katsuta | JP | Chiba-Shi | 2015-09-24 / 20150266811 - PROCESS FOR PRODUCTION OF AROMATIC AMIDE CARBOXYLIC ACID DERIVATIVE | 6 |
Yuji Katsuta | JP | Yokohama-Shi | 2012-10-04 / 20120253064 - PARAKERATOSIS INHIBITOR AND SKIN PREPARATION FOR EXTERNAL USE | 3 |
Seiji Katsuta | JP | Nagaokakyo-Shi | 2016-02-11 / 20160042871 - CERAMIC ELECTRONIC COMPONENT AND METHOD FOR PRODUCING THE SAME | 5 |
Masato Katsuta | JP | Hiroshima-Shi | 2010-03-25 / 20100071351 - EXHAUST EMISSION CONTROL SYSTEM FOR ENGINE AND CONTROL METHOD THEREFOR | 1 |
Hideaki Katsuta | JP | Aichi-Ken | 2011-12-01 / 20110293948 - METHOD FOR COATING MOLDED ARTICLES | 1 |
Hiroyuki Katsuta | JP | Mobara-Shi | 2014-10-09 / 20140303377 - AGRICULTURAL/HORTICULTURAL INSECTICIDE AND METHOD FOR USING THESAME | 3 |
Yasushi Katsuta | JP | Susono-Shi | 2015-11-19 / 20150331344 - TONER | 9 |
Hiroo Katsuta | JP | Shizuoka | 2011-12-29 / 20110318982 - LIQUID CRYSTAL POLYESTER FIBERS AND METHOD FOR PRODUCING THE SAME | 2 |
Ken Katsuta | JP | Utsunomiya-Shi | 2010-10-07 / 20100252941 - OPTICAL ELEMENT MANUFACTURING METHOD | 2 |
Jiro Katsuta | DE | Krefeld | 2008-12-18 / 20080309132 - Method for Improving Blood Circulation | 1 |
Mitsuhiro Katsuta | JP | Yokohama | 2014-07-17 / 20140201332 - COMPUTER SYSTEM | 1 |
Tadayoshi Katsuta | JP | Tokyo | 2016-04-28 / 20160118008 - DISPLAY DEVICE, METHOD OF DRIVING DISPLAY DEVICE, AND ELECTRONIC APPARATUS | 9 |
Hiroyuki Katsuta | JP | Chiba | 2016-01-07 / 20160002198 - AMIDE DERIVATIVES, PROCESS FOR PREPARATION THEREOF AND USE THEREOF AS INSECTICIDE | 11 |
Yasushi Katsuta | JP | Kanagawa | 2011-05-05 / 20110103095 - LIGHT GUIDE PLATE AND METHOD FOR MANUFACTURING LIGHT GUIDE PLATE | 1 |
Koji Katsuta | JP | Kawaguchi-Shi | 2014-03-27 / 20140084585 - CONNECTION APPARATUS FOR FLUID PRESSURE DEVICES | 1 |
Masafumi Katsutani | JP | Osaka | 2011-10-20 / 20110254822 - DRIVE CIRCUIT, DISPLAY DEVICE AND METHOD FOR SELF-DETECTING AND SELF-REPAIRING DRIVE CIRCUIT | 2 |
Fumiya Katsutani | JP | Suita-Shi | 2016-02-18 / 20160047932 - ELECTRIC NEAR-FIELD PROBE, CONTROL SYSTEM FOR SAME, AND PIEZOELECTRIC CRYSTAL DETECTOR | 1 |
Masafumi Katsutani | JP | Nara-Shi Nara | 2010-09-09 / 20100225635 - DRIVING CIRCUIT, DISPLAY DEVICE, AND TELEVISION SYSTEM | 1 |
Yuya Katsutani | JP | Tokyo | 2015-03-12 / 20150072793 - SERVER SYSTEM AND METHOD FOR CONTROLLING COMPUTER SYSTEM | 1 |
Yoshio Katsutani | JP | Tokyo | 2009-08-20 / 20090206216 - Suction Device and Suction Method Using Suction Device | 1 |
Akihiro Katsuura | JP | Wako-Shi | 2015-07-30 / 20150211961 - PUMPING LOSS CALCULATION DEVICE FOR INTERNAL COMBUSTION ENGINE | 2 |
Kanji Katsuura | JP | Saitama-Ken | 2009-12-17 / 20090310473 - OPTICAL DATA CARRIER AND METHOD FOR READING/RECORDING DATA THEREIN | 2 |
Nobuo Katsuura | JP | Yokohama-Shi | 2010-07-29 / 20100190091 - LIQUID SUPPLY CONTAINER AND FUEL CELL SYSTEM PROVIDED WITH SAME | 3 |
Kiyoshi Katsuura | JP | Takaoka-Shi | 2010-10-28 / 20100274006 - Clathrate compound, method for controlling concentration of aqueous agricultural chemical active ingredient solution, and agricultural chemical formulation | 1 |
Makoto Katsuura | JP | Hyogo | 2016-01-07 / 20160006190 - ELECTRICAL CABLE AND POWER SUPPLY DEVICE | 1 |
Mieko Katsuura | JP | Tokyo | 2009-12-31 / 20090325864 - NOVEL PROTEIN AND PROCESS FOR PREPARING THE SAME | 2 |
Makoto Katsuura | JP | Hamamatsu-Shi | 2010-12-23 / 20100319519 - Electronic Percussion Instrument | 1 |
Joji Katsuura | JP | Kawasaki-Shi | 2013-09-12 / 20130235106 - INK JET PRINTING APPARATUS AND METHOD FOR CONTROLLING INKJET PRINTING APPARATUS | 4 |
Nobuo Katsuura | JP | Kanagawa | 2010-08-05 / 20100196797 - LIQUID SUPPLY CONTAINER AND FUEL CELL SYSTEM PROVIDED WITH THE SAME | 2 |
Hironori Katsuura | JP | Osaka | 2011-09-01 / 20110211092 - IMAGE FILE REPRODUCTION DEVICE AND IMAGE FILE REPRODUCTION METHOD | 5 |
Akihiro Katsuya | JP | Yokohama-Shi | 2009-07-02 / 20090169975 - Electrode, Fuel Cell Electrode, Fuel Cell, and Production Method of Electrode | 1 |
Toshinobu Katsuya | JP | Kobe | 2013-04-18 / 20130096567 - DYNAMIC KNEE BALANCER WITH FORCE OR PRESSURE SENSING | 4 |
Goto Katsuya | JP | Ohita-Shi | 2010-01-28 / 20100019749 - SWITCHING POWER SUPPLY DEVICE | 1 |
Atsushi Katsuya | JP | Tokyo | 2010-09-30 / 20100247876 - PRINTING INK COMPOSITION FOR LAMINATE | 1 |
Masahito Katsuya | JP | Shiga | 2010-10-14 / 20100261399 - CONJUGATE FIBER HAVING LOW-TEMPERATURE PROCESSABILITY, NONWOVEN FABRIC AND FORMED ARTICLE USING THE CONJUGATE FIBER | 1 |
Masahito Katsuya | JP | Osaka | 2014-02-20 / 20140051314 - FIBERS HAVING IMPROVED COLOR FASTNESS AND FIBROUS FORMED BODY CONSTITUTED THEREOF | 2 |
Toshiaki Katsuya | JP | Osaka | 2010-04-08 / 20100086269 - CICADA-RESISTANT OPTICAL DROP CABLE | 1 |
Hiroki Katsuya | JP | Nagoya-Shi | 2011-05-26 / 20110122650 - Backlight unit and display device using same | 1 |
Koichi Katsuya | JP | Fujimi | 2011-01-27 / 20110019102 - OUTPUT DATA SWITCHING APPARATUS, OUTPUT DATA SWITCHING METHOD, OUTPUT DATA SWITCHING SYSTEM AND PROGRAM FOR OUTPUT DATA SWITCHING APPARATUS | 1 |
Akira Katsuyama | JP | Kanagawa | 2013-10-31 / 20130286293 - REMOTE CONTROL DEVICE, INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND REMOTE CONTROL METHOD | 6 |
Kimito Katsuyama | JP | Ashigarakami-Gun | 2013-02-14 / 20130041262 - FOCAL POINT INFORMATION DETERMINATION METHOD AND APPARATUS, AND AMBIENT SOUND VELOCITY OBTAINING METHOD AND APPARATUS | 7 |
Etsuo Katsuyama | JP | Susono-Shi | 2014-10-16 / 20140309902 - VEHICLE BEHAVIOR CONTROL APPARATUS | 2 |
Goro Katsuyama | JP | Yokohama-Shi | 2012-06-07 / 20120141171 - METHOD AND TONER BOTTLE FOR IMAGE FORMING APPARATUS CAPABLE OF EFFECTIVELY SUPPLYING TONER TO IMAGE FORMING APPARATUS | 5 |
Kimito Katsuyama | JP | Ashigara-Kami-Gun | 2015-12-10 / 20150351717 - ULTRASOUND DIAGNOSTIC APPARATUS, METHOD OF TRANSMITTING AND RECEIVING ULTRASONIC WAVE, AND PROGRAM FOR TRANSMITTING AND RECEIVING ULTRASONIC WAVE | 5 |
Masami Katsuyama | JP | Hitachinaka | 2013-11-28 / 20130313430 - CHARGED PARTICLE BEAM DEVICE | 1 |
Yuji Katsuyama | JP | Tokyo | 2014-06-12 / 20140163785 - Apparatus for controlling railcar and methods for detecting synchronous slide/slip | 2 |
Yusuke Katsuyama | JP | Yokohama-Shi | 2014-05-01 / 20140117936 - POWER STORAGE SYSTEM | 2 |
Tsukuru Katsuyama | JP | Yokohama-Shi | 2008-10-02 / 20080240195 - Semiconductor optical device | 1 |
Hiromoto Katsuyama | JP | Shimamoto-Cho | 2011-06-23 / 20110150736 - IONIC COMPOUND, METHOD FOR PRODUCING THE SAME, AND ION-CONDUCTIVE MATERIAL COMPRISING THE SAME | 1 |
Hidekazu Katsuyama | JP | Osaka | 2015-09-10 / 20150254830 - Shape Inspecting Device And Shape Inspecting Method | 3 |
Shinnosuke Katsuyama | JP | Yokohama-Shi | 2010-07-01 / 20100166204 - Headphone set | 1 |
Masanori Katsuyama | JP | Iruma | 2011-08-25 / 20110205472 - DISPLAY DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 2 |
Etsuo Katsuyama | JP | Shizuoka-Ken | 2010-06-24 / 20100161178 - VEHICULAR STEERING CONTROL DEVICE | 1 |
Yutaka Katsuyama | JP | Yokohama | 2014-09-25 / 20140285427 - SIGNAL PROCESSING DEVICE AND SIGNAL PROCESSING METHOD | 4 |
Yuji Katsuyama | JP | Kyoto | 2009-02-05 / 20090037549 - DATA MANAGEMENT SYSTEM FOR AN ANALYZING APPARATUS | 1 |
Ryosuke Katsuyama | JP | Kanagawa | 2011-12-08 / 20110300068 - AGENT FOR PROPHYLAXIS OR TREATMENT OF CANCER | 1 |
Hiroko Katsuyama | JP | Matsubara-Shi | 2013-11-21 / 20130308166 - IMAGE FORMING APPARATUS | 1 |
Takanobu Katsuyama | JP | Nagaokakyo-Shi | 2016-02-18 / 20160049256 - MULTILAYER CERAMIC CAPACITOR, MULTILAYER CERAMIC CAPACITOR SERIES INCLUDING THE SAME, AND MULTILAYER CERAMIC CAPACITOR MOUNT BODY INCLUDING THE SAME | 7 |
Jyunji Katsuyama | JP | Toyama | 2009-08-20 / 20090209765 - PROCESS FOR PRODUCTION OF 4-FLUOROISOQUINOLINE-5-SULFONYL HALIDE OR SALT THEREOF | 1 |
Ryosuke Katsuyama | JP | Osaka | 2010-04-08 / 20100086911 - PREVENTIVE/THERAPEUTIC AGENT FOR CANCER | 1 |
Hiromoto Katsuyama | JP | Osaka | 2015-12-31 / 20150380768 - ELECTROLYTE SOLUTION AND LITHIUM ION SECONDARY BATTERY PROVIDED WITH SAME | 2 |
Yuu Katsuyama | JP | Yao-Shi | 2014-08-21 / 20140230249 - METHOD FOR MANUFACTURING HUB RING AND METHOD FOR MANUFACTURING VEHICLE BEARING APPARATUS | 1 |
Norikazu Katsuyama | JP | Osaka | 2015-09-17 / 20150264266 - CAMERA BODY, CAMERA SYSTEM, AND METHOD OF CONTROLLING CAMERA-BODY BLUR CORRECTION | 16 |
Hiraku Katsuyama | JP | Mitaka-Shi | 2015-07-09 / 20150192680 - SURVEY METER | 1 |
Kyosuke Katsuyama | JP | Nagoya-City | 2009-12-24 / 20090315569 - IMPEDANCE MEASURING INSTRUMENT AND IMPEDANCE MEASURING METHOD FOR FINE PARTICLE COLLECTOR | 4 |
Koichi Katsuyama | JP | Okayama | 2009-10-29 / 20090270239 - Black Complex Oxide Particles, Process for Producing the Same, Black Pastes, and Black Matrixes | 2 |
Masako Katsuyama | JP | Kanagawa | 2011-03-03 / 20110052740 - Skin Circulation-Improving Agent And Skin Temperature-Elevating Agent | 1 |
Daisuke Katsuyama | JP | Inuyama-Shi | 2015-10-15 / 20150290749 - THERMAL CUTTING SYSTEM | 6 |
Goro Katsuyama | JP | Kanagawa | 2015-12-31 / 20150378305 - IMAGE FORMING APPARATUS | 16 |
Yoshinori Katsuyama | JP | Nagareyama-Shi | 2014-12-25 / 20140378346 - SURFACE OF SUBSTRATE ONTO WHICH NON-SPECIFIC ADSORPTION IS RESTRAINED | 1 |
Bradley Katsuyama | US | New York | 2016-03-17 / 20160078538 - SYSTEM AND METHOD FOR A SEMI-LIT MARKET | 7 |
Hiromoto Katsuyama | JP | Mishima-Gun | 2014-08-07 / 20140217322 - LITHIUM ION CAPACITOR | 1 |
Kimito Katsuyama | JP | Kanagawa | 2016-05-19 / 20160139252 - ULTRASOUND DIAGNOSTIC DEVICE, METHOD FOR GENERATING ACOUSTIC RAY SIGNAL OF ULTRASOUND DIAGNOSTIC DEVICE, AND PROGRAM FOR GENERATING ACOUSTIC RAY SIGNAL OF ULTRASOUND DIAGNOSTIC DEVICE | 15 |
Hiroaki Katsuyama | JP | Hitachi-Shi, Ibaraki | 2013-07-18 / 20130182811 - Method of Monitoring Reactor Bottom Area, Reactor Bottom Area Monitoring Apparatus and Nuclear Reactor | 1 |
Tomokazu Katsuyama | JP | Yokohama-Shi | 2013-07-18 / 20130183784 - METHOD FOR PRODUCING INTEGRATED OPTICAL DEVICE | 10 |
Yutaka Katsuyama | JP | Kawasaki | 2013-02-21 / 20130044197 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 7 |
Yukio Katsuyama | JP | Kawasaki | 2010-04-08 / 20100085660 - TAPE LIBRARY APPARATUS | 1 |
Kiyomi Katsuyama | JP | Iruma | 2015-08-20 / 20150235962 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 8 |
Etsuo Katsuyama | JP | Hiratsuka-Shi | 2015-10-01 / 20150274033 - WHEEL CONTROL DEVICE, VEHICLE, AND WHEEL CONTROL METHOD | 3 |
Akira Katsuyama | JP | Yokohama-Shi | 2013-09-19 / 20130241121 - HOLLOW SPRING AND METHOD FOR MANUFACTURING SAME | 2 |
Kimito Katsuyama | JP | Kanagawa-Ken | 2010-04-08 / 20100087736 - Ultrasound signal processing apparatus and method | 3 |
Masaaki Katsuyama | JP | Tokyo | 2012-04-19 / 20120095904 - COMPUTER SYSTEM AND COMPUTER-IMPLEMENTED METHOD FOR MANAGING A FINANCIAL PRODUCT | 1 |
Goro Katsuyama | JP | Tokyo | 2014-08-21 / 20140233162 - OPERATING SECTION STRUCTURE, IMAGE PROCESSING APPARATUS, AND INFORMATION PROCESSING APPARATUS | 2 |
Tomonori Katsuyama | JP | Toyoake-Shi | 2015-04-02 / 20150090540 - ELECTRIC BRAKING DEVICE FOR VEHICLE | 1 |
Hidenori Katsuyama | JP | Gifu | 2016-04-07 / 20160095834 - FOOD AND DRINK | 1 |
Kimito Katsuyama | JP | Kanagawa | 2016-05-19 / 20160139252 - ULTRASOUND DIAGNOSTIC DEVICE, METHOD FOR GENERATING ACOUSTIC RAY SIGNAL OF ULTRASOUND DIAGNOSTIC DEVICE, AND PROGRAM FOR GENERATING ACOUSTIC RAY SIGNAL OF ULTRASOUND DIAGNOSTIC DEVICE | 15 |
Noriyuki Katsuyama | JP | Tokyo | 2015-09-24 / 20150267434 - METHOD FOR CONSTRUCTING CYLINDRICAL TANK | 2 |
Norio Katsuyama | JP | Tokyo | 2013-10-10 / 20130268239 - FILLING-CAPACITY MEASURING METHOD | 1 |
Kiyomi Katsuyama | JP | Iruma | 2015-08-20 / 20150235962 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 8 |
Tomoyuki Katsuyama | JP | Kanagawa | 2009-03-05 / 20090060856 - Colorant Composition For Skin Cosmetics, Foundation Containing The Same, And Method Of Makeup Application | 1 |
Tomoyasu Katsuyama | JP | Kanagawa | 2016-01-28 / 20160029010 - CAMERA SYSTEM AND CAMERA CONTROL METHOD | 3 |
Kazuo Katsuyama | JP | Mobara | 2009-06-25 / 20090160375 - MOTOR CONTROLLER FOR RADIO CONTROL | 1 |
Yuji Katsuyama | JP | Kyoto-Shi | 2015-03-05 / 20150066388 - AUTOMATIC ANALYSIS CONTROL DEVICE AND PROGRAM | 2 |
Hirokazu Katsuyama | JP | Kanagawa | 2012-01-19 / 20120015517 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Norikazu Katsuyama | JP | Osaka | 2015-09-17 / 20150264266 - CAMERA BODY, CAMERA SYSTEM, AND METHOD OF CONTROLLING CAMERA-BODY BLUR CORRECTION | 16 |
Masamoto Katsuyama | JP | Tokyo | 2011-09-01 / 20110212776 - INFORMATION STORAGE MEDIUM AND GAME IMAGE GENERATION DEVICE | 1 |
Etsuo Katsuyama | US | 2015-10-01 / 20150274033 - WHEEL CONTROL DEVICE, VEHICLE, AND WHEEL CONTROL METHOD | 1 | |
Tomokazu Katsuyama | JP | Yokohama-Shi | 2013-07-18 / 20130183784 - METHOD FOR PRODUCING INTEGRATED OPTICAL DEVICE | 10 |
Hiroaki Katsuyama | JP | Hitachi | 2013-07-11 / 20130177122 - Reactor Water-Level/Temperature Measurement Apparatus | 2 |
Akira Katsuyama | JP | Yokahama-Shi | 2008-10-02 / 20080242438 - Golf shaft, golf club, and production method for golf shaft | 1 |
Rei Katsuyama | JP | Tokyo | 2010-04-01 / 20100081078 - METHOD FOR PRODUCING TONER | 1 |
Satoko Katsuzawa | JP | Tokyo | 2009-07-09 / 20090175621 - Optical code division multiplexing module and method | 1 |
Mitsuyuki Katsuzawa | JP | Kanagawa | 2009-01-08 / 20090013296 - Layout design method for a semiconductor integrated circuit | 1 |
Randy A. Katt | US | Holland | 2009-02-19 / 20090048942 - Electronic business method for the wholesale transaction of vehicles | 1 |
Ronald Katt | US | Farmington Hills | / - | 1 |
Maria Katt | US | Lenexa | 2012-01-19 / 20120017339 - QTL Controlling Sclerotinia Stem Rot Resistance in Soybean | 3 |
Ronald Paul Katt | US | Clinton Township | 2010-11-04 / 20100276558 - MOUNTING SYSTEMS FOR SOLAR PANELS | 1 |
Bhimesh Kumar Katta | IN | Hyderabad | 2013-03-14 / 20130067379 - GRAPHICAL COMPARISON OF GEOGRAPHIC INFORMATION SYSTEM DATA | 1 |
Navin Chandra Rao Katta | US | San Jose | 2016-02-18 / 20160049079 - Methods of tracking pedestrian heading angle using smart phones data for pedestrian safety applications | 1 |
Sudhir Katta | US | Pittsburgh | 2012-07-05 / 20120173185 - SYSTEMS AND METHODS FOR EVALUATING RANGE SENSOR CALIBRATION DATA | 1 |
Laxmi Reddy Katta | IN | Andhra Pradesh | 2013-10-24 / 20130281663 - PREPARATION OF POLYPEPTIDES AND SALTS THEREOF | 1 |
Yasuo Katta | JP | Akashi-Shi | 2015-10-01 / 20150275253 - METHOD FOR PRODUCING INDIGESTIBLE DEXTRIN | 1 |
Noboru Katta | JP | Kanagawa | 2011-11-17 / 20110280388 - AUDIO CONVERSATION APPARATUS | 4 |
Rama Rao Katta | IN | Bangalore | 2015-11-26 / 20150341383 - PROTECT APPLICATIONS FROM SESSION STEALING/HIJACKING ATTACKS BY TRACKING AND BLOCKING ANOMALIES IN END POINT CHARACTERISTICS THROUGHOUT A USER SESSION | 1 |
Shiva Prasad Katta | IN | Bangalore | 2015-10-01 / 20150277779 - METHOD OF MIGRATING VIRTUAL MACHINES BETWEEN NON-UNIFORM MEMORY ACCESS NODES WITHIN AN INFORMATION HANDLING SYSTEM | 2 |
Bhimesh Kumar Katta | IN | Ramachandrapuram | 2012-11-22 / 20120297331 - SYSTEMS AND METHODS FOR INTELLIGENT DECISION SUPPORT | 2 |
Sanketh Suresh Katta | US | San Francisco | 2016-03-03 / 20160062621 - USER INTERFACE FOR FUNNEL ANALYSIS | 2 |
Nitesh Katta | TW | Hsinchu City | 2016-01-07 / 20160004809 - POWER RAIL FOR PREVENTING DC ELECTROMIGRATION | 7 |
Srinivas Katta | US | Gainesville | 2014-06-26 / 20140178453 - DEVICES AND METHODS FOR THE REGENERATION OF BONY DEFECTS | 3 |
Hari Babu Katta | IN | Ameerpet, Hyderabad | 2013-01-31 / 20130030188 - PROCESS FOR THE PREPARATION OF (R,S)-NICOTINE | 1 |
Naga Praveen Kumar Katta | IN | Rajahmundry | 2015-03-05 / 20150067663 - CODE PROFILING OF EXECUTABLE LIBRARY FOR PIPELINE PARALLELIZATION | 3 |
Yasuo Katta | JP | Itami-Shi | 2010-12-30 / 20100330257 - NON-DIGESTIBLE HYDROXYPROPYL STARCH HYDROLYSATE, METHOD FOR PRODUCTION THEREOF AND FOOD AND BEVERAGE | 1 |
Mohan Kumar Katta | IN | Bangalore | 2013-01-10 / 20130013231 - SYSTEM AND METHOD FOR PREDICTING MECHANICAL FAILURE OF A MOTOR | 1 |
Akshay Kumar Reddy Katta | US | New York | 2013-01-10 / 20130013873 - SYSTEM AND METHOD FOR OPTIMIZING DATA IN VALUE-BASED STORAGE SYSTEM | 1 |
Srinivas Katta | US | Alachua | 2010-09-30 / 20100249753 - Vented syringe system and method for the containment, mixing and ejection of wetted particulate material | 1 |
Prathyusha Katta | US | Oxnard | 2008-10-02 / 20080242178 - Flexible Ceramic Fibers and a Process For Making Same | 1 |
Sekhar Katta | US | Lawrenceville | 2015-01-15 / 20150018262 - Aluminum-Safe Surface Presoak Cleaner and Process | 1 |
Suresh Katta | US | Monte Sereno | 2008-12-18 / 20080312942 - METHOD AND SYSTEM FOR DISPLAYING PREDICTIONS ON A SPATIAL MAP | 1 |
Hari Babu Katta | IN | Hyderabad | 2013-01-31 / 20130030187 - PROCESS FOR THE PREPARATION OF (R,S)-NICOTINE | 3 |
Noboru Katta | JP | Osaka | 2010-10-28 / 20100272271 - SPEAKER SYSTEM | 1 |
Hiroshi Katta | JP | Kyoto | 2015-01-15 / 20150017735 - Analyte sensor and analyte sensing method | 5 |
Yasuo Katta | JP | Kago-Gun | 2009-06-04 / 20090142379 - Coated Products Containing Hydrogenated Indigestible Starch Syrup as a Binding Agent | 1 |
Ari Kattainen | FI | Hyvinkaa | 2016-04-21 / 20160107862 - SAFETY SYSTEM FOR ELEVATOR | 31 |
Durga Prasad Kattamanchi | IN | Bangalore | 2015-10-08 / 20150289224 - METHOD AND SYSTEM TO IMPROVE REGISTRATION FOR VoLTE DEVICES IN LTE NETWORKS | 1 |
Nirupama Kattamuri | US | Lowell | 2014-02-27 / 20140053897 - Tandem Photovoltaic Cells | 2 |
Sundeep Kattamuri | IN | Hyderabad | 2014-10-16 / 20140305341 - NATURAL CRYSTALLINE COLORANT AND PROCESS FOR PRODUCTION | 2 |
Subbarao Kattamuri | US | Lowell | 2013-07-25 / 20130191729 - Method of Generating an Electronic Report | 1 |
Patrick Elie Kattan | LB | Maghdouche - Saida - South Lebanon | 2014-08-07 / 20140215840 - Trigonometer | 1 |
Francisco Jose Kattan | US | 2012-02-23 / 20120046011 - System and Method for Providing Prepaid Billing For Instant Messaging Users | 1 | |
Moustafa Kattan | AE | Dubai | 2012-12-06 / 20120308231 - Light Path Priority in Dense Wavelength Division Multiplexed Networks | 1 |
Michael K. Kattan | US | Cleveland | 2014-09-18 / 20140279754 - SELF-EVOLVING PREDICTIVE MODEL | 1 |
Ahmed Kattan | SA | Makkah | 2016-05-12 / 20160133152 - SYSTEM AND METHOD FOR COACH DECISION SUPPORT | 1 |
Spiro Kattan | US | Brooklyn | 2014-10-02 / 20140291045 - AUXILIARY MODULES MOUNTED ON A VEHICLE | 1 |
Francisco Jose Kattan | US | San Jose | 2014-03-20 / 20140080441 - SYSTEM AND METHOD FOR PROVIDING PREPAID BILLING FOR INSTANT MESSAGING USERS | 1 |
Michael W. Kattan | US | Cleveland | 2015-06-04 / 20150154361 - INTERACTIVE WHITEBOARD SYSTEM AND METHOD | 6 |
Nadeem Kattangere | US | San Jose | 2014-09-25 / 20140286194 - Virtual Port World Wide Names | 2 |
Lauren Kattany | US | Natick | 2010-02-25 / 20100045454 - Wheelchair Alarm System and Method | 1 |
Solomon D. Kattar | US | Arlington | 2015-08-27 / 20150239866 - TRIAZOLYL DERIVATIVES AS SYK INHIBITORS | 8 |
Solomon Kattar | US | Arlington | 2012-12-06 / 20120309735 - AMINOPYRIMIDINES AS SYK INHIBITORS | 11 |
Solomon D. Kattar | US | Arlington | 2015-08-27 / 20150239866 - TRIAZOLYL DERIVATIVES AS SYK INHIBITORS | 8 |
Solomon Kattar | US | Wakefield | 2015-12-10 / 20150353552 - PURINE INHIBITORS OF HUMAN PHOSPHATIDYLINOSITOL 3-KINASE DELTA | 2 |
Sam Kattar | US | Arlington | 2012-01-26 / 20120022044 - TRIAZOLE DERIVATIVES FOR TREATMENT OF ALZHEIMER'S DISEASE | 1 |
Solomon D. Kattar | US | Medford | 2009-08-20 / 20090209566 - Spirocyclic Compounds | 1 |
Solomon D. Kattar | US | Boston | 2015-08-27 / 20150239866 - TRIAZOLYL DERIVATIVES AS SYK INHIBITORS | 1 |
Solomon Kattar | US | Boston | 2015-12-10 / 20150353552 - PURINE INHIBITORS OF HUMAN PHOSPHATIDYLINOSITOL 3-KINASE DELTA | 1 |
Solomon Kattar | US | Arlington | 2012-12-06 / 20120309735 - AMINOPYRIMIDINES AS SYK INHIBITORS | 11 |
Sam Kattar | US | Medford | 2009-03-12 / 20090069391 - Benzothiophene hydroxamic acid derivatives | 1 |
George W. Kattawar | US | College Station | 2008-09-25 / 20080231958 - Apparatus and methods for forming a reflector | 1 |
Satish Katte | US | Troy | 2011-10-20 / 20110258243 - System and Method for Data Caching | 1 |
Kiran B. Kattel | US | San Francisco | 2013-12-26 / 20130345892 - Thermal Control Apparatus and Methodology | 1 |
Hannu Kattelus | FI | Vantaa | 2011-11-17 / 20110279824 - ELECTRICALLY TUNABLE FABRY-PEROT INTERFEROMETER, AN INTERMEDIATE PRODUCT AN ELECTRODE ARRANGEMENT AND A METHOD FOR PRODUCING AN ELECTRICALLY TUNABLE FABRY-PEROT INTERFEROMETER | 3 |
Hannu Kattelus | FI | Vtt | 2013-06-13 / 20130147021 - MULTI-LAYER SUBSTRATE STRUCTURE AND MANUFACTURING METHOD FOR THE SAME | 1 |
Björn Kattentidt | DE | Neutraubling | 2010-04-22 / 20100100273 - METHOD AND DEVICE FOR OPERATING A MOTOR VEHICLE | 1 |
Björn Kattentidt | DE | Neutraubling | 2010-04-22 / 20100100273 - METHOD AND DEVICE FOR OPERATING A MOTOR VEHICLE | 1 |
Björn Kattentidt | DE | Neutraubling | 2010-04-22 / 20100100273 - METHOD AND DEVICE FOR OPERATING A MOTOR VEHICLE | 1 |
Matthias Katter | DE | Alzenau | 2015-09-03 / 20150246360 - METHOD FOR CLASSIFYING ARTICLES AND METHOD FOR FABRICATING A MAGNETOCALORICALLY ACTIVE WORKING COMPONENT FOR MAGNETIC HEAT EXCHANGE | 18 |
Kent D. Katterheinrich | US | Coon Rapids | 2014-01-16 / 20140016998 - CARDLOCK CLAMP | 2 |
Kent D. Katterheinrich | US | Shoreview | 2014-06-05 / 20140151524 - DISPLAY STAND AND BRACKET | 1 |
Brian Michael Katterhenry | US | Houston | 2008-12-11 / 20080304938 - Strongback Traveling Clamp | 1 |
Kativa K. Katti | US | Columbia | 2013-05-23 / 20130129618 - EGCG STABILIZED GOLD NANOPARTICLES AND METHOD FOR MAKING SAME | 2 |
Kattesh K. Katti | US | Columbia | 2009-03-19 / 20090074674 - Stabilized, biocompatible gold nanoparticles and enviro-friendly method for making same | 1 |
Pralhad Katti | US | San Ramon | 2014-03-27 / 20140086054 - MITIGATING THE EFFECTS OF CONGESTED INTERFACES ON A FABRIC | 2 |
Dinesh Ramanath Katti | US | Fargo | 2010-04-15 / 20100089124 - INTEGRATED POROUS RIGID WALL AND FLEXIBLE WALL PERMEABILITY TEST DEVICE FOR SOILS | 1 |
Sachin Katti | US | Stanford | 2014-11-27 / 20140348018 - SELF-INTERFERENCE CANCELLATION | 7 |
Kattesh V. Katti | US | Columbia | 2014-02-20 / 20140050664 - SOY, LENTIL OR EXTRACT STABILIZED, BIOCOMPATIBLE GOLD NANOPARTICLES | 7 |
Sharat Sheshachar Katti | IN | Bangalore | 2015-08-06 / 20150218469 - SYSTEM AND METHOD FOR SLURRY PREPARATION | 1 |
Sreedhar Katti | IN | Bangalore | 2016-03-24 / 20160087956 - UNIFIED PROVISIONING OF APPLICATIONS ON DEVICES IN AN ENTERPRISE SYSTEM | 2 |
Anilkumar P. Katti | IN | Bangalore | 2011-03-10 / 20110056046 - BRUSH AND VACUUM ASSEMBLY AND METHOD OF USE | 1 |
Nachiket Prabhakar Katti | US | Minneapolis | 2013-08-22 / 20130219334 - TRIP AND TRAVEL TOOL | 1 |
Aparna Katti | CA | Mississauga | 2009-07-30 / 20090193035 - COLLABORATIVE DOCUMENT MANAGEMENT | 1 |
Anand Katti | IN | Karnuiaka | 2010-09-23 / 20100241515 - System and Method for Attention-Grabbing Display Results for Sponsored Online Advertising Campaigns | 1 |
Jayteerth Narasingrao Katti | US | Redmond | 2015-11-12 / 20150326672 - CONNECTING PUBLIC CLOUD WITH PRIVATE NETWORK RESOURCES | 2 |
Jayu Katti | US | Redmond | 2012-06-21 / 20120159246 - SCALING OUT A MESSAGING SYSTEM | 1 |
Jayteerth N. Katti | US | Redmond | 2013-10-24 / 20130282655 - QUERY-ORIENTED MESSAGE CHARACTERIZATION | 3 |
Kalpana S. Katti | US | Fargo | 2010-04-15 / 20100089124 - INTEGRATED POROUS RIGID WALL AND FLEXIBLE WALL PERMEABILITY TEST DEVICE FOR SOILS | 2 |
Dhirendra S. Katti | IN | Kanpur | 2011-12-08 / 20110299784 - Image Based Structural Characterization of Fibrous Materials | 2 |
Jayteerth Katti | US | Redmond | 2014-04-17 / 20140108523 - CONNECTION SHARING ACROSS ENTITIES IN A DISTRIBUTED MESSAGING SYSTEM | 5 |
Shreedhar Aravind Katti | IN | Maharashtra | 2012-02-16 / 20120036987 - MOBILE MISSILE LAUNCH SYSTEM AND METHOD THEREOF | 1 |
Anand N. Katti | IN | Bangalore | 2016-04-21 / 20160110766 - SYSTEM AND METHOD OF DISPLAYING SOCIAL ADS ALONG WITH ORGANIC OR PAID SEARCH RESULTS | 2 |
Guruprasad Katti | SG | Singapore | 2014-02-27 / 20140054742 - Semiconductor Structure | 1 |
Romney R. Katti | US | Maple Grove | 2012-08-09 / 20120201076 - SPINTRONIC DEVICES WITH INTEGRATED TRANSISTORS | 3 |
Kavita K. Katti | US | Columbia | 2014-02-20 / 20140050664 - SOY, LENTIL OR EXTRACT STABILIZED, BIOCOMPATIBLE GOLD NANOPARTICLES | 4 |
Dinesh R. Katti | US | Fargo | 2009-07-02 / 20090169524 - COMPOSITES AND METHODS OF PREPARATION AND USE THEREOF | 1 |
Anil Kumar Katti | US | Atlanta | 2014-04-10 / 20140098897 - Providing a Common Set of Parameters for Sub-Layers of Coded Video | 8 |
Romney R. Katti | US | Shorewood | 2016-03-10 / 20160071904 - MAGNETORESISTIVE RANDOM ACCESS MEMORY (MRAM) DIE INCLUDING A MAGNETIC FIELD SENSING STRUCTURE | 20 |
Romney R. Katti | US | Shorewood | 2016-03-10 / 20160071904 - MAGNETORESISTIVE RANDOM ACCESS MEMORY (MRAM) DIE INCLUDING A MAGNETIC FIELD SENSING STRUCTURE | 20 |
Aniket Katti | US | Maharashtra | 2015-03-19 / 20150076846 - SYSTEM, METHOD, AND APPARATUS FOR AUTOMOTIVE UNDER-RUN PROTECTIVE DEVICE | 1 |
Vidya G. Kattige | IN | Thane | 2012-05-03 / 20120108583 - Tricyclic Compounds As mPGES-1 Inhibitors | 1 |
Vidya Ganpati Kattige | IN | Thane (w) | 2012-05-10 / 20120115886 - FUSED PYRIMIDINEONE COMPOUNDS AS TRPV3 MODULATORS | 1 |
Vidya Ganapati Kattige | IN | Thane | 2015-03-26 / 20150087646 - TRIAZOLONE COMPOUNDS AS mPGES-1 INHIBITORS | 3 |
Vidya G. Kattige | IN | Thane (w) | 2015-10-08 / 20150283117 - TRIAZOLONE COMPOUNDS AS mPGES-1 INHIBITORS | 1 |
Vidya Ganapati Kattige | IN | Thane (w) | 2012-12-13 / 20120316136 - TREATMENT OF RESPIRATORY DISORDERS USING TRPA1 ANTAGONISTS | 7 |
Kirthi Kattige | US | Portland | 2015-07-23 / 20150206719 - PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION | 1 |
Kirthi K. Kattige | US | Portland | 2014-08-07 / 20140216337 - PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION | 2 |
Vidya Ganapati Kattige | IN | Thane (west) | 2013-08-15 / 20130210844 - BICYCLIC COMPOUNDS AS mPGES-1 INHIBITORS | 1 |
Muralidhar Kattimani | IN | Bangalore | 2014-01-16 / 20140019643 - SMART SCHEDULED SYNC METHOD FOR SYNC APPLICATIONS | 1 |
Steven Kattman | CA | Toronto | 2009-10-29 / 20090269314 - HUMAN CARDIOVASCULAR PROGENITOR CELLS | 1 |
Steven Kattman | US | Madison | 2015-07-09 / 20150191697 - CARDIOMYOCYTE PRODUCTION | 6 |
Braden R. Kattman | US | Glastonbury | 2012-11-15 / 20120288784 - FUEL CELL PLATE WITH RECYCLED MATERIAL | 1 |
Ulrich Kattner | DE | Baden-Wurttemberg | 2010-02-04 / 20100028752 - Carrying Container For a Power Supply Unit With Fuel Cells | 1 |
J. Stephen Kattner | US | Austin | 2014-07-17 / 20140201623 - METHOD AND SYSTEM FOR DETERMINING AND USING STYLE ATTRIBUTES OF WEB CONTENT | 1 |
Juergen Kattner | AT | Wien | 2012-12-20 / 20120318982 - METHOD FOR DETERMINING POLYCYCLIC AROMATIC HYDROCARBON CONTAMINANT CONCENTRATION | 1 |
Axel Kattner | DE | Seevetal | 2008-12-18 / 20080309827 - Filter Device, Circuit Arrangement Comprising Such Filter Device as Well as Method of Operating Such Filter Device | 1 |
Haruyasu Katto | JP | Anjo-Shi | 2012-06-14 / 20120148444 - ALUMINUM ALLOY CASTING AND PRODUCTION METHOD THEREOF | 1 |
Tero Katto | FI | Mikkeli | 2011-01-13 / 20110006199 - METHOD FOR MEASURING GASES AND CORRESPONDING ION MOBILITY SPECTROMETER | 1 |
Jiro Katto | JP | Yokohama | 2016-02-11 / 20160042729 - VIRTUAL INSTRUMENT PLAYING SCHEME | 2 |
Akinori Katto | JP | Tokyo | 2015-04-23 / 20150111174 - IMPLANTS FOR AN ARTIFICIAL TOOTH | 1 |
Yohei Katto | JP | Matsudo-Shi | 2012-06-21 / 20120154879 - DOCUMENT READER | 1 |
Yohei Katto | JP | Nagareyama-Shi | 2014-06-19 / 20140168731 - DOCUMENT READING APPARATUS AND DOCUMENT READING METHOD | 3 |
Youhei Kattou | JP | Matsudo-Shi | 2012-01-19 / 20120013958 - DOCUMENT READING APPARATUS | 2 |
Richard Samuel Kattouf, Ii | US | Greenville | 2015-07-02 / 20150182008 - ARMBAND FOR CARRYING ENERGY GELS | 1 |
Maarten Kattouw | US | Everett | 2011-11-10 / 20110276217 - Hard Landing Report Based on Sink Rate Algorithm | 1 |
Vijaya Kattumuri | CA | Surrey | 2010-10-21 / 20100266508 - STABILIZED GOLD NANOPARTICLE AND CONTRAST AGENT | 1 |
Kannan Katturaja | IN | Tuticorin | 2014-08-21 / 20140234675 - A POWER PACK SYSTEM AND A VENTILATION SYSTEM PROVIDED THEREIN | 1 |
Arie Katua | IL | Nes-Ziona | 2009-01-22 / 20090019957 - Method and system for detecting bombs in trash cans | 1 |
Herath Katugaha | CA | Toronto | 2014-01-02 / 20140004352 - METAL-CLAD HYBRID ARTICLE HAVING SYNERGISTIC MECHANICAL PROPERTIES | 8 |
Karl E. Katuin | US | New Lisbon | 2016-04-28 / 20160113416 - ICE MERCHANDISER WITH SENSING CAPABILITIES | 1 |
Suresh Katukam | US | San Jose | 2009-07-02 / 20090172190 - LINK STATE PROTOCOL ROUTING MESSAGE CONTAINMENT | 4 |
Jayasimha Reddy Katukuri | US | Lafayette | 2009-07-30 / 20090192954 - Semantic Relationship Extraction, Text Categorization and Hypothesis Generation | 1 |
Aravind Kumar Katukuri | IN | Hyderabad | 2012-10-04 / 20120251826 - PROCESS FOR THE PREPARATION OF DARUNAVIR | 1 |
Shobhana Katukuri | US | East Rutherford | 2014-11-27 / 20140351040 - RECEIPT RENDERING IN A PREPAID ARCHITECTURE | 1 |
Jayasimha Katukuri | US | Santa Clara | 2016-03-24 / 20160085813 - DYNAMIC MODIFICATIONS OF RESULTS FOR SEARCH INTERFACES | 2 |
Jay Katukuri | US | Santa Clara | 2015-04-02 / 20150095185 - LARGE-SCALE RECOMMENDATIONS FOR A DYNAMIC INVENTORY | 2 |
Narender Reddy Katukuri | IN | Hyderabad | 2011-06-16 / 20110141783 - CONTROL OF FOUR-LEG TRANSFORMERLESS UNINTERRUPTIBLE POWER SUPPLY | 1 |
Narender Katukuri | IN | Hyderabad | 2010-05-06 / 20100109618 - METHOD AND SYSTEM FOR MANAGING UNINTERRUPTABLE POWER SUPPLY FOR HARMONIC REDUCTION | 1 |
Youichi Katumata | JP | Gotenba-Shi | 2013-06-20 / 20130152552 - EXHAUST EMISSION CONTROL DEVICE OF INTERNAL COMBUSTION ENGINE | 2 |
Yoshiteru Katumura | JP | Kanagawa | 2010-06-03 / 20100134918 - SERVO WRITE METHOD AND SERVO WRITE SYSTEM FOR HARD-DISK DRIVE | 1 |
Jayantha Katupitiya | AU | Lidcombe | 2015-01-15 / 20150019081 - GUIDANCE AND CONTROL OF VEHICLE TRAVEL PATH AND COMPONENTS | 1 |
Chandra Shekar Katuri | IN | Andhra Pradesh | 2013-12-26 / 20130347127 - DATABASE MANAGEMENT BY ANALYZING USAGE OF DATABASE FIELDS | 1 |
Chandrasekhar Katuri | IN | Hyderabad | 2015-03-05 / 20150066773 - CLAIM RATE BLACK BOX | 3 |
Srinivasa Rao Katuri | IN | Bangalore | 2013-01-31 / 20130031046 - DEVICES, METHODS, AND SYSTEMS FOR MODEL BASED DEGREE-OF-ANGLE LOCALIZATION | 1 |
Srinivasarao Katuri | IN | Bangalore | 2015-12-17 / 20150364137 - SPATIAL AUDIO DATABASE BASED NOISE DISCRIMINATION | 13 |
Srinivasarao Katuri | IN | Bangalore | 2015-12-17 / 20150364137 - SPATIAL AUDIO DATABASE BASED NOISE DISCRIMINATION | 13 |
Chandrashekar Katuri | US | Charlotte | 2012-08-09 / 20120203590 - Technology Risk Assessment, Forecasting, and Prioritization | 1 |
Chandrashekar Katuri | IN | Hyderabad | 2013-12-05 / 20130325674 - TRIGGER DATA QUALITY MONITOR | 1 |
Hugo Katus | DE | Heidelberg | 2016-04-21 / 20160108376 - ABHD5 AND PARTIAL HDAC4 FRAGMENTS AND VARIANTS AS A THERAPEUTIC APPROACH FOR THE TREATMENT OF CARDIOVASCULAR DISEASES | 10 |
Hugo A. Katus | DE | Heidelberg | 2016-02-18 / 20160047829 - Means and Methods for Determining a Clearance Normalized Amount of a Metabolite Disease Biomarker in a Sample | 4 |
Hugo Katus | DE | Heidelberg | 2016-04-21 / 20160108376 - ABHD5 AND PARTIAL HDAC4 FRAGMENTS AND VARIANTS AS A THERAPEUTIC APPROACH FOR THE TREATMENT OF CARDIOVASCULAR DISEASES | 10 |
Stipan Katusic | US | 2015-07-30 / 20150213927 - IRON-SILICON OXIDE PARTICLES HAVING AN IMPROVED HEATING RATE | 1 | |
Stipan Katusic | DE | Bad Soden | 2015-07-30 / 20150213927 - IRON-SILICON OXIDE PARTICLES HAVING AN IMPROVED HEATING RATE | 22 |
Stipan Katusic | DE | Kelkheim | 2009-08-27 / 20090214866 - PROCESS FOR THE PRODUCTION OF METAL OXIDE POWDERS | 3 |
Niraj Katwala | US | Hayward | 2008-10-16 / 20080256049 - METHOD AND SYSTEM FOR ESTABLISHING DOCUMENT RELEVANCE | 3 |
Ganish Katyal | CA | Saint-Laurent | 2015-07-16 / 20150201248 - HYBRID STORAGE OF PROGRAM RECORDINGS IN A SERVICE PROVIDER NETWORK | 4 |
Amit Katyal | IN | Ghaziabad | 2015-12-10 / 20150358025 - PHASE LOCKED LOOP CIRCUIT EQUIPPED WITH UNITY GAIN BANDWIDTH ADJUSTMENT | 5 |
Vipul Katyal | US | Fort Collins | 2010-12-02 / 20100301832 - Curvature Compensated Bandgap Voltage Reference | 1 |
Ganish Katyal | CA | Ville St-Laurent | 2013-06-20 / 20130159531 - BANDWIDTH MANAGEMENT IN A HOME NETWORK | 1 |
Amit Katyal | IN | New Delhi | 2014-06-12 / 20140158635 - SYSTEM AND METHOD FOR HYDRATE-BASED DESALINATION | 1 |
Garima Katyal | AU | Girraween Nsw | 2012-02-16 / 20120040735 - METHOD OF GAMING, A GAMING SYSTEM, AND A GAME CONTROLLER | 1 |
Gourav Katyal | IN | Bangalore | 2010-03-18 / 20100066295 - TRIGGER MECHANISM FOR CURRENT ACQUISITION USED FOR MOTOR CONTROL APPLICATIONS | 1 |
Pravesh Katyal | IN | Bangalore | 2012-12-27 / 20120331447 - ADAPTIVE CHANGE MANAGEMENT IN COMPUTER SYSTEM LANDSCAPES | 1 |
Vivek Katyal | US | Austin | 2009-12-17 / 20090313161 - SYSTEM AND METHOD FOR ELECTRONIC TRADING AND DELIVERY OF A COMMODITIZED PRODUCT | 1 |
Deepankar Katyal | US | Seattle | 2015-12-31 / 20150379989 - VOICE-CONTROLLED INFORMATION EXCHANGE PLATFORM, SUCH AS FOR PROVIDING INFORMATION TO SUPPLEMENT ADVERTISING | 4 |
Kapil D. Katyal | US | Arlington | 2009-01-29 / 20090031279 - APPARATUS, SYSTEM, AND METHOD FOR HIDING ADVANCED XML SCHEMA PROPERTIES IN EMF OBJECTS | 1 |
Vipul Katyal | US | Weston | 2013-02-28 / 20130054274 - VISION INSURANCE INFORMATION SEARCH FACILITATION | 1 |
Kapil D. Katyal | US | Chevy Chase | 2014-11-13 / 20140336781 - HYBRID AUGMENTED REALITY MULTIMODAL OPERATION NEURAL INTEGRATION ENVIRONMENT | 2 |
Ganish Katyal | CA | Ville Saint Laurent | 2014-10-23 / 20140315531 - SYSTEM & METHOD FOR ENABLING OR RESTRICTING FEATURES BASED ON AN ATTENTION CHALLENGE | 1 |
Daniel A. Katz | IL | Kiryat Ono | 2016-02-25 / 20160054425 - Tracking a Radio Beacon from a Moving Device | 12 |
Gil Katz | IL | Doar-Na Emek Hayarden | 2009-10-15 / 20090255473 - System and method for on-line analysis and sorting of milk coagulation properties | 1 |
Gregory Katz | IL | Hofon | / - | 1 |
Elad Katz | GB | Manchester | 2009-10-15 / 20090258032 - METHODS AND COMPOSITIONS TARGETING VIRAL AND CELLULAR ITAM MOTIFS, AND USE OF SAME IN IDENTIFYING COMPOUNDS WITH THERAPEUTIC ACTIVITY | 1 |
Hagai Katz | IL | Tel Aviv | 2009-08-06 / 20090196462 - VIDEO AND AUDIO CONTENT ANALYSIS SYSTEM | 1 |
Noam Katz | IL | Haifa | 2016-03-03 / 20160061545 - SYSTEM AND A METHOD FOR PROTECTED RELOADING OF A REMOTE CONTROLLED WEAPON STATION | 2 |
Alon Katz | IL | Jerusalem | 2009-02-05 / 20090032499 - Low Friction Coatings for Use in Dental and Medical Devices | 1 |
Daniel Katz | IL | Tel-Aviv | 2009-02-05 / 20090035371 - TABLET WITH REMEDIAL COMPOSITION AND METHODS FOR TREATING MEDICAL DISORDERS AND AILMENTS | 1 |
Eli Katz | GB | London | 2014-11-27 / 20140348159 - EFFICIENT ADDRESS CACHING FOR PACKET TELEPHONY SERVICES | 4 |
Yuri Katz | IL | Katzrin | 2014-05-15 / 20140131205 - Electro-Blotting Devices, Systems, and Kits and Methods for Their Use | 5 |
Jason Katz | US | Newton Highlands | 2013-02-14 / 20130040933 - AZAINDOLES AS JANUS KINASE INHIBITORS | 2 |
Ran Katz | IL | Givataim | 2012-08-23 / 20120214410 - Method and system for early termination of receive correlation window for Bluetooth communication | 3 |
Gary P. Katz | US | Houston | 2015-12-17 / 20150360970 - Apparatus and Method to Remove Contaminates From a Fluid | 1 |
Samuel M. Katz | IL | Givat Ze'Ev | 2008-10-16 / 20080252605 - KEYBOARD WITH CHANGEABLE KEY DISPLAY | 1 |
Michael Katz | IL | Tel Aviv | 2012-03-22 / 20120069860 - Gain-Switched Fiber Laser | 1 |
Moshe Katz | IL | Ziharon Yakov | 2012-08-02 / 20120192965 - WATER SUPPLY SYSTEM WITH RECIRCULATION | 1 |
Todd A. Katz | US | White Plains | 2012-07-26 / 20120189747 - Method And Apparatus For Making Beverages | 1 |
Paul N. Katz | US | Bellaire | 2016-04-07 / 20160098909 - Differential Current Measurements To Determine Ion Current In The Presence Of Leakage Current | 4 |
Bruce R. Katz | US | Sudbury | 2013-03-21 / 20130073993 - INTERACTION WITH A VISUALIZED STATE TRANSITION MODEL | 1 |
Alon Katz | US | Akron | 2014-09-18 / 20140260579 - TESTING APPARATUS FOR AN ORTHOPAEDIC SPECIMEN SUCH AS A KNEE IMPLANT PROSTHESIS | 1 |
Joseph Katz | US | Stony Brook | 2015-01-22 / 20150021832 - Method and Apparatus for Additively Manufacturing of Objects Based on Tensile Strength | 3 |
Yeshayahu Katz | IL | Haifa | 2009-12-03 / 20090299379 - MYRINGOTOMY INSTRUMENT | 1 |
Alain Katz | FR | Dammarie-Les-Lys | 2012-07-26 / 20120190291 - SYSTEM AND METHOD FOR CONTROLLING THE DIFFUSION OF AIR IN A PLURALITY OF ENCLOSURES AND INSTALLATION EMPLOYING SUCH SYSTEM | 1 |
Thomas Katz | FR | Dammarie-Les-Lys | 2012-07-26 / 20120190291 - SYSTEM AND METHOD FOR CONTROLLING THE DIFFUSION OF AIR IN A PLURALITY OF ENCLOSURES AND INSTALLATION EMPLOYING SUCH SYSTEM | 1 |
Rose Lenore Katz | US | Cleveland | 2010-11-04 / 20100280839 - Collaboration for Excellence: An Integrated Method for Providing the Best Patient Experience | 1 |
Stephan P. Katz | US | Woodcliff Lake | 2013-03-14 / 20130066795 - Resume ID System | 1 |
Howard B. Katz | US | Boca Raton | 2016-01-07 / 20160004409 - TEAM GAMING MACHINE AND METHOD THEREFOR | 4 |
Sagi Katz | IL | Yokneam | 2012-05-10 / 20120114180 - Identification Of Objects In A 3D Video Using Non/Over Reflective Clothing | 8 |
Michael Katz | US | Portland | 2012-02-09 / 20120030914 - Aesthetic beaded necklace clasp | 1 |
Donald R. Katz | US | Montclair | 2012-05-10 / 20120116979 - Enabling Communication Between, and Production of Content By, Rights Holders and Content Producers | 1 |
Eyal Katz | IL | Ramat Gan | 2013-11-28 / 20130314522 - PATIENT MONITORING SYSTEM | 1 |
Dan Katz | US | Encino | 2016-04-14 / 20160104393 - EMBEDDED SYSTEM AND METHOD FOR NEEDLE TRACKING DURING MEDICAL TRAINING AND TESTING | 8 |
Pablo Reuben Katz | GB | Nr. Taunton | 2014-02-13 / 20140041505 - ATTACHABLE MUSIC BOOK HOLDER | 1 |
David Katz | US | San Francisco | 2016-03-10 / 20160071066 - METHOD, APPARATUS, AND COMPUTER PROGRAM PRODUCT FOR SCHEDULING APPOINTMENTS WITH DEAL OFFERS | 2 |
Shlomo Katz | IL | Haifa | 2013-06-06 / 20130142215 - Sensing device having a thermal antenna and a method for sensing electromagnetic radiation | 1 |
Torsten Katz | DE | Neustadt | 2014-03-06 / 20140065040 - PROCESS FOR SEPARATING OFF ACID GASES FROM A WATER-COMPRISING FLUID STREAM | 9 |
Matthew G. Katz | US | Los Angeles | 2015-12-10 / 20150356688 - GLOBAL DESCRIPTOR REPOSITORY | 5 |
Andrew S. Katz | US | La Jolla | 2015-08-20 / 20150232937 - METHODS AND GENOTYPING PANELS FOR DETECTING ALLELES, GENOMES, AND TRANSCRIPTOMES | 5 |
Bruce Katz | US | Orinda | 2011-03-03 / 20110054561 - IMPLANTABLE ELECTRODE ASSEMBLY, IMPLANTABLE ELECTROCHEMICAL POWER CELLS AND IMPLANTABLE MEDICAL DEVICE ASSEMBLIES | 1 |
Leonard Katz | US | Oakland | 2016-03-10 / 20160068827 - PRODUCING ALPHA-OLEFINS USING POLYKETIDE SYNTHASES | 9 |
Randall M. Katz | US | Beverly Hills | 2015-04-09 / 20150099565 - METHODS AND APPARATUS FOR ENHANCED PLAY IN LOTTERY AND GAMING ENVIRONMENTS | 6 |
Itay Katz | IL | Raanana | 2015-12-24 / 20150371267 - SYSTEMS AND METHODS FOR ADVERTISEMENT FILTERING | 5 |
Gregory Katz | IL | Nes Ziona | 2015-07-30 / 20150210877 - INKJET PRINTING | 2 |
Gideon Katz | IL | Zoran | 2012-05-03 / 20120106898 - FIBER BUNDLE | 1 |
Ruth Katz | IL | Binyamina | 2012-05-03 / 20120108952 - METHODS AND SYSTEMS FOR PATIENT ALIGNMENT FOR NUCLEAR MEDICINE IMAGING | 1 |
Andrew S. Katz | US | Beverly | 2013-08-22 / 20130213318 - Animal Handling Station | 2 |
Michael Patrik Katz | SE | Malmo | 2013-08-15 / 20130209613 - Production of Metabolites | 1 |
Amos Katz | IL | Lahavim | 2015-07-30 / 20150208939 - SEPARATING CLINICALLY RELEVANT SOURCES OF ELECTRICAL ACTIVITY IN ECG SIGNALS | 1 |
Eitan Katz | IL | Yehud | 2015-07-16 / 20150200896 - DISPLAYING INBOX ENTITIES AS A GRID OF FACETED TILES | 1 |
Ran Katz | IL | Or Yehuda | 2015-07-30 / 20150209118 - ADHESIVE OBJECTS FOR IMPROVING IMAGE REGISTRATION OF INTRAORAL IMAGES | 1 |
Omer Katz | IL | Nofit | 2015-07-16 / 20150200227 - DEVICE AND METHOD OF GETTERING ON SILICON ON INSULATOR (SOI) SUBSTRATE | 1 |
Avi Katz | IL | Hofit | 2015-07-16 / 20150199323 - METHOD AND SYSTEM FOR POSTING COMMENTS ON WEB PAGES | 1 |
Gary Katz | US | Columbus | 2016-01-28 / 20160022710 - PRENATAL AND LACTATION SUPPLEMENTS TO ENHANCE CENTRAL NERVOUS SYSTEM DEVELOPMENT OF OFFSPRING | 4 |
Ira Katz | US | Buffalo Grove | 2015-12-03 / 20150343958 - PORTABLE VEHICLE SAFE | 1 |
Gilad Katz | IL | Sdema | 2015-02-12 / 20150043926 - BLIND CHANNEL ESTIMATION METHOD FOR AN MLSE RECEIVER IN HIGH SPEED OPTICAL COMMUNICATION CHANNELS | 1 |
Bruce F. Katz | US | Philadelphia | 2016-02-11 / 20160042292 - AUTOMATED METHODOLOGY FOR INDUCTIVE BIAS SELECTION AND ADAPTIVE ENSEMBLE CHOICE TO OPTIMIZE PREDICTIVE POWER | 3 |
Morry Katz | US | San Jose | 2014-12-25 / 20140380499 - DELEGATING AUTHORITY OF LICENSES TO USE COMPUTER PRODUCTS IN A DISCONNECTED NETWORK | 2 |
Oded Katz | IL | D.n. Menashe | 2012-11-15 / 20120285390 - POULTRY DRINKING SYSTEM | 1 |
Laurence B. Katz | US | Newtown | 2015-02-12 / 20150044650 - POSITIVE REINFORCEMENT MESSAGES TO USERS BASED ON ANALYTICS OF PRIOR PHYSIOLOGICAL MEASUREMENTS | 1 |
Daniel A. Katz | IL | Kiryat Ono | 2016-02-25 / 20160054425 - Tracking a Radio Beacon from a Moving Device | 12 |
Daniel Adam Katz | US | Ashland | 2015-07-09 / 20150195386 - METHODS AND SYSTEMS FOR RESOURCE MANAGEMENT IN A SINGLE INSTRUCTION MULTIPLE DATA PACKET PARSING CLUSTER | 4 |
Bob H. Katz | US | 2012-04-26 / 20120097172 - INTRAUTERINE DEVICE | 1 | |
David Katz | IL | Tel Aviv | 2014-12-25 / 20140375746 - PLATFORM, DEVICE AND METHOD FOR ENABLING MICRO VIDEO COMMUNICATION | 1 |
Bob H. Katz | US | Los Gatos | 2016-03-03 / 20160058609 - INTRAUTERINE DEVICE WITH RETRIEVAL THREAD | 8 |
Neil A. Katz | US | Parkland | 2016-01-07 / 20160005305 - AUDIO DETECTION USING DISTRIBUTED MOBILE COMPUTING | 39 |
Jordan Katz | US | Berkeley | 2010-03-04 / 20100051478 - HIGH-THROUGHPUT SCREENING AND DEVICE FOR PHOTOCATALYSTS | 1 |
Hagay Katz | IL | Moshav Herut | 2015-12-31 / 20150382240 - METHODS AND APPARATUS FOR OPTIMIZING TUNNELED TRAFFIC | 20 |
Randall Mark Katz | US | Beverly Hills | 2012-03-08 / 20120058807 - NOVEL GAMES, AND METHODS FOR IMPROVED GAME PLAY IN GAMES OF CHANCE AND GAMES OF SKILL | 4 |
Itay Katz | IL | Tel Aviv | 2016-01-28 / 20160026255 - SYSTEMS AND METHODS FOR PROXIMITY SENSOR AND IMAGE SENSOR BASED GESTURE DETECTION | 11 |
Michael A. Katz | US | Seattle | 2011-09-08 / 20110219325 - Displaying and Manipulating Brain Function Data Including Enhanced Data Scrolling Functionality | 2 |
David Katz | US | Oakland | 2013-05-09 / 20130116925 - WAVELET-TRANSFORM BASED SYSTEM AND METHOD FOR ANALYZING CHARACTERISTICS OF A GEOLOGICAL FORMATION | 1 |
Ronald A. Katz | US | Los Angeles | 2009-12-31 / 20090322853 - SECURITY MONITORING SYSTEM WITH FLEXIBLE MONITORING SEQUENCE | 2 |
Nitzan Katz | US | Los Altos | 2015-12-31 / 20150379247 - SYSTEM AND METHOD FOR DYNAMICALLY GENERATED CHALLENGE-RESPONSE PASSWORDS | 3 |
Dov Katz | US | Irvine | 2015-07-09 / 20150193983 - CALIBRATION OF VIRTUAL REALITY SYSTEMS | 2 |
Omri Katz | IL | Haifa | 2013-02-28 / 20130050468 - INSPECTION SYSTEM AND A METHOD FOR INSPECTING MULTIPLE WAFERS | 1 |
Bruce D. Katz | US | Orinda | 2013-03-07 / 20130059177 - LI-ION/POLYSULFIDE FLOW BATTERY | 10 |
Denise E. Katz | US | Boca Raton | 2016-01-07 / 20160004409 - TEAM GAMING MACHINE AND METHOD THEREFOR | 2 |
Rita Rose Katz | US | Mill Valley | 2009-11-12 / 20090277038 - DRYING EXPEDIENT | 1 |
Leonard Katz | US | Hayward | 2009-04-30 / 20090111151 - Production of Polyketides | 1 |
Eyal Katz | IL | Kfar Vradim | 2012-04-12 / 20120089687 - ONLINE MESSAGING SYSTEM AND METHODS OF USING THEREOF | 1 |
Kfir Katz | IL | Kiryat Tivon | 2015-07-16 / 20150198776 - INTEGRATED OPTICAL COOLING CORE FOR OPTOELECTRONIC INTERCONNECT MODULES | 2 |
Jeffrey B. Katz | US | Brookeville | 2015-07-30 / 20150213504 - SYSTEMS AND METHODS FOR AD-SUPPORTED MOBILE DATA PLANS OR IN-APP PURCHASES | 2 |
David Katz | US | Chicago | 2013-09-19 / 20130245038 - Method For Selecting Or Identifying A Subject For V1B Antagonist Therapy | 1 |
Hedi Katz | US | San Rafael | 2010-12-30 / 20100332342 - SYSTEM AND METHOD FOR BARTERING VIA A GLOBAL COMPUTER NETWORK | 1 |
Otto Katz | DE | Schwabach | 2013-12-19 / 20130336703 - APPLICATOR FOR A FLOWABLE APPLICATION MEDIUM | 1 |
Oz Katz | IL | Kfar Haoranim | 2013-05-09 / 20130117305 - System and Method for the Parallel Execution of Database Queries Over CPUs and Multi Core Processors | 1 |
Randall Katz | US | Beverly Hills | 2012-11-29 / 20120302329 - APPARATUS, SYSTEMS AND METHODS FOR IMPLEMENTING ENHANCED GAMING AND PRIZING PARAMETERS IN AN ELECTRONIC ENVIRONMENT | 3 |
Bob H. Katz | US | Los Gatos | 2016-03-03 / 20160058609 - INTRAUTERINE DEVICE WITH RETRIEVAL THREAD | 8 |
Isadore T. Katz | US | Harvard | 2013-08-29 / 20130227510 - DATABASE BASED TIMING VARIATION ANALYSIS | 1 |
Bradley Jay Katz | US | Salt Lake City | 2015-05-21 / 20150138661 - NANOPARTICLE LIGHT FILTERING METHOD AND APPARATUS | 4 |
Bob Katz | US | Sunnyvale | 2010-09-23 / 20100241039 - CPR Compression Device and Method | 1 |
Jeffrey Katz | US | San Francisco | 2012-04-26 / 20120100234 - NON-OCCLUDING NASAL MOISTURIZER AND METHODS OF USE | 1 |
Nitzan Katz | US | Santa Clara | 2012-06-28 / 20120164621 - FACILITATING TARGETED INTERACTION IN A NETWORKED LEARNING ENVIRONMENT | 7 |
Bruce Katz | US | Berkeley | 2009-01-01 / 20090005824 - ELECTROTRANSPORT DEVICES, METHODS AND DRUG ELECTRODE ASSEMBLIES | 1 |
Igor Katz | IL | Rosh Haayin | 2012-04-12 / 20120089716 - METHOD FOR ACCELERATING START UP OF A COMPUTERIZED SYSTEM | 1 |
Amir Katz | IL | Bat-Heffer | 2015-02-26 / 20150054627 - OBJECT MANAGEMENT SYSTEM AND METHOD | 8 |
Marcella Miriam Katz | US | La Jolla | 2012-10-18 / 20120260529 - Women's shoes with detachable pouch or showcase for interchangeable fashion inserts | 3 |
Howard I. Katz | US | La Jolla | 2009-03-19 / 20090076023 - Local Anesthetic Methods and Kits | 1 |
Matthew Katz | US | Beverly Hills | 2011-09-01 / 20110213702 - SYSTEM AND METHOD FOR PROVIDING DISPUTE RESOLUTION FOR ELECTRONIC PAYMENT TRANSACTIONS | 2 |
Alexander Katz | US | Kensington | 2009-12-17 / 20090308252 - BIFUNCTIONAL ACTIVE SITES FOR ADSORPTION OF NOx | 2 |
Jeffrey G. Katz | US | Manhattan Beach | 2009-04-09 / 20090094540 - METHODS AND SYSTEMS THAT MONITOR LEARNING PROGRESS | 2 |
Evgeny Katz | US | Potsdam | 2013-03-14 / 20130065257 - ENZYME-LOGIC BIOSENSING | 1 |
David M. Katz | US | Santa Cruz | 2013-05-16 / 20130121211 - FLOODING-BASED ROUTING PROTOCOL HAVING DATABASE PRUNING AND RATE-CONTROLLED STATE REFRESH | 3 |
Jeremy D. Katz | US | White Plains | 2012-04-12 / 20120089527 - METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT FOR MONITORING COMPLIANCE IN REPORTING UNCLAIMED PROPERTY | 1 |
Dan Katz | US | 2009-06-18 / 20090156011 - Method of controlling CD bias and CD microloading by changing the ceiling-to-wafer gap in a plasma reactor | 4 | |
Jeffrey Katz | US | Columbia | 2013-08-22 / 20130214001 - HYDRATION DEVICE | 1 |
Moshe Katz | IL | Kiryat Bialik | 2014-11-27 / 20140349832 - CERAMIC NANOCOMPOSITE MATERIAL AND METHOD OF MANUFACTURING THEREOF | 2 |
Moshe Katz | IL | Zizaron Yakov | 2012-02-09 / 20120031498 - Water supply system and method | 1 |
Amanda B. Katz | US | Windham | 2012-04-12 / 20120088228 - METHODS OF PRODUCING HIGH TITER, HIGH PURITY VIRUS STOCKS AND METHODS OF USE THEREOF | 1 |
Felix Katz | CA | Ottawa | 2012-01-05 / 20120005145 - CACHING OF RULES | 2 |
Edward Katz | US | Cartersville | 2011-07-28 / 20110180772 - HAND OPERATED JACKS FOR LIFTING FILE CABINETS | 1 |
David Katz | US | Atlanta | 2012-10-25 / 20120270292 - Photo-Inactivated Viruses and Systems and Methods of Using the Same | 1 |
Adam J. Katz | US | Gainseville | 2014-12-11 / 20140363891 - METHOD AND APPARATUS FOR PROCESSING ADIPOSE TISSUE | 1 |
Fred Katz | US | Hauppauge | 2016-01-07 / 20160003493 - Multi-mode Passive Infrared Occupancy Sensor System For Energy Saving Application | 1 |
Joel M. Katz | US | Stoneham | 2011-10-06 / 20110243411 - System, method, and product for scanning of biological materials | 1 |
Michael Katz | CA | Regina | 2009-09-03 / 20090220674 - Egg protein product | 1 |
Brian Katz | FR | Paris | 2013-02-21 / 20130046790 - METHOD FOR SELECTING PERCEPTUALLY OPTIMAL HRTF FILTERS IN A DATABASE ACCORDING TO MORPHOLOGICAL PARAMETERS | 1 |
Guy Katz | IL | Ashkelon | 2014-03-27 / 20140083120 - RENEWABLE ENERGY-BASED ATMOSPHERIC WATER GENERATOR | 1 |
Roger M. Katz | US | Marina Del Rey | 2012-10-11 / 20120258972 - Composition and Methods for Treating Hair Loss | 1 |
Adam J. Katz | US | Gainesville | 2016-02-04 / 20160030486 - PREPARATION OF COMPOSITIONS TO TREAT AND TREATMENT OF OSTEOARTHRITIS USING ADIPOSE-DERIVED STROMAL VASCULAR FRACTION CELLS | 4 |
Francine Katz | US | New York | 2016-02-04 / 20160031854 - ACTIVATION OR REACTIVATION OF ACHE | 1 |
Charles Katz | US | San Francisco | 2012-07-26 / 20120191515 - Method For Connecting Consumers For Providing Shopping Advice | 4 |
Jay W. Katz | US | Delray Beach | 2011-10-06 / 20110245902 - METHOD FOR RELIEVING MOTION SICKNESS AND RELATED APPARATUS | 1 |
Morris J. Katz | US | Mountain View | 2013-04-18 / 20130097318 - SYSTEM AND METHOD FOR MANAGING ACCESS FOR TRUSTED AND UNTRUSTED APPLICATIONS | 3 |
Alexander Katz | US | Richmond | 2015-04-30 / 20150118148 - NOVEL OXIDE MATERIALS AND SYNTHESIS BY FLUORIDE/CHLORIDE ANION PROMOTED EXFOLIATION | 5 |
Sharon Katz | CA | Windsor | 2008-11-20 / 20080285913 - Method and apparatus for high resolution coherent optical imaging | 1 |
Greg Katz | US | Randolph | 2013-12-26 / 20130346902 - METHOD AND SYSTEM FOR CREATING AN ALTERNATIVE ENERGY VEHICLE SUPPLY STATION NETWORK | 1 |
Jeffrey Katz | US | Tucson | 2011-11-10 / 20110274725 - DECOMPOSABLE BIOCOMPATIBLE HYDROGELS AND SYSTEM AND METHOD FOR USING SAME | 1 |
Ariel Katz | IL | Zichron Ya'Akov | 2015-05-28 / 20150148316 - LIPID MIXTURE FOR INFANT NUTRITION | 1 |
Joshua S Katz | US | Merion Station | 2014-05-08 / 20140127309 - ENCAPSULATED POLAR MATERIALS AND METHODS OF PREPARATION | 1 |
Robert S. Katz | US | Chicago | 2014-05-01 / 20140121193 - METHODS FOR TREATING FIBROMYALGIA | 1 |
Einat Katz | IL | Haifa | 2011-06-16 / 20110145373 - SYSTEMS AND METHODS FOR CONFIGURING COMMUNICATION BETWEEN MEDICAL DEVICES | 1 |
Jeremy Lee Katz | US | Arlington | 2015-03-19 / 20150082432 - SYSTEM AND METHOD OF SEMANTICALLY MODELLING AND MONITORING APPLICATIONS AND SOFTWARE ARCHITECTURE HOSTED BY AN IAAS PROVIDER | 4 |
Katherine Jo Katz | US | Mcmurray | 2015-12-17 / 20150362148 - Personal Video Conference Lighting Assembly | 1 |
Ben Katz | US | Averill Park | 2014-10-30 / 20140323190 - SYSTEMS AND METHODS FOR ENHANCING COGNITION | 3 |
Fabio Dario Katz | CA | Kanata | 2013-12-05 / 20130321646 - METHODS AND APPARATUS FOR USE IN MAPPING IDENTIFIED VISUAL FEATURES OF VISUAL IMAGES TO LOCATION AREAS | 1 |
Daniel Katz | US | Stamford | 2011-06-09 / 20110138028 - Managing Networking Events | 1 |
Aubrey Katz | US | Newton | 2015-03-19 / 20150080738 - APPARATUS, METHOD, AND COMPUTER-ACCESSIBLE MEDIUM FOR DETERMINING ANTIGEN IMMUNOREACTIVITY IN TISSUE | 1 |
Daniel Katz | US | Ann Arbor | 2014-01-30 / 20140032431 - System and Method for Resolving Warrants | 1 |
Braden Katz | US | Fairway | 2015-03-19 / 20150081395 - SYSTEM AND METHOD TO CONTROL DISTRIBUTION OF GOODS IN A VIRTUAL MARKETPLACE | 1 |
Hagay Katz | IL | Moshav Herot | 2012-11-08 / 20120282912 - LOW RADIATION WIRELESS COMMUNICATOR | 1 |
Michael Iacov Katz | CA | North Vancouver | 2015-12-24 / 20150368276 - VAPOCHROMIC COORDINATION POLYMERS FOR USE IN ANALYTE DETECTION | 4 |
Dan Katz | US | San Jose | 2009-12-24 / 20090314433 - CATHODE WITH INNER AND OUTER ELECTRODES AT DIFFERENT HEIGHTS | 4 |
Gilad Katz | IL | Moshav Shdema | 2012-10-04 / 20120251112 - METHOD AND SYSTEM FOR COHERENT EQUALIZATION OF CHROMATIC DISPERSION OF OPTICAL SIGNALS IN A FIBER | 1 |
Arkady Katz | IL | Beer Sheva | 2014-07-03 / 20140185388 - DYNAMIC DRIVE STRENGTH OPTIMIZATION | 2 |
Bruce Irwin Katz | US | Rochester | 2008-10-16 / 20080251226 - MECHANICAL FIBERS IN XEROGRAPHIC PAPER | 1 |
Robert Katz | CA | Quebec | 2011-08-18 / 20110200211 - ACOUSTICS TRANSMISSION FIDELITY AUGMENTATION INTERFACE FOR INERTIAL TYPE AUDIO TRANSDUCERS | 1 |
Darius Katz | SE | Lund | 2012-05-31 / 20120135687 - COMMUNICATION BETWEEN DEVICES BASED ON DEVICE-TO-DEVICE PHYSICAL CONTACT | 1 |
Caren E. Katz | US | Commack | 2009-02-26 / 20090049721 - Decorative design magnets | 1 |
Timothy Katz | GB | Lewes | 2014-06-12 / 20140163394 - Neuropathy Test Device | 1 |
Jeffrey B. Katz | US | New York | 2009-04-30 / 20090112701 - System and method for implementing advertising in an online social network | 3 |
Micah Gabriel Katz | US | Atlanta | 2012-11-15 / 20120290437 - System and Method of Selecting and Acquiring Still Images from Video | 1 |
Aaron Katz | US | New York | 2009-04-30 / 20090110757 - Methods for modulating eicosanoid metabolism | 1 |
David P. Katz | US | Dobbs Ferry | 2015-01-22 / 20150025900 - SYSTEM AND METHOD FOR ASSESSING DATA QUALITY DURING CLINICAL TRIALS | 3 |
Howard M. Katz | US | Rexford | 2009-06-25 / 20090164220 - Direct Message Playback and Recording Apparatus and Method | 1 |
Felix Katz | CA | Nepean | 2015-02-26 / 20150056950 - SESSION BINDING USING SUBSCRIBER IDENTIFICATION | 5 |
Allan Katz | US | Freeport | 2009-07-09 / 20090173846 - MEDICAL BOOM | 1 |
Dan Katz | US | Saratoga | 2016-05-12 / 20160135252 - SUBSTRATE SUPPORT ASSEMBLY HAVING RAPID TEMPERATURE CONTROL | 6 |
Jason Katz | US | New York | 2014-11-13 / 20140337447 - SYSTEM AND METHOD FOR TRANSMISSION OF DATA | 7 |
Karl Katz | SE | Stockholm | 2012-11-29 / 20120302413 - TRAINING APPARATUS FOR MUSCLES IN THE UPPER PART OF THE BODY | 1 |
Paul Katz | US | New York | 2015-08-13 / 20150225167 - SHROUD AND DISPENSING SYSTEM FOR A HANDHELD CONTAINER | 16 |
Barry Katz | US | Flushing | 2012-12-27 / 20120329018 - RESPONSE SCORING SYSTEM FOR VERBAL BEHAVIOR WITHIN A BEHAVIORAL STREAM WITH A REMOTE CENTRAL PROCESSING SYSTEM AND ASSOCIATED HANDHELD COMMUNICATING DEVICES | 3 |
Milton Katz | US | New York | 2009-01-01 / 20090002627 - OPTICAL ELEMENTS HAVING VARIABLE POWER PRISMS | 1 |
Jonathan Katz | US | Dobbs Ferry | 2011-10-20 / 20110258019 - Methods and Systems for Interactive Data Finder | 1 |
Jacob Katz | CA | Toronto | 2015-12-24 / 20150372991 - METHOD AND SYSTEM FOR PROTECTING DATA USING DATA PASSPORTS | 2 |
Bezalel Katz | US | Brooklyn | 2010-07-15 / 20100175960 - WHEELED SUITCASE WITH AUXILIARY WHEELS ON LEGS AND UNDERCARRIAGE THEREFOR | 1 |
Dov Katz | US | Brooklyn | 2010-07-15 / 20100175960 - WHEELED SUITCASE WITH AUXILIARY WHEELS ON LEGS AND UNDERCARRIAGE THEREFOR | 1 |
Allan Katz | US | Copiague | 2010-09-30 / 20100243823 - CEILING-MOUNTED DOCKING DEVICE | 1 |
Barrett Katz | US | New York | 2011-03-24 / 20110070310 - TOPICAL OPHTHALMIC PHARMACEUTICAL FORMULATION OF (2S,3S,4R)-N''-CYANO-N-(6-AMINO-3,4-DIHYDRO-3-HYDROXY-2-METHYL-2-DIMETHOX- YMETHYL-2H-BENZOPYRAN-4-YL)-N'-BENZYLGUANIDINE | 3 |
Daniel Katz | US | New York | 2012-11-08 / 20120280448 - METHOD AND DEVICE FOR DETERMINING THE SECONDARY ATTRIBUTES OF A SYMBOL BY CHANCE | 3 |
Stanley A. Katz | US | Saunderstown | 2012-10-04 / 20120250302 - VAPOR-TIGHT LIGHTING FIXTURE | 1 |
William Stephen Katz | US | Oakdale | 2012-10-04 / 20120246994 - ICE HOLE COVER | 1 |
Bruce D. Katz | US | Emeryville | 2009-03-12 / 20090069740 - PROTECTED DONOR ELECTRODES FOR ELECTRO-TRANSPORT DRUG DELIVERY | 1 |
Bruce D. Katz | US | Berkeley | 2009-12-03 / 20090297935 - IONICALLY CONDUCTIVE MEMBRANES FOR PROTECTION OF ACTIVE METAL ANODES AND BATTERY CELLS | 3 |
Bruce D. Katz | US | Orinda | 2013-03-07 / 20130059177 - LI-ION/POLYSULFIDE FLOW BATTERY | 10 |
Jonathan I. Katz | US | Clayton | 2013-01-31 / 20130028526 - METHOD AND SYSTEM FOR IMAGE REGISTRATION | 2 |
Aaron Isaac Katz | US | Grand Junction | 2015-04-30 / 20150113804 - WIRE STRIP AND CRIMP TOOL | 1 |
Noah Katz | US | New York | 2011-06-09 / 20110138028 - Managing Networking Events | 1 |
David Katz | US | New York | 2015-08-27 / 20150244121 - System and apparatus for providing and managing electricity | 2 |
David L. Katz | US | Hamden | 2013-05-02 / 20130108993 - METHOD AND SYSTEM FOR SCORING A DIET | 2 |
David Micah Katz | GB | Surrey | 2014-11-27 / 20140345748 - ASEPTIC SAMPLING SYSTEM | 1 |
Howard Katz | US | Owings Mills | 2014-11-27 / 20140349005 - BIOSENSOR SYSTEMS AND RELATED METHODS FOR DETECTING ANALYTES IN AQUEOUS AND BIOLOGICAL ENVIRONMENTS | 1 |
Andrei Katz | US | New Hempstead | 2012-09-27 / 20120244508 - METHOD FOR REMOTELY PROCTORING TESTS TAKEN BY COMPUTER OVER THE INTERNET | 1 |
Suzanna Katz | US | New York | 2015-08-13 / 20150224101 - Method and Compositions for Treating Cancer and Related Methods | 1 |
Natan Katz | IL | Kiryat Bialik | 2013-03-28 / 20130079650 - GRAPHIC USER INTERFACE FOR PHYSICAL PARAMETER MAPPING | 3 |
Britta Katz | DE | Dannstadt-Schauernheim | 2013-11-07 / 20130295152 - Agrochemical Formulation Comprising Encapsulated Pesticide | 6 |
Gerald I. Katz | US | Fort Lauderdale | 2010-12-23 / 20100320117 - BOX FOR RETAIL DISPLAY OF BREAKABLE ITEMS | 1 |
Neil A. Katz | US | Boca Raton | 2011-05-05 / 20110107329 - METHOD AND SYSTEM FOR DYNAMIC COMPOSING AND CREATING 3D VIRTUAL DEVICES | 1 |
Hal H. Katz | US | Jupiter | 2014-10-30 / 20140318542 - PATIENT MONITORING AND DRUG DELIVERY SYSTEM AND METHOD OF USE | 3 |
Marcus A. Katz | US | Miami Beach | 2013-05-09 / 20130116023 - Apparatus and Method for Playing a Modified Version of Baccarat | 5 |
Joseph Katz | US | Gainesville | 2013-02-07 / 20130035314 - METHODS AND KITS FOR DETECTING RISK FACTORS FOR DEVELOPMENT OF JAW OSTEONECROSIS AND METHODS OF TREATMENT THEREOF | 3 |
Elazar Katz | US | Delray Beach | 2010-01-07 / 20100004981 - Dynamic anti-money laundering system and methodology for providing situational-specific risk assessment determinations | 1 |
Neil Katz | US | Parkland | 2016-04-28 / 20160119683 - USER CONTROLLED MULTI-DEVICE MEDIA-ON-DEMAND SYSTEM | 7 |
Gilad Katz | IL | Rehovot | 2015-12-17 / 20150363807 - METHOD FOR ENCOURAGING SOCIAL CONSUMPTION OF COUPONS | 3 |
Gil Katz | IL | Boar-Na Emek Hayarden | 2012-12-06 / 20120310541 - ONLINE DETERMINATION OF INTER ALIA FAT, PROTEIN, LACTOSE, SOMATIC CELL COUNT AND UREA IN MILK BY DIELECTRIC SPECTROSCOPY BETWEEN 0.3 MHZ AND 1.4 GHZ USING CHEMOMETRIC EVALUATION | 1 |
Davit Katz | CA | Thornhill | 2010-03-11 / 20100060113 - Hinged Door System for Attachment to a Display Unit | 1 |
Yan Katz | IL | Petach Tikva | 2015-08-13 / 20150228189 - SIMULTANEOUSLY ILLUMINATING TRAFFIC LIGHT SIGNALS AT DIFFERENT RANGES | 1 |
Gregory Katz | US | San Francisco | 2013-09-05 / 20130231029 - Interactive Toy | 1 |
Adam J. Katz | US | Charlottesville | 2016-02-11 / 20160040111 - HIGH-THROUGHPUT CULTURE AND TRANSFER DEVICE AND METHOD | 12 |
Steven R. Katz | US | Deerfield | 2016-02-25 / 20160051746 - MEDICAL SOLUTION AUTHENTICATION | 7 |
Alexander Katz | US | El Sobrante | 2015-08-27 / 20150238949 - CALIXARENE-BOUND IRIDIUM-CONTAINING METAL COLLOIDS | 5 |
Adam J. Katz | US | Pittsburgh | 2015-12-31 / 20150376572 - ADIPOSE-DERIVED STEM CELLS AND LATTICES | 8 |
Jeffrey S. Katz | US | Pickerington | 2010-11-04 / 20100275478 - Combination Exit Sign and Emergency Light Bar | 1 |
Andrew M. Katz | US | Dix Hills | 2016-03-03 / 20160063580 - System, apparatus, and method for template-based adaptive review | 1 |
Bryan Katz | US | Freehold | 2013-12-05 / 20130326512 - MEDIA CONTENTION FOR VIRTUALIZED DEVICES | 3 |
Howard Ian Katz | US | La Jolla | 2012-10-04 / 20120251978 - METHOD AND KIT FOR PRODUCING DENTAL IMPLANT DRILLING GUIDES | 3 |
Warren Katz | US | Boston | 2016-02-04 / 20160029778 - WEARABLE MODULAR ELECTRONIC DEVICE, SUCH AS TO HOLD A SELECTABLE AND/OR REPLACEABLE BIOMETRIC SENSOR IN CLOSE PROXIMITY TO AND/OR IN PHYSICAL CONTACT WITH A WEARER AND/OR TO HOLD A BATTERY | 1 |
Dan Katz | US | Encino | 2016-04-14 / 20160104393 - EMBEDDED SYSTEM AND METHOD FOR NEEDLE TRACKING DURING MEDICAL TRAINING AND TESTING | 8 |
Marcella Miriam Katz | US | Del Mar Heights | 2014-12-04 / 20140352175 - Zori style shoes with bird in flight inserts | 2 |
Henry Katz | US | Brookline | 2016-04-21 / 20160112372 - DYNAMIC TUNNEL FOR REAL TIME DATA COMMUNICATION | 1 |
Paul Katz | US | New York | 2015-08-13 / 20150225167 - SHROUD AND DISPENSING SYSTEM FOR A HANDHELD CONTAINER | 16 |
Barry H. Katz | US | Bridgewater | 2014-09-18 / 20140259316 - HELMET SYSTEM | 2 |
Bruce D. Katz | US | Moraga | 2016-01-28 / 20160028063 - PROTECTED ACTIVE METAL ELECTRODE AND BATTERY CELL STRUCTURES WITH NON-AQUEOUS INTERLAYER ARCHITECTURE | 19 |
Lonne F. Katz | US | Parsippany | 2015-01-29 / 20150032902 - METHOD AND SYSTEM FOR PACING, ACKING, TIMING, AND HANDICAPPING (PATH) FOR SIMULTANEOUS RECEIPT OF DOCUMENTS | 6 |
Nitzan Katz | US | Palo Alto | 2013-10-10 / 20130266922 - Recommending Competitive Learning Objects | 1 |
Travis Katz | US | Menlo Park | 2015-08-06 / 20150220544 - Selection and Rating of Locations and Related Content Based on User Categorization | 2 |
Samuel Aaron Katz | US | Vail | 2014-06-26 / 20140174458 - SELF-CONTAINED ELECTRONIC SMOKING DEVICE THAT PRODUCES SMOKE AND ASH BY INCINERATION | 1 |
Jason D. Katz | US | Boston | 2015-12-10 / 20150353552 - PURINE INHIBITORS OF HUMAN PHOSPHATIDYLINOSITOL 3-KINASE DELTA | 1 |
Jay W. Katz | US | Palm Coast | 2013-05-16 / 20130123889 - Apparatus for Preventing Motion Sickness and Related Method | 1 |
Luis Alberto Katz | US | Everett | 2014-02-06 / 20140039838 - Handheld Devices, Systems, and Methods for Measuring Parameters | 2 |
Andrea M. Katz | US | Ithaca | 2015-08-20 / 20150233804 - APPARATUS AND METHODS FOR LOW TEMPERATURE SMALL ANGLE X-RAY SCATTERING | 1 |
Michael H. Katz | US | Glen Ellyn | 2011-09-29 / 20110234377 - Method and apparatus for identifying an RFID type | 1 |
Daniel A. Katz | US | Holliston | 2015-10-08 / 20150288625 - MESSAGING WITH FLEXIBLE TRANSMIT ORDERING | 5 |
Jason D. Katz | US | Newton | 2015-12-10 / 20150353552 - PURINE INHIBITORS OF HUMAN PHOSPHATIDYLINOSITOL 3-KINASE DELTA | 2 |
Howard E. Katz | US | Owings Mill | 2014-07-10 / 20140191218 - X-RAY-SENSITIVE DEVICES AND SYSTEMS USING ORGANIC PN JUNCTION PHOTODIODES | 1 |
Natan Sharon Katz | IL | Kiryat Bialik | 2015-12-31 / 20150374448 - ASSISTIVE MANUAL ZEROING VISUALIZATION | 6 |
Gershon Katz | IL | Raanana | 2014-06-05 / 20140156418 - TRADING COMMUNITY PLATFORM AND METHOD | 1 |
Ira Katz | FR | Meudon | 2016-02-25 / 20160051581 - DELIVERY OF ARGON TO A RECIPIENT OF BIOLOGICAL MATERIAL | 7 |
Lawrence J. Katz | US | Shaker Heights | 2009-08-27 / 20090216126 - IMPLANTABLE MINIATURIZED ULTRASONIC TRANSDUCERS | 1 |
Sagi Katz | IL | Yokneam Ilit | 2016-05-19 / 20160139265 - EYEWEAR-MOUNTABLE EYE TRACKING DEVICE | 14 |
Daniel Katz | IL | Kfar Vradim | 2013-04-11 / 20130091110 - Recovery of Inconsistent Data in Databases | 1 |
Sabrina Katz | US | Chicago | 2012-11-15 / 20120289905 - AUTOMATIC INJECTION DEVICES HAVING OVERMOLDED GRIPPING SURFACES | 1 |
Bruce Katz | US | Moraga | 2012-11-15 / 20120289887 - ELECTROTRANSPORT DEVICES, METHODS AND DRUG ELECTRODE ASSEMBLIES | 1 |
David Katz | US | Ambler | 2008-12-11 / 20080306350 - Methods for Implementing a Weight Loss Program | 1 |
Howard Katz | US | Riegelsville | 2009-03-12 / 20090064607 - Adjustable stair rail | 1 |
Anthony Katz | US | Laguna Niguel | 2012-02-09 / 20120031142 - Ice Bag with Air Release Valve for Therapeutic Treatment | 1 |
Oded Katz | IL | Haifa | 2010-11-18 / 20100289563 - Method and Mechanism to Reduce Current Variation in a Current Reference Branch Circuit | 2 |
Itay Katz | IL | Herzliya | 2014-06-19 / 20140168062 - SYSTEMS AND METHODS FOR TRIGGERING ACTIONS BASED ON TOUCH-FREE GESTURE DETECTION | 1 |
Bruce D. Katz | US | Moraga | 2016-01-28 / 20160028063 - PROTECTED ACTIVE METAL ELECTRODE AND BATTERY CELL STRUCTURES WITH NON-AQUEOUS INTERLAYER ARCHITECTURE | 19 |
Stanley A. Katz | US | Westerly | 2012-09-13 / 20120229025 - VAPOR-TIGHT LIGHTING FIXTURE | 1 |
Michael Ari Katz | US | Mountain View | 2015-03-19 / 20150082183 - LOCATION-BASED AND ALTER-EGO QUERIES | 3 |
Howard S. Katz | US | Riegelsville | 2012-06-14 / 20120146470 - Cabinet with Offset Hinge | 2 |
Gary E. Katz | US | Columbus | 2015-05-14 / 20150132466 - NUTRITIONAL PRODUCTS INCLUDING A NOVEL FAT SYSTEM INCLUDING FATTY ACIDS | 5 |
Michael Katz | SE | Malmo | 2015-12-17 / 20150361455 - METABOLICALLY ENGINEERED CELLS FOR THE PRODUCTION OF RESVERATROL OR AN OLIGOMERIC OR GLYCOSIDICALLY-BOUND DERIVATIVE THEREOF | 9 |
Neil Katz | US | Boca Raton | 2011-06-30 / 20110161837 - VIRTUAL WORLD PRESENTATION COMPOSITION AND MANAGEMENT | 1 |
Stanley E. Katz | US | Milltown | 2013-07-18 / 20130180163 - PRODUCTION OF ALKYL ESTERS FROM HIGH FREE FATTY ACID SOURCES | 1 |
Noam Katz | IL | Tel Aviv | 2013-01-31 / 20130028256 - NETWORK ELEMENT WITH SHARED BUFFERS | 1 |
Geoff Katz | US | San Francisco | 2013-07-25 / 20130191745 - INTERFACE FOR DISPLAYING SUPPLEMENTAL DYNAMIC TIMELINE CONTENT | 1 |
Adam J. Katz | US | Pittsburgh | 2015-12-31 / 20150376572 - ADIPOSE-DERIVED STEM CELLS AND LATTICES | 8 |
David N. Katz | US | Hummelstown | 2012-04-05 / 20120081552 - VIDEO TRACKING SYSTEM AND METHOD | 2 |
Joshua S. Katz | US | Philadelphia | 2011-01-06 / 20110002844 - STABILIZATION OF MACROMOLECULAR MEMBRANES | 1 |
Brent W. Katz | US | Austin | 2011-01-20 / 20110011484 - COLD SHRINK ARTICLE | 1 |
Sami Katz | IL | Kiryat Bialik | 2012-09-13 / 20120227401 - METHOD AND APPARATUS FOR OPERATING A SOLAR STEAM SYSTEM | 1 |
Harvey Katz | US | Boca Raton | 2016-05-05 / 20160120322 - Bracelet Towel Clip | 4 |
Amir Katz | IL | Bat Hefer | 2016-03-03 / 20160063371 - BATTERY OPERATED DEVICE AND TAG FOR A BATTERY OPERATED DEVICE | 2 |
Larry Katz | US | Reisterstown | 2014-09-25 / 20140289345 - END TO END EMAIL MONITOR | 2 |
Erez Katz | IL | Salit | 2015-09-10 / 20150252318 - METHOD AND DEVICE SUITABLE FOR GROWING ALGAE | 2 |
Gil Katz | US | Sunnyvale | 2013-05-16 / 20130125194 - Converged Cable Access Platform for Provision of Video and Data Services | 1 |
Michael Katz | IL | Haifa | 2013-12-19 / 20130339586 - METHODS FOR ADAPTIVELY PROGRAMMING FLASH MEMORY DEVICES AND FLASH MEMORY SYSTEMS INCORPORATING SAME | 18 |
Itay Katz | IL | Tel Aviv | 2016-01-28 / 20160026255 - SYSTEMS AND METHODS FOR PROXIMITY SENSOR AND IMAGE SENSOR BASED GESTURE DETECTION | 11 |
Lior Katz | IL | Nes Ziona | 2014-04-24 / 20140115398 - ANALYSIS OF HEALTH INDICATORS OF A SYSTEM | 1 |
Robert Katz | CA | Montreal | 2016-01-28 / 20160026004 - EYEWEAR HAVING MAGNETIC CLIP-ON LENSES | 15 |
Geoffrey Katz | US | Norwalk | 2012-02-02 / 20120030049 - METHOD OF PROVIDING RENTAL AND PURCHASE MARKET FOR TEXTBOOKS | 1 |
Howard Edan Katz | US | Owings Mill | 2013-06-27 / 20130161599 - ELECTRO-CHEMICAL SENSORS, SENSOR ARRAYS AND CIRCUITS | 4 |
David J. Katz | US | Sharon | 2014-06-19 / 20140173147 - TRIGGER ROUTING UNIT | 1 |
Laurence M. Katz | US | Chapel Hill | 2015-05-14 / 20150133372 - METHODS AND COMPOSITIONS FOR THE INDUCTION OF HYPOTHERMIA | 2 |
Glenn Katz | US | Potomac | 2012-02-16 / 20120042066 - Managed Network Device | 1 |
Edward Katz | US | Portland | 2011-09-01 / 20110213277 - SYSTEM, METHOD, AND APPARATUS FOR OBJECTIVE ASSESSMENT OF MOTOR SIGNS AT THE EXTREMITIES | 1 |
Ariel Katz | IL | Herzeliya | 2011-05-26 / 20110121660 - APPLIANCE MOUNTED POWER OUTLETS | 1 |
Jordan Katz | US | Short Hills | 2016-04-21 / 20160109358 - METHOD FOR DETECTING AND ANALZYING SURFACE FILMS | 5 |
Daniel Katz | IL | Hafia | 2013-07-18 / 20130185264 - MAINTAINING A MIRRORED FILE SYSTEM FOR PERFORMING DEFRAGMENTATION | 1 |
Gregory Katz | IL | Holon | 2015-03-05 / 20150064424 - ELECTROSTATIC INKS AND PRINTING | 2 |
Itamar Katz | IL | Ramat Gan | 2013-06-20 / 20130152767 - GENERATING PITCHED MUSICAL EVENTS CORRESPONDING TO MUSICAL CONTENT | 1 |
Deanna D. Katz | US | Gibsonia | 2016-04-14 / 20160102222 - CHEMICAL AGENT RESISTANT COATING COMPOSITIONS | 1 |
Brett Katz | US | New York | 2014-06-05 / 20140156502 - CONDUCTING A LOAN | 1 |
Nathan Katz | US | Mount Prospect | 2013-01-10 / 20130012921 - SYSTEM AND METHODS FOR PREPARATION OF ADIPOSE-DERIVED STEM CELLS | 3 |
Shmuel Katz | IL | Haifa | 2011-03-31 / 20110078704 - ASPECT-ORIENTED COMPLEX EVENT PROCESSING SYSTEM AND ASSOCIATED METHOD | 1 |
Shachar Katz | IL | Herut | 2015-12-03 / 20150347330 - THERMAL MITIGATION USING SELECTIVE I/O THROTTLING | 2 |
David J. Katz | US | Andover | 2012-01-19 / 20120015485 - LOW NOISE HIGH THERMAL CONDUCTIVITY MIXED SIGNAL PACKAGE | 4 |
Elisabeth Katz | US | 2015-04-02 / 20150091585 - DEVICE FOR MEASURING THE DIELECTRIC AND/OR MAGNETIC PROPERTIES OF A SAMPLE BY MEANS OF A MICROWAVE TRANSMISSION MEASUREMENT | 1 | |
Arrin Katz | US | Cambridge | 2014-06-26 / 20140179566 - Thermal Cycling Apparatus and Method | 6 |
Simeon Katz | DE | Obertraubling | 2015-09-24 / 20150270437 - Reflective Contact Layer System for an Optoelectronic Component and Method for Producing Same | 2 |
Joel Katz | US | Stoneham | 2012-09-20 / 20120235016 - System, Method, and Product for Scanning of Biological Materials | 2 |
Oded Katz | IL | Ganei-Tikva | 2014-07-03 / 20140184281 - SYSTEM, A METHOD AND A COMPUTER PROGRAM PRODUCT FOR ELECTRONIC SUB-INTEGER FREQUENCY DIVISION | 2 |
Jeremy L. Katz | US | Arlington | 2010-03-04 / 20100058325 - Live Operating System Installation Method and System for Universal Serial Bus Devices | 1 |
Bryan S. Katz | US | Freehold | 2011-06-23 / 20110153588 - CREATION OF AD HOC SOCIAL NETWORKS BASED ON ISSUE IDENTIFICATION | 3 |
Darius Katz | SE | Malmo | 2014-06-12 / 20140160016 - PORTABLE ELECTRONIC EQUIPMENT WITH AUTOMATIC CONTROL TO KEEP DISPLAY TURNED ON AND METHOD | 11 |
Sheldon Katz | US | Ringoes | 2011-09-08 / 20110216167 - VIRTUAL INSERTIONS IN 3D VIDEO | 4 |
Jason D. Katz | US | Newton Highlands | 2011-08-11 / 20110195933 - PYRAZOLO[1,5-A]PYRIDINES AS MARK INHIBITORS | 2 |
Arrin Katz | US | Somerville | 2009-03-05 / 20090062134 - ASSAY IMAGING APPARATUS AND METHODS | 1 |
Bob H. Katz | US | San Jose | 2016-03-03 / 20160058608 - INTRAUTERINE DEVICE | 5 |
Paul Katz | US | Bellaire | 2014-05-15 / 20140134574 - LASER TARGET PRACTICE SYSTEM, METHOD AND APPARATUS | 1 |
Joseph Katz | US | Baltimore | 2013-06-20 / 20130157352 - Magnetically Coupled System For Mixing | 3 |
Gil Katz | IL | Haifa | 2010-11-18 / 20100290981 - MONOLITHIC CATALYST AND USES THEREOF | 1 |
Geoffrey A. Katz | US | San Francisco | 2013-01-17 / 20130019260 - Combining Television Broadcast and Personalized/Interactive Information | 1 |
Damien F. Katz | US | Chelmsford | 2009-03-05 / 20090063644 - SYSTEM AND PROGRAM PRODUCT FOR REPLICATING MESSAGE STATUS CHANGES ACROSS MESSAGING SYSTEMS | 1 |
Jordan Michael Katz | US | Short Hills | 2009-10-08 / 20090253810 - OSTEOINDUCTIVE PUTTIES AND METHODS OF MAKING AND USING SUCH PUTTIES | 1 |
Alan Katz | US | Dallas | 2016-05-12 / 20160131799 - Electroseismic Surveying in Exploration and Production Environments | 19 |
Howard Edan Katz | US | Summit | 2008-08-21 / 20080197347 - Active organic semiconductor devices and methods for making the same | 1 |
Marcos Katz | FI | Oulu | 2010-12-23 / 20100322337 - CLOSED LOOP FEEDBACK SYSTEM FOR IMPROVED DOWN LINK PERFORMANCE | 1 |
Leonard Katz | US | Oakland | 2016-03-10 / 20160068827 - PRODUCING ALPHA-OLEFINS USING POLYKETIDE SYNTHASES | 9 |
Stephen P. Katz | US | Woodcliff Lake | 2015-09-24 / 20150269542 - Secure and Unsecured Cash Transfer System and Method | 1 |
Ira Katz | FR | Paris | 2008-12-04 / 20080295830 - Tool and method for customized inhalation | 1 |
Allen Katz | US | West Windsor | 2009-11-19 / 20090284429 - HORN ANTENNA, WAVEGUIDE OR APPARATUS INCLUDING LOW INDEX DIELECTRIC MATERIAL | 2 |
Dov B. Katz | US | Bergenfield | 2009-03-19 / 20090077089 - COMPUTER OBJECT TAGGING | 1 |
Ruthy Katz | IL | Tel-Aviv | 2013-05-23 / 20130129194 - METHODS AND SYSTEMS OF MERGING DEPTH DATA FROM A PLURALITY OF DISPARITY MAPS | 1 |
Simeon Katz | DE | Neutraubling | 2014-09-25 / 20140286369 - OPTOELECTRONIC COMPONENT | 1 |
Lynn Katz | US | Austin | 2009-04-23 / 20090101572 - SYSTEM AND METHOD FOR TREATING PRODUCED WATER | 1 |
Amos Katz | IL | Lehavim | 2011-06-09 / 20110137190 - METHOD AND SYSTEM FOR DETECTING P-WAVES IN THE SURFACE ECG SIGNAL | 1 |
Israel Katz | IL | Rehovot | 2014-08-21 / 20140230673 - BLANKET TENSIONING DEVICE | 1 |
Adam Katz | US | Charlottsville | 2015-04-09 / 20150099009 - COMPOSITIONS FOR TREATING BIOFILMS AND METHODS FOR USING SAME | 2 |
Lior Katz | IL | Raanana | 2014-04-03 / 20140092402 - SYSTEM AND METHOD FOR POSITION CALIBRATION OF A SPOT OF AN OPTICAL SENSOR | 2 |
Torsten Katz | DE | Neustadt | 2014-03-06 / 20140065040 - PROCESS FOR SEPARATING OFF ACID GASES FROM A WATER-COMPRISING FLUID STREAM | 9 |
Neil A. Katz | US | Ft. Lauderdale | 2012-11-22 / 20120293487 - GETTING SNAPSHOTS IN IMMERSIBLE 3D SCENE RECORDING IN VIRTUAL WORLD | 1 |
Ruth Katz | US | Houston | 2010-12-16 / 20100317002 - METHODS AND KITS FOR DIAGNOSING LUNG CANCER | 2 |
Sagi Katz | IL | Haifa | 2013-12-05 / 20130321421 - APPARATUS AND METHOD FOR FINDING VISIBLE POINTS IN A POINT CLOUD | 3 |
Sagi Katz | IL | Yokneam Ilit | 2016-05-19 / 20160139265 - EYEWEAR-MOUNTABLE EYE TRACKING DEVICE | 14 |
Sarah Lillian Katz | US | Albany | 2013-01-31 / 20130027060 - SYSTEMS AND METHODS FOR NON-DESTRUCTIVELY MEASURING CALORIE CONTENTS OF FOOD ITEMS | 2 |
Alan Katz | US | Dallas | 2016-05-12 / 20160131799 - Electroseismic Surveying in Exploration and Production Environments | 19 |
Amir Katz | IL | Haifa | 2014-06-26 / 20140179981 - METHOD AND SYSTEM FOR POSITIONING A TRANSCRANIAL MAGNETIC STIMULATION (TMS) DEVICE | 2 |
Marshall Joseph Katz | US | Palatine | 2014-01-23 / 20140022132 - ANTENNA TUNING FOR MULTIBAND OPERATION | 4 |
Michael J. Katz | US | Alpharetta | 2011-12-22 / 20110313837 - System And Method For An Advertising, Loyalty And Rewards Program | 1 |
Asher Katz | IL | Tel Aviv | 2011-07-21 / 20110175271 - VACUUM HOLD-DOWN APPARATUS | 1 |
Robert Katz | CA | Montreal | 2016-01-28 / 20160026004 - EYEWEAR HAVING MAGNETIC CLIP-ON LENSES | 15 |
Noam Katz | US | Simi Valley | 2015-04-16 / 20150106693 - SYSTEMS AND METHODS OF MULTISITE ADMINISTRATOR LOGGING | 1 |
Olivier Katz | FR | Fontenay Les Briis | 2011-02-03 / 20110029690 - DATA ROUTING SYSTEM | 1 |
Joseph Katz | US | Atlanta | 2013-07-11 / 20130179156 - QR DATA PROXY AND PROTOCOL GATEWAY | 5 |
Melissa Iva Katz | US | Weston | 2011-01-20 / 20110014253 - PERSONAL CARE IMPLEMENT CONTAINING A STABLE REACTIVE SKIN CARE AND CLEANSING COMPOSITION | 1 |
Gary M. Katz | US | Northbrook | 2014-10-23 / 20140316874 - SYSTEM AND METHOD FOR PROVIDING RELATIVE PRICE POINT INCENTIVES BASED UPON PRIOR CUSTOMER PURCHASE BEHAVIOR | 3 |
Lee Katz | US | Evanston | 2011-03-03 / 20110049066 - PRODUCT DISPLAY | 2 |
Howard Edan Katz | US | Owings Mills | 2014-08-07 / 20140220704 - ARTICLES COMPRISING TEMPLATED CROSSLINKED POLYMER FILMS FOR ELECTRONIC DETECTION OF NITROAROMATIC EXPLOSIVES | 5 |
Howard Edan Katz | US | Baltimore | 2010-11-11 / 20100283042 - DEVICES HAVING HIGH DIELECTRIC CONSTANT, IONICALLY-POLARIZABLE MATERIALS | 1 |
Alexander S. Katz | US | El Sobrante | 2014-08-28 / 20140241982 - NOVEL ZEOLITIC MATERIALS WITH HETEROATOM SUBSTITUTIONS ON EXTERNAL SURFACE OF LATTICE FRAMEWORK | 1 |
Bradley J. Katz | US | Salt Lake City | 2010-10-28 / 20100271592 - APPARATUS FOR MEASURING CRITICAL FLICKER FUSION FREQUENCY AND METHODS OF USING SAME | 1 |
Aron Katz | US | Denver | 2015-09-24 / 20150265557 - COMPOUNDS FOR THE TREATMENT AND PREVENTION OF RETROVIRAL INFECTIONS | 3 |
Yoav Katz | IL | Haifa | 2014-06-05 / 20140156572 - AUTOMATIC IDENTIFICATION OF INFORMATION USEFUL FOR GENERATION-BASED FUNCTIONAL VERIFICATION | 4 |
Lisa Katz | IE | Dublin | 2011-09-15 / 20110223600 - Method For Predicting Athletic Performance Potential | 1 |
Jean-Jacques Katz | US | Novi | 2013-01-03 / 20130000826 - LIGHTWEIGHT, MULTI-LAYERED STRUCTURAL COMPOSITES USING RECYCLED LANDFILL-BOUND SCRAP | 3 |
Victor Katz | FR | Levallois | 2010-11-18 / 20100292696 - Device for Securing to a Support, Assembling Piece for this Device, and Method for Securing a Device to a Support | 1 |
Gregory Talbott Katz | US | Seattle | 2010-07-08 / 20100174861 - System And Method For Refreshing Cached Data Based On Content Update Frequency | 2 |
Jacqueline Katz | US | Atlanta | 2015-02-26 / 20150056235 - MATERIALS AND METHODS FOR RESPIRATORY DISEASE CONTROL IN CANINES | 3 |
Lynn E. Katz | US | Austin | 2013-04-25 / 20130102055 - CONTINUOUS FLOCCULATION DEFLOCCULATION PROCESS FOR EFFICIENT HARVESTING OF MICROALGAE FROM AQUEOUS SOLUTIONS | 2 |
Lior Katz | IL | Ness Ziona | 2014-04-24 / 20140111836 - COLOR UNIFORMITY CORRECTION USING A SCANNER | 1 |
Nevin Margolis Katz | US | Mclean | 2010-05-06 / 20100107947 - APPARATUS, SYSTEM, AND METHOD SEWING | 1 |
David M. Katz | US | Shaker Heights | 2015-12-17 / 20150359759 - COMPOSITIONS AND METHODS FOR THE TREATMENT OF PERVASIVE DEVELOPMENT DISORDERS | 2 |
Sheri Katz | US | Decatur | 2010-04-22 / 20100095970 - Mouth-Closing Device for Sleep Disorder Treatments | 1 |
Michael Katz | US | Wallingford | 2012-07-12 / 20120178780 - RAF KINASE INHIBITORS | 2 |
Jeffrey Bart Katz | US | Durango | 2015-07-30 / 20150213378 - SECURE SYSTEM AND METHOD TO PAY FOR A SERVICE PROVIDED AT A RESERVATION | 3 |
Steven Jay Katz | US | Vernon Hills | 2013-05-16 / 20130122978 - System and Method of an Interactive Multiple Participant Game | 3 |
Paul Katz | US | Chicago | 2010-01-21 / 20100014308 - LIGHTING APPARATUS AND METHOD OF MANUFACTURE | 1 |
Beverly M. Katz | US | Livonia | 2013-07-11 / 20130179042 - METHOD AND SYSTEM FOR CALIBRATING ROLLOVER SETTINGS OF A VEHICLE | 3 |
Harry Katz | US | Bellevue | 2009-12-31 / 20090327430 - DETERMINING EMAIL FILTERING TYPE BASED ON SENDER CLASSIFICATION | 1 |
Sami Katz | IL | Kiriat-Bialik | 2014-01-30 / 20140026566 - METHOD AND SYSTEM FOR OPERATING A SOLAR STEAM SYSTEM | 2 |
Mark Steven Katz | US | Paradise Valley | 2009-12-17 / 20090308989 - POSTURE ENHANCEMENT DEVICE | 1 |
Emil-Israel Katz | IL | Savyon | 2011-04-21 / 20110092768 - DEVICE, SYSTEM AND METHOD FOR IN-VIVO ANALYSIS | 2 |
Marcus A. Katz | US | Miami Reach | 2014-06-05 / 20140155131 - Multi-hand Baccarat Device and Method | 1 |
Ron Katz | IL | Jerusalem | 2011-04-21 / 20110093884 - ADVERTISEMENTS IN AN END-USER CONTROLLED PLAYBACK ENVIRONMENT | 2 |
Harry S. Katz | US | Bellevue | / - | 1 |
Itai Katz | IL | Nofit | 2010-12-09 / 20100308820 - EX-SITU NMR SPECTROSCOPY | 1 |
Jeffrey Katz | US | West Hartford | 2009-08-13 / 20090200867 - SYSTEM AND METHODS FOR SCHEDULING POWER USAGE | 1 |
John Katz | BR | Sao Paulo | 2014-03-13 / 20140072967 - METHOD OF DETECTING SINGLE NUCLEOTIDE POLYMORPHISMS | 1 |
David A. Katz | US | Chicago | 2015-06-11 / 20150159214 - DEP2 AND ITS USES IN MAJOR DEPRESSIVE DISORDER AND OTHER RELATED DISORDERS | 1 |
Adam Katz | US | Charlottesville | 2009-08-13 / 20090202615 - Compositions for Treating Biofilms and Methods for Using Same | 1 |
Ran Katz | IL | Givatayim | 2015-12-31 / 20150382303 - Early Termination of Reception of Wireless Transmissions | 5 |
Monica Katz | US | Barrington | 2009-07-30 / 20090192828 - METHOD OF MANAGING INSURANCE DATA | 1 |
Harry Simon Katz | US | Bellevue | 2015-11-26 / 20150339477 - RISK ASSESSMENT MODELING | 2 |
Michael Katz | SE | Malmo | 2015-12-17 / 20150361455 - METABOLICALLY ENGINEERED CELLS FOR THE PRODUCTION OF RESVERATROL OR AN OLIGOMERIC OR GLYCOSIDICALLY-BOUND DERIVATIVE THEREOF | 9 |
Ram Katz | IL | Givatayim | 2012-07-12 / 20120178366 - Multiple NFC Card Applications in Multiple Execution Environments | 1 |
Edward R. Katz | US | Cartersville | 2009-07-09 / 20090173021 - ENTRYWAY PROTECTOR | 1 |
Steve Katz | US | Southfield | 2009-05-28 / 20090134980 - SYSTEM, TOOLS, AND METHOD FOR GENERATING AND DISPLAYING ELECTRONIC MEMORIAL AND DEDICATION MEDIA, AND ADMINISTRATING COMMUNICATION AND LINKING OF USERS | 1 |
Marcus Katz | US | Miami Beach | 2014-06-19 / 20140171171 - Apparatus and Method for Playing a Modified Version of Baccarat | 1 |
Howard E. Katz | US | Owings Mills | 2015-12-31 / 20150380651 - PATTERNING DEVICES USING FLUORINATED COMPOUNDS | 2 |
Benjamin B. Katz | US | Knoxville | 2009-03-19 / 20090071834 - Methods and Devices for Concentration and Fractionation of Analytes for Chemical Analysis Including Matrix-Assisted Laser Desorption/Ionization (MALDI) Mass Spectrometry (MS) | 1 |
Geva Katz | IL | Kiryat Ata | 2010-12-02 / 20100301585 - CONVERTIBLE SINGLE AND MULTI-SEAT STROLLER | 1 |
Jennifer Katz | US | Minneapolis | 2009-02-05 / 20090037349 - SYSTEM AND METHOD FOR MANANGING TRAVEL CLUBS | 2 |
Gil Katz | IL | Kibbutz Afikim-Doar-Na Emek Ha Yarden | 2010-11-11 / 20100285523 - SYSTEM AND METHOD FOR ANALYZING FLUIDS | 1 |
Yitzhak Katz | IL | Petach-Tikvah | 2010-09-02 / 20100223682 - CASEIN AND METHODS OF USE THEREOF | 1 |
Ruth L. Katz | US | Houston | 2012-09-20 / 20120237931 - IDENTIFICATION AND MONITORING OF CIRCULATING CANCER STEM CELLS | 3 |
Richard A. Katz | US | East Lyme | 2009-01-15 / 20090016597 - SIGNAL PROCESSING FAULT DETECTION SYSTEM | 2 |
Beverly Katz | US | Livonia | 2008-12-18 / 20080310124 - COUPLING ARRANGEMENT FOR ELECTRONIC DEVICE TO A VEHICLE BODY | 1 |
Jonathan L. Katz | US | Columbia | 2013-08-08 / 20130202529 - BENZTROPINE COMPOUNDS AND USES THEREOF | 3 |
Alexander S. Katz | US | Richmond | 2016-03-10 / 20160067694 - HIGHLY ACTIVE, SELECTIVE, ACCESSIBLE, AND ROBUST ZEOLITIC TI-EPOXIDATION CATALYST | 6 |
Adi Katz | IL | Ramat Gan | 2016-04-14 / 20160103858 - DATA MANAGEMENT SYSTEM COMPRISING A TRIE DATA STRUCTURE, INTEGRATED CIRCUITS AND METHODS THEREFOR | 12 |
Itzhak Katz | IL | Petach Tikva | 2016-05-12 / 20160128594 - WEARABLE FETAL MONITORING SYSTEM HAVING TEXTILE ELECTRODES | 3 |
Zvi Katz | IL | Maskeret Batya | 2010-07-29 / 20100189291 - OPTICAL PIN-POINT MICROPHONE | 1 |
Nathaniel Katz | US | Natick | 2015-09-03 / 20150248843 - TRAINING METHODS FOR IMPROVED ASSAYING OF PAIN IN CLINICAL TRIAL SUBJECTS | 1 |
Alan H. Katz | US | Lawrenceville | 2014-06-19 / 20140171332 - SYSTEM FOR THE EFFICIENT DISCOVERY OF NEW THERAPEUTIC DRUGS | 1 |
Samuel Katz | IL | Givat Zeev | 2010-07-22 / 20100181999 - TAMPER-DETECTING ELECTRONIC SYSTEM | 1 |
Asaf Katz | IL | Maagan Michael | 2010-07-08 / 20100170621 - METHOD FOR ATTACHING SMT STENCIL TO A SUBSTRATE | 1 |
Ivgeny Katz | IL | Beer-Sheva | 2010-06-17 / 20100147375 - MICRO-CONCENTRATORS FOR SOLAR CELLS | 1 |
Henry Katz | US | Bedford | 2013-10-24 / 20130283037 - REDUNDANCY FOR REAL TIME COMMUNICATIONS | 1 |
Elen Katz | IL | Harutzim | 2009-07-09 / 20090174769 - Surveillance Means | 1 |
Noam Katz | US | Norwood | 2015-12-24 / 20150372495 - WIRELESS POWER TRANSFER SYSTEMS FOR SURFACES | 6 |
Yoav Avraham Katz | IL | Haifa | 2013-11-21 / 20130311164 - DYNAMIC GENERATION OF TEST SEGMENTS | 5 |
Carsten Katz | CH | Buerglen | 2010-08-05 / 20100194182 - DRIVE FOR A TWO-WHEELED VEHICLE | 1 |
Ben-Zion Katz | IL | Rehovot | 2011-05-05 / 20110104140 - USE OF NON-CATALYTIC FORM OF HEPARANASE AND PEPTIDES THEREOF FOR REVERSING THE ANTI-COAGULANT EFFECTS OF HEPARINOIDS | 1 |
Sagi Katz | IL | Yokneam | 2012-05-10 / 20120114180 - Identification Of Objects In A 3D Video Using Non/Over Reflective Clothing | 8 |
Rich Katz | US | Atlanta | 2015-05-14 / 20150134486 - SYSTEM AND METHOD FOR CONNECTING TRADING PARTNERS IN A COMMERCE NETWORK | 1 |
Aviram Katz | IL | Kiryat Onno | 2012-07-26 / 20120191663 - TECHNIQUES FOR PROCESSING RECOVERY POINTS | 3 |
George Peter Katz | AU | New South Wales | 2010-07-15 / 20100178979 - SIDE GAME RESULT GENERATOR | 1 |
Barak Katz | IL | Ashkelon | 2013-06-27 / 20130163879 - METHOD AND SYSTEM FOR EXTRACTING THREE-DIMENSIONAL INFORMATION | 1 |
Amir Katz | IL | Bat-Heffer | 2015-02-26 / 20150054627 - OBJECT MANAGEMENT SYSTEM AND METHOD | 8 |
Jonathan M. Katz | US | Solon | 2013-08-08 / 20130202754 - COOKWARE AND COOK-PACKS FOR NARROWBAND IRRADIATION COOKING AND SYSTEMS AND METHODS THEREOF | 6 |
Daniel Katz | IL | Misgav | 2015-10-08 / 20150283066 - VAGINAL DANAZOL COMBINED WITH NON STEROIDAL ANTI INFLAMMATORY DRUGS (NSAIDS) COMPOSITIONS | 3 |
Eyal Katz | IL | Tel Aviv | 2010-08-19 / 20100206022 - THEFT PREVENTION DEVICE FOR TWO-WHEELED VEHICLES | 1 |
Martin Katz | DE | Stuttgart | 2010-04-15 / 20100090032 - FUEL INJECTOR WITH COUPLER | 4 |
Richard A. Katz | US | Elkins Park | 2011-07-14 / 20110172107 - ASSAY FOR IDENTIFYING AGENTS THAT MODULATE EPIGENETIC SILENCING, AND AGENTS IDENTIFIED THEREBY | 1 |
Or Katz | IL | Herzliya | 2010-09-16 / 20100235918 - Method and Apparatus for Phishing and Leeching Vulnerability Detection | 1 |
Naftale Katz | BR | Minas Gerais | 2015-03-05 / 20150064211 - SYNTHETIC ACTIVE PEPTIDE FRAGMENTS | 3 |
Adi Katz | IL | Ramat Gan | 2016-04-14 / 20160103858 - DATA MANAGEMENT SYSTEM COMPRISING A TRIE DATA STRUCTURE, INTEGRATED CIRCUITS AND METHODS THEREFOR | 12 |
Avishay Katz | US | Palo Alto | 2014-09-18 / 20140270618 - WAVELENGTH TUNABLE INTEGRATED OPTICAL SUBASSEMBLY BASED ON POLYMER TECHNOLOGY | 1 |
Boaz Katz | IL | Kfar-Saba | 2014-08-21 / 20140233055 - METHOD, DEVICE AND COMPUTER READABLE MEDIUM FOR MANAGING PRINT JOBS | 2 |
Arnon Katz | GB | London | 2008-11-13 / 20080282171 - System and method for production of online magazines | 1 |
Alexander Katz | UA | Donetsk | 2011-08-25 / 20110204165 - DEVICE AND METHOD FOR COOLING SOLID PARTICLES | 2 |
Hanspeter Katz | DE | Stuttgart | 2015-05-28 / 20150146379 - Circuit Board With Ceramic Inlays | 4 |
David Katz | IL | Modiin | 2010-05-06 / 20100108988 - Nanotube-Based Structure and Method of Forming the Structure | 1 |
Zvika Katz | IL | Mazkeret Batya | 2010-03-11 / 20100061562 - SHIELDED COMMUNICATION TRANSDUCER | 1 |
Giora Katz | IL | Timrat | 2009-12-17 / 20090308297 - UNMANNED VESSEL | 2 |
Neil A. Katz | US | Yorktown Heights | 2011-05-26 / 20110126272 - APPARATUS AND METHOD OF IDENTITY AND VIRTUAL OBJECT MANAGEMENT AND SHARING AMONG VIRTUAL WORLDS | 1 |
Ori Katz | IL | Moshav Bazra | 2009-11-19 / 20090285247 - OPTICAL APPARATUS COMPRISING A PUMP-LIGHT-GUIDING FIBER | 1 |
Robert P. Katz | US | Lagrangeville | 2009-04-02 / 20090084183 - SLIP RING POSITIVE Z FORCE LIQUID ISOLATION FIXTURE PERMITTING ZERO NET FORCE ON WORKPIECE | 1 |
Frank Katz | US | Monroe | 2013-08-29 / 20130221702 - SIDEWALL CONSTRUCTION FOR TRAILER | 2 |
Melissa Iva Katz | US | Trumbull | 2013-05-16 / 20130118518 - Personal Care Implement Containing a Stable Reactive Skin Care and Cleansing Composition | 1 |
Oded Katz | IL | Sitryaa | 2014-09-18 / 20140280471 - SYSTEMS AND METHODS FOR TRANSPARENT COMMUNICATION WITH BANDWIDTH CONSERVATION AND HTTP CACHING | 1 |
Jeffrey Katz | US | College Station | 2008-09-25 / 20080231958 - Apparatus and methods for forming a reflector | 1 |
Marcel Katz | GB | London | 2014-09-18 / 20140278426 - DATA SHREDDING FOR SPEECH RECOGNITION ACOUSTIC MODEL TRAINING UNDER DATA RETENTION RESTRICTIONS | 2 |
Paul A. Katz | US | West Simsbury | 2014-09-18 / 20140264131 - Fluid Flow Valve | 1 |
Arthur Katzakian | US | Elk Grove | 2013-12-12 / 20130327455 - METHOD FOR CONTROLLING A HIGH PERFORMANCE ELECTRICALLY CONTROLLED SOLUTION SOLID PROPELLANT | 3 |
Craig Katzakian | US | Sacramento | 2016-02-18 / 20160046759 - ORGANIC VEGETABLE OIL BASED RESIN AND PREPARATION METHOD THEREOF | 2 |
Arthur Katzakian | US | Sacramento | 2016-02-18 / 20160046759 - ORGANIC VEGETABLE OIL BASED RESIN AND PREPARATION METHOD THEREOF | 1 |
Jon Katzauer | GB | Surrey | 2014-06-05 / 20140155242 - Method and Apparatus For Forming A Bag Template | 1 |
Jonathan Katzauer | GB | Richmond | / - | 1 |
Jon Katzauer | GB | Kent | 2012-01-26 / 20120020588 - INSERT FOR A BAG | 1 |
Tamar Katzav | IL | Klachim | 2009-03-12 / 20090070236 - Diamond and Precious Stone Trading Platform with Funding and Delivery Transparency | 1 |
Rachel Katz-Brull | IL | Modi'In-Maccabim-Re'Ut | 2014-04-10 / 20140099262 - ISOTOPICALLY LABELED CDP-CHOLINE AND USES THEREOF | 4 |
Rachel Katz-Brull | IL | Rehovot | 2008-11-20 / 20080287774 - Magnetic Resonance Imaging and Spectroscopy Means and Methods Thereof | 1 |
Alan B. Katze | US | Oxford | 2015-12-03 / 20150342723 - Ocular Prosthesis with Display Device | 1 |
Federico Katzen | US | Carlsbad | 2011-05-05 / 20110104781 - ISOLATED PHOSPHOLIPID-PROTEIN PARTICLES | 5 |
Federico Katzen | US | San Marcos | 2016-05-05 / 20160122792 - HIGH EFFICIENCY, SMALL VOLUME NUCLEIC ACID SYNTHESIS | 4 |
Stanley J. Katzen | US | Baton Rouge | 2008-09-25 / 20080234449 - Catalyst Activation and Resins Therefrom | 1 |
Hans-Jürgen Katzenbächer | DE | Bad Kreuznach | 2012-01-05 / 20120000492 - SYSTEM FOR TREATING AND/OR PROCESSING LIQUID PRODUCTS AND METHOD FOR CLEANING COMPONENTS OF SUCH SYSTEMS | 1 |
Hans-Jürgen Katzenbächer | DE | Bad Kreuznach | 2012-01-05 / 20120000492 - SYSTEM FOR TREATING AND/OR PROCESSING LIQUID PRODUCTS AND METHOD FOR CLEANING COMPONENTS OF SUCH SYSTEMS | 1 |
Stefan Katzenbeisser | AT | Wien | 2010-04-01 / 20100082478 - APPARATUS & METHODS FOR DIGITAL CONTENT DISTRIBUTION | 1 |
Stefan Katzenbeisser | NL | Eindhoven | 2010-11-25 / 20100296649 - CRYPTOGRAPHIC PROCESSING OF CONTENT | 2 |
Stefan Katzenbeisser | DE | Darmstadt | 2012-05-03 / 20120108910 - PERFORMING MEASUREMENT OF A SUBJECT | 2 |
Stefan Katzenbeisser | NL | Eindoven | 2010-01-14 / 20100011441 - SYSTEM FOR MALWARE NORMALIZATION AND DETECTION | 1 |
Gary Shon Katzenberger | US | Fall City | 2012-06-21 / 20120159333 - REPRESENTATION OF AN INTERACTIVE DOCUMENT AS A GRAPH OF ENTITIES | 3 |
Gary S. Katzenberger | US | Woodinville | 2011-10-06 / 20110246973 - COMPILER SUPPORTING PROGRAMS AS DATA OBJECTS | 3 |
Michael D. Katzenberger | US | St. Charles | 2014-03-13 / 20140069254 - Method of Cutting a Slot | 1 |
Michael Katzenberger | DE | Regensburg | 2014-03-06 / 20140060488 - Method for Determining the Idle Travel of a Piezo-Injector with a Directly Actuated Nozzle Needle | 3 |
Juergen Katzenberger | DE | Hockenheim | 2011-05-26 / 20110120617 - SPRAYABLE HOT MELT ADHESIVE FOR THE APPLICATION OF DECORATION TO TEXTILES | 1 |
Oliver Katzenberger | AT | Pasching | 2009-04-16 / 20090099323 - Melamine-formaldehyde resin solution and process for producing the same | 1 |
Oliver Katzenberger | DE | Burglauer | 2008-08-28 / 20080202903 - OPERATING ELEMENT WITH WAKE-UP FUNCTIONALITY | 1 |
Thorsten Katzenberger | DE | Altrip | 2013-10-17 / 20130270733 - POWDER APPLICATION DEVICE AND METHOD FOR PRODUCING THERMOPLASTIC MOLDABLE SEMI-PLASTIC FINISHED GOODS | 1 |
Todd Katzenberger | US | Forreston | 2013-02-14 / 20130038043 - Telescoping trailer | 1 |
Steffen Katzenberger | DE | Bad Liebenzell | 2010-07-08 / 20100172392 - MEASUREMENT ARRANGEMENT FOR MEASURING A TEMPERATURE OF A RECHARGEABLE POWER SUPPLY DEVICE | 5 |
G. Shon Katzenberger | US | Fall City | 2014-07-10 / 20140196015 - DECLARATION OF LIFETIME OF RESOURCE REFERENCE | 4 |
Gary Shon Katzenberger | US | Redmond | 2015-12-31 / 20150379108 - Data Mining in a Business Intelligence Document | 5 |
Michael D. Katzenberger | US | Florissant | 2013-07-11 / 20130174397 - GUIDE ASSEMBLY | 5 |
John A. Katzenellenbogen | US | Urbana | 2012-10-11 / 20120256102 - Dye-conjugated dendrimers | 1 |
Dirk Katzenellenbogen | DE | Tiddische | 2014-01-30 / 20140028177 - CONTACT FOR A SPARK PLUG SYSTEM | 1 |
Michael Katzenellenbogen | US | Brooklyn | 2011-03-17 / 20110066507 - Context Enhanced Marketing of Content and Targeted Advertising to Mobile Device Users | 1 |
Kevin R. Katzenmaier | US | Woodbury | 2010-04-22 / 20100099115 - SYSTEMS AND METHODS FOR PREPARING AND ANALYZING SAMPLES | 1 |
Aaron M. Katzenmeyer | US | Livermore | / - | 1 |
Joshua M. Katzenstein | US | Austin | 2014-02-27 / 20140054822 - METHOD FOR CREATING TOPOGRAPHICAL PATTERNS IN POLYMERS VIA SURFACE ENERGY PATTERNED FILMS AND THE MARANGONI EFFECT | 1 |
Arik Katzenstein | IL | Haifa | 2010-12-23 / 20100322400 - Device,system,and method of routing telephone calls | 1 |
Warren Katzenstein | US | Oakland | 2014-05-22 / 20140137555 - METHOD AND APPARATUS FOR ELECTRIC CO-FIRING OF POWER GENERATION PLANTS | 1 |
Jochen Katzer | DE | Gallmersgarten-Bargtshofen | 2009-09-03 / 20090222271 - Method For Operating A Navigation System | 1 |
Russell J. Katzer | US | Bolivar | 2013-08-29 / 20130220123 - DISTRIBUTED AERATION SYSTEM AND CONTROL ARCHITECTURE | 1 |
Robin D. Katzer | US | Louisburg | 2015-03-12 / 20150074204 - System and Method to Generate an Abstract Advertisement Campaign Management and Implement Policy Enforcement | 1 |
Johannes Katzer | DE | Berlin | 2016-02-04 / 20160032050 - REACTOR HAVING A VERTICAL CONDENSATION TUBE AND METHOD FOR THE POLYMERIZATION OF POLYAMIDES IN SUCH A REACTOR | 3 |
Robin Dale Katzer | US | Olathe | 2015-08-20 / 20150236986 - DATA MESSAGE QUEUE MANAGEMENT TO IDENTIFY MESSAGE SETS FOR DELIVERY METRIC MODIFICATION | 2 |
Michael Katzer | DE | Munich | 2016-04-07 / 20160099784 - FREQUENCY-CONVERTING SENSOR AND SYSTEM FOR PROVIDING A RADIO FREQUENCY SIGNAL PARAMETER | 3 |
Andrea Katzer | DE | Wandlitz | 2011-05-19 / 20110117072 - HYPERACTIVE VARIANTS OF THE TRANSPOSASE PROTEIN OF THE TRANSPOSON SYSTEM SLEEPING BEAUTY | 1 |
Matthias Katzer | DE | Heusenstamm | 2011-10-06 / 20110244384 - CARBON BLACK GRANULATE, METHOD FOR PRODUCING CARBON BLACK GRANULATE, AND USE THEREOF | 1 |
Mathias Katzer | DE | Altenberge | 2016-05-19 / 20160140746 - METHOD FOR CREATING A MICROSCOPE IMAGE AND METHOD FOR OPERATING A MICROSCOPE | 1 |
Michael Katzer | DE | Munchen | 2013-10-31 / 20130285642 - THERMALLY STABILIZED POWER SENSOR | 1 |
Matthew A. Katzer | US | Portland | 2010-06-10 / 20100145557 - Model train control | 1 |
Philip W. Katzer | US | Ames | 2008-11-20 / 20080283132 - GASKET WITH INTERNAL SCREEN AND METHOD OF MANUFACTURING THE SAME | 1 |
Matthew A. Katzer | US | Hillsboro | 2011-07-14 / 20110172857 - MODEL TRAIN CONTROL SYSTEM | 2 |
Michael Katzer | DE | Egelsbach | 2011-03-10 / 20110059982 - AZAINDOLE COMPOUNDS FOR TREATMENT OF CENTRAL NERVOUS SYSTEM DISORDERS | 1 |
Robert Katzer | DE | Frankfurt Am Main | 2011-03-03 / 20110054197 - METHOD FOR THE PRODUCTION OF EPICHLOROHYDRIN | 1 |
Jochen Katzer | DE | Gallmersgarten | 2010-12-09 / 20100312466 - METHOD AND DEVICE FOR CALCULATING ALTERNATIVE ROUTES IN A NAVIGATION SYSTEM | 1 |
Matthias Katzer | DE | Schluechtern | 2010-09-30 / 20100248120 - CARBON BLACK, METHOD FOR THE PRODUCTION OF CARBON BLACK OR OTHER FLAME AEROSOLS, AND DEVICE FOR CARRYING OUT SAID METHOD | 1 |
Roman Katzer | DE | Esslingen | 2010-09-16 / 20100232617 - MULTI-ELEMENT ELECTROACOUSTICAL TRANSDUCING | 2 |
Michael Katzer | DE | Muenchen | 2010-04-22 / 20100100340 - Multi-Path Power Meter with Amplifier | 2 |
Robin D. Katzer | US | Olathe | 2013-11-14 / 20130305333 - Web Server Bypass of Backend Process on Near Field Communications and Secure Element Chips | 2 |
Jochen Katzer | DE | Gallmersgarten-Bergtshofen | 2012-02-16 / 20120041674 - NAVIGATION DEVICE AND METHOD FOR THE GEOGRAPHIC OUTPUT OF NAVIGATION INSTRUCTIONS | 4 |
Jochen Katzer | DE | Bergtshofen | 2009-02-19 / 20090046111 - Method For Operating A Device | 2 |
Matthias Katzer | DE | Schluchtern | 2012-12-27 / 20120328881 - Carbon Black, Method of Producing Carbon Black and Device for Implementing the Method | 2 |
Douglas S. Katzer | US | Alexandria | 2016-02-04 / 20160035851 - Epitaxial metallic transition metal nitride layers for compound semiconductor devices | 1 |
Eric J. Katzfey | US | Encinitas | 2011-02-03 / 20110028166 - METHOD AND SYSTEM FOR FEMTO CELL SELF-TIMING AND SELF-LOCATING | 1 |
Yehoshua Katzhendler | IL | Jerusalem | 2009-12-24 / 20090318339 - Biodegradable polymers having a pre-determined chirality | 1 |
Edward Katzin | US | San Francisco | 2015-02-05 / 20150039462 - E-Wallet Store Injection Search Apparatuses, Methods and Systems | 12 |
Peter John Katzin | US | Arlington | 2014-06-05 / 20140152295 - LOGARITHMIC MEAN-SQUARE POWER DETECTOR | 1 |
Peter J. Katzin | US | Arlington | 2016-04-28 / 20160118959 - APPARATUS AND METHODS FOR REDUCING GLITCHES IN DIGITAL STEP ATTENUATORS | 2 |
Edward Katzin | US | Hillsborough | 2012-11-29 / 20120303425 - MERCHANT-CONSUMER BRIDGING PLATFORM APPARATUSES, METHODS AND SYSTEMS | 1 |
Asaf Katzin | IL | Kibbutz Gvat | 2009-07-02 / 20090165863 - FLUID PRESSURE REGULATOR WITH NO-DRAIN VALVE | 1 |
Peter Katzin | US | Arlington | 2012-01-19 / 20120013405 - RF DETECTOR WITH CREST FACTOR MEASUREMENT | 4 |
Edward N. Katzin | US | Hillsborough | 2014-02-06 / 20140040127 - Virtual Wallet Card Selection Apparatuses, Methods and Systems | 1 |
Edward Katzin | US | San Francisco | 2015-02-05 / 20150039462 - E-Wallet Store Injection Search Apparatuses, Methods and Systems | 12 |
Yuval Katzir | IL | Hefer | 2009-01-01 / 20090004332 - Natural Salt Substitute | 1 |
Yuval Katzir | IL | D.n. Hefer | 2011-12-01 / 20110293782 - FRUIT JUICE AND PUREE WITH A LOWERED AMOUNT OF AVAILABLE SUGARS | 1 |
Amnon Katzir | IL | Ramat Gan | 2010-01-21 / 20100013196 - Inflatable and Foldable Stroller | 1 |
Sasi Katzir | IL | Reut | 2013-01-31 / 20130025695 - TOILET WATER SUPPLY SYSTEM AND METHOD THEREFOR | 1 |
Nurit Katzir | IL | Kiryat-Tivon | 2013-05-23 / 20130133106 - ISOLATED POLYNUCLEOTIDES AND METHODS AND PLANTS USING SAME FOR REGULATING PLANT ACIDITY | 2 |
Omer Katzir | US | Woodland Hills | 2010-04-29 / 20100102729 - LIGHT EMITTING DIODE ASSEMBLY | 1 |
Eli Katzir | IL | Herzelia Pituach | 2013-10-03 / 20130257575 - COIL HAVING LOW EFFECTIVE CAPACITANCE AND MAGNETIC DEVICES INCLUDING SAME | 1 |
Ella Katzir | IL | Haifa | 2009-06-04 / 20090143360 - Oxcarbazepine Formulation | 1 |
Dalia Katzir | IL | Reut | 2013-01-31 / 20130025695 - TOILET WATER SUPPLY SYSTEM AND METHOD THEREFOR | 1 |
Gil Katzir | IL | Herzliya | 2009-02-26 / 20090054087 - METHOD AND APPARATUS FOR WIRELESS RECEIVING | 1 |
Yigal Katzir | IL | Rishon Lezion | 2016-03-31 / 20160094760 - IMAGING DEVICE AND METHOD FOR HIGH-SENSITIVITY OPTICAL SCANNING AND INTEGRATED CIRCUIT THEREFOR | 9 |
Nir Katzir | IL | Givat Elah | 2015-10-08 / 20150285686 - METHOD AND SYSTEM FOR SPECTRAL IMAGING | 2 |
Ziv Katzir | IL | Netanya | 2009-07-02 / 20090171960 - METHOD AND SYSTEM FOR CONTEXT-AWARE DATA PRIORITIZATION | 2 |
Yigal Katzir | IL | Rishon Lezion | 2016-03-31 / 20160094760 - IMAGING DEVICE AND METHOD FOR HIGH-SENSITIVITY OPTICAL SCANNING AND INTEGRATED CIRCUIT THEREFOR | 9 |
Yuval Katzir | IL | Mobile Post Hefer | 2013-05-30 / 20130139283 - COMPOSITIONS COMPRISING NATURAL COMPONENTS FOR PROTECTING PLANT PRODUCTS AND CROPS | 1 |
Ze'Ve Katzir | IL | Kfar Uriah | 2009-01-15 / 20090018206 - PREVENTION OF HYPOTENSION AND STABILIZATION OF BLOOD PRESSURE IN HEMODIALYSIS PATIENTS | 1 |
Yuval Katzir | IL | M.p. Hefer | 2015-05-21 / 20150139957 - GROWTH ENHANCING ADDITIVE FROM POMEGRANATE PLANT FOR POULTRY FEED | 1 |
Wesley Katzir | US | Los Angeles | 2010-04-29 / 20100102729 - LIGHT EMITTING DIODE ASSEMBLY | 1 |
Abraham Katzir | IL | Tel-Aviv | 2014-01-09 / 20140010850 - ALBUMIN FIBERS AND FABRICS AND METHODS OF GENERATING AND USING SAME | 3 |
Liran Katzir | IL | Herzylia | 2012-07-19 / 20120185838 - METHOD AND SYSTEM FOR SECURE FIRMWARE UPDATES IN PROGRAMMABLE DEVICES | 1 |
Eran Katzir | IL | Rosh Haayin | 2014-10-02 / 20140296750 - METHODS AND APPARATUS FOR REHABILITATION AND TRAINING | 2 |
Liran Katzir | IL | Tel Aviv | 2015-07-09 / 20150193548 - Recommendation System With Metric Transformation | 2 |
Mark Katzir | IL | Beer Sheva | 2008-09-11 / 20080219880 - Creep-resistant magnesium alloy for casting | 1 |
Edith Katzir | IL | Ramat Gan | 2010-01-21 / 20100013196 - Inflatable and Foldable Stroller | 1 |
Yiftach Katzir | IL | Tal Shahar | 2014-12-18 / 20140368108 - LASER ACTIVATED MAGNETIC FIELD MANIPULATION OF LASER DRIVEN ION BEAMS | 1 |
Mandy Katz-Jaffe | US | Denver | 2011-10-06 / 20110245592 - Secretome Profile-Facilitated In Vitro Fertilization | 3 |
Ernst Katzmaier | AT | Villach | 2015-05-21 / 20150137800 - ROTATION SENSING METHOD AND SYSTEM | 2 |
Nadav Katzman | IL | Haifa | 2013-04-11 / 20130090848 - NORTH FINDER | 1 |
Steven Katzman | US | Sterling Heights | 2013-09-05 / 20130232026 - SYSTEM AND METHOD FOR MEASUREMENT BASED DESIGN SELECTION | 1 |
Youval Katzman | IL | Kibbutz Shamir | 2012-03-15 / 20120061863 - MOLD FOR DIFFRACTIVE OPHTHALMIC LENS | 1 |
Steven Jeffrey Katzman | US | Fort Myers | 2012-11-22 / 20120296717 - Lucky Day Rewards Program | 1 |
Richard Katzman | US | New York | 2014-06-05 / 20140151907 - COMBINATION WARM AND COOL MIST HUMIDIFIER | 3 |
Scott Katzman | US | Palm Beach Gardens | 2014-09-18 / 20140277165 - Sacroiliac Joint Stabilization Method | 1 |
Zohar Katzman | IL | Haifa | 2012-01-19 / 20120013847 - METHOD AND SYSTEM FOR DESIGNING OPTHALMIC LENSES | 3 |
Dan Katzman | IL | Givat Ela | 2014-10-30 / 20140319748 - ASSEMBLY OF A SEMI-FINISHED LENS WITH A PRISMATIC HOLDING BLOCK AND METHOD FOR PRODUCING SAME | 7 |
Scott Bradley Katzman | US | Dallas | 2016-02-25 / 20160055377 - REAL-TIME ANALYTICS TO IDENTIFY VISUAL OBJECTS OF INTEREST | 1 |
Carlos Katzman | IL | Jerusalem | 2010-07-29 / 20100187802 - LOOSE LEAF PAGES SUITABLE FOR BINDING | 1 |
Scott B. Katzman | US | Dallas | 2016-02-25 / 20160055378 - REAL-TIME ANALYTICS TO IDENTIFY VISUAL OBJECTS OF INTEREST | 2 |
Daniel E. Katzman | US | Newton | 2011-12-15 / 20110306669 - RAPID ONSET AND SHORT TERM MODAFINIL COMPOSITIONS AND METHODS OF USE THEREOF | 4 |
Dani Katzman | IL | Givat Ela | 2012-06-14 / 20120147316 - ADJUSTABLE EYEWEAR, LENSES AND FRAMES | 2 |
Udi Katzman | IL | Jerusalem | 2010-07-29 / 20100187802 - LOOSE LEAF PAGES SUITABLE FOR BINDING | 1 |
Wendy Katzman | US | Seattle | 2009-11-12 / 20090281585 - OTC Automatic External Defibrillator With Quick Install Battery | 2 |
Jonathan Katzman | US | San Francisco | 2013-07-25 / 20130191762 - System and Method to Enable Private Conversations Around Content | 2 |
Sol Katzman | US | Waltham | 2015-08-20 / 20150234676 - DATA TRANSFER BUS COMMUNICATION TO RECEIVE DATA BY SENDING REQUEST INSTRUCTION ATTACHED WITH IDENTIFIER INDICATING PROCESSOR AND THREAD CONTEXT IDENTITIES | 4 |
Anatoly Katzman | IL | Modin | 2015-07-02 / 20150186942 - SYSTEM AND METHOD FOR CROSS-PLATFORM MANAGEMENT OF ADVERTISEMENT SERVING | 1 |
Youval Katzman | IL | Zichron Yaacov | 2010-05-06 / 20100108156 - FLUID FLOW VALVE | 1 |
Yehuda Katzman | IL | Tel Aviv | 2009-08-06 / 20090196462 - VIDEO AND AUDIO CONTENT ANALYSIS SYSTEM | 1 |
Youval Katzman | IL | Zichron Yaakov | 2013-08-01 / 20130197469 - APPARATUS, SYSTEM AND METHOD FOR ADMINISTRATION OF A SUBSTANCE | 4 |
Scott S. Katzman | US | Port St. Lucie | 2014-09-18 / 20140276697 - ABLATION CANNULA AND KIT WITH INSERT | 2 |
Oded Katzman | IL | Haifa | 2013-02-21 / 20130042489 - DEVICE AND METHOD FOR MEASURING PANTOSCOPIC TILT | 2 |
Jonathan Scott Katzman | US | San Francisco | 2016-03-10 / 20160073059 - SYSTEM AND METHOD FOR TRACKING EVENTS AND PROVIDING FEEDBACK IN A VIRTUAL CONFERENCE | 7 |
Julia Katzmann | DE | Dresden | 2013-09-12 / 20130235375 - SENSOR SUBSTRATE FOR SURFACE-ENHANCED SPECTROSCOPY | 1 |
Julia Katzmann | DE | Wutha-Farnroda | 2013-08-01 / 20130197668 - STRUCTURE MODELED ON A BIOLOGICAL TISSUE AND METHOD FOR PRODUCING SAID STRUCTURE | 1 |
David Katzmann | FR | Paris Cedex 13 | 2015-06-11 / 20150157735 - PROTOZOAN VARIANT-SPECIFIC SURFACE PROTEINS (VSP) AS CARRIERS FOR ORAL DRUG DELIVERY | 1 |
Steven P. Katzmann | US | Yorba Linda | 2009-05-28 / 20090136383 - LATCH SYSTEM AND MODIFIED BLADE DESIGN FOR THICK STOPPER-CLOSED CONTAINER SAMPLING PIERCING STATION | 1 |
Ron D. Katznelson | US | San Diego | 2009-07-09 / 20090174820 - Multichannel Quadrature Modulation | 1 |
Ehud Katznelson | IL | Ramat Yisha | 2012-10-04 / 20120249134 - Means and Method for Thermoregulating Magnets within Magnetic Resonance Devices | 1 |
Laurence Katznelson | US | Stanford | 2012-07-05 / 20120172650 - USE OF SOMATOSTATIN OR AN ANALOGUE THEREOF IN COMBINATION WITH EXTERNAL RADIATION THERAPY | 1 |
Ehud Katznelson | IL | Ramat Yishay | 2016-01-28 / 20160022123 - MANEUVERING COILS SETUP FOR MANEUVERING A SWALLOWABLE IN-VIVO DEVICE | 1 |
Ehud Katznelson | IL | Ramat Yishai | 2010-01-07 / 20100001729 - Open Yoke Magnet Assembly | 1 |
Leo D. Katzner | US | Shakopee | 2011-10-06 / 20110244021 - ANTIMICROBIAL AND IMMUNOSTIMULATING COMPOSITION | 1 |
Karl-Hermann Katzoreck | DE | Tettnang | 2012-04-26 / 20120097497 - PARKING INTERLOCK DEVICE FOR AN AUTOMOTIVE TRANSMISSION | 1 |
Dmitriy A. Katz-Rogozhnikov | US | Ossining | 2012-07-19 / 20120185106 - INTEGRATION OF DEMAND RESPONSE AND RENEWABLE RESOURCES FOR POWER GENERATION MANAGEMENT | 2 |
Dmitriy Katz-Rogozhnikov | US | Yorktown Heights | 2011-11-17 / 20110282475 - EFFECTIVE CYCLE TIME MANAGEMENT EMPLOYING A MULTI-HORIZON MODEL | 1 |
Axel Katzschmann | DE | Schwetzingen | 2016-02-25 / 20160051451 - HIGH-STRENGTH, TRANSLUCENT MG-HIGH QUARTZ MIXED CRYSTAL GLASS CERAMICS | 1 |
Michael Katzschmann | DE | Iimenau | 2014-03-20 / 20140077627 - HIGH-RESOLUTION POSITIONING DEVICE | 1 |
Michael Katzschmann | DE | Ilmenau | 2011-02-17 / 20110038091 - METHOD AND ARRANGEMENT FOR THE SENSORLESS OPERATION OF MAGNETIC BEARINGS | 1 |
Beate Katzschner | DE | Reinhardtsdorf | 2009-05-14 / 20090124488 - Substrate with Spatially Selective Metal Coating, Method for Production and Use Thereof | 1 |
Oran Katzuni | IL | Carmiel | 2014-06-05 / 20140154458 - COMPOSITE MATERIAL STRUCTURES WITH INTEGRAL COMPOSITE FITTINGS AND METHODS OF MANUFACTURE | 1 |
Ran Katzur | US | North Potomac | 2010-10-21 / 20100266045 - ADAPTIVE REAL-TIME VIDEO PREDICTION MODE METHOD AND COMPUTER-READABLE MEDIUM AND PROCESSOR FOR STORAGE AND EXECUTION THEREOF | 2 |
Ran Katzur | US | Potomac | 2012-09-20 / 20120236940 - Method for Efficient Parallel Processing for Real-Time Video Coding | 1 |
Joachim Katzur | DE | Lubben/spreewald | 2012-12-20 / 20120322990 - Substrate for Soil Improvement Having a Water-Storing Property, Method for Producing Same, and Use Thereof | 1 |
Joachim Katzur | US | 2015-06-25 / 20150175882 - Substrate for Soil Improvement Having a Water-Storing Property, Method for Producing Same, and Use Thereof | 1 | |
Ran Katzur | US | Germantown | 2010-11-18 / 20100290528 - REAL-TIME VIDEO IMAGE PROCESSING | 1 |
Jonathan S. Kau | US | San Jose | 2013-05-23 / 20130128993 - EVALUATION OF PERCEPTUAL VISUAL QUALITY | 1 |
Jong-Jyr Kau | TW | Taipei Hsien | 2010-07-22 / 20100180969 - ELEVATIONAL ADJUSTMENT DEVICE | 1 |
Derchang Kau | US | Cupertino | 2016-04-14 / 20160104747 - APPARATUSES AND METHODS INCLUDING MEMORY ACCESS IN CROSS POINT MEMORY | 28 |
Chia-Cheng Kau | TW | Beigang Township | 2009-08-27 / 20090216877 - Network monitoring system with common storage medium and a method thereof | 1 |
Al Kau | US | Valencia | 2013-06-27 / 20130165995 - Multiple Telemetry and/or Charging Coil Configurations for an Implantable Medical Device System | 1 |
Andrew Kau | US | St. Louis | 2015-05-07 / 20150125883 - METHOD OF ISOLATING AND CHARACTERIZING MICROORGANISMS THAT ARE TARGETS OF HOST IMMUNE RESPONSES | 1 |
Kuo-Chang Kau | TW | Miaoli County | 2015-11-19 / 20150332922 - Semiconductor Integrated Circuit Fabrication With Pattern-Reversing Process | 1 |
Christian Benjamin Kau | US | Los Altos | 2014-07-03 / 20140188810 - INTERACTION-BASED MANAGEMENT OF CONTACT ENTRIES | 2 |
Christian B. Kau | US | Los Altos | 2016-02-25 / 20160057726 - OBSTACLE DETECTION AND WARNING SYSTEM USING A MOBILE DEVICE | 8 |
Christine Kau | DK | Tastrup | 2011-08-25 / 20110207744 - Method for treating cognitive deficits | 3 |
Christian B. Kau | US | Los Altos | 2016-02-25 / 20160057726 - OBSTACLE DETECTION AND WARNING SYSTEM USING A MOBILE DEVICE | 8 |
Troy Kau | US | Arvada | 2015-10-15 / 20150295773 - SYSTEMS AND METHOD FOR DISCOVERING NETWORK TOPOLOGY | 4 |
Jong-Jyr Kau | TW | Sinjhuang City | 2009-04-30 / 20090111664 - FOLDIND TREADMILL OFFERING BUFFERING EFFECT DURING FOLDING AND UNFOLDING THEREOF | 1 |
Thomas Kaub | DE | Wetter | 2011-05-19 / 20110114023 - Method and device for treatment of animals | 1 |
Hans-Peter Kaub | DE | Altrip | 2014-07-31 / 20140209836 - AQUEOUS DISPERSIONS OF POLYMERS WHICH COMPRISE A FLUORESCENT DYE, PROCESS FOR THEIR PRODUCTION AND THEIR USE FOR MARKING MATERIALS | 4 |
Carl Kaub | US | San Mateo | 2014-03-27 / 20140088094 - N-SULFONYLATED TETRAHYDROQUINOLINES AND RELATED BICYCLIC COMPOUNDS FOR INHIBITION OF RORgamma ACTIVITY AND THE TREATMENT OF DISEASE | 13 |
Carl Kaub | US | San Diego | 2015-09-24 / 20150266822 - Amide Compounds, Compositions And Uses Thereof | 2 |
Carl J. Kaub | US | San Jose | 2010-12-16 / 20100317676 - Fused heterocyclic compounds, and compositions and uses thereof | 2 |
Robert August Kaucic | US | Niskayuna | 2011-09-15 / 20110222754 - SEQUENTIAL APPROACH FOR AUTOMATIC DEFECT RECOGNITION | 10 |
Edward M. Kaucic | US | Newman | 2013-08-08 / 20130203089 - Filtered Adapter for Pipettors | 1 |
Robert August Kaucic | US | Niskayuna | 2011-09-15 / 20110222754 - SEQUENTIAL APPROACH FOR AUTOMATIC DEFECT RECOGNITION | 10 |
Karen Kaucic | US | Mclean | 2012-07-12 / 20120177567 - Methods of Treating Pediatric Acute Lymphoblastic Leukemia with an Anti-CD22 Immunotoxin | 1 |
Robert A. Kaucic | US | Niskayuna | 2016-05-05 / 20160126766 - STRING CURRENT LIMITED BATTERY CHARGING CONTROL | 2 |
Edward M. Kaucic | US | Newnan | 2010-07-15 / 20100175850 - Relief Vent for a Hot Fill Fluid Container | 3 |
Robert August Kaucic, Jr. | US | Niskayuna | 2008-12-04 / 20080298546 - CARGO CONTAINER INSPECTION METHOD | 1 |
Marketa Kaucka | CZ | Hradec Kralove | 2015-07-30 / 20150209354 - CASEIN KINASE 1 INHIBITORS FOR THE TREATMENT OF B-CELL CHRONIC LYMPHOCYTIC|LEUKEMIA | 1 |
Marketa Kaucka | CZ | Hradec Kralove 12 | 2012-05-31 / 20120135419 - Method of Determination of Diagnosis and Prognosis in Patients with B-cell Chronic Lymphocytic Leukemia and Oligonucleotides for Use in this Method | 1 |
Steven E. Kauder | US | San Francisco | 2011-12-15 / 20110305662 - METHODS OF TREATING IMMUNODEFICIENCY VIRUS INFECTION | 1 |
Stuart Kauder | US | New York | 2010-03-04 / 20100057556 - Apparatuses, Methods And Systems To Identify, Generate, And Aggregate Qualified Sales and Marketing Leads For Distribution Via an Online Competitive Bidding System | 1 |
Carolin Kaudewitz | DE | Rheda-Wiedenbrueck | 2016-03-03 / 20160066369 - COOKING APPLIANCE | 5 |
Reiner Kauer | DE | Gross-Gerau | 2011-01-20 / 20110011366 - INTERNAL COMBUSTION ENGINE COMPRISING AN INTEGRATED OIL DIPSTICK GUIDING ELEMENT | 1 |
Klaus Kauer | DE | Grunstadt | 2012-02-23 / 20120042663 - COOLING ELEMENT WITH SUB-COOLING PROTECTION | 2 |
Matthias Kauer | GB | Oxford | 2015-10-01 / 20150280025 - HIGHLY EFFICIENT PHOTOVOLTAIC ENERGY HARVESTING DEVICE | 6 |
Julie A. Kauer | US | Providence | 2011-07-07 / 20110166196 - TREATMENT AND PROPHYLAXIS OF EPILEPSY AND FEBRILE SEIZURES | 1 |
Gerald Kauer | AT | Ferlach | 2012-06-07 / 20120137524 - HAIR TRIMMER DEVICE WITH COMB UNIT | 2 |
Neil Kevin Kauer | US | Belmont | 2012-07-12 / 20120179911 - CRYPTOGRAPHIC KEY BACKUP AND ESCROW SYSTEM | 1 |
Armin I. Kauerauf | DE | Aachen | 2015-03-19 / 20150081265 - COMBINING DOWNHOLE FLUID ANALYSIS AND PETROLEUM SYSTEMS MODELING | 7 |
Thomas Kauerauf | BE | Leuven | 2014-07-03 / 20140187039 - Method for Tuning the Effective Work Function of a Gate Structure in a Semiconductor Device | 1 |
Bernd Kauerauf | DE | Heidelberg | 2016-03-03 / 20160063107 - DATA RETRIEVAL VIA A TELECOMMUNICATION NETWORK | 3 |
Kevin L. Kauers | US | Duluth | 2012-03-22 / 20120070872 - USE OF ERYTHROMYCIN AS A SELECTIVE ANTIMICROBIAL AGENT IN THE PRODUCTION OF ALCOHOLS | 2 |
Kevin L. Kauers | US | Norcross | 2010-06-10 / 20100143307 - Use of Penicillin G Procaine as a selective antimicrobial agent in the production of alcohol by fermentation | 1 |
Heiko Kauert | DE | Bernau | 2013-07-04 / 20130168939 - AXLE SUPPORT, IN PARTICULAR FRONT AXLE SUPPORT FOR MOTOR VEHICLES | 1 |
Heiko Kauert | DE | Ludwigsfelde | 2011-07-07 / 20110163513 - Longitudinal link for an auxiliary frame, particularly for motor vehicles | 2 |
Heiko Kauert | DE | Blankenfelde | 2013-02-07 / 20130033017 - FRONT-AXLE BRACKET FOR MOTOR VEHICLES | 6 |
Heiko Kauert | DE | Ludwlgsfelde | 2010-06-03 / 20100133800 - Auxiliary Frame, Particularly for Motor Vehicles | 1 |
Tim S. Kaufeldt | US | San Antonio | 2013-03-07 / 20130060863 - Method and System for Filtering Outgoing Email | 1 |
Amanda R. Kaufer | US | Rochester | 2015-12-03 / 20150346279 - MANAGING REDUNDANCY REPAIR USING BOUNDARY SCANS | 12 |
Amanda R. Kaufer | US | Rochester | 2015-12-03 / 20150346279 - MANAGING REDUNDANCY REPAIR USING BOUNDARY SCANS | 12 |
Benedikt B. Kaufer | DE | Berlin | 2012-02-09 / 20120034262 - Bovine Herpes Virus-1 Compositions, Vaccines and Methods | 1 |
Stephen Kaufer | US | Newton Center | 2013-01-24 / 20130024391 - SOCIAL TRAVEL RECOMMENDATIONS | 1 |
Daniela Kaufer | US | Oakland | 2012-03-08 / 20120058949 - Methods of Treating Neurological Disorders | 1 |
David Kaufer | US | Pittsburgh | 2015-11-19 / 20150332599 - Systems and Methods for Determining the Ecological Validity of An Assessment | 2 |
Matthew Leonard Kaufer | US | Holly | 2013-01-03 / 20130006441 - MESSAGE TRANSMISSION CONTROL SYSTEMS AND METHODS | 3 |
Amanda Renee Kaufer | US | Rochester | 2012-02-02 / 20120030533 - IMPLEMENTING SWITCHING FACTOR REDUCTION IN LBIST | 1 |
Martin Kauff | FR | Wolschheim | 2013-08-22 / 20130213284 - SINGLE SEEDER WITH A FOLDABLE CHASSIS AND AT LEAST ONE DISTRIBUTION HEAD ARRANGED AT THE REAR OF THE SEEDER | 1 |
Daniel A. Kauff | US | Arlington Heights | 2015-12-24 / 20150368168 - OPTIONS TO REDUCE FOULING IN MTO DOWNSTREAM RECOVERY | 6 |
Peter Kauff | DE | Berlin | 2014-09-18 / 20140270486 - HYBRID RECURSIVE ANALYSIS OF SPATIO-TEMPORAL OBJECTS | 7 |
Hal Kauffeldt | US | Plano | 2012-12-27 / 20120328296 - Optical Spectrum Recovery | 1 |
Goss S. Kauffman | US | Ledyard | 2015-08-20 / 20150232465 - BENZOPIPERAZINE COMPOSITIONS AS BET BROMODOMAIN INHIBITORS | 2 |
James W. Kauffman | US | Katy | 2015-06-04 / 20150151283 - Dehydrogenation Catalyst, Its Use and Method of Preparation | 8 |
Michael Kauffman | US | Campbell | 2012-06-07 / 20120141124 - INTERCONNECTION SYSTEM AND METHOD | 3 |
Donald Carl Kauffman | US | Laurel | 2016-05-19 / 20160140854 - METHODS AND APPARATUS FOR DETERMINING AND USING A LANDING SURFACE FRICTION CONDITION | 1 |
Carrie Ann Kauffman | US | San Antonio | 2014-09-18 / 20140276288 - SYSTEM AND METHOD UTILIZING VACUUM FOR PROMOTING THE HEALING OF SPRAINS | 4 |
Douglas R. Kauffman | US | Pittsburgh | 2011-06-02 / 20110127446 - NANOSTRUCTURE SYSTEMS AND METHODS FOR SENSING AN ANALYTE | 1 |
Chari Fisher Kauffman | US | Pearland | 2009-01-22 / 20090022767 - COMPOSITION, DEVICE AND METHOD FOR TRANSDERMAL DELIVERY OF INSECT REPELLENT | 1 |
James William Kauffman | US | Katy | 2014-09-18 / 20140274673 - METHOD FOR MANUFACTURE OF AN ALKANE DEHYDROGENATION CATALYST | 2 |
Jonathan D. Kauffman | US | Elkhart | 2016-04-07 / 20160096465 - Railing system, particularly for travel trailers | 1 |
Amanda Lynn Kauffman | US | Morrisville | 2014-03-13 / 20140073049 - INDUCED PLURIPOTENT STEM CELLS PREPARED FROM HUMAN KIDNEY-DERIVED CELLS | 2 |
Ralph Kauffman | US | Boise | 2008-10-30 / 20080268611 - Shallow trench isolation by atomic-level silicon reconstruction | 1 |
William J. Kauffman | US | Manheim | 2014-01-30 / 20140031570 - NATURAL BASED BRANCHED COMPOSITIONS | 2 |
Goss Stryker Kauffman | US | Ledyard | 2009-04-30 / 20090111805 - BICYCLIC HETEROAROMATIC DERIVATIVES USEFUL AS ANTICANCER AGENTS | 1 |
Alejandro Kauffman | US | San Francisco | 2013-09-19 / 20130246967 - Head-Tracked User Interaction with Graphical Interface | 1 |
James Kauffman | US | Katy | 2016-03-10 / 20160068465 - METHOD FOR MAKING METHYL METHACRYLATE FROM PROPIONALDEHYDE AND FORMALDEHYDE VIA OXIDATIVE ESTERIFICATION | 2 |
Vernon E. Kauffman | US | Friendswood | 2009-04-23 / 20090101359 - High Pressure Wireline Top-Entry Packoff Apparatus and Method | 1 |
Phillip Kauffman | US | Perris | 2015-03-05 / 20150059538 - SCREW GRABBER | 1 |
John Kauffman | US | Hazlet | 2015-10-01 / 20150273432 - SYSTEM AND METHOD FOR CARBON DIOXIDE CAPTURE AND SEQUESTRATION UTILIZING AN IMPROVED SUBSTRATE STRUCTURE | 4 |
John Kauffman | US | Canon City | 2009-06-04 / 20090139910 - Separation of metals from sand | 1 |
Brad Alan Kauffman | US | Tulalip | 2009-06-18 / 20090157235 - METHOD AND APPARATUS FOR MOVING A SWING TAIL CARGO DOOR ON AN AIRCRAFT | 2 |
Christopher Lee Kauffman | US | Lake Zurich | 2009-07-09 / 20090173883 - MULTI-BAND FOCAL PLANE ARRAY | 1 |
Ervin N. Kauffman | US | Loudonville | / - | 1 |
Michael Kauffman | US | Newton | 2015-01-15 / 20150018332 - Nuclear Transport Modulators and Uses Thereof | 3 |
Steven V. Kauffman | US | San Jose | 2015-12-03 / 20150347456 - SCALABLE AND USER FRIENDLY FILE VIRTUALIZATION FOR HIERARCHICAL STORAGE | 3 |
Ralph E. Kauffman | US | San Jose | 2013-10-31 / 20130285240 - SENSOR ARRAY PACKAGE | 1 |
Rick W. Kauffman | US | Buford | 2009-07-30 / 20090190365 - SWIVEL JOINT FOR LIGHTING FIXTURE | 2 |
Sally Kauffman | US | Washington | 2014-10-09 / 20140304197 - INCREMENTAL MACHINE LEARNING FOR DATA LOSS PREVENTION | 3 |
Kipling J. Kauffman | US | Zeeland | 2016-04-28 / 20160113456 - ANTI-BACTERIAL TREATMENT DEVICE AND METHOD FOR A FLOOR CLEANING DEVICE | 2 |
Justin Kauffman | US | Carlsbad | 2009-11-05 / 20090276861 - System and method for effectively performing data restore/migration procedures | 1 |
William W. Kauffman | US | Doylestown | 2009-11-12 / 20090276979 - Tool saver hand tool systematic tethering safety device | 1 |
Peter C. Kauffman | US | Bainbridge Island | 2015-07-23 / 20150203806 - SYSTEMS FOR DISRUPTING BIOLOGICAL SAMPLES AND ASSOCIATED DEVICES AND METHODS | 4 |
Phillip Kauffman | US | Encinitas | 2014-08-28 / 20140237740 - DEVICE AND SYSTEM FOR CLEANING A SURFACE IN A MARINE ENVIRONMENT | 1 |
Timothy W. Kauffman | US | Frankenmuth | 2014-02-13 / 20140046542 - SYSTEM FOR PROVIDING STEERING ASSIST TORQUE BASED ON A LATERAL POSITION COMMAND | 1 |
Eric Kauffman | US | Atlanta | 2015-02-26 / 20150058090 - Systems and Methods for Generating Solution Recommendations for Power Plant Operation | 1 |
Robert S. Kauffman | US | Chestnut Hill | 2013-04-25 / 20130101554 - THERAPEUTIC REGIMEN COMPRISING PEG-INTERFERON, RIBAVIRIN AND VX-950 FOR THE TREATMENT OF HEPATITIS | 5 |
Keith Kauffman | US | Wichita | 2010-06-03 / 20100138626 - Use of reservation concepts in managing maintenance actions in a storage control system | 1 |
Peter C. Kauffman | US | Seattle | 2015-12-17 / 20150361487 - SEQUENTIAL DELIVERY OF FLUID VOLUMES AND ASSOCIATED DEVICES, SYSTEMS AND METHODS | 1 |
Matthew Kauffman | US | Santa Barbara | 2014-12-04 / 20140358678 - SYSTEM AND METHOD FOR CLICK FRAUD PROTECTION | 1 |
Don Kauffman | US | Lancaster | 2015-04-23 / 20150108686 - CLOTH-LIKE SYNTHETIC TEXTILES | 1 |
George M. Kauffman | US | Hudson | 2015-03-19 / 20150077889 - PROTECTIVE DEVICE | 6 |
Richard Kauffman | US | Asheville | 2011-02-17 / 20110037261 - System And Method For Producing Electrical Power | 1 |
Justin Kauffman | US | York | 2010-12-30 / 20100326108 - VAPOR COMPRESSION SYSTEM | 5 |
Stuart Kauffman | US | Santa Fe | 2015-01-22 / 20150024964 - USES OF SYSTEMS WITH DEGREES OF FREEDOM POISED BETWEEN FULLY QUANTUM AND FULLY CLASSICAL STATES | 3 |
Robert Kauffman | US | Centerville | 2009-04-02 / 20090084171 - DEVICE AND METHOD FOR MONITORING THE QUALITY OF AN OIL CHANGE AND CONDITION OF ENGINE OIL FROM AN OIL CHANGE | 1 |
Peter Carr Kauffman | US | Bainbridge Island | 2010-05-13 / 20100119879 - METHODS AND APPARATUS FOR STIMULATING AND MANAGING POWER FROM MICROBIAL FUEL CELLS | 1 |
Eric J. Kauffman | US | Marietta | 2015-03-19 / 20150081124 - System And Method To Minimize Grid Spinning Reserve Losses By Pre-Emptively Sequencing Power Generation Equipment To Offset Solar Generation Capacity Based On Geospatial Regional Solar And Cloud Conditions | 7 |
Justin P. Kauffman | US | York | 2016-01-07 / 20160003561 - A MODULAR LIQUID BASED HEATING AND COOLING SYSTEM | 4 |
Rick Kauffman | US | Buford | 2014-07-24 / 20140204577 - LIGHT UNIT WITH LIGHT OUTPUT PATTERN SYNTHESIZED FROM MULTIPLE LIGHT SOURCES | 6 |
Keith L. Kauffman | US | Ann Arbor | 2011-05-26 / 20110121628 - VEHICULAR SEAT BACK ASSEMBLY | 1 |
Morgan Draper Kauffman | US | Houston | 2015-12-03 / 20150345884 - REMOTELY AUTHORIZING AND DISABLING WEAPONS | 1 |
Josef Alexander Kauffman | US | Kirkland | 2015-09-10 / 20150251082 - MEDIEVAL CHESS | 1 |
Justin P. Kauffman | US | Mount Wolf | 2012-08-02 / 20120192563 - Heat Recovery System Series Arrangements | 2 |
Robert Kauffman | US | Reno | 2014-03-27 / 20140083255 - UNIVERSAL SELF-ADJUSTING, OPEN-ENDED POWERED WRENCH | 1 |
Sean Kauffman | US | Seattle | 2014-12-18 / 20140372256 - CONTEXT DEPENDENT DATA MANAGEMENT AND DISPLAY | 1 |
William Garrett Kauffman | US | Pearland | 2009-01-22 / 20090022767 - COMPOSITION, DEVICE AND METHOD FOR TRANSDERMAL DELIVERY OF INSECT REPELLENT | 1 |
Josef Kauffman | US | 2015-09-10 / 20150251082 - MEDIEVAL CHESS | 1 | |
Gregory Wayne Kauffman | US | East Greenwich | 2016-01-28 / 20160024088 - Novel Pyrido[1,2-a]Pryazines And Their Use in the Treatment of Neurodegenerative and Neurological Disorders | 4 |
Joanne Alice Kauffman | US | Laurel | 2012-03-08 / 20120055377 - Bedpost Shelf for Dormitory Room Bed | 1 |
Ervin N. Kauffman | US | Londonville | 2012-10-04 / 20120247048 - REFLECTIVE DRYWALL PANEL TO REDUCE RADIANT HEAT TRANSFER | 1 |
David S. Kauffman | CA | Vancouver | 2009-10-01 / 20090249243 - METHOD FOR CONTROLLING INFORMATION DISPLAY | 3 |
Robert E. Kauffman | US | Centerville | 2015-07-30 / 20150215684 - SENSOR COMMUNICATION SYSTEM FOR METAL ENCLOSURES | 5 |
Jennifer S. Kauffman | US | Friendswood | 2011-01-13 / 20110009021 - COLORFASTNESS AND FINISHING COMPOUNDS | 2 |
Justin Kauffman | US | Carlsbed | 2012-08-02 / 20120195432 - System And Method For Effectively Performing Data Restore/Migration Procedures | 1 |
Mark Kauffman | US | Indianapolis | 2013-12-12 / 20130327589 - ISOLATION AND BARRIER TYPE SOUND TREATMENT DEVICES | 1 |
Kathleen M. Kauffman | US | Olney | 2013-09-26 / 20130254831 - METHOD AND APPARATUS FOR CONTEXT AWARE MOBILE SECURITY | 1 |
Kevin S. Kauffman | US | San Francisco | 2015-10-22 / 20150302365 - Method and System for ACH Debit Delayed Availability | 2 |
Kristi Kauffman | US | Pittsburgh | 2014-09-18 / 20140275348 - METHOD OF RECOVERING INORGANIC PIGMENT | 1 |
Steven James Kauffman | US | Fowlerville | 2014-04-03 / 20140094547 - REINFORCED HDPE COMPOUNDS WITH IMPROVED MECHANICAL PROPERTIES AND METHODS OF MAKING SAME | 1 |
Elizabeth Kay Kauffman | US | Chesterfield | 2014-07-31 / 20140210165 - Surface Sealing System | 1 |
Robert Edward Kauffman | US | Centerville | 2015-02-05 / 20150035893 - MEDIA-TRACKING SYSTEM USING MARKING LASER | 4 |
Benjamin Amos Kauffman | US | Elkhart | 2014-06-05 / 20140152035 - DROPPED FLOOR PUBLIC INTERFACE TRANSACTION AREA FOR RETAIL VEHICLES | 1 |
Thomas F. Kauffman | US | Woodbury | 2016-05-12 / 20160130480 - HOT MELT ADHESIVE COMPOSITIONS THAT INCLUDE SEMI-CRYSTALLINE PROPYLENE POLYMER AND WAX AND ARTICLES INCLUDING THE SAME | 1 |
Keith L. Kauffman | US | Ypsilanti | 2015-10-08 / 20150287856 - REINFORCEMENT PV LAMINATE | 1 |
Jeffrey Kauffman | US | Brier | 2015-10-01 / 20150281281 - IDENTIFICATION OF UNAUTHORIZED APPLICATION DATA IN A CORPORATE NETWORK | 1 |
James W. Kauffman | US | Katy | 2015-06-04 / 20150151283 - Dehydrogenation Catalyst, Its Use and Method of Preparation | 8 |
Hillis Kauffman | US | Battle Creek | 2011-06-09 / 20110135794 - PROCESS FOR PRODUCING PRECISELY SHAPED GRAIN BASED PRODUCTS | 1 |
Kerri Kauffman | US | San Diego | 2016-02-11 / 20160038800 - GOLF GRIP WITH RAKED GRIPPING FEATURES | 3 |
Jonathan Adam Kauffman | US | Seattle | 2016-05-05 / 20160125346 - IDENTIFYING THE EFFECTIVENESS OF A MEETING FROM A MEETINGS GRAPH | 3 |
Donald W. Kauffman | US | Millmont | 2015-05-28 / 20150145391 - PORTABLE COMPUTER SERVER ENCLOSURE | 2 |
Brad A. Kauffman | US | Tulalip | 2013-12-26 / 20130340954 - METHOD AND APPARATUS FOR ESTABLISHING AN ENVIRONMENTALLY ISOLATED VOLUME | 1 |
David Kauffman | US | Bala Cynwyd | 2013-04-25 / 20130099071 - Pallet support system | 1 |
Donald C. Kauffman | US | Laurel | 2014-10-09 / 20140304801 - USE OF DATA LINKS FOR AERONAUTICAL PURPOSES WITHOUT COMPROMISING SAFETY AND SECURITY | 12 |
Keith L. Kauffmann | US | Ypsilanti | 2015-09-17 / 20150263665 - PHOTOVOLTAIC DEVICE | 8 |
Alejandro Kauffmann | US | San Francisco | 2015-07-09 / 20150193098 - Yes or No User-Interface | 6 |
Felix Kauffmann | DE | Stuttgart | 2015-03-05 / 20150066236 - Method For Optimizing a Power Requirement of a Motor Vehicle | 2 |
Alejandro Kauffmann | US | Mountain View | 2015-12-31 / 20150379349 - Staredown to Produce Changes in Information Density and Type | 1 |
Michael Kauffmann | US | Drexel Hill | 2010-06-10 / 20100145290 - MEDICAL SUCTION CONTROL WITH ISOLATION CHARACTERISTICS | 1 |
Yaron Kauffmann | IL | Haifa | 2009-12-17 / 20090311483 - Articles with Two Crystalline Materials and Method of Making Same | 1 |
Dominique Kauffmann | FR | Illzach | 2010-03-11 / 20100058545 - CATIONIC DYES | 8 |
Keith Kauffmann | US | Ypsilanti | 2015-04-16 / 20150101649 - HIGH UTILIZATION PHOTO-VOLTAIC DEVICE | 2 |
Alejandro Jose Kauffmann | US | San Francisco | 2016-02-18 / 20160048214 - USING DISTANCE BETWEEN OBJECTS IN TOUCHLESS GESTURAL INTERFACES | 15 |
Martina Kauffmann | DE | Karlsruhe | 2009-04-09 / 20090092132 - Method and device for translating internet protocol addresses inside a communications network | 1 |
Keith L. Kauffmann | US | Ypsilanti | 2015-09-17 / 20150263665 - PHOTOVOLTAIC DEVICE | 8 |
Albrecht Kauffmann | DE | Weinstadt | 2010-10-07 / 20100252045 - ADAPTER FOR CONNECTING PARTICULARLY A RESPIRATORY IMPLEMENT TO A TUBE | 1 |
Myriam Kauffmann | FR | Ivry Sur Seine | 2015-07-30 / 20150209255 - COMBINATION OF A NUCLEOPHILIC AGENT AND OF A NITROGEN-COMPRISING OR PHOSPHORUS-COMPRISING AGENT WITH A PKA OF GREATER THAN 11, FOR GLYCATED SKIN | 2 |
Claude Kauffmann | CA | Montreal | 2010-12-09 / 20100309198 - METHOD FOR TRACKING 3D ANATOMICAL AND PATHOLOGICAL CHANGES IN TUBULAR-SHAPED ANATOMICAL STRUCTURES | 1 |
Alejandro Jose Kauffmann | US | San Francisco | 2016-02-18 / 20160048214 - USING DISTANCE BETWEEN OBJECTS IN TOUCHLESS GESTURAL INTERFACES | 15 |
Caroline Kauffmann | DE | Roemerberg | / - | 1 |
Alan Kauffmann | US | Seattle | 2013-05-09 / 20130113425 - Pop Up Electrical Apparatus | 1 |
Bruce Kauffmann | US | Santa Clara | 2016-05-19 / 20160139656 - METHOD AND CIRCUIT FOR REDUCING CURRENT SURGE | 2 |
Iris Kauffmann-Hefner | DE | Attenweiler | 2013-09-12 / 20130237522 - CARBOXYLIC ACID AMIDES, THE PREPARATION THEREOF AND THEIR USE AS MEDICAMENTS | 10 |
Thomas Allen Kauffung | US | West Chester | 2011-06-30 / 20110159175 - METHODS FOR INHIBITING CORROSION OF HIGH STRENGTH STEEL TURBINE COMPONENTS | 1 |
Clark Kaufhardt | US | Danville | 2014-07-10 / 20140193767 - TACTILE OBJECTS FOR ORTHODONTICS, SYSTEMS AND METHODS | 2 |
Tobias Kaufhold | DE | Jena | 2015-09-10 / 20150253556 - Confocal Incident-Light Scanning Microsope For Multipoint Scanning | 4 |
John P. Kaufhold | US | Arlington | 2013-04-11 / 20130090857 - Tensor-Based Method For Representation, Analysis, and Reconstruction of Seismic Data | 1 |
Wolfgang Kaufhold | DE | Koln | 2015-10-08 / 20150284501 - PRODUCTION AND USE OF NEW THERMOPLASTIC POLYURETHANE ELASTOMERS BASED ON POLYETHER CARBONATE POLYOLS | 7 |
Oliver Kaufhold | DE | Darmstadt | 2015-09-10 / 20150255720 - Compounds for Electronic Devices | 2 |
Steffen Kaufhold | DE | Kahl Am Main | 2015-07-09 / 20150191217 - MAST FOR SAILING VESSELS | 1 |
Frank Gerwin Kaufhold | GB | Suffolk | 2014-12-04 / 20140352458 - METHOD AND DEVICE FOR MONITORING INTEGRITY OF WOODEN POSTS | 5 |
John Patrick Kaufhold | US | Arlington | 2011-12-01 / 20110293200 - Quantitative image reconstruction method and system | 2 |
Astrid Kaufhold | DE | Holzminden | 2012-04-26 / 20120101020 - ETHANOL-FREE PERFUME OIL MICROEMULSION | 1 |
Wemer Kaufhold | DE | Eckental | 2010-03-18 / 20100065538 - Method and Device for the Fracture Separation of Workpieces | 1 |
Rainer Kaufhold | DE | Darmstadt | 2008-10-23 / 20080262709 - Pre-Tactical Control Facility | 1 |
Lori Kaufinann | IL | Ra'Ananna | 2008-09-04 / 20080212312 - Lice Extermination System and Method | 1 |
Herbert E. Kaufman | US | Sarasota | 2015-11-12 / 20150320744 - Preparations and Methods for Ameliorating or Reducing Presbyopia | 4 |
Ralf Kaufman | DE | Lorrach | 2013-02-21 / 20130046298 - STEERABLE CATHETERS AND METHODS FOR MAKING THEM | 1 |
Howard Kaufman | US | Newton | 2009-04-02 / 20090087074 - METHODS AND SYSTEMS FOR PROCESSING BIOLOGICAL SPECIMENS UTILIZING MULTIPLE WAVELENGTHS | 2 |
Michael Philip Kaufman | US | New York | 2015-01-15 / 20150019593 - SYSTEMS AND METHODS FOR AUTOMATICALLY GENERATING USER INTERFACE ELEMENTS FOR COMPLEX DATABASES | 3 |
Matthew Kaufman | US | Bonny Doon | 2015-05-21 / 20150142927 - IMPARTING REAL-TIME PRIORITY-BASED NETWORK COMMUNICATIONS IN AN ENCRYPTED COMMUNICATION SESSION | 17 |
Aaron Kaufman | US | Windermere | 2014-09-04 / 20140249878 - APPOINTMENT SCHEDULING | 1 |
Douglas Francis Kaufman | US | Whitehall | 2012-04-19 / 20120094766 - ASYNCHRONOUS INTERACTIVE GAME PLAY | 4 |
Daniel Kaufman | US | Quakertown | 2011-05-19 / 20110119584 - SYSTEMS AND METHODS FOR INTERACTIVELY DISPLAYING USER IMAGES | 2 |
John Mayer Kaufman | US | Centennial | 2013-08-01 / 20130192466 - PROCESS AND APPARATUS FOR REMOVING METHANE OR ANOTHER FLUID FROM A FLUID MIXTURE | 2 |
Allison Kaufman | US | Miami | 2012-07-05 / 20120171930 - Bra Having Therapeutically Positioned Pockets as well as Hot and or Cold Inserts | 1 |
Kimberly Kaufman | US | Madison | 2015-01-15 / 20150018236 - HIGH THROUGHPUT SCREEN FOR BIOLOGICALLY ACTIVE POLYPEPTIDES | 1 |
Thomas W. Kaufman | US | Panama City | 2009-03-05 / 20090056224 - Method for gasifying hydrocarbon materials for the production of hydrogen | 1 |
Amit Kaufman | IL | Borgata | 2012-12-06 / 20120304899 - Table System for Serving and Displaying Food and Beverages | 1 |
Richard Dana Kaufman | US | North Adover | 2010-05-20 / 20100123552 - Tow notification system | 1 |
David Kaufman | US | Beachwood | 2014-12-25 / 20140377026 - METHOD AND APPARATUS DOR HOLDING KEYS DURING THE CUTTING PROCESS | 2 |
Harold R. Kaufman | US | Laporte | 2015-03-26 / 20150084496 - End-hall ion source with enhanced radiation cooling | 3 |
Jay Stephen Kaufman | US | Kingston | 2016-04-14 / 20160102612 - Prime Mover with Recovered Energy Driven Compression of the Working Fluid | 11 |
Ben Kaufman | US | New York | 2015-09-24 / 20150271900 - CONTROL APPARATUS AND RELATED METHODS | 3 |
Richard E. Kaufman | US | Los Gatos | 2015-03-19 / 20150081017 - SELF-EXPANDING DEVICES AND METHODS THEREFOR | 9 |
Carsten Kaufman | DE | Mulheim A. D. Ruhr | 2010-12-30 / 20100326110 - Cooling circuit for removing waste heat from an electromechanical converter and power generating plant with a cooling circuit of this type | 1 |
David R. Kaufman | US | Scottsdale | 2010-03-11 / 20100061703 - PERSONNEL FIELD DEVICE FOR PROCESS CONTROL AND OTHER SYSTEMS AND RELATED METHOD | 2 |
Stacy R. Kaufman | US | Weston | 2010-11-25 / 20100295916 - Method of Forming Double Side Extended Tab Label With Verification of Prescription Information | 1 |
Roy Kaufman | US | New York | 2013-12-12 / 20130332242 - SYSTEM AND METHOD FOR FACILITATING THE ADVANCEMENT OF A RESEARCH ARTICLE FROM CONCEPTION TO POST-PUBLICATION | 1 |
Judd C. Kaufman | US | Chicago | 2014-07-31 / 20140212617 - ALL VEHICLE MATS | 5 |
Benjamin Kaufman | US | Hopewell Junction | 2008-09-25 / 20080233656 - Method For Detecting a Fuel Additive Component | 1 |
Stacy R. Kaufman | US | Sunrise | 2008-12-11 / 20080303265 - LABEL SHEET FOR EXTENDED TAB LABEL | 3 |
Steve L. Kaufman | US | Fremont | 2009-04-30 / 20090111368 - MEAT PROCESSING SYSTEM | 1 |
Jonathan Howard Kaufman | US | Pittsburgh | 2011-11-10 / 20110274745 - INSTILLATION OF LIPOSOMAL FORMULATION OF SIRNA AND ANTISENSE OLIGONUCLEOTIDES | 1 |
Dixon Kaufman | US | Chicago | 2009-09-24 / 20090238879 - DELIVERY SCAFFOLDS AND RELATED METHODS OF USE | 1 |
Elizabeth Ravreby Kaufman | US | Houston | 2013-04-04 / 20130084366 - ARTIFICIAL SHELL FOR COOKING | 2 |
Scott Kaufman | US | Marina Del Rey | 2011-09-08 / 20110218850 - SCIENTIFIC TARGETING FOR ADVERTISEMENT AND CONTENT SELECTION, DISTRIBUTION, AND CREATION | 1 |
Dan S. Kaufman | US | Minneapolis | 2013-10-31 / 20130287751 - METHOD FOR DEVELOPING NATURAL KILLER CELLS FROM STEM CELLS | 1 |
Howard Kaufman | US | Chicago | 2016-01-07 / 20160002667 - PSEUDOMONAS EXOTOXINS FOR CANCER TREATMENT | 1 |
Kristopher Kaufman | US | Gilbert | 2013-12-12 / 20130331040 - System and Method for Correcting Integral Nonlinearity in an Oscillator System | 4 |
Lon Kaufman | US | Highland Park | 2013-05-23 / 20130133108 - EXTRACTS FROM PIRIN+ AND PIRIN- PLANTS AND USES THEREOF | 2 |
Davis R. Kaufman | US | Scottsdale | 2012-08-23 / 20120210733 - COORDINATED CONTROL OF ELECTRIC VEHICLE CHARGING AND HVAC | 1 |
Rosalia Kaufman | IL | Rehovot | 2014-10-02 / 20140296107 - METHOD OF IDENTIFYING AGENTS THAT AFFECT MATURATION, SURVIVAL AND MYELINATION | 1 |
Gabriel Nathan Kaufman | CA | Montreal | 2012-08-09 / 20120202744 - DUAL ANTAGONISM OF ENDOTHELIN TYPE A AND BRADYKININ B1 RECEPTORS FOR TREATING PAIN AND PREVENTING CARTILAGE DEGRADATION | 1 |
James H. Kaufman | US | San Jose | 2014-12-11 / 20140365270 - PROACTIVE SIMULATION AND DETECTION OF OUTBREAKS BASED ON PRODUCT DATA | 7 |
Harrison Richard Kaufman | US | Eureka | 2016-05-19 / 20160136698 - SHAKABLE CLEANING BAG | 1 |
Jason Kaufman | US | New York | 2010-09-23 / 20100237114 - DEVICE SUPPORT | 1 |
Christopher Alan Kaufman | US | Fort Wayne | 2010-01-28 / 20100021094 - HIGH-TEMPERATURE BEARING ASSEMBLIES AND METHODS OF MAKING THE SAME | 1 |
Marc Kaufman | US | Woodside | 2015-07-30 / 20150213568 - LOCATION AWARE SELECTION OF ELECTRONIC SIGNATURES | 1 |
Jonathan J. Kaufman | US | Brooklyn | 2015-10-15 / 20150295886 - SYSTEM FOR IDENTIFYING CURRENT INTERNET PROTOCOL ADDRESS FOR A REMOTE COMPUTING DEVICE | 8 |
Matthew Kaufman | US | Redwood City | 2008-11-27 / 20080294552 - Centralized Affiliated Marketplace Networks and Associated Methods | 3 |
Lance Kaufman | US | Scottsdale | 2012-12-27 / 20120330844 - MULTI FUNCTIONAL DUPLEX ENCRYPTED PROCUREMENT AND PAYMENT SYSTEM AND METHOD | 3 |
Kevin Kaufman | US | Fort Worth | 2014-05-15 / 20140135932 - TRANSFORAMINAL INTERSOMATIC CAGE FOR AN INTERVERTEBRAL FUSION GRAFT AND AN INSTRUMENT FOR IMPLANTING THE CAGE | 3 |
Peter J. Kaufman | US | Sammamish | 2016-03-31 / 20160094386 - MULTI-ENROLLMENTS OF A COMPUTING DEVICE INTO CONFIGURATION SOURCES | 3 |
Jeffrey Lewis Kaufman | US | Menlo Park | 2013-06-13 / 20130151398 - PORTFOLIO RISK MANAGER | 1 |
Christopher Luke Kaufman | US | Someville | 2012-05-17 / 20120123224 - Real-Time Evaluation of CPR Performance | 1 |
Joshua J. Kaufman | US | Oviedo | 2016-03-03 / 20160060166 - In-Fiber Particle Generation | 2 |
Phillip Kaufman | US | Bedford | 2008-11-20 / 20080283386 - Methods of removing aerosols from the atmosphere | 1 |
Nikki Kaufman | US | New York | 2014-10-23 / 20140310909 - WASTE RECEPTACLE | 2 |
Jason Scott Kaufman | US | New York City | 2015-11-12 / 20150324832 - System And Process For Controlling A Computerized Entertainment Property Playlist. | 1 |
Lev S. Kaufman | US | Highland Park | 2009-01-15 / 20090019345 - Compression of Stream Data Using a Hierarchically-Indexed Database | 1 |
Kathleen Mary Kaufman | US | Cincinnati | 2015-12-17 / 20150359728 - Method of Treating Hair with a Concentrated Conditioner | 17 |
Lenore Kaufman | US | Manalapan | 2012-07-12 / 20120174719 - LONG ARM HOLE PUNCH SYSTEM | 1 |
Kathleen Mary Kaufman | US | Cincinnati | 2015-12-17 / 20150359728 - Method of Treating Hair with a Concentrated Conditioner | 17 |
Donald L. Kaufman | US | Kirkland | 2016-04-14 / 20160105563 - FOLLOWUP OF CUSTOMER SERVICE AGENTS | 8 |
Eric Kaufman | US | Farmington | 2012-07-12 / 20120177774 - Ejector retraction mechanism | 1 |
Kathy Mary Kaufman | US | Cincinnati | 2010-07-01 / 20100167971 - POROUS, DISSOLVABLE SOLID SUBSTRATE AND SURFACE RESIDENT INORGANIC PARTICULATE PERFUME COMPLEXES | 1 |
Charles W. Kaufman | US | Sammamish | 2010-07-15 / 20100180126 - SECURE REMOTE PASSWORD VALIDATION | 6 |
Herbert Edward Kaufman | US | New Orleans | 2009-09-03 / 20090220573 - Method, Device, and System for Delivery of Therapeutic Agents to the Eye | 1 |
Kris K. Kaufman | US | Gilbert | 2014-12-25 / 20140378073 - SYSTEM AND METHOD FOR CORRECTING INTEGRAL NONLINEARITY IN AN OSCILLATOR SYSTEM | 1 |
Lawrence Kaufman | US | Waltham | 2010-11-18 / 20100288352 - INTEGRATED SOLAR CELL NANOARRAY LAYERS AND LIGHT CONCENTRATING DEVICE | 1 |
Sondra Kaufman | US | Ramsey | 2013-10-17 / 20130271283 - SYSTEMS AND METHODS FOR REMOTE PATIENT MONITORING | 4 |
Daniel Kaufman | US | Santa Monica | / - | 1 |
Glenn R. Kaufman | US | Kenneth City | 2011-08-11 / 20110197270 - Biometric Pressure Grip | 1 |
Richard A. Kaufman | US | Manville | 2013-03-21 / 20130071871 - ENZYMATIC DETERMINATION OF LITHIUM IONS USING PHOSPHOGLUCOMUTASE | 1 |
Howard B. Kaufman | US | Newton | 2016-03-17 / 20160076980 - Method of Partial Lysis and Assay | 8 |
Richard E. Kaufman | US | Los Gatos | 2015-03-19 / 20150081017 - SELF-EXPANDING DEVICES AND METHODS THEREFOR | 9 |
Steven P. Kaufman | US | Hooksett | 2015-03-26 / 20150085108 - LASERGRAMMETRY SYSTEM AND METHODS | 4 |
Jason Michael Kaufman | US | Renton | 2013-05-30 / 20130138648 - SYSTEM AND METHOD FOR MANAGING REVIEW STANDARDS IN DIGITAL DOCUMENTS | 1 |
Dana Scott Kaufman | US | Redmond | 2015-11-26 / 20150339477 - RISK ASSESSMENT MODELING | 1 |
Larry Kaufman | US | Brookline | 2011-07-07 / 20110165348 - Compositions of Corrosion-resistant Fe-Based Amorphous Metals Suitable for Producing Thermal Spray Coatings | 5 |
Douglas Francis Kaufman | US | Kensington | 2014-07-03 / 20140187328 - ASYNCHRONOUS INTERACTIVE GAME PLAY | 1 |
Justin Kaufman | US | San Francisco | 2014-07-31 / 20140215398 - INTERFACE SCANNING FOR DISABLED USERS | 1 |
Peter Kaufman | US | Marquette | 2012-12-06 / 20120306614 - SINGLE ELEMENT KEYLESS CONTROL SYSTEM | 1 |
George K. Kaufman | US | Somerville | 2009-09-24 / 20090240040 - PURIFICATION OF A BIVALENTLY ACTIVE ANTIBODY USING A NON-CHROMATOGRAPHIC METHOD | 1 |
Marc J. Kaufman | US | Cambridge | 2015-10-29 / 20150306136 - XENON AND/OR ARGON TREATMENT AS AN ADJUNCT TO PSYCHOTHERAPY FOR PSYCHIATRIC DISORDERS | 1 |
Bradley Kaufman | US | The Woodlands | 2015-10-08 / 20150284623 - BORON-CONTAINING OIL WELL FRACTURING FLUID | 1 |
David H. Kaufman | US | Lexington | 2012-08-09 / 20120203861 - METHODS AND SYSTEMS FOR DELIVERING CONTENT TO DIFFERENTIATED CLIENT DEVICES | 1 |
Mark A. Kaufman | US | Newton | 2014-02-20 / 20140052689 - APPLYING AN ACTION ON A DATA ITEM ACCORDING TO A CLASSIFICATION AND A DATA MANAGEMENT POLICY | 2 |
Daniel Kaufman | US | New York | 2012-03-15 / 20120066603 - SYSTEMS AND METHODS FOR INTERACTIVELY DISPLAYING USER IMAGES | 1 |
Aviad Kaufman | IL | Zikhron-Yaakov | 2016-03-17 / 20160077489 - HOLOGRAPHIC IMAGE DISPLAY SYSTEM | 4 |
Christopher Luke Kaufman | US | Somverville | 2016-04-21 / 20160106362 - Real-Time Evaluation of CPR Performance | 1 |
David Kaufman | US | Gainesville | 2014-07-24 / 20140205276 - VIDEO CAMERA MOTION STABILIZING DEVICE | 1 |
Charles William Kaufman | US | Sammamish | 2013-10-24 / 20130283342 - Transformation of Sequential Access Control Lists Utilizing Certificates | 8 |
Peter N. Kaufman | US | Fresh Meadows | 2016-02-18 / 20160047693 - PASSIVE DETECTORS FOR IMAGING SYSTEMS | 4 |
Hitto Kaufman | DE | Ulm | 2015-05-21 / 20150140591 - CELL ENGINEERING USING RNAs | 1 |
Charles William Kaufman | US | Redmond | 2013-11-28 / 20130318571 - MANAGING DISTRIBUTED OPERATING SYSTEM PHYSICAL RESOURCES | 1 |
Josh Kaufman | US | Austin | 2014-11-06 / 20140330313 - SPINAL PLATE AND LOCKING SCREW DEVICES, METHODS, AND SYSTEMS | 2 |
Charles L. Kaufman | US | Appleton | 2016-05-12 / 20160129521 - POWER SOURCE FOR REDUCING ELECTROMAGNETIC INTERFERENCE AND POWER CONSUMPTION | 3 |
Kristopher K. Kaufman | US | Gilbert | 2013-02-07 / 20130033335 - SYSTEM AND METHOD FOR TUNING A SEMI-DIGITAL FINITE IMPULSE RESPONSE (sFIR) FILTER | 1 |
Garrett Y. Kaufman | US | Carpinteria | 2012-12-06 / 20120306614 - SINGLE ELEMENT KEYLESS CONTROL SYSTEM | 1 |
Marc T. Kaufman | US | Woodside | 2016-05-05 / 20160127131 - Distributed Validation of Digitally Signed Electronic Documents | 5 |
Stephen J. Kaufman | US | Urbana | 2012-01-05 / 20120003191 - VALPROIC ACID, DERIVATIVES, ANALOGUES, AND COMPOSITIONS INCLUDING SAME AND METHODS FOR THEIR THERAPEUTIC USE | 1 |
Harrison R. Kaufman | US | Los Angeles | 2012-11-22 / 20120291817 - SHAKABLE CLEANING BAG | 1 |
Matthew Kaufman | US | Santa Cruz | 2012-11-01 / 20120278493 - System and/or Method for Client-Driven Server Load Distribution | 1 |
Christopher L. Kaufman | US | Chelmsford | 2015-09-24 / 20150265497 - CPR CHEST COMPRESSION SYSTEM WITH TONOMETRIC INPUT AND FEEDBACK | 1 |
Peter Kaufman | US | Woodbridge | 2011-11-24 / 20110287131 - HEAT MOISTURE TREATED CARBOHYDRATES AND USES THEREOF | 1 |
James Kaufman | US | Phoenix | 2014-09-18 / 20140272882 - DETECTING ABERRANT BEHAVIOR IN AN EXAM-TAKING ENVIRONMENT | 1 |
Jonathan William Kaufman | US | Leonardtown | 2016-02-04 / 20160033177 - Air Conditioning System | 3 |
Joshua Kaufman | US | Oviedo | 2016-05-19 / 20160139305 - STRUCTURED GRANULAR COMPOSITE MATERIALS, METHODS OF FABRICATION THEREOF AND APPLICATIONS THEREOF | 1 |
David E. Kaufman | US | Sharon | 2012-12-20 / 20120324159 - METHOD AND APPARATUS FOR IMPLEMENTING HIGH-PERFORMANCE, SCALEABLE DATA PROCESSING AND STORAGE SYSTEMS | 1 |
Benjamin D. Kaufman | US | Fairport | 2014-04-24 / 20140113169 - SUPPORT FEATURE FOR JOINING OF BATTERY CELL TABS | 3 |
Stanley L. Kaufman | US | Shoreview | 2012-09-27 / 20120241601 - METHODS AND APPARATUS FOR DETECTING AIRBORNE MOLECULAR CONTAMINANTS | 1 |
Phillip Kaufman | US | Brooklyn | 2013-10-24 / 20130277365 - VERTICALLY EXPANDABLE RECEPTACLE | 1 |
Charles L. Kaufman | US | Neenah | 2008-10-23 / 20080257874 - SYNCHRONIZED MULTIPLE DRIVE WIRE FEED WELDING SYSTEM AND METHOD | 1 |
Glenn A. Kaufman | US | Kenosha | 2009-02-19 / 20090044667 - Swivel adaptor | 1 |
Benjamin J. Kaufman | US | Hopewell Junction | 2011-12-01 / 20110290207 - SYSTEM FOR ENHANCING THE FUEL ECONOMY OF A DIESEL ENGINE | 2 |
Charles Lyle Kaufman | US | Neenah | 2009-09-03 / 20090222804 - EMBEDDED FIRMWARE UPDATING SYSTEM AND METHOD | 1 |
James Kaufman | US | Milwaukee | 2010-03-18 / 20100067651 - METHODS AND APPARATUS FOR X-RAY IMAGING WITH FOCAL SPOT DEFLECTION | 1 |
Andrew P. Kaufman | US | Milwaukee | 2015-04-16 / 20150103453 - ELECTROSTATIC DISCHARGE PROTECTION FOR MODULAR EQUIPMENT | 4 |
Michael Kaufman | US | Morton Grove | 2012-11-22 / 20120292427 - COMBINATION STRAPPING PACKAGE AND DISPENSER | 1 |
Joel D. Kaufman | US | Rindge | 2011-07-21 / 20110179313 - System and Method for Correlating Empirical Data with User Experience | 1 |
Glenn Kaufman | US | Racine | 2010-02-11 / 20100031785 - Positive pivot centers for adjustable tools | 1 |
Philip J. Kaufman | US | Milwaukee | 2014-09-18 / 20140278617 - SYSTEMS AND METHODS FOR UPDATING CONFIDENCE VALUES FOR ENERGY INFORMATION ASSOCIATED WITH AN INDUSTRIAL AUTOMATION SYSTEM | 6 |
Ross T. Kaufman | US | Neenah | 2010-06-24 / 20100159203 - Biodegradable and Renewable Film | 5 |
Alan Kaufman | US | New York | 2010-09-23 / 20100237098 - Personal protection device | 1 |
Charles Lyle Kaufman | US | Appleton | 2010-12-16 / 20100314375 - HYBRID WIRE FEEDER SYSTEMS AND METHODS | 2 |
Asher A. Kaufman | US | Las Vegas | 2009-03-05 / 20090061983 - THREE-DIMENSIONAL GAMES OF CHANCE HAVING MULTIPLE REEL STOPS | 1 |
Kerri Kaufman | US | San Diego | 2014-09-18 / 20140274443 - GOLF GRIP WITH RAKED GRIPPING FEATURES | 1 |
Paul L. Kaufman | US | Madison | 2011-03-10 / 20110059926 - Vitamin D Compounds and Methods for Reducing Ocular Hypertension (OHT) | 1 |
Peter Kaufman | US | Highlands Ranch | 2015-12-17 / 20150363520 - Methods and Systems for Calculating and Evaluating Value of Information for Reservoir Fluid Models Derived from DFA Tool Data | 1 |
Kevin Kaufman | US | Ft Worth | 2012-12-06 / 20120310356 - TRANSFORAMINAL INTERSOMATIC CAGE FOR AN INTERVERTEBRAL FUSION GRAFT AND AN INSTRUMENT FOR IMPLANTING THE CAGE | 2 |
John D. Kaufman | US | San Francisco | 2012-06-07 / 20120143608 - AUDIO SIGNAL SOURCE VERIFICATION SYSTEM | 3 |
Nathan Kaufman | US | Brooklyn | 2013-06-27 / 20130164407 - HEALTH BREAD BASED ON NON-GRAIN FLOUR | 1 |
Jonathan H. Kaufman | US | Pittsburgh | 2015-02-05 / 20150037402 - Methods and Compositions for Treating Gastric Disorders | 6 |
Robert J. Kaufman | US | St. Louis | 2012-02-09 / 20120035257 - Histone Deacetylase Inhibitors | 5 |
Jay Stephen Kaufman | US | Kingston | 2016-04-14 / 20160102612 - Prime Mover with Recovered Energy Driven Compression of the Working Fluid | 11 |
Dan Kaufman | CA | Toronto | 2013-03-28 / 20130078930 - Mobile Communication Device with Receiver Speaker | 1 |
John Kaufman | US | Lake Oswego | 2014-05-29 / 20140148839 - Bodily Lumen Closure Apparatus and Method | 2 |
Mark Comer Kaufman | US | Champaign | 2015-05-14 / 20150134524 - Real-Time External Financial Account Verification | 1 |
Arie Kaufman | US | Plainview | 2014-12-11 / 20140362080 - System and Method for Context Preserving Maps Of Tubular Structures | 3 |
Donald Loyd Kaufman | US | Kirkland | 2015-10-01 / 20150278534 - ELECTRONIC COMMUNICATION WITH SECURE SCREEN SHARING OF SENSITIVE INFORMATION | 1 |
Carol R. Kaufman | US | Ridgewood | 2008-08-28 / 20080203662 - Memory game | 1 |
Avram Kaufman | US | New York | 2015-04-02 / 20150096013 - COMPUTER KEYBOARD WITH SECURE AUTHENTICATION FEATURES | 1 |
John J. Kaufman | US | Yorkville | 2015-02-12 / 20150040548 - HYDRAULIC SYSTEM HAVING LOW SPEED OPERATION | 3 |
Herbert Edward Kaufman | US | Sarasota | 2012-10-04 / 20120253300 - METHOD, DEVICE, AND SYSTEM FOR DELIVERY OF THERAPEUTIC AGENTS TO THE EYE | 1 |
Lee Kaufman | US | Grafton | 2013-08-15 / 20130211787 - System and Method for Managing Facilities Including Image Capture | 4 |
David L. Kaufman | US | Gainesville | 2010-03-18 / 20100069922 - Suture Cutter with Replaceable Blade | 2 |
Steven Kaufman | US | North Weymouth | 2015-08-27 / 20150240434 - Waste Collection Device | 1 |
David M. Kaufman | US | New York | 2013-10-24 / 20130277365 - VERTICALLY EXPANDABLE RECEPTACLE | 1 |
Frank B. Kaufman | US | Geneva | 2009-04-23 / 20090103993 - Method of Polishing a Tungsten Carbide Surface | 1 |
Liad Kaufman | IL | Ma'Aleh-Adumim | / - | 1 |
Phillip B. Kaufman | US | Conroe | 2015-09-17 / 20150259593 - NON-SPHERICAL WELL TREATING PARTICULATES AND METHODS OF USING THE SAME | 5 |
Alex M. Kaufman | US | Dresher | 2012-12-13 / 20120312709 - DEVICE FOR STORING AND DISPENSING A MEDICAMENT, AND PACKAGING FOR CONTAINING THE SAME | 2 |
Peter N. Kaufman | US | Potomac | 2013-11-14 / 20130304510 - HEALTH INFORMATION EXCHANGE SYSTEM AND METHOD | 4 |
Lawrence A. Kaufman | US | Waltham | 2013-07-18 / 20130182405 - NANOWIRE ENHANCED TRANSPARENT CONDUCTOR AND POLARIZER | 7 |
Christopher Kaufman | US | Somerville | 2016-05-05 / 20160120469 - MEASURING MYOCARDIAL PHYSIOLOGIC PARAMETERS | 1 |
Shari Kaufman | US | Westport | 2011-06-09 / 20110133441 - BOOK WITH INTERACTIVE ELEMENT | 1 |
Andrew Kaufman | US | Fayetteville | 2015-03-19 / 20150077245 - DETECTING AND RESPONDING TO SENTINEL EVENTS | 1 |
Richard Dana Kaufman | US | North Andover | 2010-05-20 / 20100123565 - Tow Notification System | 1 |
Robert Kaufman | US | St, Louis | 2012-03-22 / 20120071689 - PRODUCTION PROCESSES AND SYSTEMS, COMPOSITIONS, SURFACTANTS, MONOMER UNITS, METAL COMPLEXES, PHOSPHATE ESTERS, GLYCOLS, AQUEOUS FILM FORMING FOAMS, AND FOAM STABILIZERS | 3 |
Bruce Kaufman | US | Portland | 2010-12-30 / 20100332172 - Secure test-for-yield chip diagnostics management system and method | 1 |
Arie E. Kaufman | US | Plainview | 2013-02-07 / 20130034282 - SYSTEM AND METHOD FOR PROSTATE VISUALIZATION AND CANCER DETECTION | 2 |
Charles W. Kaufman | US | Redmond | 2015-03-19 / 20150082370 - SYSTEM AND METHOD FOR COMPACT FORM EXHAUSTIVE ANALYSIS OF SECURITY POLICIES | 1 |
Adam Kaufman | US | Los Angeles | 2012-03-29 / 20120078838 - SYSTEM AND METHOD TO MEASURE CONTINUED ENGAGEMENT WITH SOFTWARE APPLICATION | 1 |
Michael C. Kaufman | US | Apex | 2015-03-12 / 20150073080 - LATEX BINDERS USEFUL IN ZERO OR LOW VOC COATING COMPOSITIONS | 2 |
Matthew Joseph Kaufman | US | Las Vegas | 2015-08-06 / 20150221183 - SYSTEMS AND METHODS FOR PLAYING A WAGERING GAME | 1 |
Randall E. Kaufman | US | Rochester | 2013-06-20 / 20130155138 - System For Detecting Leakage of Phase Change Inks | 3 |
Jonathan Kaufman | US | Pittsburgh | 2016-05-19 / 20160136306 - SYSTEMS AND METHODS OF DETECTING INTERSTITIAL CYSTITIS | 3 |
John L. Kaufman | US | Parkland | 2015-08-20 / 20150233589 - LIQUID DESICCANT REGENERATION SYSTEM, SYSTEMS INCLUDING THE SAME, AND METHODS OF OPERATING THE SAME | 1 |
Stephen Carl Kaufman | US | Peekskill | 2010-07-08 / 20100172495 - SEMIOTIC SYSTEM AND METHOD WITH PRIVACY PROTECTION | 1 |
Jack W. Kaufman | US | Los Angeles | 2014-05-08 / 20140126949 - Dispensing Applicator for Fluids | 3 |
Ronald L. Kaufman | US | Westfield | 2015-11-19 / 20150334576 - Geo-location error correction for small cell placement | 1 |
Michael D. Kaufman | US | Lawrence | 2016-04-14 / 20160101090 - INHIBITION OF TRK KINASE MEDIATED TUMOR GROWTH AND DISEASE PROGRESSION | 35 |
Kenneth Kaufman | US | Cincinnati | 2015-10-15 / 20150290281 - METHODS AND DEVICES FOR BONE INFECTION TREATMENT SELECTION | 1 |
Steven Kaufman | US | New York City | / - | 1 |
Jack W. Kaufman | US | Merrick | 2016-05-05 / 20160121090 - DISPENSING APPLICATOR FOR FLUIDS | 10 |
Mark W. Kaufman | US | Dubuque | 2013-08-22 / 20130213281 - Refuse incineration plant and incineration exhaust filtration system and method for use therewith | 1 |
Kevin Kaufman | US | Defiance | 2010-09-09 / 20100226725 - APPARATUS AND METHOD FOR LIFTING BUILDING FOUNDATIONS | 1 |
Arie E. Kaufman | US | Plainveiw | 2013-07-04 / 20130170726 - REGISTRATION OF SCANNED OBJECTS OBTAINED FROM DIFFERENT ORIENTATIONS | 1 |
Christopher L. Kaufman | US | Somerville | 2014-02-06 / 20140039291 - ARTERIAL AND VENOUS BLOOD METRICS | 1 |
Josh Epstein Kaufman | US | Wayne | 2014-03-27 / 20140089091 - Intelligent Advertising System | 1 |
Jonathan Kaufman | US | Leonardtown | 2010-11-04 / 20100280331 - Method and apparatus for non-invasively estimating body core temperature | 1 |
Phillip Edward Kaufman | US | Alachua | 2012-09-13 / 20120232160 - Materials and Methods for Pest Control | 1 |
Jeffrey R. Kaufman | US | San Diego | 2012-10-25 / 20120268250 - RFID DEVICE WITH WIDE AREA CONNECTIVITY | 1 |
Roger E. Kaufman | US | Washington | 2014-12-04 / 20140358150 - SURGICAL DISTRACTION DEVICE WITH EXTERNAL ACTIVATION | 1 |
Benjamin Kaufman | US | West Des Moines | 2011-11-03 / 20110269639 - Detection of Johnsongrass and Its Hybrid Seed | 1 |
Galen D. Kaufman | US | Houston | / - | 1 |
Brett J. Kaufman | US | Newburgh | 2013-02-28 / 20130048676 - CONTAINER WITH DISPENSER-SUPPORTING COLLAR | 2 |
Alexander Kaufman | US | Aspen | 2010-10-21 / 20100267502 - Sports Grip Sensor | 2 |
Jonathan J. Kaufman | US | Brooklyn | 2015-10-15 / 20150295886 - SYSTEM FOR IDENTIFYING CURRENT INTERNET PROTOCOL ADDRESS FOR A REMOTE COMPUTING DEVICE | 8 |
Christopher Kaufman | US | Huntington Woods | 2015-05-21 / 20150143294 - SYSTEM AND METHOD FOR PRESENTING A RESPONSIVE MULTI-LAYERED ORDERED SET OF ELEMENTS | 1 |
Andrew E. Kaufman | US | West Windsor | 2008-09-18 / 20080223779 - Low Head Loss Modular Suction Strainer with Contoured Surfaces | 1 |
Kris Kaufman | US | Gilbert | 2014-12-04 / 20140355651 - CALIBRATED TEMPERATURE MEASUREMENT SYSTEM | 3 |
Howie Kaufman | US | Newton | 2011-05-05 / 20110104778 - Method for Magnetic Separation of Red Blood cells from a Patient Sample | 1 |
David Kaufman | US | Chapel Hill | 2013-07-11 / 20130177921 - Detection of Damage to DNA | 1 |
Gil Kaufman | US | Moultonborough | 2014-01-09 / 20140012962 - PRECONFIGURED FILTERS, DYNAMIC UPDATES AND CLOUD BASED CONFIGURATIONS IN A NETWORK ACCESS SWITCH | 2 |
Bradley G. Kaufman | US | Oreana | 2014-04-10 / 20140097047 - BRAKE ASSEMBLY HAVING WEAR AND FAILURE INDICATOR | 1 |
Ilya Kaufman | CA | Calgary | 2015-03-26 / 20150084241 - METHOD AND SYSTEM FOR PERFORMING AN INFRARED TREATMENT | 1 |
Mark A. Kaufman | US | San Francisco | 2012-10-25 / 20120271860 - USER DATA STORE | 1 |
Jon Kaufman | US | Beaver | 2012-06-14 / 20120145033 - Center Bowl Liner With Spring Washer Conductor | 2 |
Matthew Todd Kaufman | US | Bonny Doon | 2015-10-29 / 20150312325 - ENHANCED RELIABILITY FOR CLIENT-BASED WEB SERVICES | 1 |
Jack W. Kaufman | US | Merrick | 2016-05-05 / 20160121090 - DISPENSING APPLICATOR FOR FLUIDS | 10 |
Mildred L. Kaufman | US | Schwenksville | 2011-05-05 / 20110105440 - HEXAHYDROCYCLOPENTYL[f]INDAZOLE SULFONAMIDES AND DERIVATIVES THEREOF AS SELECTIVE GLUCOCORTICOID RECEPTOR MODULATORS | 1 |
Michael D. Kaufman | US | Lawrence | 2016-04-14 / 20160101090 - INHIBITION OF TRK KINASE MEDIATED TUMOR GROWTH AND DISEASE PROGRESSION | 35 |
Eric Stephen Kaufman | US | Simpsonville | 2011-04-07 / 20110078948 - ASH REMOVAL FROM COAL: PROCESS TO AVOID LARGE QUANTITIES OF HYDROGEN FLUORIDE ON-SITE | 1 |
Michael E. Kaufman | US | Houston | 2010-03-04 / 20100055634 - VIBRATING DENTAL DEVICES | 1 |
Marsha Kaufman | US | Washington | 2011-09-01 / 20110213722 - AUTOMATED ACCREDITATION SYSTEM | 1 |
Jessica Dare Kaufman | US | Woburn | 2010-08-12 / 20100203521 - METHOD FOR BACTERIAL LYSIS | 1 |
Nicholas L. Kaufman | US | Sherrill | 2014-01-02 / 20140000744 - PLIABLE-WALL AIR DUCTS WITH INTERNAL EXPANDING STRUCTURES | 1 |
Matthew Kaufman | US | Bonny Doon | 2015-05-21 / 20150142927 - IMPARTING REAL-TIME PRIORITY-BASED NETWORK COMMUNICATIONS IN AN ENCRYPTED COMMUNICATION SESSION | 17 |
James Kaufman | US | Scottsdale | 2016-04-14 / 20160104238 - SYSTEM AND METHOD TO SEARCH AND VERIFY BORROWER INFORMATION USING BANKING AND INVESTMENT ACCOUNT DATA AND PROCESS TO SYSTEMATICALLY SHARE INFORMATION WITH LENDERS AND GOVERNMENT SPONSORED AGENCIES FOR UNDERWRITING AND SECURITIZATION PHASES OF THE LENDING CYCLE | 1 |
John Kaufman | US | Yorkville | 2015-11-12 / 20150322975 - Control Valve for a Hydraulic System | 1 |
Charles W. Kaufman | US | Rochester | 2009-08-27 / 20090215739 - Chemical method of making a suspension, emulsion or dispersion of pyrithione particles | 1 |
Darren Kaufman | US | Olathe | 2015-05-07 / 20150128201 - MITIGATING POTENTIAL VIDEO TRAFFIC INTERFERENCE | 6 |
Randal J. Kaufman | US | Boston | 2008-08-28 / 20080206754 - Method for producing monoclonal antibodies | 1 |
Roger E. Kaufman | US | Mclean | 2009-10-15 / 20090256800 - VIRTUAL REALITY SIMULATOR HARNESS SYSTEMS | 1 |
Morris Kaufman | US | Los Alamos | 2014-03-06 / 20140061420 - Slit Diaphragm Flexure | 1 |
David Harry Kaufman | US | Schwenksville | 2011-02-10 / 20110034526 - Benzimidazole Compounds | 3 |
Harvey L. Kaufman | US | Hudson | 2011-08-25 / 20110207167 - METHOD OF ISOLATING A CELL FROM URINE | 1 |
Michael Kaufman | US | Beachwood | 2013-10-24 / 20130282080 - CONTROLLED PROTOCOL TIMER | 3 |
Suraj Kaufman | US | Jersey City | 2011-03-03 / 20110047843 - Removable Shoelace Attachment for Laced Shoelaces | 1 |
Janet P. Kaufman | US | Los Angeles | 2013-01-31 / 20130028651 - DISPENSING APPLICATOR FOR FLUIDS | 2 |
Philip John Kaufman | US | Milwaukee | 2015-02-26 / 20150058313 - DYNAMIC SUSTAINABILITY SEARCH ENGINE | 11 |
Arthur Kaufman | US | West Orange | 2009-05-07 / 20090117449 - Mixed Feed Direct Methanol Fuel Cell Modified By Semipermeable Membrane For Catalyst Layer | 1 |
Justin Kaufman | CA | Thornhill | 2010-04-01 / 20100077589 - Apparatus and method for manufacturing or repairing a circuit board | 1 |
Paul Kaufman | US | Shrewsbury | 2014-05-22 / 20140142107 - ANTIFUNGAL AGENTS AND USES THEREOF | 1 |
Eleanor D. Kaufman | US | Cromwell | 2014-07-17 / 20140196433 - GAS TURBINE ENGINE COMPONENT PLATFORM COOLING | 6 |
Michael J. Kaufman | US | Morton Grove | 2014-03-06 / 20140060345 - BATTERY POWERED TENSIONING TOOL FOR STRAP | 2 |
Avi Samuel Gavlovski Kaufman | US | Kirkland | 2014-09-18 / 20140282252 - TOUCH OPTIMIZATIONS FOR RANGE SLIDER CONTROLS | 1 |
Howard B. Kaufman | US | Newton | 2016-03-17 / 20160076980 - Method of Partial Lysis and Assay | 8 |
Richard I. Kaufman | US | Somers | 2009-07-02 / 20090167948 - System and Method for Selective Image Capture, Transmission and Reconstruction | 1 |
John A. Kaufman | US | Lake Oswego | 2014-02-27 / 20140058437 - Removable Embolus Blood Clot Filter | 2 |
Bradley Guy Kaufman | US | Oreana | 2014-05-29 / 20140145410 - BEARING ASSEMBLY FOR OSCILLATION JOINT | 2 |
William E.s. Kaufman | US | Berkeley Heights | 2012-02-09 / 20120031488 - PHOTOVOLTAIC CELL MODULE ASSEMBLY | 2 |
Lev Kaufman | US | Newton | 2015-11-12 / 20150326901 - DELAYED VIDEO-ON-DEMAND STREAMING FOR ENHANCED USER EXPERIENCE AND NETWORK CAPACITY OPTIMIZATION | 1 |
Steven L. Kaufman | US | Port Hueneme | 2015-06-11 / 20150160181 - EXPLOSIVE MATERIAL DETECTION | 4 |
Daryl Kaufman | US | Oxford | 2014-07-31 / 20140209828 - FLOW CHARACTERIZING DEVICE AND BALL VALVE WITH SUCH A FLOW CHARACTERIZING DEVICE | 1 |
Tony J. Kaufman | US | Rosemount | 2014-08-07 / 20140221907 - POSITIVE PRESSURE MEDICAL DRESSINGS WITH VALVE AND KITS CONTAINING SAME | 1 |
Philip D. Kaufman | US | Omaha | 2014-02-20 / 20140053270 - DETECTING MALICIOUS COMPUTER CODE IN AN EXECUTING PROGRAM MODULE | 3 |
Donald L. Kaufman | US | Kirkland | 2016-04-14 / 20160105563 - FOLLOWUP OF CUSTOMER SERVICE AGENTS | 8 |
Zachary Kaufman | US | Plantation | 2014-02-20 / 20140052020 - MAGNETIC APPARATUS AND METHODS OF USE | 1 |
Jacob Kaufman | US | West Bloomfield | 2013-05-16 / 20130123035 - REMOVABLY ATTACHABLE GOLF TRAINING AIDS AND METHODS OF USE | 3 |
Zohar Kaufman | IL | Rishon Lezion | 2011-06-23 / 20110150038 - SYSTEM AND METHOD FOR UWB TRANSMISSION PREDISTORTION AND RF WIRE-BOND INTERFACE TECHNIQUE RELATED APPLICATION | 1 |
Morris I. Kaufman | US | Santa Fe | 2015-12-10 / 20150355329 - OPTIC PROBE FOR MULTIPLE ANGLE IMAGE CAPTURE AND OPTIONAL STEREO IMAGING | 2 |
Seth Kaufman | US | Louisville | 2016-04-28 / 20160114166 - METHOD AND SYSTEM OF QUICK NEUROSTIMULATION ELECTRODE CONFIGURATION AND POSITIONING | 3 |
Stanley L. Kaufman | US | New Brighton | 2016-03-03 / 20160061709 - DETECTION SYSTEM FOR DETERMINING FILTERING EFFECTIVENESS OF AIRBORNE MOLECULAR CONTAMINATION | 1 |
Jeffrey Robert Kaufman | US | San Diego | 2013-02-14 / 20130041852 - CUSTOMIZABLE DYNAMIC RESOURCE REGULATING DEVICES AND METHODS | 1 |
Sam Kaufman | US | San Francisco | 2014-11-27 / 20140349261 - THERAPEUTIC VIDEO GAME DEVICE AND METHOD | 1 |
Nicholas L. Kaufman | US | Sherill | 2014-03-20 / 20140075849 - INFLATABLE AIR BARRIERS | 6 |
Daniel Kaufman | US | Los Angeles | 2016-03-24 / 20160081956 - GABA AGONISTS IN THE TREATMENT OF DISORDERS ASSOCIATED WITH METABOLIC SYNDROME AND GABA COMBINATIONS IN TREATMENT OR PROPHYLAXIS OF TYPE I DIABETES | 2 |
Jeffrey Lawrence Kaufman | US | Kingwood | 2016-04-28 / 20160115402 - CATALYST CONFIGURATION FOR INCREASED HYDROCRACKING ACTIVITY | 1 |
Patrick Kaufman | US | Dixon | 2015-12-17 / 20150360575 - VEHICLE WITH AC-TO-DC INVERTER SYSTEM FOR VEHICLE-TO-GRID POWER INTEGRATION | 1 |
Randal J. Kaufman | US | San Diego | 2015-07-23 / 20150203477 - COMPOUNDS AND METHODS FOR ACTIVATING THE APOPTOTIC ARM OF THE UNFOLDED PROTEIN RESPONSE | 3 |
Debra Kaufman | US | Great Neck | 2012-12-13 / 20120312935 - BABY BOTTLE HOLDER | 1 |
Joseph C. Kaufman | US | Hamden | 2010-03-04 / 20100055745 - Producing, Cataloging and Classifying Sequence Tags | 1 |
Charles William Kaufman | US | Sammamish | 2013-10-24 / 20130283342 - Transformation of Sequential Access Control Lists Utilizing Certificates | 8 |
Marc Thomas Kaufman | US | Woodside | 2015-10-29 / 20150312227 - PRIVACY PRESERVING ELECTRONIC DOCUMENT SIGNATURE SERVICE | 2 |
Kristopher Kevin Kaufman | US | Gilbert | 2013-10-31 / 20130285755 - SYSTEM AND METHOD FOR SUPPORTING DIFFERENT TYPES OF OSCILLATOR CIRCUITS | 3 |
Eric Paul Kaufman | US | Farmington | 2011-11-17 / 20110280985 - Ejector retraction mechanism | 1 |
Joshua Daniel Kaufman | US | Gorham | 2013-12-12 / 20130328403 - Distributed Substring Architecture for Maximum Power Point Tracking of Energy Sources | 4 |
Steven Kaufman | US | New York | 2011-06-23 / 20110146106 - HANDS-FREE STEP-IN CLOSURE APPARATUS | 1 |
Susan Kaufman | US | Lafayette | 2012-09-06 / 20120225861 - 6-O-Substituted Benzoxazole and Benzothiazole Compounds and Methods of Inhibiting CSF-1R Signaling | 3 |
Guy Kaufman | IL | Rehovot | 2011-03-03 / 20110050548 - HEAD UP DISPLAY UTILIZING AN LCD AND A DIFFUSER | 2 |
Francine R. Kaufman | US | Los Angeles | 2013-12-26 / 20130345663 - DIABETES THERAPY MANAGEMENT SYSTEM FOR RECOMMENDING BOLUS CALCULATOR ADJUSTMENTS | 5 |
Jeffrey Lewis Kaufman | US | Foster City | 2009-06-18 / 20090157542 - Online universal credit application | 1 |
Eran Kaufman | IL | Azor | 2011-04-28 / 20110099491 - User-Interface Testing | 1 |
Eran Kaufman | IL | Tel-Aviv | 2015-01-29 / 20150031357 - CIRCUIT SWITCH FALLBACK (CSFB) FOR AN LTE MOBILE NETWORK | 1 |
Yair Kaufman | IL | Beer-Sheva | 2011-04-14 / 20110084026 - Biomimetic membranes, their production and uses thereof in water purification | 1 |
Charles K. Kaufman | US | Brookline | 2012-02-09 / 20120034616 - Method for Modulating Epithelial Stem Cell Lineage | 1 |
Michael F. Kaufman | US | New Boston | 2010-03-04 / 20100051371 - Methods and Apparatus for Moving a Vehicle Up or Down a Sloped Surface | 3 |
Stacy Kaufman | US | Sunrise | 2009-10-29 / 20090265967 - Medicine Dosing Compliance System | 1 |
Patrick Kaufman | US | San Jose | 2016-03-10 / 20160068074 - VEHICLE WITH AC-TO-DC INVERTER SYSTEM FOR VEHICLE-TO-GRID POWER INTEGRATION | 1 |
Ari Kaufman | US | Denver | 2015-02-19 / 20150052152 - LOCATION DATA INTEGRATION AND MANAGEMENT | 1 |
Kenton Kaufman | US | Rochester | 2015-09-24 / 20150265425 - SYSTEM AND METHOD FOR POWERED ANKLE-FOOT PROSTHESIS WITH ACTIVE CONTROL OF DORSIFLEXION-PLANTARFLEXION AND INVERSION-EVERSION | 2 |
Paul Kaufman | US | Santa Monica | 2008-10-02 / 20080235987 - Footwear Having Removable Attachment-Point Strip | 1 |
Rosalia Kaufman | IL | Rechovot | 2014-10-30 / 20140322179 - METHODS OF GENERATING GLIAL AND NEURONAL CELLS AND USE OF SAME FOR THE TREATMENT OF MEDICAL CONDITIONS OF THE CNS | 3 |
Michael J. Kaufman | US | Lexington | 2016-02-04 / 20160031913 - BORONATE ESTER COMPOUNDS AND PHARMACEUTICAL COMPOSITIONS THEREOF | 7 |
Jeff Kaufman | US | West Liberty | 2013-09-26 / 20130248335 - FLOATING STATIONARY CONTACT TO CREATE STABLE, LOW RESISTANCE CONTACT JOINTS | 2 |
Iiya Kaufman | CA | Calgary | 2013-09-05 / 20130228955 - Method And System For Performing An Infrared Treatment | 1 |
Kenton R. Kaufman | US | Rochester | 2015-01-29 / 20150032033 - APPARATUS AND METHOD FOR IDENTIFYING MOVEMENT IN A PATIENT | 6 |
Eric S Kaufman | US | Orion | 2013-10-03 / 20130257604 - SYSTEM FOR PROVIDING A REMINDER TO REMOVE A MOBILE ELECTRONIC DEVICE FROM A VEHICLE | 2 |
Steven B. Kaufman | US | Lexington | 2015-12-31 / 20150377461 - Decorative LED Lighting System | 1 |
Jon M. Kaufman | US | Beaver | 2011-09-22 / 20110227297 - Railroad Car Seal for Railroad Hopper Cars | 1 |
Martin M. Kaufman | US | Commerce | 2009-09-03 / 20090222217 - Method of calculating environment risk of a chemical in soil | 1 |
Herbert F. Kaufman | US | Sarasota | 2013-09-19 / 20130245030 - PREPARATIONS AND METHODS FOR AMELIORATING OR REDUCING PRESBYOPIA | 1 |
Matthew T. Kaufman | US | Bonny Doon | 2016-04-07 / 20160099890 - Relay Optimization using Software Defined Networking | 4 |
Daniel M. Kaufman | US | Brooklyn | 2014-04-24 / 20140114620 - METHODS, SYSTEMS, AND MEDIA FOR INTERACTIVE GARMENT MODELING AND EDITING | 1 |
Bruce F. Kaufman | US | Novi | 2010-12-02 / 20100306100 - Web-based home-loan modification assessment method | 3 |
Benjamin Kaufman | US | New York | 2015-07-02 / 20150184881 - AIR CONDITIONING APPARATUS AND CONTROLLER | 1 |
Peter Kaufman | CH | Liebefeld | 2015-11-05 / 20150317451 - PHYSICAL FACE CLONING | 1 |
Randal J. Kaufman | US | Ann Arbor | 2012-07-26 / 20120190623 - Inactivation Resistant Factor VIII | 5 |
Judd C. Kaufman | US | Clarendon Hills | 2015-07-30 / 20150210197 - VEHICLE FLOOR COVER RETENTION SYSTEM AND DEVICE | 1 |
Christopher Luke Kaufman | US | Somerville | 2015-12-10 / 20150352367 - Determining Initial Treatments from Spectral Data | 4 |
Jake Kaufman | US | Lincoln | 2014-12-18 / 20140371785 - LAPAROSCOPIC DEVICES AND METHODS OF USING | 1 |
Daniel L. Kaufman | US | Los Angeles | 2013-06-20 / 20130156728 - COMPOSITION FOR NEUROLOGICAL OR AUTOIMMUNE DISEASES | 2 |
Mildred K. Kaufman | US | Schwenksville | 2012-08-23 / 20120214846 - HEXAHYDROCYCLOPENTYL[F]INDAZOLE PYRIDYL ETHANOLS AND DERIVATIVES THEREOF AS SELECTIVE GLUCOCORTICOID RECEPTOR MODULATORS | 2 |
Bella Kaufman | IL | Kiryat Ono | 2012-07-12 / 20120178699 - KLOTHO PROTEIN AND RELATED COMPOUNDS FOR THE TREATMENT AND DIAGNOSIS OF CANCER | 5 |
Shlomo Namdar Kaufman | IL | Tel-Aviv | 2013-11-14 / 20130304001 - LIQUID STREAMING DEVICES AND METHOD OF USING SUCH DEVICES FOR TREATING WOUNDS | 3 |
Ronen Kaufman | IL | Raanana | 2010-12-16 / 20100318907 - AUTOMATIC INTERACTIVE RECORDING SYSTEM | 1 |
Cheryl Kaufman | US | Cave Creek | 2009-02-26 / 20090050611 - LASER BEAM POSITIONING SYSTEMS FOR MATERIAL PROCESSING AND METHODS FOR USING SUCH SYSTEMS | 1 |
Larry Kaufman | US | Honolulu | 2009-10-08 / 20090250479 - METHOD & DEVICE FOR ENHANCING AROMA FROM BEVERAGE CUP | 1 |
Richard Kaufman | US | Los Gatos | 2012-08-30 / 20120217672 - METHOD OF FABRICATING AN IMPLANTABLE MEDICAL DEVICE WITH BIAXIALLY ORIENTED POLYMERS | 2 |
Amit Kaufman | IL | Tel-Aviv | 2015-08-13 / 20150227533 - SYSTEM FOR SYNCHRONIZATION OF CHANGES IN EDITED WEBSITES AND INTERACTIVE APPLICATIONS | 4 |
Lev Samuel Kaufman | US | San Francisco | 2009-12-24 / 20090319629 - SYSTEMS AND METHODS FOR RE-EVALUATNG DATA | 1 |
Shachar Chaim Kaufman | IL | Petach-Tiqwa | 2010-09-16 / 20100231600 - HIGH BANDWIDTH, EFFICIENT GRAPHICS HARDWARE ARCHITECTURE | 1 |
Gerald J. Kaufman, Jr. | US | Ft. Collins | 2009-08-20 / 20090210401 - System And Method For Efficient Remote Data Access For Server Management | 3 |
Gerald J. Kaufman, Jr. | US | Fort Collins | 2009-11-19 / 20090287906 - ALLOCATING RESOURCES TO PARTITIONS IN A PARTITIONABLE COMPUTER | 2 |
Sebastian Kaufmann | DE | Plizhausen | 2011-09-15 / 20110220621 - Determining Powder Feed Nozzle Misalignment | 1 |
Nicholas L. Kaufmann | US | Sherill | 2014-09-18 / 20140261835 - PLIABLE-WALL AIR DUCTS WITH INTERNAL EXPANDING STRUCTURES | 4 |
Kevin Kaufmann | US | Medina | 2009-12-31 / 20090327782 - DEVICE POWER MANAGEMENT USING NETWORK CONNECTIONS | 1 |
Boris Kaufmann | DE | Aichtal | 2011-06-09 / 20110133574 - SAFETY SWITCHING APPARATUS | 2 |
Beat Kaufmann | CH | Oberwil | 2010-08-05 / 20100196284 - Ultrasound Imaging with Targeted Microbubbles | 1 |
Hanspeter Kaufmann | CH | Cornol | 2014-07-10 / 20140190342 - OBJECT PROTECTION FROM HOLLOW CHARGES AND METHOD FOR THE PRODUCTION THEREOF | 3 |
Peter Kaufmann | SE | Jarna | 2013-12-05 / 20130324502 - NOVEL FORMULATIONS FOR DERMAL, TRANSDERMAL AND MUCOSAL USE 1 | 1 |
Michael Kaufmann | DE | Wachtersbach | 2010-08-05 / 20100198152 - CANNULA DEVICE HAVING PIVOTABLE NEEDLE GUARD | 1 |
Scott W. Kaufmann | US | Clio | 2010-03-18 / 20100066115 - INTEGRATED SOFT CENTER FLOOR CONSOLE | 1 |
Florencia Kaufmann | US | New York | 2013-03-14 / 20130061891 - UMBRELLA CASE | 1 |
Matthew Kaufmann | US | Ridgeboro | 2010-06-17 / 20100153358 - INFORMATION SEARCHING AND RETRIEVAL SYSTEM AND METHOD | 1 |
Stephan Kaufmann | DE | Franfurt Am Main | 2014-07-17 / 20140200760 - METHOD FOR VEHICLE COMMUNICATION BY MEANS OF A VEHICLE-IMPLEMENTED VEHICLE DIAGNOSTIC SYSTEM, VEHICLE DIAGNOSTIC INTERFACE, INTERACE MODULE, USER COMMUNICATION TERMINAL, DATA CONNECTION SYSTEM, AND DIAGNOSTIC AND CONTROL NETWORK FOR A PLURALITY OF VEHICLES | 2 |
Juergen Kaufmann | DE | Neustadt | 2010-09-02 / 20100221127 - Main Coolant Pump | 1 |
Kurt Kaufmann | US | Orlando | 2010-07-22 / 20100185486 - DETERMINING DEMAND ASSOCIATED WITH ORIGIN-DESTINATION PAIRS FOR BUS RIDERSHIP FORECASTING | 1 |
Tim Kaufmann | US | Frankenmuth | 2013-12-05 / 20130325263 - AVERAGE FRICTION LEARNING AND AVERAGE FRICTION CHANGE ESTIMATION | 1 |
Andre Kaufmann | DE | Bochum | 2009-08-20 / 20090210147 - Method, Apparatus and Computer Program Product for Map Generation Using Perpendicular Projection to Merge Data | 2 |
Stefan Kaufmann | DE | Schwabach | 2015-12-10 / 20150352657 - Method for Resisting Welding of a First Component to a Second Component | 1 |
Tim Kaufmann | DE | Aachen | 2015-12-10 / 20150352322 - BLOOD CANNULA | 1 |
Beatrice Kaufmann | CH | Petit-Lancy | 2014-06-12 / 20140163217 - PROCESS FOR THE ESTERIFICATION OF HYALURONIC ACID WITH HYDROPHOBIC ORGANIC COMPOUNDS | 1 |
Rolf Kaufmann | CH | Zurich | 2014-07-24 / 20140203389 - Solid-State Photodetector Pixel and Photodetecting Method | 8 |
Grant David Kaufmann | GB | London | 2014-04-03 / 20140096206 - NETWORK ACCESS CONTROL SYSTEM AND METHOD | 1 |
Dieter Kaufmann | DE | Goslar | 2012-11-15 / 20120289734 - ALTERNATIVE SYNTHESIS OF 1,1-SUBSTITUTED OLEFINS HAVING ELECTRON-WITHDRAWING SUBSTITUENTS | 1 |
Igor Kaufmann | DE | Nurnberg | 2016-05-19 / 20160136734 - CUTTING INSERT | 13 |
John F. Kaufmann | US | Beltsville | / - | 1 |
Franz Kaufmann | DE | Frieburg | 2011-11-03 / 20110268792 - SURFACE ACTIVE PROTEINS AS EXCIPIENTS IN SOLID PHARMACEUTICAL FORMULATIONS | 1 |
Michael Kaufmann | DE | Meckenheim | 2015-06-25 / 20150176544 - Filter Housing and Filter Assembly | 18 |
Christopher R. Kaufmann | US | San Antonio | 2010-02-18 / 20100040687 - Tissue Scaffolds | 1 |
Paul Kaufmann | US | Carmel | 2008-10-09 / 20080250346 - DATA STORAGE AND DISPLAY DEVICE | 1 |
Oliver Kaufmann | DE | Nattheim | 2015-10-15 / 20150292158 - METHOD FOR CONTROLLING THE FORMATION OF A FIBER WEB OF A FIBER OR PAPER PRODUCING PROCESS | 2 |
Arthur F. Kaufmann | US | Culver City | 2013-10-31 / 20130290358 - Generation of Electronic Pedigree | 4 |
Klaus Kaufmann | DE | Mannheim | 2013-02-21 / 20130044406 - UNDERGROUND TRANSFORMER STATION FOR HIGH AND MEDIUM VOLTAGE | 1 |
Lilian Kaufmann | CH | Birmenstorf | 2015-05-14 / 20150129158 - THERMOELECTRIC ENERGY STORAGE SYSTEM WITH AN INTERMEDIATE STORAGE TANK AND METHOD FOR STORING THERMOELECTRIC ENERGY | 5 |
Josef Kaufmann | CH | Opfikon | 2012-06-14 / 20120146254 - Bi-Component Plastic Fibers For Application in Cement-Bonded Building Materials | 2 |
Martin Kaufmann | DE | Heidelberg | 2012-03-15 / 20120060712 - METHOD FOR SETTING THE INKING IN PRINTING PRESSES | 1 |
Dietmar Kaufmann | DE | Tiefenthal | 2015-10-22 / 20150300213 - HYDRAULIC VALVE FOR CAM PHASER | 1 |
Helmut Kaufmann | AT | Gaishorn/see | 2014-08-07 / 20140217654 - CERAMIC REFRACTORY STOPPER | 1 |
Patrik Kaufmann | CH | Baden | 2015-02-19 / 20150047372 - Cooling Apparatus For Switchgear With Heat Pipe Structure Having Integrated Busbar Tube | 4 |
Albert Kaufmann | CH | Bellevue-Geneva | 2009-02-12 / 20090040880 - CLOCK MOVEMENT COMPRISING INDICATORS FOR DISPLAYING UNITS AND TENS | 1 |
Heinz Kaufmann | DE | Bad Konig | 2008-12-25 / 20080315941 - Flat Substrate Having an Electrically Conductive Structure | 1 |
Adam B. Kaufmann | US | Monroeville | 2014-07-24 / 20140205050 - NUCLEAR FUEL ASSEMBLY HANDLING APPARATUS | 1 |
Harald Kaufmann | DE | Hattingen | 2015-05-07 / 20150125650 - Process for the Production of a Textile Product | 7 |
Heiner Kaufmann | CH | Bern | 2014-01-09 / 20140012200 - MEDICAL DEVICE WITH AN ENERGY SUPPLY CARRYING A RESERVOIR | 13 |
Reto Kaufmann | CH | Baden | 2008-12-18 / 20080308324 - DRIVE UNIT FOR AN INSPECTION VEHICLE AND ALSO INSPECTION VEHICLE WITH SUCH A DRIVE UNIT | 1 |
Daniel Kaufmann | CH | Therwil | 2015-01-15 / 20150018552 - N-Phenyl-2-pyrimidine-amine Derivatives | 4 |
Hitto Kaufmann | DE | Ingelheim | 2009-10-01 / 20090247609 - SM-PROTEIN BASED SECRETION ENGINEERING | 1 |
Peter Kaufmann | US | Burbank | 2016-01-28 / 20160028950 - Panoramic Video from Unstructured Camera Arrays with Globally Consistent Parallax Removal | 1 |
Stefan H.e. Kaufmann | DE | Berlin | 2013-12-19 / 20130337011 - RECOMBINANT MYCOBCTERIUM AS A VACCINE | 3 |
Martin Kaufmann | CH | Zurich | 2016-02-11 / 20160042039 - TIMELINE INDEX FOR PARTITIONED TEMPORAL DATABASE TABLES | 5 |
Stephan Kaufmann | CH | Gunzwil | 2016-03-17 / 20160076529 - MEMBRANE PUMP | 5 |
Georg Kaufmann | CH | Fislisbach | 2010-09-23 / 20100236700 - Method for producing a multilayered moulding | 2 |
J. Jordan Massey Kaufmann | US | San Antonio | 2013-08-22 / 20130218253 - SCAFFOLD SYSTEM FOR TISSUE REPAIR | 2 |
Carsten Kaufmann | DE | Mulheim A.d. Ruhr | 2011-11-17 / 20110280712 - Passage wall section for an annular flow passage of an axial turbomachine with radial gap adjustment | 2 |
Oliver Kaufmann | DE | Heidenheim | 2012-06-14 / 20120145346 - METHOD FOR OPTIMIZING THE ENERGY BALANCE IN FORMING SECTIONS IN MACHINES FOR THE PRODUCTION OF FIBROUS WEBS, AND FORMING SECTION | 5 |
Michael W. Kaufmann | DE | Stuttgart | 2012-06-21 / 20120158774 - Computing Intersection of Sets of Numbers | 2 |
Andreas Kaufmann | DE | Kirchzarten | 2014-01-02 / 20140000343 - HANDHELD SAMPLING-REMOVAL HEAD, ANALYSIS ARRANGEMENT AND METHOD FOR CHARACTERIZING AN AEROSOL | 2 |
Helmut Kaufmann | AT | Gaishorn Am See | 2014-08-21 / 20140232047 - CERAMIC REFRACTORY STOPPER | 1 |
Gunnar Kaufmann | US | San Diego | 2013-08-29 / 20130224203 - Pharmaceutical Composition of Recombinant Polyclonal Immunoglobulins | 1 |
Klaus Kaufmann | DE | Wuppertal | 2011-12-15 / 20110302763 - DEVICE FOR ATTACHING A LINE TO A CONNECTING ELEMENT | 1 |
Andreas Kaufmann | DE | Speyer | 2012-08-16 / 20120210372 - SEAT RAIL COUPLING | 2 |
Scott W. Kaufmann | US | Little River | 2013-04-04 / 20130082051 - Retrofittable Double Lid For Storage Container | 2 |
Nadine Kaufmann | CH | Burgdorf | 2014-08-28 / 20140243741 - ADMINISTRATION DEVICE FOR MIXING AN ACTIVE SUBSTANCE WITH A DILUTION LIQUID | 1 |
Heiner Kaufmann | CH | Bern | 2014-01-09 / 20140012200 - MEDICAL DEVICE WITH AN ENERGY SUPPLY CARRYING A RESERVOIR | 13 |
Stefan H. E. Kaufmann | DE | Berlin | 2008-11-13 / 20080280848 - Structures of Active Guide Rna Molecules and Method of Selection | 1 |
Michelle Kaufmann | US | Novato | 2013-03-21 / 20130074180 - USER CERTIFICATION IN A STRUCTURE DESIGN, ANALYSIS, AND IMPLEMENTATION SYSTEM | 3 |
Tom Kaufmann | DE | Ippenschied | 2015-11-05 / 20150316371 - METHOD FOR DETERMINING THE ABSOLUTE POSITION OF A LINEAR ACTUATOR | 8 |
Rainer Kaufmann | DE | Stuttgart | 2015-04-16 / 20150104686 - Battery and Cell Block for a Battery | 8 |
Jorg Kaufmann | DE | Berlin | 2016-05-12 / 20160130587 - INTERFERING RNA MOLECULES | 23 |
Suzan Gail Kaufmann | US | Roseville | 2008-10-30 / 20080270491 - METHOD AND SYSTEM FOR ELECTRONIC ARCHIVAL AND RETRIEVAL OF ELECTRONIC COMMUNICATIONS | 1 |
Christof Kaufmann | CH | Niederuzwil | 2016-03-17 / 20160075517 - CONVEYOR ELEMENT AND CONVEYOR DEVICE FOR CONVEYING BULK MATERIAL | 2 |
Richard Kaufmann | US | San Diego | 2011-05-12 / 20110113208 - STORING CHECKPOINT DATA IN NON-VOLATILE MEMORY | 1 |
Richard Shaw Kaufmann | US | San Diego | 2013-05-02 / 20130111494 - MANAGING WORKLOAD AT A DATA CENTER | 5 |
Matthew Vernon Kaufmann | US | Morgan Hill | 2010-12-16 / 20100314739 - PACKAGE-ON-PACKAGE TECHNOLOGY FOR FAN-OUT WAFER-LEVEL PACKAGING | 2 |
Regine Kaufmann | DE | Neuwied | 2012-09-06 / 20120225103 - Transdermal Therapeutic System Containing a Pramipexol Active Agent | 3 |
Michael Kaufmann | DE | Meckenheim | 2015-06-25 / 20150176544 - Filter Housing and Filter Assembly | 18 |
Gunnar F. Kaufmann | US | San Diego | 2016-03-03 / 20160060327 - Anti-Infective Binding Proteins that Bind AIP2 | 6 |
Matthias Kaufmann | DE | Ringsheim | 2011-01-27 / 20110017235 - DISHWASHER AND METHOD FOR CLEANING WASH WARE | 2 |
Matthew V. Kaufmann | US | Morgan Hill | 2009-09-17 / 20090230554 - WAFER-LEVEL REDISTRIBUTION PACKAGING WITH DIE-CONTAINING OPENINGS | 4 |
Matthew Kaufmann | US | Morgan Hill | 2015-05-21 / 20150137340 - EMBEDDED PACKAGE SECURITY TAMPER MESH | 7 |
Christoph Kaufmann | DE | Haag | 2011-01-20 / 20110015443 - PROCESS FOR CONTINUOUSLY PREPARING METHYL MERCAPTAN FROM CARBON COMPOUNDS, SULFUR AND HYDROGEN | 1 |
Rolf Kaufmann | DE | Schneeberg | 2015-09-10 / 20150253230 - Measuring Element Made of Steel With Hardened Edge Zone | 1 |
Michael Kaufmann | DE | Ellwangen | 2012-01-26 / 20120019177 - Power Tool Having An Electric Brake | 2 |
Werner Kaufmann | CH | Buchs | 2011-12-22 / 20110308451 - DISPENSER FOR MOIST WIPE MATERIAL, A WEB OF MATERIAL FOR USE THEREIN AND FLUTED ROLLER | 1 |
Joerg Kaufmann | US | Emeryville | 2012-12-06 / 20120308569 - GENE PRODUCTS DIFFERENTIALLY EXPRESSED IN CANCEROUS CELLS | 2 |
Christine Kaufmann | DE | Muenchen | 2016-05-05 / 20160120974 - SEMI-LIVE RESPIRATORY SYNCYTIAL VIRUS VACCINE | 1 |
Peter Kaufmann | DE | Munich | 2016-05-05 / 20160125281 - Production Method for Portable Data Carriers | 1 |
Peter Kaufmann | SE | Bromma | 2015-10-29 / 20150306052 - PHARMACEUTICAL COMPOSITION FOR THE TREATMENT OF FUNGAL INFECTIONS | 2 |
William A. Kaufmann | US | Chicago | 2012-03-22 / 20120069742 - Method and Apparatus for Network OAM Interworking | 1 |
Stefanie Kaufmann | DE | Hilzingen | 2015-07-02 / 20150182345 - INTERVERTEBRAL IMPLANT WITH KEEL | 2 |
Ari Kaufmann | US | West Orange | 2014-09-18 / 20140263839 - FLIGHT RECORDER DEPLOYMENT MECHANISM | 1 |
Ari Kaufmann | US | Herndon | 2014-09-18 / 20140277924 - FLIGHT RECORDER DEPLOYMENT SYSTEM AND METHOD | 2 |
Stefan Kaufmann | CH | Aarau | 2015-02-19 / 20150048078 - SOLDERING EQUIPMENT FOR CONNECTING SOLAR CELLS | 3 |
Peter Kaufmann | CH | Liebefeld | 2012-07-19 / 20120185218 - PHYSICAL FACE CLONING | 1 |
Dan Kaufmann | IL | Netanya | 2012-07-19 / 20120184623 - AMIDE DERIVATIVES OF VALPROIC ACID AND USES THEREOF | 1 |
Kuno Kaufmann | CH | Subingen | 2013-10-03 / 20130261998 - METHOD FOR DETERMINING THE STIFFNESS AND/OR DAMPING OF AN AREA OF A PHYSICALNESS | 3 |
Nicholas L. Kaufmann | US | Sherrill | 2015-12-03 / 20150345823 - EXTERNALLY TENSIONED PLIABLE AIR DUCTS | 1 |
Igor Kaufmann | DE | Nurnberg | 2016-05-19 / 20160136734 - CUTTING INSERT | 13 |
Patrik Kaufmann | CH | Baden-Dättwil | 2015-12-10 / 20150357804 - A TUBULAR INSULATION DEVICE, A HIGH VOLTAGE POWER ARRANGEMENT AND A METHOD FOR PROVIDING AN INSULATED HIGH VOLTAGE POWER CABLE | 1 |
Lori Kaufmann | IL | Ra'Anana | 2010-06-10 / 20100145417 - LICE EXTERMINATION SYSTEM AND METHOD | 1 |
Thomas Kaufmann | AU | Victoria | 2011-03-03 / 20110052603 - METHOD OF TREATMENT AND AGENTS USEFUL FOR SAME | 1 |
Gabriel Kaufmann | IL | Beit Hananya | 2014-02-06 / 20140034045 - STARTUP SYSTEMS AND METHODS FOR SOLAR BOILERS | 7 |
Dieter Kaufmann | DE | Heidenheim | 2014-02-27 / 20140053423 - MEASURING HEAD FOR A COORDINATE MEASURING MACHINE FOR DETERMINING SPATIAL COORDINATES ON A MEASUREMENT OBJECT | 1 |
Hannes Kaufmann | AT | Wien | 2010-09-02 / 20100219967 - Method and Apparatus for Monitoring the Maximum Distance Between Two Objects | 1 |
Christian Kaufmann | CA | Brantford | 2012-07-12 / 20120174754 - Ceramic armour and method of construction | 2 |
Tzach Kaufmann | IL | Yahud | 2009-12-03 / 20090300712 - System and method for dynamically enforcing security policies on electronic files | 1 |
Friedrich Kaufmann | AT | Thueringerberg | 2009-08-27 / 20090216479 - METHOD AND APPARATUS FOR TESTING A POWER ENGINEERING DEVICE | 1 |
Nicole Kaufmann | NZ | Wellington | 2012-09-06 / 20120223963 - DATA VISUALISATION SYSTEM AND METHOD | 2 |
Andrew Kaufmann | GB | London | 2008-11-27 / 20080290181 - System and method for calculating a foreign exchange index | 2 |
Ronald W. Kaufmann | US | La Porte City | 2010-12-02 / 20100301253 - VALVE SEAT APPARATUS FOR USE WITH FLUID VALVES | 1 |
Peter Matthias Kaufmann | DE | Wedemark | 2010-02-04 / 20100028405 - MATRIX, CELL IMPLANTATION AND METHOD FOR THEIR PRODUCTION AND USE | 2 |
Marita Kaufmann | US | 2016-01-21 / 20160017151 - NOVEL ROAD MARKINGS FOR ASSISTING THE PERCEPTION OF THE SURROUNDINGS OF VEHICLES | 2 | |
Yaron Kaufmann | IL | Tel-Aviv | 2015-05-28 / 20150144294 - SYSTEMS AND METHODS FOR REAL-TIME MONITORING OF EXPECTED DURATION OF TEMPERATURE CONTROL | 3 |
Manuel Kaufmann | DE | Gengenbach | 2012-07-12 / 20120174664 - Amplitude profiling in filling-level measuring devices | 1 |
Fred S. Kaufmann | US | Irvine | 2012-07-05 / 20120173496 - NUMERIC, DECIMAL AND DATE FIELD COMPRESSION | 1 |
Jörg Kaufmann | DE | Berlin | 2012-07-05 / 20120171220 - FURTHER USE OF PROTEIN KINASE N BETA | 4 |
Daniel Kaufmann | CH | Battwil | 2016-03-03 / 20160060217 - Processes | 9 |
Gregory J. Kaufmann | US | Metamura | 2014-01-02 / 20140001826 - ROLLER FOR A MACHINE UNDERCARRIAGE | 1 |
Gregory Jerome Kaufmann | US | Metamora | 2015-03-05 / 20150061374 - TRACK JOINT ASSEMBLIES | 5 |
Susana Kaufmann | US | Austin | 2014-04-10 / 20140100834 - COMPUTATIONAL METHODS FOR ANALYSIS AND MOLECULAR DESIGN OF ANTIBODIES, ANTIBODY HUMANIZATION, AND EPITOPE MAPPING COUPLED TO A USER-INTERACTIVE WEB BROWSER WITH EMBEDDED THREE- DIMENSIONAL RENDERING | 1 |
Samuel Kaufmann | CH | Muri | 2012-02-02 / 20120026823 - APPARATUS FOR MIXING AND DISCHARGING A FLUID PRODUCT AND RELATED SYSTEM | 1 |
Bernhard Kaufmann | CH | St. Margrethen | 2013-01-17 / 20130018789 - Systems and methods for estimating the risk that a real-time promissory payment will defaultAANM Kaufmann; BernhardAACI St. MargrethenAACO CHAAGP Kaufmann; Bernhard St. Margrethen CH | 1 |
Marita Kaufmann | DE | Griesheim | 2015-09-03 / 20150247297 - NEW TYPE OF ROAD MARKINGS FOR SUPPORTING THE ENVIRONMENT DETECTION OF VEHICLES | 3 |
Timothy W. Kaufmann | US | Frankenmuth | 2016-03-17 / 20160075371 - PROVIDING ASSIST TORQUE WITHOUT HAND WHEEL TORQUE SENSOR FOR ZERO TO LOW VEHICLE SPEEDS | 8 |
Michael Kaufmann | DE | Gehrden | 2013-07-25 / 20130186211 - Sensor Mounting for a Distance Sensor | 2 |
Jurgen Kaufmann | DE | Waldkirch | 2014-10-09 / 20140299774 - SPECTROMETER AND METHOD OF OPERATING SAME | 1 |
Jacques Kaufmann | FR | Vanzy | 2013-01-31 / 20130025199 - SUBSTRATE HAVING A POROUS SURFACE FOR VEGETATION | 1 |
Markus Kaufmann | DE | Berlin | 2015-12-31 / 20150374460 - UNIFIED THREE DIMENSIONAL VIRTUAL CRANIOFACIAL AND DENTITION MODEL AND USES THEREOF | 11 |
Gerhard Kaufmann | DE | Herrenberg | 2011-01-27 / 20110017824 - TELESCOPIC SIGHT | 1 |
Paul Kaufmann | DE | Aalen | 2015-07-30 / 20150212431 - PROJECTION EXPOSURE SYSTEM FOR MICROLITHOGRAPHY WITH A MEASUREMENT DEVICE | 2 |
Michael Kaufmann | DE | Kempten | 2009-02-19 / 20090046484 - Power supply for an electronic system | 1 |
Karl Kaufmann | DE | Poing | / - | 1 |
Allyn Michael Kaufmann | US | Morrow | 2015-06-25 / 20150174084 - Stable Liquid Medication Containing Diphenhydramine | 1 |
Timo Kaufmann | DE | Freiburg | 2013-01-17 / 20130015853 - HALL SENSOR | 3 |
Erich Kaufmann | AT | Linz | 2015-02-19 / 20150049501 - OPTICAL ELEMENT FOR A LASER VEHICLE HEADLIGHT | 4 |
Jurgen Kaufmann | DE | Denzlingen | 2012-01-05 / 20120002205 - OPTOELECTRONIC APPARATUS FOR GAS ANALYSIS AND METHOD | 2 |
Benjamin Kaufmann | US | Potomac | 2014-10-30 / 20140324129 - SYSTEMS AND METHODS FOR TEMPORARY, INCOMPLETE, BI-DIRECTIONAL, ADJUSTABLE ELECTRICAL NERVE BLOCK | 1 |
Frederick Kaufmann | US | Irvine | 2013-07-04 / 20130173564 - SYSTEM AND METHOD FOR DATA COMPRESSION USING MULTIPLE ENCODING TABLES | 2 |
Maria Kaufmann | AT | Vollererhofstr | 2013-05-16 / 20130121892 - POLYMERIC SUBSTRATE HAVING AN ETCHED-GLASS-LIKE SURFACE AND A MICROFLUIDIC CHIP MADE OF SAID POLYMERIC SUBSTRATE | 1 |
Axel Kaufmann | DE | Neuenburg | 2013-07-11 / 20130176813 - DISPERSING DEVICE | 1 |
Timo Kaufmann | DE | Waldkirch-Suggental | 2016-03-03 / 20160061634 - INJECTION-MOLDED CIRCUIT CARRIER | 9 |
Beat Kaufmann | CH | Malleray | 2014-11-13 / 20140334888 - METHOD FOR OBTAINING A COMPLETE THREADING PROFILE BY MILLING AND MILLING TOOL | 1 |
Angela Kaufmann | DE | Essen | 2012-06-14 / 20120149832 - NON-AQUEOUS DISPERSION OF POLYMER PARTICLES IN REACTIVE DILUENT | 1 |
Jens Kaufmann | DE | Berlin | 2009-10-01 / 20090247591 - SUBSTITUTED CYCLOHEXYLDIAMINES | 1 |
Miles C. Kaufmann | US | Seattle | 2015-08-13 / 20150227409 - ANOMALY DETECTION SERVICE | 1 |
Tom Kaufmann | DE | Ippenschied | 2015-11-05 / 20150316371 - METHOD FOR DETERMINING THE ABSOLUTE POSITION OF A LINEAR ACTUATOR | 8 |
Reid A. Kaufmann | US | Wichita | 2015-10-08 / 20150286600 - ARBITRATION MONITORING FOR SERIAL ATTACHED SMALL COMPUTER SYSTEM INTERFACE SYSTEMS DURING DISCOVERY | 4 |
Kurt G. Kaufmann | US | Orlando | 2010-11-25 / 20100299177 - DYNAMIC BUS DISPATCHING AND LABOR ASSIGNMENT SYSTEM | 1 |
Joshua Kaufmann | US | Austin | 2015-12-24 / 20150366595 - Resiliant Spinal Plate System | 2 |
Christian Kaufmann | DE | Burgstaedt | 2011-12-15 / 20110303515 - ELECTROSTATICALLY ACTUATED MICRO-MECHANICAL SWITCHING DEVICE | 2 |
Samuel A. Kaufmann | US | Chappaqua | 2013-02-14 / 20130041920 - FINDING RELATIONSHIPS AND HIERARCHIES USING TAXONOMIES | 1 |
Artur Kaufmann | DE | Landau | 2015-12-03 / 20150350458 - CREATING RATING REQUESTS FOR GROUPS OF CONSUMPTION ITEMS | 6 |
Torsten Kaufmann | DE | Lauf | 2015-03-19 / 20150075161 - APPARATUS FOR THE ACTUATION OF A THROTTLE VALVE, IN PARTICULAR A THROTTLE VALVE OF AN INTAKE SYSTEM OF AN INTERNAL COMBUSTION ENGINE | 1 |
Jürgen Kaufmann | DE | Denzlingen | 2012-01-05 / 20120002205 - OPTOELECTRONIC APPARATUS FOR GAS ANALYSIS AND METHOD | 1 |
Ralf Kaufmann | DE | Loerrach | 2015-10-01 / 20150272735 - CATHETER DEVICE FOR MINIMALLY INVASIVE IMPLANTATION | 4 |
Rainer Kaufmann | DE | Delmenhorst | 2010-01-14 / 20100008711 - DRY ERASE INK FOR NON-POROUS SURFACES | 1 |
Franz Kaufmann | DE | Freiburg | 2012-12-06 / 20120309036 - Test Arrangement | 5 |
Jean-Claude Kaufmann | US | New York | 2009-03-26 / 20090081011 - SYSTEM AND METHOD FOR PARKING VEHICLES | 2 |
Reid A. Kaufmann | US | Andover | 2014-06-19 / 20140169210 - Link Rate Availability Based Arbitration | 5 |
Peter Kaufmann | DE | Moers | 2015-12-17 / 20150362189 - BURNER SYSTEM WITH RESONATOR | 6 |
Andre Kaufmann | DE | Baienfurt | 2011-08-04 / 20110189011 - TURBOCHARGER HAVING AN INSERTION PLATE | 4 |
Sheri Lee Kaufmann | US | Brookline | 2011-01-20 / 20110015965 - Products having a scent name selected by consumers | 1 |
Timothy W. Kaufmann | US | Frankenmuth | 2016-03-17 / 20160075371 - PROVIDING ASSIST TORQUE WITHOUT HAND WHEEL TORQUE SENSOR FOR ZERO TO LOW VEHICLE SPEEDS | 8 |
Rolf Kaufmann | CH | Zurich | 2014-07-24 / 20140203389 - Solid-State Photodetector Pixel and Photodetecting Method | 8 |
Nimrod Kaufmann | IL | Modiln | 2012-11-22 / 20120291781 - DRY POWDER DELIVERY DEVICE | 1 |
Hitto Kaufmann | DE | Ulm | 2013-08-15 / 20130210074 - EPIGENETIC ENGINEERING | 17 |
Stefan Kaufmann | DE | Berlin | 2010-06-10 / 20100143416 - Alpha-defensins as anthrax immunotherapeutis | 1 |
Arthur F. Kaufmann | US | 2012-01-05 / 20120005105 - SUPPLY CHAIN MANAGEMENT USING MOBILE DEVICES | 1 | |
Ralf Kaufmann | DE | Rangendingen | 2009-08-27 / 20090216307 - BRAIDED STENT TO BE IMPLANTED IN A BLOOD VESSEL | 3 |
Michaela Kaufmann | DE | Schwelm | 2012-03-08 / 20120058560 - Bioreactor System | 3 |
Samuel Kaufmann | CH | Luzern | 2010-11-11 / 20100282774 - DISCHARGE APPARATUS COMPRISING ROTATING DEVICE | 1 |
Igor Kaufmann | DE | Nuernberg | 2010-05-27 / 20100129166 - Eight-Edged Cutting Insert, and Tool Holder for Same | 2 |
Martin Kaufmann | CH | Zuchwil | 2015-11-12 / 20150320451 - SACRAL FIXATION SYSTEM | 2 |
David Nicolai Kaufmann | CH | Nussbaumen | 2011-01-27 / 20110016876 - METHOD FOR THE CONTROL OF GAS TURBINE ENGINES | 1 |
Rainer Kaufmann | DE | Stuttgart | 2015-04-16 / 20150104686 - Battery and Cell Block for a Battery | 8 |
Roger Kaufmann | CH | Busslingen | 2011-03-24 / 20110068596 - INTERIOR TRIM PART FOR A PASSENGER COMPARTMENT OF A VEHICLE, AND METHOD FOR PRODUCING AN INTERIOR TRIM PART | 1 |
Christian Kaufmann | DE | Bischbrunn-Oberndorf | 2015-07-30 / 20150215157 - Method for Operating a Redundant Communication Network | 1 |
Daniel Kaufmann | CH | Battwil | 2016-03-03 / 20160060217 - Processes | 9 |
Gregory J. Kaufmann | US | Metamora | 2015-09-24 / 20150266527 - LINK FOR TRACK ASSEMBLY | 11 |
Stefan Kaufmann | CH | Dierikon | 2014-03-06 / 20140060615 - METHOD AND DEVICE FOR CONNECTING SOLAR CELLS TO FORM A SOLAR CELL STRING, AND A SOLAR CELL STRING | 1 |
Bernd Kaufmann | DE | Hassfurt | 2011-09-15 / 20110219594 - TOOL DEVICE FOR CONNECTION OF A PLASTIC PIPE | 4 |
Markus Kaufmann | DE | Berlin | 2015-12-31 / 20150374460 - UNIFIED THREE DIMENSIONAL VIRTUAL CRANIOFACIAL AND DENTITION MODEL AND USES THEREOF | 11 |
Holger Kaufmann | DE | Magdeburg | 2016-03-10 / 20160069405 - COMPRESSIBLE FILLER PIECE FOR A BRAKE CALLIPER OF A RAILWAY VEHICLE | 1 |
Scott H. Kaufmann | US | Rochester | 2013-08-29 / 20130224312 - METHODS AND MATERIALS FOR ASSESSING RESPONSIVENESS TO PARP INHIBITORS AND PLATINATING AGENTS | 3 |
Dominic Kaufmann | DE | Roding | 2011-07-28 / 20110184215 - BIOMASS PYROLYSIS IN REFINERY FEEDSTOCK | 1 |
Alexander Kaufmann | DE | Dinslaken | 2012-02-09 / 20120035393 - METHOD FOR PRODUCING ALIPHATIC CARBOXYLIC ACIDS FROM ALDEHYDES BY MICROREACTION TECHNOLOGY | 2 |
Christian Kaufmann | DE | Berlin | 2012-01-26 / 20120017985 - Solar Cells With An Encapsulating Layer Based On Polysilazane | 2 |
Florian Kaufmann | CH | Sissach | 2016-04-14 / 20160100865 - MINIMALLY INVASIVE INTERSPINOUS PROCESS SPACER IMPLANTS AND METHODS | 4 |
Joerg Kaufmann | DE | Berlin | 2015-12-24 / 20150368650 - USE OF VEGFR1 AS A BIOMARKER | 4 |
Andre Kaufmann | DE | Tegernheim | 2010-10-07 / 20100254816 - Exhaust Gas Turbocharger | 2 |
Timo Kaufmann | DE | Waldkirch-Suggental | 2016-03-03 / 20160061634 - INJECTION-MOLDED CIRCUIT CARRIER | 9 |
Gregory J. Kaufmann | US | Metamora | 2015-09-24 / 20150266527 - LINK FOR TRACK ASSEMBLY | 11 |
Florian Kaufmann | CH | Solothurn | 2015-07-02 / 20150181816 - METHOD AND SYSTEM FOR MANUFACTURING AN IRRIGATION PIPE | 1 |
Bear Kaufmann | US | Berkeley | 2015-08-20 / 20150232768 - DOWNDRAFT GASIFICATION SYSTEM AND METHOD | 3 |
Sebastian Kaufmann | DE | Wendelstein | 2014-05-15 / 20140133634 - X-Ray Emitter Housing | 1 |
Andreas Kaufmann | DE | Backnang | 2011-06-30 / 20110154800 - CHAIN LINK FOR AN ENERGY GUIDING CHAIN | 1 |
Richard Kaufmann | DE | Freudenstadt | 2008-10-09 / 20080245110 - Yarn Processing System and Yarn Feeding Device | 1 |
Andreas Kaufmann | DE | Sontheim An Der Brenz | 2015-12-24 / 20150369785 - SENSOR DEVICE FOR DETECTING A MOISTURE CONTENT OF A FLOWING FLUID MEDIUM | 6 |
Reinhard Kaufmann | AT | Thuringerberg | 2015-11-12 / 20150323604 - METHOD AND APPARATUS FOR THE MEASUREMENT OF A RESISTANCE OF A SWITCHING CONTACT OF AN ELECTRICAL CIRCUIT BREAKER | 3 |
Hitto Kaufmann | DE | Ulm | 2013-08-15 / 20130210074 - EPIGENETIC ENGINEERING | 17 |
Ulrike Kaufmann-Reiche | DE | Berlin | 2011-01-06 / 20110003778 - MINERALCORTICOID RECEPTOR ANTAGONISTS FOR THE TREATMENT OF ENDOMETRIOSIS | 1 |
Tobin Kaufman-Osborn | US | San Diego | 2016-02-25 / 20160056033 - LOW TEMPERATURE ATOMIC LAYER DEPOSITION OF OXIDES ON COMPOUND SEMICONDUCTORS | 3 |
Roger W. Kaufold | US | Pittsburgh | 2011-11-17 / 20110278120 - WEAR RESISTANT TRANSPORTATION SYSTEMS, METHODS, AND APPARATUS | 4 |
Jonathan Seth Kaufthal | US | Seattle | 2009-09-17 / 20090234699 - User Interface For Scheduling Resource Assignments | 1 |
Jonathan S. Kaufthal | US | Seattle | 2015-10-08 / 20150286533 - MODERN DOCUMENT SAVE AND SYNCHRONIZATION STATUS | 5 |
Jonathan Kaufthal | US | Seattle | 2015-10-01 / 20150277682 - SCALABLE USER INTERFACE DISPLAY | 4 |
Austin Kauh | US | Wilton | 2015-10-15 / 20150294413 - METHOD AND SYSTEM FOR ASSURING CURRENCY EXCHANGE RATES | 1 |
Jyrki Kauhanen | FI | Helsinki | 2010-12-02 / 20100305286 - CATALYSTS | 1 |
Mika Petteri Kauhanen | FI | Espoo | 2010-07-22 / 20100182263 - TOUCHPAD ASSEMBLY WITH TACTILE FEEDBACK | 1 |
Mika Kauhanen | FI | Espoo | 2011-01-06 / 20110002143 - LIGHT GUIDE PLATE AND A METHOD OF MANUFACTURING THEREOF | 2 |
Jyrki Kauhanen | FI | Askola | 2012-10-04 / 20120252993 - CATALYSTS | 1 |
Petteri Mika Kauhanen | FI | Espoo | 2014-05-22 / 20140139444 - Apparatus and Method for Detecting User Input | 1 |
Matti Kauhanen | FI | Espoo | 2013-08-15 / 20130206370 - ELECTRONIC APPARATUS | 1 |
Aki Kauhanen | FI | Oulu | 2016-03-24 / 20160083920 - HYBRID ENERGY PLANT | 1 |
Jouni Kauhanen | FI | Lempaala | 2015-03-12 / 20150071617 - Special Effect Video Camera | 1 |
Jouko Kauhanen | FI | Pieksamaki | 2009-02-19 / 20090047105 - Transfer Plate and Method for Loading a Cargo Space | 1 |
Petteri Kauhanen | FI | Espoo | 2014-10-16 / 20140310801 - Method and Apparatus for Performing Authentication | 9 |
Marty Kauhanen | US | Seattle | 2011-12-22 / 20110313845 - Learning Display Parameters to Maximize Advertising Revenue | 1 |
Petteri Kauhanen | FI | Espoo | 2014-10-16 / 20140310801 - Method and Apparatus for Performing Authentication | 9 |
Ilpo Kauhaniemi | FI | Vantaa | 2015-06-04 / 20150153780 - FOLDABLE DEVICE | 5 |
Saurabh Kaujalgikar | IN | Dombivali (west) | 2013-10-31 / 20130289291 - ESTOLIDE DERIVATIVES PREPARED FROM TRIGLYCERIDES | 1 |
Saurabh Kaujalgikar | IN | Pune | 2015-11-26 / 20150337112 - EPOXIDIZED FATTY ACID ALKYL ESTER PLASTICIZERS AND METHODS FOR MAKING EPOXIDIZED FATTY ACID ALKYL ESTER PLASTICIZERS | 4 |
Corey A. Kauk | US | Peoria | 2014-07-03 / 20140186675 - COOLING JACKET FOR BATTERY PACK | 1 |
Brian Kauke | US | North Las Vegas | 2012-03-22 / 20120069963 - DUAL ENERGY BACKSCATTER X-RAY SHOE SCANNING DEVICE | 2 |
Lars Kauke | DE | Froendenberg | 2013-01-24 / 20130020804 - PITCH DRIVE DEVICE CAPABLE OF EMERGENCY OPERATION FOR A WIND OR WATER POWER PLANT | 1 |
Brian Kauke | US | Las Vegas | 2012-09-13 / 20120229631 - VENDOR AND SCANNER INDEPENDENT COMMON WORKSTATION FOR SECURITY | 1 |
Barry J. Kauker | US | Soquel | 2011-04-21 / 20110089248 - Surgical cutting accessory with encapsulated RFID chip | 1 |
Katri Kaukinen | FI | Tampere | 2011-01-06 / 20110002943 - USE OF ENTEROVIRUS FOR DIAGNOSTICS, TREATMENT AND PREVENTION OF DISEASE | 1 |
Helena Kaukinen | FI | Paimio | 2011-03-24 / 20110071203 - TRANSMUCOSAL VETERINARY COMPOSITION COMPRISING DETOMIDINE | 1 |
Raimo Kaukinen | FI | Nastola | 2012-05-10 / 20120110826 - METHOD AND DEVICE FOR FIXING A FAUCET TO A MOUNTING | 1 |
Jarmo Kauko | FI | Tampere | 2015-05-21 / 20150143242 - MOBILE COMMUNICATION TERMINAL AND METHOD THEREOF | 4 |
Pasi Kaukojärvi | FI | Fortum | 2015-12-17 / 20150365007 - System and Method for Coupling a Monophase Power Source to a Multiphase Power Network | 1 |
Mika Petteri Kaukoranta | FI | Sipoo | 2012-09-13 / 20120230180 - APPARATUS AND METHOD FOR HANDLING VALID PROTOCOL DATA UNITS | 1 |
Anu Kaukovirta-Norja | FI | Espoo | 2011-01-13 / 20110009613 - METHOD OF PROCESSING BETA-GLUCAN | 2 |
Jouni Kaukovuori | FI | Vantaa | 2016-01-28 / 20160029136 - Arranging an Audio Signal Based on the Number of Loudspeakers | 8 |
Jouni Kristian Kaukovuori | FI | Vantaa | 2015-12-03 / 20150351054 - METHODS, APPARATUS AND COMPUTER PROGRAMS FOR LIMITING MAXIMUM TRANSMIT POWER OF DEVICES | 32 |
Jouni Kaukovuori | FI | Vantaa | 2016-01-28 / 20160029136 - Arranging an Audio Signal Based on the Number of Loudspeakers | 8 |
Kristian Jouni Kaukovuori | FI | Vantaa | 2013-04-25 / 20130099829 - Operating a Frequency Synthesizer | 1 |
Jouni K. Kaukovuori | FI | Vantaa | 2013-05-09 / 20130115997 - Adaptive A-MPR in Inter-Band Carrier Aggregation | 1 |
Jouni Kristian Kaukovuori | US | 2012-11-22 / 20120293265 - Radio Frequency Integrated Circuit | 1 | |
Jouni Kristian Kaukovuori | FI | Vantaa | 2015-12-03 / 20150351054 - METHODS, APPARATUS AND COMPUTER PROGRAMS FOR LIMITING MAXIMUM TRANSMIT POWER OF DEVICES | 32 |
Robert Kaul | US | Brooklyn | 2014-08-28 / 20140243612 - SIMULTANIOUS MULTI-PARAMETER PHYSIOLOGICAL MONITORING DEVICE WITH LOCAL AND REMOTE ANALYTICAL CAPABILITY | 1 |
Harold Roland Kaul | AU | Mt. Ousley | 2010-07-29 / 20100186856 - HIGH STRENGTH THIN CAST STRIP PRODUCT AND METHOD FOR MAKING THE SAME | 3 |
Sunil Kumar Kaul | IN | Hyderabad | 2015-05-07 / 20150122767 - Child resistant closure with improved assembly | 2 |
Joe Kaul | US | Lakewood | 2012-07-26 / 20120189389 - METHOD OF PRODUCING A COMPOSITE MATERIAL USING A MIXING SYSTEM | 1 |
Yaniv Kaul | IL | Raanana | 2014-08-28 / 20140245294 - VIRTUAL MACHINE SUSPENSION | 2 |
Charles E. Kaul | US | Prescott | 2015-10-01 / 20150279218 - AIRCRAFT FUEL OPTIMIZATION ANALYTICS | 1 |
Surendar N. Kaul | US | League City | 2015-08-20 / 20150232717 - UV Resistant Epoxy Structural Adhesive | 1 |
Ramesh Kaul | CA | Burnaby | 2016-04-07 / 20160096858 - SELECTIVE GLYCOSIDASE INHIBITORS AND USES THEREOF | 10 |
Rebecca Lynn Kaul | US | Pittsburgh | 2015-08-20 / 20150234987 - System and Method for Processing Healthcare Information | 1 |
Shailesh Kaul | CA | Ottawa | 2010-05-06 / 20100111064 - METHOD AND APPARATUS OF SELECTIVELY TYING THE OPENING AND CLOSING OF EVDO AND PPP SESSIONS | 5 |
Ramesh Kaul | CA | Burnady | 2010-04-29 / 20100105682 - CYCLOPROPYL-PIPERAZINE COMPOUNDS AS CALCIUM CHANNEL BLOCKERS | 1 |
Vikram Kaul | US | Ocean | 2011-06-16 / 20110143760 - Modified Overhead Adjustment Function | 2 |
Payal Kaul | US | Beachwood | 2009-03-12 / 20090068486 - HEAT SHRINK FILMS AND ARTICLES ENCAPSULATED THEREIN | 1 |
Ravi Kaul | US | Davis | 2014-10-30 / 20140323332 - MULTIPLEX HEPATITIS B ASSAY | 1 |
Rajesh Kaul | US | Shrewsbury | 2014-10-30 / 20140323020 - GRINDING AND POLISHING TOOL | 1 |
Anupam Kaul | US | Sunnyvale | 2012-05-03 / 20120108294 - TECHNIQUES TO MANAGE A SUSBCRIBER IDENTITY MODULE FOR A MOBILE WIRELESS DEVICE | 2 |
Joseph B. Kaul | US | Lakewood | 2012-02-23 / 20120045280 - HYDROCARBON-ADSORBING POROUS PAVEMENT STRUCTURE | 3 |
Ramesh Kaul | CA | Burnaby | 2016-04-07 / 20160096858 - SELECTIVE GLYCOSIDASE INHIBITORS AND USES THEREOF | 10 |
Goldi Kaul | US | Framingham | 2009-04-09 / 20090092676 - CROSS-LINKED POLYMER PARTICLES | 2 |
Bal K. Kaul | US | Fraifax | 2009-05-28 / 20090134094 - Separation of hydrocarbons from water | 1 |
Goldi Kaul | US | Nyack | 2009-07-02 / 20090169641 - COMPRESSIBLE PARTICLES | 4 |
Himanshu Kaul | US | Portland | 2015-10-01 / 20150280909 - Instruction and Logic for a Simon Block Cipher | 10 |
Vikram Sharad Kaul | US | 2011-11-17 / 20110281110 - HIGH TEMPERATURE STABLE AMORPHOUS SILICA-RICH ALUMINOSILICATES | 1 | |
Vikram Kaul | US | Randolph | 2009-10-22 / 20090265296 - Scalable and Interactive Method of Generating and Modifying Network Configurations to Enforce Compliance with High-Level Requirements | 2 |
Poonam Kaul | US | Gainesville | 2010-02-04 / 20100028422 - Pharmaceutical Compositions and Methods for Treating or Preventing Oxalate-Related Disease | 1 |
Chris Kaul | US | Oxford | 2010-05-06 / 20100114652 - COMPUTER-IMPLEMENTED, AUTOMATED MEDIA PLANNING METHOD AND SYSTEM | 3 |
Bal Kaul | US | Fairfax | 2010-06-24 / 20100155332 - Process for improving the cetane rating of distillate and diesel boiling range fractions | 1 |
Vikram Sharad Kaul | US | Atlanta | 2015-05-28 / 20150147562 - Protective Internal Coatings for Porous Substrates | 4 |
Bal Krishan Kaul | US | Fairfax | 2010-06-24 / 20100155300 - Process for producing gasoline of increased octane and hydrogen-containing co-produced stream | 1 |
Dilip Kaul | US | Hillsborough | 2013-09-26 / 20130252985 - Flavoring Systems for Pharmaceutical Compositions and Methods of Making Such Compositions | 2 |
Savita Kaul | IN | Mohkampur | 2012-10-11 / 20120255223 - PROCESS FOR CONVERSION OF LOW COST AND HIGH FFA OILS TO BIODIESEL | 1 |
Suveer Kaul | IN | Banagalore | 2010-11-04 / 20100281149 - Buffer system for managing service measurement requests | 1 |
Anmol Kaul | US | Stafford | 2008-12-25 / 20080314638 - Apparatus and Methods to Dissipate Heat in a Downhole Tool | 1 |
Harold R. Kaul | AU | Mt. Ousley | 2012-07-26 / 20120186703 - NITRIDING OF NIOBIUM STEEL AND PRODUCT MADE THEREBY | 1 |
Ashutosh Kaul | US | Scarsdale | 2013-01-31 / 20130030252 - Suction-Based Tissue Manipulator | 2 |
Dhananjay Kaul | US | White Plains | 2014-11-27 / 20140348848 - ANTI-IL-1BETA (INTERLEUKIN-1BETA) ANTIBODY-BASED PROPHYLACTIC THERAPY TO PREVENT COMPLICATIONS LEADING TO VASO-OCCLUSION IN SICKLE CELL DISEASE | 1 |
Franz Kaul | DE | Penzberg | 2010-09-09 / 20100224394 - Module Substrate and Production Method | 1 |
Anil K. Kaul | US | Tulsa | 2011-03-10 / 20110060251 - REMOTE CERVICAL DILATION MONITORING SYSTEM AND METHOD | 2 |
Matthias Kaul | DE | Aachen | 2013-07-04 / 20130167484 - "PROCESS FOR THE PRODUCTION OF A CONTAINER FOR FOODSTUFF FROM AN ALUMINIUM-FREE PLANAR COMPOSITE WITH AN INNER LAYER BY COLD FOLDING" | 1 |
Amit Kaul | IN | Bangalore | 2016-01-28 / 20160028672 - Message Controlled Application and Operating System Image Development and Deployment | 1 |
Sunil Kaul | JP | Tsukuba-Shi | 2012-11-29 / 20120302729 - ANTICANCER ANTI-MORTALIN PEPTIDE ANTIBODY | 2 |
Vijay Kumar Kaul | IN | Himachal Pradesh | 2010-04-29 / 20100102271 - Essential oil with citronellol and rose oxides from dracocephalum heterophyllum benth and a process thereof | 1 |
Dietmar Kaul | DE | Wetzlar | 2009-12-10 / 20090303459 - METHOD AND APPARATUS FOR CONTACTLESS DETERMINATION OF A LATERAL OFFSET RELATIVE TO A STRAIGHT-AHEAD DIRECTION | 1 |
Malvika Kaul | US | New Brunswick | 2015-10-29 / 20150307517 - ANTIMICROBIAL AGENTS | 5 |
Bal K. Kaul | US | Fairfax | 2011-11-10 / 20110275877 - Separation of Normal Paraffins from Isoparaffins Using Rapid Cycle Pressure Swing Adsorption | 13 |
Holger Kaul | DE | Schefflenz | 2011-07-07 / 20110162736 - AUTONOMOUS TEMPERATURE TRANSMITTER | 1 |
Ajay Kaul | US | Briarwood | 2013-11-28 / 20130316062 - SYSTEM AND METHOD FOR PRODUCING AERATED FOOD PRODUCTS UNDER CONDITIONS REQUIRING A DECREASED ELECTRICAL AND THERMAL LOAD | 1 |
Bansi Lal Kaul | CH | Biel-Benken | 2016-03-17 / 20160075849 - Organic Materials as Fire and Flame Retardent Synergists | 7 |
Inder Kaul | US | Waltham | 2015-06-11 / 20150157695 - MEDICAMENT FOR THERAPEUTIC TREATMENT AND/OR IMPROVEMENT OF SEPSIS | 4 |
Anuj Kaul | US | Santa Clara | 2015-08-06 / 20150223255 - MECHANISM AND APPARATUS TO PERFORM COOPERATIVE RESOURCE MANAGEMENT IN WIRELESS NETWORKS | 1 |
Vidyut Kaul | US | Mountain View | 2013-03-21 / 20130073914 - STORAGE MANAGEMENT SYSTEMS AND METHODS | 1 |
Stefan Kaul | DE | Hermsbach | 2014-12-25 / 20140374244 - FLUID CHAMBER DEVICE FOR A REACTION UNIT OF A REDOX DEVICE | 1 |
Rohit Kaul | US | Mountain View | 2012-07-05 / 20120173326 - Keyword bid management in an online advertising system | 2 |
Bhavuk Kaul | US | San Francisco | 2015-11-12 / 20150324065 - System and Method to Automatically Aggregate and Extract Key Concepts Within a Conversation by Semantically Identifying Key Topics | 13 |
Himanshu Kaul | US | Portland | 2015-10-01 / 20150280909 - Instruction and Logic for a Simon Block Cipher | 10 |
Sunil Kaul | JP | Ibaraki | 2008-10-23 / 20080260739 - Use of Anti-Mortalin 2 Antibody and Functional Nucleic Acid for Cancer Therapies | 1 |
Michael Kaul | DE | Hamburg | 2014-02-20 / 20140050668 - VISUALIZATION OF LIPID METABOLISM | 1 |
Anmol Kaul | US | Katy | 2011-11-10 / 20110272154 - DISSIPATING HEAT FROM A DOWNHOLE HEAT GENERATING DEVICE | 2 |
Richard T. Kaul | US | Hudson | 2014-01-09 / 20140012888 - Systems and Methods for Filter Initialization and Tuning | 3 |
Anupama B. Kaul | US | Arcadia | 2012-10-18 / 20120262809 - THERMALLY-RESILIENT, BROADBAND OPTICAL ABSORBER FROM UV-TO-IR DERIVED FROM CARBON NANOSTRUCTURES AND METHOD OF MAKING THE SAME | 7 |
Michael Kaul | US | Bristol | 2014-05-15 / 20140134018 - FLAMMABLE REFRIGERANT SYSTEMS AND COMPRESSORS | 1 |
Roger D. Kaul | US | Skyesville | 2014-03-13 / 20140070902 - BINARY BI-PHASE SHIFT MODULATOR | 1 |
Malvika Kaul | US | Somerset | 2012-03-08 / 20120059026 - ANTIMICROBIAL AGENTS | 2 |
Clint Kaul | US | San Mateo | 2014-09-11 / 20140258406 - PRESENTING INFORMATION IN A CONFERENCE | 6 |
Neeraj Kaul | US | Fremont | 2010-05-27 / 20100131913 - METHOD AND APPARATUS FOR SCALING I/O-CELL PLACEMENT DURING DIE-SIZE OPTIMIZATION | 2 |
Wolfgang Kaul | DE | Heilsbronn | 2014-06-19 / 20140170098 - NAIL VARNISH | 2 |
Ram Krishan Kaul | US | San Jose | 2009-02-19 / 20090049292 - Computer with Extensible Firmware Interface Implementing Parallel Storage-Device Enumeration | 1 |
Martin Kaul | DE | Neustadt | 2014-03-20 / 20140079714 - METHODS FOR TREATING PSORIASIS | 3 |
Pradman Kaul | US | Potomac | 2014-03-06 / 20140064179 - METHOD AND APPARATUS FOR A TRIPLE USE SATELLITE SYSTEM | 2 |
Sunil Kaul | JP | Tsukuba-Shi, Ibaraki | 2016-04-28 / 20160113888 - PHARMACEUTICAL COMPOSITION FOR TREATMENT OF CANCER | 1 |
Ulrike Kaul | US | El Cajon | 2014-04-24 / 20140112760 - REDUCTION OF EQUALLY SPACED TURBINE NOZZLE VANE EXCITATION | 1 |
Bhavuk Kaul | US | San Francisco | 2015-11-12 / 20150324065 - System and Method to Automatically Aggregate and Extract Key Concepts Within a Conversation by Semantically Identifying Key Topics | 13 |
Vijay Kaul | IN | New Delhi | 2012-02-02 / 20120029208 - PROCESS FOR (3R, 5R)-7-[2-(4-FLUOROPHENYL)-5-ISOPROPYL-3-PHENYL-4- [(4-HYDROXY METHYL PHENYL AMINO) CARBONYL]-PYRROL-1-YL]-3, 5-DIHYDROXY-HEPTANOIC ACID HEMI CALCIUM SALT | 2 |
Sanjeev Kaul | US | Troy | 2014-04-17 / 20140107697 - Clamping Forceps and Associated Methods | 1 |
Norbert Kaula | US | Arvada | 2016-04-28 / 20160114166 - METHOD AND SYSTEM OF QUICK NEUROSTIMULATION ELECTRODE CONFIGURATION AND POSITIONING | 62 |
Norbert F. Kaula | US | Arvada | 2016-03-24 / 20160081682 - SURGICAL ACCESS SYSTEM AND RELATED METHODS | 25 |
Dagmar Kaulartz | DE | Stolberg | 2012-08-30 / 20120220627 - Substituted 2-amino-quinoline-3-carboxamides as KCNQ2/3 modulators | 2 |
Peter D. Kaulbach | CA | Oakville | 2013-05-16 / 20130124283 - METHOD AND APPARATUS FOR DISTRIBUTING ADVERTISEMENTS USING SOCIAL NETWORKS AND FINANCIAL TRANSACTION CARD NETWORKS | 1 |
Jens-Uwe Kaulbach | DE | Lenting | 2009-03-19 / 20090071450 - Charger module for an internal combustion engine | 1 |
Thomas Kaulberg | DK | Smorum | 2015-11-26 / 20150341730 - HEARING DEVICE | 8 |
Thomas Kaulberg | DK | Smørum | 2015-03-05 / 20150063614 - METHOD OF PERFORMING AN RECD MEASUREMENT USING A HEARING ASSISTANCE DEVICE | 2 |
Thomas Kaulberg | DK | Smorum | 2015-11-26 / 20150341730 - HEARING DEVICE | 8 |
Nicole Kaulbersch | DE | Leinfelden | 2009-10-01 / 20090243264 - Cover for an airbag and method for the production thereof | 1 |
Witttich Kaule | DE | Emmering | 2013-04-18 / 20130093172 - SECURITY ELEMENT, VALUE DOCUMENT COMPRISING SUCH A SECURITY ELEMENT, AND METHOD FOR PRODUCING SUCH A SECURITY ELEMENT | 1 |
Brian Kaule | US | Yardley | 2013-10-24 / 20130279156 - LIGHTING ASSEMBLY | 1 |
Brian M. Kaule | US | Sheboygan | 2013-11-14 / 20130298321 - PLUMBING FIXTURE SEAT | 1 |
Brian M. Kaule | US | Cicero | 2008-11-06 / 20080271231 - AUTOMATED SEAT AND/OR LID ASSEMBLY FOR A TOILET | 1 |
Brian M. Kaule | US | Sheyboygan | 2014-02-20 / 20140047629 - AUTOMATED SEAT AND/OR LID ASSEMBLY FOR A TOILET | 1 |
Wittich Kaule | DE | Emmering | 2015-03-26 / 20150084962 - DEPICTION ARRANGEMENT | 14 |
Norbert Kaule | US | Arvada | 2009-07-30 / 20090192403 - System And Methods For Performing Surgical Procedures and Assessments | 1 |
Dirk Kaule | DE | Muenchen | 2015-07-16 / 20150201189 - Visualizing Specified Safety-Critical Information in a Vehicle | 1 |
Johannes Kaulen | DE | Odenthal | 2014-08-21 / 20140234162 - STABILIZATION OF PYROCARBONIC ACID DIESTERS BY FINELY DIVIDED SOLIDS | 12 |
Ryan W. Kauler | US | Telford | 2012-05-24 / 20120125076 - PORTABLE METERED FLOW APPARATUS FOR CALIBRATION/BUMP TESTING | 2 |
Heidi Kaulfuerst-Soboll | DE | Ascheberg | 2012-02-09 / 20120036600 - METHOD FOR GENERATING HYPOALLERGENIC GLYCOPROTEINS IN MUTATED OR TRANSGENIC PLANTS OR PLANT CELLS, AND MUTATED OR TRANSGENIC PLANTS AND PLANT CELLS FOR GENERATING HYPOALLERGENIC GLYCOPROTEINS | 2 |
Johannes Sejr Kaulfuss | DK | Ballerup | 2014-12-18 / 20140368979 - CONTROL DEVICE FOR CALL CONTROL | 1 |
Johannes Sejr Kaulfuss | DK | Solrod Strand | 2014-03-20 / 20140079270 - EAR APPLIANCE | 1 |
Oliver Kaulfuss | CA | New Westminster | 2015-05-07 / 20150122182 - CONTAINED SYSTEMS TO PROVIDE REPRODUCTIVE HABITAT FOR HERMETIA ILLUCENS | 1 |
Guenter Kaulfuss | DE | Falkensee | 2015-04-30 / 20150114684 - SUPPORT INSULATOR ARRANGEMENT | 2 |
Vijay V. Kaulgud | IN | Mumbai | 2011-05-12 / 20110112876 - Method and Tools for Progressively Scaling Maturity of Information Technology Organizations | 1 |
Vikrant S. Kaulgud | IN | Pune | 2016-03-17 / 20160077879 - ADAPTIVE ARCHITECTURE FOR A MOBILE APPLICATION BASED ON RICH APPLICATION, PROCESS, AND RESOURCE CONTEXTS AND DEPLOYED IN RESOURCE CONSTRAINED ENVIRONMENTS | 2 |
Vikrant Shyamkant Kaulgud | IN | Maharastra | 2012-12-13 / 20120317541 - RULE MERGING IN SYSTEM FOR MONITORING ADHERENCE BY DEVELOPERS TO A SOFTWARE CODE DEVELOPMENT PROCESS | 1 |
Vikrant Shyamkant Kaulgud | IN | Maharashtra | 2013-10-03 / 20130262197 - COMMUNITY ENERGY MANAGEMENT SYSTEM | 5 |
Alpana Kaulgud | US | Mountain View | 2015-02-26 / 20150054840 - APPLYING DIFFERENT TRANSPORT MECHANISMS FOR USER INTERFACE AND IMAGE PORTIONS OF A REMOTELY RENDERED IMAGE | 2 |
P. V. Kaulgud | IN | Pune | 2016-04-21 / 20160110482 - METHOD AND SYSTEM FOR MULTILATERAL VALIDATION OF WIRE HARNESS DESIGN OUTPUTS | 1 |
Vikrant Shyamkant Kaulgud | IN | Bangalore | 2014-09-18 / 20140282379 - COMPUTER-IMPLEMENTED METHOD, SYSTEM AND COMPUTER PROGRAM PRODUCT FOR DISPLAYING A USER INTERFACE COMPONENT | 2 |
Vikrant Shyamkant Kaulgud | IN | Pune | 2016-01-07 / 20160004622 - WORKFLOW TEST CASE GENERATION | 5 |
Vikrant Shyamkant Kaulgud | IN | Karvenagar | 2015-01-15 / 20150020048 - COMPONENT DISCOVERY FROM SOURCE CODE | 2 |
Vikrant Kaulgud | IN | Maharashtra | 2014-04-17 / 20140109049 - CODE QUALITY IMPROVEMENT | 2 |
Vikrant Kaulgud | IN | Pune | 2016-03-03 / 20160062739 - SERVICE EXTRACTION AND APPLICATION COMPOSITION | 3 |
Jörg Kauling | DE | Köln | 2009-07-16 / 20090180933 - REACTOR | 2 |
Jörg Kauling | DE | Bergisch Gladbach | 2014-12-25 / 20140373328 - METHOD OF PRODUCING IRRADIATION MODULES | 1 |
Burgund Kauling | DE | Bergisch Gladach | 2014-10-09 / 20140302483 - CELL SEPARATION METHOD | 1 |
Joerg Kauling | DE | Koln | 2011-07-07 / 20110165677 - METHOD FOR REDUCING DEPOSITS DURING THE CULTIVATION OF ORGANISMS | 3 |
Joerg Kauling | DE | Bergisch Gladbach | 2015-08-06 / 20150218501 - DISPOSABLE BOTTLE REACTOR TANK | 7 |
Jörg Kauling | DE | Koln | 2014-10-02 / 20140293734 - REACTOR | 9 |
Jörg Kauling | DE | Koln | 2011-12-22 / 20110309058 - METHOD FOR THE PRODUCTION OF IRRADIATION MODULES | 3 |
Jörg Kauling | DE | Koln | 2014-10-02 / 20140293734 - REACTOR | 9 |
Joerg Kauling | DE | Bersch Gladbach | 2012-04-26 / 20120100605 - DEVICE FOR CULTIVATING CELLS AND/OR MICROORGANISMS | 1 |
Alpo Kaulio | FI | Helsinki | 2010-09-09 / 20100226153 - METHOD AND ARRANGEMENT IN CONNECTION WITH HALF-CONTROLLED NETWORK BRIDGE | 1 |
Alfred P. Kaulius | US | Muskegon | 2008-10-30 / 20080269041 - Crucible for melting high chromium alloys | 1 |
Tamar Kaully | IL | Adi | 2011-12-01 / 20110293722 - HYDROGEL SPONGES, METHODS OF PRODUCING THEM AND USES THEREOF | 6 |
Thomas N. Kaulouris | US | Belmont | 2009-07-30 / 20090191466 - Battery | 1 |
Joshua M. Kauman | US | Orchard Lake | 2012-04-19 / 20120094764 - WEB-BASED ADVERTISEMENT AND SWEEPSTAKES SYSTEM | 1 |
Alan Robert Kauman | US | Crown Point | 2014-09-18 / 20140272296 - SURFACE APPEARANCE SIMULATION SYSTEMS AND METHODS | 1 |
Pravin Kaumaya | US | Westerville | 2014-01-09 / 20140010831 - HER-2 PEPTIDES | 7 |
Donald L. Kaump | US | Seattle | 2015-02-19 / 20150047293 - CEILING TILE SYSTEM | 3 |
Thomas D. Kaun | US | New Lenox | 2013-02-07 / 20130034770 - FLEXIBLE, POROUS CERAMIC COMPOSITE FILM | 3 |
Darius J. Kaunas | US | Medina | 2015-02-26 / 20150052712 - SOLAR PANEL CLAMP | 2 |
Subramanian Kaundinya | US | Arlington | 2010-05-20 / 20100125043 - GLYCAN DATA MINING SYSTEM | 1 |
Murali P. Kaundinya | US | Bridgewater | 2011-01-13 / 20110009707 - Telehealth Scheduling and Communications Network | 1 |
Ganesh Kaundinya | US | Bedford | 2016-04-07 / 20160097074 - DEFINED GLYCOPROTEIN PRODUCTS AND RELATED METHODS | 14 |
Ganesh V. Kaundinya | US | Bedford | 2014-03-20 / 20140080218 - METHODS OF EVALUATING PEPTIDE MIXTURES | 2 |
Ganesh Kaundinya | US | Cambridge | 2015-12-24 / 20150368317 - METHODS RELATED TO CTLA4-FC FUSION PROTEINS | 1 |
Muthukumar G. Kaundinya | US | San Francisco | 2011-04-14 / 20110087512 - SYSTEM AND METHOD FOR TRACKING ALERTS | 1 |
John Kaundinya | US | Morgan Hill | 2012-02-02 / 20120027769 - ANTI-RHD MONOCLONAL ANTIBODIES | 1 |
Ganesh Kaundinya | US | Bedford | 2016-04-07 / 20160097074 - DEFINED GLYCOPROTEIN PRODUCTS AND RELATED METHODS | 14 |
San Kaung | SG | Singapore | 2011-10-06 / 20110241843 - BATTERY-LESS OPERATED BI-STABLE DISPLAY BY WIRELESS POWER TRANSMISSION | 1 |
Hannu Kauniskangas | FI | Oulu | 2014-06-05 / 20140155053 - SYSTEM AND METHOD FOR MOBILE TELEPHONE AND UPNP CONTROL POINT INTEGRATION | 3 |
Risto Kaunisto | FI | Espoo | 2013-09-19 / 20130244599 - TRANSMITTER | 10 |
Risto H.s. Kaunisto | FI | Espoo | 2010-05-06 / 20100109714 - Frequency synthesizer having a plurality of independent output tones | 2 |
Ronald R. Kaunisto | US | Dafter | 2014-09-25 / 20140283933 - SCR SYSTEM COMPRISING A REDUCTANT DISTRIBUTOR | 1 |
Risto Heikki Sakari Kaunisto | FI | Espoo | 2012-03-22 / 20120068813 - DATA PROCESSING APPARATUS AND ASSOCIATED USER INTERFACES AND METHODS | 5 |
Risto Heikki Kaunisto | FI | Espoo | 2015-03-19 / 20150078464 - FILTER CIRCUITRY | 1 |
Ismo Kaunisto | FI | Turku | 2015-12-31 / 20150380978 - WIRELESS CHARGER | 1 |
Peter G. Kaup | US | Marlton | 2010-05-20 / 20100123617 - METHOD AND SYSTEM FOR MONOPULSE RADAR TARGET ANGLE DETERMINATION | 1 |
Burkhard Kaup | DE | Andernach | 2015-12-17 / 20150360444 - METHOD FOR THE PRODUCTION OF AN ALUMINIZED PACKAGING STEEL | 3 |
Björn-Arne Kaup | DE | Köln | 2009-07-09 / 20090176294 - METHOD FOR THE SUBMERGED CULTIVATION OF FILAMENTOUS ORGANISMS | 1 |
Professor André Kaup | DE | Effeltrich | 2014-02-20 / 20140049607 - Devices and Methods for Sparse Representation of Dense Motion Vector Fields for Compression of Visual Pixel Data | 2 |
Amith Kamath Kaup | US | Kirkland | 2013-04-04 / 20130086558 - Testing Program Code in Multiple Process Modes | 1 |
Barry J. Kaup | US | Broomfield | 2016-02-11 / 20160038231 - SURGICAL INSTRUMENTS AND METHODS FOR PERFORMING TONSILLECTOMY AND ADENOIDECTOMY PROCEDURES | 2 |
Andre Kaup | DE | Effeltrich | 2015-12-17 / 20150365682 - AUTOGRESSIVE PIXEL PREDICTION IN THE NEIGHBORHOOD OF IMAGE BORDERS | 9 |
Marianne Kaup | CA | New Hamburg | 2012-03-15 / 20120064625 - Nucleic Acids, Polypeptides, Compositions, and Methods for Modulating Apoptosis | 1 |
André Kaup | DE | Effeltrich | 2012-11-15 / 20120288213 - METHOD FOR REDUCING NOISE FOR CODING OF NOISY IMAGES OR IMAGE SEQUENCES | 3 |
Björn-Arne Kaup | DE | Köln | 2009-07-09 / 20090176294 - METHOD FOR THE SUBMERGED CULTIVATION OF FILAMENTOUS ORGANISMS | 1 |
David Fabian Kaup | DE | Moenchengladbach | 2015-08-06 / 20150220703 - SYSTEMS AND METHODS FOR PROCESSING HOUSE CALLS | 1 |
Matthias Kaup | DE | Berlin | 2013-03-07 / 20130059335 - USE OF A GENETICALLY MODIFIED CELL LINE EXPRESSING FUNCTIONAL ASIALOGLYCOPROTEIN RECEPTOR IN THE PRODUCTION OF SIALYLATED GLYCOPROTEINS | 1 |
Carsten Kaup | DE | Coesfeld | 2012-05-10 / 20120116625 - Method for Operating an Internal Combustion Engine | 2 |
Andre Kaup | DE | Effeltrich | 2015-12-17 / 20150365682 - AUTOGRESSIVE PIXEL PREDICTION IN THE NEIGHBORHOOD OF IMAGE BORDERS | 9 |
Professor André Kaup | DE | Effeltrich | 2013-11-14 / 20130300940 - METHOD FOR PROCESSING A COMPRESSED VIDEO STREAM | 1 |
Thomas Kaup | CH | Davos Frauenkirch | 2015-08-20 / 20150230837 - Intermedullary Pin for Insertion into the Medullary Space of a Femur | 2 |
George M. Kaupas | US | Austin | 2009-06-25 / 20090164423 - METHOD AND APPARATUS FOR EXTRACTING DATA FROM A DATA STORE | 1 |
Konrad A. Kauper | US | Sutton | 2015-11-19 / 20150328312 - CELL LINES THAT SECRETE ANTI-ANGIOGENIC ANTIBODY-SCAFFOLDS AND SOLUBLE RECEPTORS AND USES THEREOF | 2 |
Herbert Rudolf Kauper | DE | Erlangen | 2016-03-17 / 20160075001 - MOUNTING WRENCH FOR A TOOL HEAD | 12 |
Herbert R. Kauper | DE | Nurnberg | 2008-08-21 / 20080199268 - DRILLING TOOL | 1 |
Herbert Kauper | DE | Numberg | 2010-04-15 / 20100092259 - DRILL BIT FOR DRILLING HAVING AT LEAST TWO CUTTING EDGES, EACH WITH TWO CUTTING PORTIONS AND A NON-CUTTING PORTION BETWEEN THE TWO CUTTING PORTIONS | 1 |
Konrad Kauper | US | Sutton | 2016-05-05 / 20160120695 - USE OF PEDF IN AN ENCAPSULATED CELL-BASED DELIVERY SYSTEM | 5 |
Herbert Rudolf Kauper | DE | Nurnberg | 2009-01-22 / 20090020963 - COMBINATION OF THE CHUCKING DEVICE AND A DRILL AND A CHUCKING DEVICE FOR A DRILL WITH CUTTING TIPS ON BOTH ENDS | 1 |
Konard Kauper | US | 2012-06-07 / 20120141573 - Cell Lines That Secrete Anti-Angiogenic Antibody-Scaffolds and Soluble Receptors and Uses Thereof | 1 | |
Herbert Kauper | DE | Erlangen | 2011-06-23 / 20110150589 - Drill | 1 |
Peter Kauper | CH | Lausanne | 2011-10-06 / 20110244044 - COMPOUND, MEDICAMENT, VACCINE COMPOSITION AND NANOCAPSULES | 3 |
Herbert Rudolf Kauper | DE | Erlangen | 2016-03-17 / 20160075001 - MOUNTING WRENCH FOR A TOOL HEAD | 12 |
Andreas Kaupert | DE | Esslingen | 2014-12-25 / 20140375117 - ARRANGEMENT COMPRISING A FUEL CELL SYSTEM | 15 |
Kevin A. Kaupert | US | Reno | 2009-01-01 / 20090004032 - Deswirl mechanisms and roller bearings in an axial thrust equalization mechanism for liquid cryogenic turbomachinery | 1 |
Bertram Kaupert | DE | Oberaudorf | 2011-11-03 / 20110267431 - METHOD AND APPARATUS FOR DETERMINING THE 3D COORDINATES OF AN OBJECT | 1 |
James V. Kauphusman | US | Newport Beach | 2013-12-19 / 20130338467 - Electrode catheter device with indifferent electrode for direct current tissue therapies | 9 |
James V. Kauphusman | US | Newport Beach | 2013-12-19 / 20130338467 - Electrode catheter device with indifferent electrode for direct current tissue therapies | 9 |
James V. Kauphusman | US | Champlin | 2015-10-15 / 20150289932 - Magnetically guided catheters | 23 |
Klemens Kaupmann | CH | Basel | 2009-05-28 / 20090136970 - NOGO RECEPTOR HOMOLOGUES AND THEIR USE | 1 |
Günter Kaupp | DE | Neuhaus | 2012-05-24 / 20120125229 - PRINTING INK, IN PARTICULAR INK-JET INK, CONTAINING PEARLESCENT PIGMENTS BASED ON FINE AND THIN SUBSTRATES | 14 |
John Kaupp | US | Rochester | 2015-01-22 / 20150020543 - Jewelry Article With Replaceable Ornaments | 3 |
Eberhard Kaupp | DE | Dorfen | 2013-01-17 / 20130014922 - Coiled Heat Exchanger Having Different Materials | 2 |
Gundolf Kaupp | DE | Horb-Altheim | 2009-07-09 / 20090173176 - MECHANICAL ADJUSTING DEVICE FOR ADJUSTING AN ADJUSTING ELEMENT | 1 |
Patrick A. Kaupp | CA | Medicine Hat | 2011-01-13 / 20110006068 - PORTABLE FLUID STORAGE TANK AND METHOD OF USE | 3 |
Ulrich Kaupp | DE | Aachen | 2009-04-23 / 20090104644 - GENETICALLY MODIFIED CYCLIC-NUCLEOTIDE CONTROLLED ION CHAN | 1 |
Jochen Kaupp | DE | Waldachtal | 2013-10-03 / 20130259594 - FIXING ELEMENT | 2 |
Tobias Kaupp | AU | St Peters | 2014-12-04 / 20140356817 - SYSTEMS AND METHODS FOR ARRANGING FIREARMS TRAINING SCENARIOS | 1 |
Ansgar Kaupp | DE | Hamburg | 2015-07-30 / 20150213060 - APPARATUS AND METHOD FOR COMPARING TWO FILES CONTAINING GRAPHICS ELEMENTS AND TEXT ELEMENTS | 1 |
Klaus Kaupp | DE | Waldachtal | 2010-07-22 / 20100181155 - DAMPING DEVICE AND FASTENING ARRANGEMENT | 1 |
Klaus Kaupp | DE | Stuttgart | 2015-12-24 / 20150367496 - HANDHELD WORK APPARATUS | 5 |
Stefan Kaupp | DE | Tubingen | 2015-03-19 / 20150076249 - MULTISTAGE EJECTOR | 1 |
Cale Patrick Collins Kaupp | CA | Medicine Hat | 2015-01-29 / 20150027667 - CONDENSING HEAT RECOVERY UNIT FOR A PORTABLE FLUID HEATER | 1 |
Patrick Kaupp | DE | Stuttgart | 2015-10-22 / 20150301148 - Method for Checking an Electrical Current Measurement, Circuit for Carrying Out the Method, Battery and Motor Vehicle | 2 |
Günter Kaupp | DE | Neuhaus | 2015-10-15 / 20150291799 - Pigment with Photocatalytic Activity, Method for the Production Thereof and Coating Agent | 17 |
Michael Kaupp | DE | Horb | 2012-01-05 / 20120000291 - FIXING DEVICE AND METHOD FOR FIXING IN AN OPENING IN A WALL | 1 |
Günter Kaupp | DE | Neuhaus | 2012-05-24 / 20120125229 - PRINTING INK, IN PARTICULAR INK-JET INK, CONTAINING PEARLESCENT PIGMENTS BASED ON FINE AND THIN SUBSTRATES | 14 |
Kilian Kaupper | DE | Recklinghausen | 2013-04-25 / 20130098736 - TRANSPORT DEVICE FOR SLABS, COMPRISING AT LEAST TWO LINEAR CONVEYING SECTIONS WHICH CAN PIVOT INDEPENDENTLY OF EACH OTHER | 1 |
Jani Kauppi | FI | Helsinki | 2015-06-25 / 20150174358 - LIQUID SEPARATOR FOR REMOVING A LIQUID FROM A SAMPLE OF A BREATHING GAS AND AIRWAY ADAPTER | 3 |
Janne Kauppi | FI | Lappeenranta | 2014-08-21 / 20140231364 - METHOD FOR PREPARING A PRECOAT ON THE SURFACE OF THE FILTER MEDIUM OF A POLISHING FILTER, POLISHING FILTER AND USE OF A POLISHING FILTER | 1 |
Martti Kauppi | FI | Kajaani | 2014-05-15 / 20140134074 - DEVICE FOR HANDLING MICROFLUIDS AND A METHOD OF MANUFACTURING A DEVICE FOR HANDLING MICROFLUIDS | 1 |
Erik Kauppi | US | Ann Arbor | 2012-11-15 / 20120286615 - Permanent Magnet Motor with Field Weakening | 3 |
Annika Kauppi | SE | Hasselby | 2015-04-23 / 20150110663 - METHOD OF MAKING A CBN MATERIAL | 1 |
Anna Kauppi | FI | Lappeenranta | 2016-03-03 / 20160060815 - PROCESS FOR TREATING CELLULOSE FIBRES IN ORDER TO PRODUCE A COMPOSITION COMPRISING MICROFIBRILLATED CELLULOSE AND A COMPOSITION PRODUCED ACCORDING TO THE PROCESS | 2 |
Erik A. Kauppi | US | Ann Arbor | 2014-05-08 / 20140129112 - Programmable Gauge | 1 |
Anna Kauppi | FI | Helsinki | 2015-10-29 / 20150308017 - MIXING DRYING OF NANOFIBRILLATED POLYSACCHARIDE | 2 |
Jani Mikael Kauppi | FI | Helsinki | 2012-06-21 / 20120151990 - HOLDER FOR A LIQUID SEPARATOR AND GAS ANALYZER FOR ANALYZING RESPIRATORY GAS SAMPLES | 2 |
Timo Kauppila | FI | Espoo | 2015-10-08 / 20150289074 - MICROPHONE DEVICE, METHOD TO OPERATE AND A SYSTEM THEREOF | 1 |
Jarmo Kauppila | FI | Kajaani | 2015-10-29 / 20150312350 - MULTI-CHANNEL SENSOR MEASUREMENT METHOD AND SYSTEM | 1 |
Raymond W. Kauppila | US | Marquette | 2010-03-11 / 20100059205 - Cooling arrangement for conveyors and other applications | 1 |
Tiina Kauppila | FI | Helsinki | 2009-06-25 / 20090159790 - METHOD AND SYSTEM FOR DESORBING AND IONIZING CHEMICAL COMPOUNDS FROM SURFACES | 1 |
Richard W. Kauppila | US | Negaunee | 2010-03-11 / 20100059205 - Cooling arrangement for conveyors and other applications | 3 |
Richard Kauppila | US | Negaunee | 2010-03-25 / 20100075830 - Activated carbon separation and reuse | 1 |
Timo Pekka Kauppila | FI | Espoo | 2016-02-11 / 20160040461 - Magnetic Lock | 1 |
Greg M. Kauppila | US | Ashland | 2012-10-25 / 20120270716 - TUBE IN A TUBE MECHANICAL FOLDING ROLL | 3 |
Risto Kauppinen | FI | Helsinki | 2010-03-18 / 20100067444 - TELEPHONY SERVICES IN MOBILE IP NETWORKS | 1 |
Tuukka Kauppinen | FI | Hyvinkaa | 2012-11-15 / 20120286713 - DETERMINATION OF THE POSITION OF THE ROTOR OF AN ELECTRIC MACHINE | 9 |
Sami Kauppinen | FI | Vantaa | 2013-03-28 / 20130078388 - APPARATUS AND METHOD FOR CHARGING NANOPARTICLES | 1 |
Esko I. Kauppinen | FI | Helsinki | 2015-07-30 / 20150209823 - METHOD FOR DEPOSITING HIGH ASPECT RATIO MOLECULAR STRUCTURES | 7 |
Tero Kauppinen | FI | Espoo | 2015-11-19 / 20150334672 - METHOD, CONTROL NODE, GATEWAY AND COMPUTER PROGRAM FOR ENABLING COMMUNICATION WITH A NEWLY DETECTED DEVICE | 6 |
Lasse Juhana Kauppinen | FI | Anjalankoski | 2009-01-08 / 20090008566 - GEIGER MODE AVALANCHE PHOTODIODE | 1 |
Markus Sakari Kauppinen | DK | Kobenhavn | 2013-12-12 / 20130330781 - Endoglucanases | 1 |
Esko Kauppinen | FI | Helsinki | 2014-11-27 / 20140348721 - SINGLE, MULTI-WALLED, FUNCTIONALIZED AND DOPED CARBON NANOTUBES AND COMPOSITES THEREOF | 2 |
Juha Kauppinen | FI | Espoo | 2015-04-02 / 20150094513 - Apparatus and Method for Combining Machine Limitations Information with Target Motion Boundary Information | 10 |
Tuukka Kauppinen | FI | Hyvinkaa | 2012-11-15 / 20120286713 - DETERMINATION OF THE POSITION OF THE ROTOR OF AN ELECTRIC MACHINE | 9 |
Kari Kauppinen | FI | Varkaus | 2013-10-31 / 20130284120 - Circulating Fluidized Bed Boiler Having Two External Heat Exchangers for Hot Solids Flow | 5 |
Tuukka Kauppinen | CN | Suzhou | 2014-01-30 / 20140027209 - METHOD FOR MONITORING THE OPERATING CONDITION OF AN ELEVATOR SYSTEM | 4 |
Jyrki Kauppinen | FI | Ilmarinen | 2011-08-25 / 20110205545 - SUPPORTING STRUCTURE FOR A MOVABLE MIRROR, METHOD FOR REDUCING THE TILTING OF A MOVABLE MIRROR, AND INTERFEROMETER | 3 |
Esa H. Kauppinen | FI | Jyvaskyla | 2010-08-26 / 20100212940 - Soldermask-less printed wiring board | 1 |
Ossi Kauppinen | FI | Vantaa | 2015-10-22 / 20150302770 - TOOTHBRUSH MONITORING DEVICE | 3 |
Sakari Kauppinen | DK | Smoerum | 2014-07-10 / 20140194491 - MODULATION OF MICRORNA-138 FOR THE TREATMENT OF BONE LOSS | 4 |
Ville Kauppinen | FI | Turku | 2010-08-12 / 20100203194 - FEMENTED FOOD PRODUCT | 1 |
Sakari Kauppinen | DK | Smorum | 2016-03-03 / 20160060627 - Pharmaceutical Composition for Inhibition of Disease-inducing microRNAs | 15 |
Juha Kauppinen | FI | Hameenkoski | 2009-10-22 / 20090261175 - APPARATUS FOR THE HEAT STORAGE OF FOODSTUFFS | 1 |
Hannu Kauppinen | FI | Helsinki | 2010-12-09 / 20100309058 - SYSTEM AND METHODS FOR DIRECTION FINDING USING A HANDHELD DEVICE | 2 |
Mika Kauppinen | FI | Siilinjarvi | 2013-05-02 / 20130104461 - COATING MATERIAL, COATING AND COATED OBJECT | 1 |
Jani Kauppinen | FI | Kiiminki | 2013-12-05 / 20130321055 - HIGH VOLTAGE CLAMP CIRCUIT | 1 |
Hannu Pekka Kauppinen | FI | Helsinki | 2010-10-14 / 20100259450 - INDOOR POSITIONING SYSTEM AND METHOD | 2 |
Juha Kauppinen | FI | Espoo | 2015-04-02 / 20150094513 - Apparatus and Method for Combining Machine Limitations Information with Target Motion Boundary Information | 10 |
Sami Kauppinen | FI | Helsinki | 2013-06-27 / 20130164452 - APPARATUS AND METHOD FOR COATING GLASS SUBSTRATE | 3 |
Juha Kauppinen | FI | Mikkeli | 2010-10-21 / 20100266761 - METHOD FOR MANUFACTURING AN EXTREMELY HYDROPHOBIC SURFACE | 1 |
Markus Sakari Kauppinen | DK | Kobenhavn N | 2010-05-06 / 20100107342 - ENDOGLUCANASES | 1 |
Sarabjot Kaur | GB | Greater Manchester | 2013-05-09 / 20130114642 - TEMPERATURE RECORDER COMPRISING A LIQUID CRYSTALLINE MATERIAL | 1 |
Rajdeep Kaur | US | Warren | 2014-12-18 / 20140368499 - Virtual Fitting Room | 1 |
Amanpreet Kaur | FI | Turku | 2015-07-30 / 20150209369 - COMBINATION THERAPY III | 3 |
Zachary Kaur | US | Bloomington | 2015-05-28 / 20150148520 - SINGLE-CHAIN INSULIN AGONISTS EXHIBITING HIGH ACTIVITY AT THE INSULIN RECEPTOR | 1 |
Jaskiran Kaur | IN | Amritsar | 2010-09-02 / 20100222393 - MUSCARINIC RECEPTOR ANTAGONISTS | 3 |
Manmeet Kaur | US | Iselin | 2015-10-01 / 20150281362 - SYSTEM FOR MOBILE APPLICATION NOTARY SERVICE | 1 |
Tandeep Kaur | IN | Jammu | 2013-03-28 / 20130079396 - Anticancer and Tubulin Polymerisation Inhibition Activity of Benzylidene Indanones and Process of Preparing the Same | 1 |
Kirandeep Kaur | IN | Haryana | 2009-04-23 / 20090105221 - MUSCARINIC RECEPTOR ANTAGONISTS | 1 |
Kulwant Kaur | US | Maple Grove | 2013-06-20 / 20130156696 - HUMAN ANTIBODIES AGAINST PSEUDOMONAS AERUGINOSA LPS DERIVED FROM TRANSGENIC XENOMOUSE | 1 |
Kirandeep Kaur | IN | Gurgaon | 2010-03-04 / 20100056496 - MUSCARINIC RECEPTOR ANTAGONISTS | 7 |
Ravinder Kaur | US | Pittsford | 2014-10-23 / 20140314803 - COMPOSITIONS AND METHODS RELATED TO P6 | 2 |
Aman P. Kaur | US | Lexington | 2015-12-24 / 20150372333 - NON-AQUEOUS REDOX FLOW BATTERIES INCLUDING 3,7-PERFLUOROALKYLATED PHENOTHIAZINE DERIVATIVES | 1 |
Sandeep Kaur | IN | Gurgaon | 2015-10-22 / 20150297738 - CONJUGATES COMPRISING HYDROXYALKYL STARCH AND A CYTOTOXIC AGENT AND PROCESS FOR THEIR PREPARATION | 5 |
Sarbjeet Kaur | AU | New South Wales | 2008-08-28 / 20080206439 - Process for the Production of Lupin Extracts | 1 |
Simran Preet Kaur | US | West Chester | 2013-09-19 / 20130243717 - SUPERABSORBENT POLYMERS AND SILICONE ELASTOMER FOR USE IN HAIR CARE COMPOSITIONS | 1 |
Simarna Kaur | US | Green Brook | 2012-02-23 / 20120045529 - COMPOSITIONS COMPRISING PAULOWNIA TOMENTOSA WOOD EXTRACTS AND USES THEREOF | 11 |
Amandeep Kaur | US | Frederick | 2014-07-31 / 20140212494 - Methods of Improving Digestive Health | 1 |
Zach Kaur | US | Bloomington | 2013-08-08 / 20130203665 - SINGLE-CHAIN INSULIN AGONISTS EXHIBITING HIGH ACTIVITY AT THE INSULIN RECEPTOR | 1 |
Mandeep Kaur | US | 2012-09-13 / 20120231090 - MOLECULAR BIOMARKER SET FOR EARLY DETECTION OF OVARIAN CANCER | 1 | |
Tanpreet Kaur | IN | Lucknow | 2011-04-21 / 20110092585 - IMMUNOMODULATORY PHARMACEUTICAL COMPOSITION AND A PROCESS FOR PREPARATION THEREOF | 1 |
Sukhvinder Kaur | US | Dublin | 2010-09-16 / 20100234271 - NATURAL CLEANERS | 2 |
Harjinder Kaur | US | Reading | 2014-05-22 / 20140142479 - LUGGAGE AND BAG MASSAGER | 1 |
Harpreet Kaur | CA | Brampton | 2013-01-31 / 20130029980 - FLAVIN DERIVATIVES | 4 |
Kulwant Kamboj Kaur | US | Maple Grove | 2015-06-25 / 20150175680 - HUMAN ANTIBODIES AGAINST PSEUDOMONAS AERUGINOSA LPS DERIVED FROM TRANSGENIC XENOMOUSE | 1 |
Manmeet Kaur | US | Atlanta | 2016-03-31 / 20160092887 - APPLICATION LICENSE DISTRIBUTION AND MANAGEMENT | 1 |
Jatinder Kaur | AU | Taylors Hill | 2016-02-18 / 20160046901 - Endophytes and related methods | 2 |
Balveen Kaur | US | Columbus | 2015-10-15 / 20150290300 - Materials and Methods Useful for Treating Glioblastoma | 1 |
Irvinder Kaur | US | Westborough | 2015-07-30 / 20150212414 - IONIC THERMAL ACID GENERATORS FOR LOW TEMPERATURE APPLICATIONS | 4 |
Harmanpreet Kaur | CA | Windsor | 2011-04-14 / 20110086363 - Method and apparatus to conduct kinetic analysis of platelet function in whole blood samples | 1 |
Harpreet Kaur | IN | New Delhi | 2013-12-19 / 20130339083 - PRODUCT PLACEMENT IN RETAIL SETTINGS | 1 |
Kamalijit Kaur | CA | Edmonton | 2013-08-01 / 20130196897 - Glucosamine Pro-drug | 1 |
Balveen Kaur | US | Dublin | 2013-11-28 / 20130316447 - ONCOLYTIC VIRUS | 2 |
Samian Kaur | US | Conshohocken | 2011-08-04 / 20110188484 - METHOD AND APPARATUS FOR IMPLEMENTING A BLANKET WIRELESS LOCAL AREA NETWORK CONTROL PLANE | 5 |
Sukhdeep Kaur | IN | Maharashtra | 2011-02-24 / 20110046326 - CATALYST SYSTEM FOR POLYMERIZATION OF OLEFINS | 1 |
Simarna Kaur | US | Branchburg | 2014-02-06 / 20140037563 - COMPOSITIONS COMPRISING PAULOWNIA TOMENTOSA WOOD EXTRACTS AND USES THEREOF | 1 |
Jasdeep Kaur | IN | Kapurthala | 2014-10-30 / 20140323338 - BIOMARKERS FOR PREDICTION, DIAGNOSIS, AND MONITORING OF ALZHEIMER'S DISEASE | 4 |
Jas Deep Kaur | IN | Chandigarh | 2012-03-22 / 20120070908 - IMMUNO CONJUGATE AND PROCESS FOR PREPARATION THEREOF | 1 |
Satwant Kaur | US | San Jose | 2014-05-01 / 20140122936 - AUTOMATED TOP DOWN PROCESS TO MINIMIZE TEST CONFIGURATIONS FOR MULTI-FEATURE PRODUCTS | 3 |
Manmeet Kaur | US | Roseville | 2014-11-27 / 20140351044 - PROVISION OF RELEVANT OFFERS BASED ON GPS DATA | 1 |
Harvinder Kaur | US | 2012-05-10 / 20120114570 - COLLAGEN EXTRACTION FROM AQUATIC ANIMALS | 1 | |
Sarabjot Kaur | GB | Manchester | 2011-12-22 / 20110310926 - SENSOR | 1 |
Samian Kaur | US | Plymouth Meeting | 2016-04-21 / 20160112896 - USER-PLANE CONGESTION MANAGEMENT | 24 |
Jaslok Kaur | US | Erie | 2012-08-02 / 20120193300 - METHOD AND SYSTEM FOR AUTOMATED WATER DRAINAGE IN FUEL SYSTEM | 1 |
Randeep Kaur | CA | Saskatoon | 2014-10-23 / 20140314850 - FUNCTIONALIZED NANODIAMONDS AS DELIVERY PLATFORMS FOR NUCLEIC ACIDS | 1 |
Dipendra Kaur | US | Cumming | 2010-01-21 / 20100017229 - SYSTEM AND METHOD FOR CHRONIC ILLNESS CARE | 1 |
Samian J. Kaur | US | Plymouth Meeting | 2015-08-27 / 20150245262 - METHOD AND APPARATUS FOR SMALL CELL DISCOVERY IN HETEROGENEOUS NETWORKS | 12 |
Daljeet Kaur | IN | Mumbai | 2012-05-24 / 20120128062 - METHOD AND APPARATUS FOR SPLICING A COMPRESSED DATA STREAM | 2 |
Sukhdeep Kaur | IN | Faridabad | 2015-10-01 / 20150274857 - DISTANCE MEASURING METHOD AND DISTANCE MEASURING ELEMENT | 4 |
Sukhbir Kaur | US | Bethesda | 2015-04-23 / 20150111768 - METHODS FOR GENERATION OF PLURIPOTENT AND MULTIPOTENT CELLS | 1 |
Samian J. Kaur | US | Plymouth Meeting | 2015-08-27 / 20150245262 - METHOD AND APPARATUS FOR SMALL CELL DISCOVERY IN HETEROGENEOUS NETWORKS | 12 |
Navneet Kaur | US | Danbury | 2013-10-31 / 20130289278 - Methods for the synthesis of activated ethylfumarates and their use as intermediates | 1 |
Manmeet Kaur | US | Freehold | 2015-10-22 / 20150304307 - APPLICATION SIGNING | 1 |
Samian Kaur | US | Plymouth Meeting | 2016-04-21 / 20160112896 - USER-PLANE CONGESTION MANAGEMENT | 24 |
Gurmeet Kaur | IN | New Delhi | 2012-06-28 / 20120165320 - NOVEL AZABICYCLOHEXANES | 1 |
Harjeet Kaur | US | Deforest | 2009-12-17 / 20090311278 - Novel teleost derived antimicrobial polypeptides | 1 |
Simarna Kaur | US | Neshanic Station | 2015-09-17 / 20150257992 - TOPICAL APPLICATION OF 1-HYDROXYL 3,5-BIS(4'HYDROXYL STYRYL)BENZENE | 2 |
Simarna Kaur | US | Green Brook | 2012-02-23 / 20120045529 - COMPOSITIONS COMPRISING PAULOWNIA TOMENTOSA WOOD EXTRACTS AND USES THEREOF | 11 |
Irvinder Kaur | US | Durham | 2011-06-02 / 20110130594 - CLASS OF SOLUBLE, PHOTOOXIDATIVELY RESISTANT ACENE DERIVATIVES | 2 |
Manmeet Kaur | IN | Bangalore | 2015-06-11 / 20150161623 - GENERATING CUSTOMER PROFILES USING TEMPORAL BEHAVIOR MAPS | 1 |
Jasmeet Kaur | US | Woodbury | 2014-04-10 / 20140099871 - SELF-CONTAINED FIBROUS BUFFING ARTICLE | 1 |
Navneet Kaur | US | Fremont | 2010-02-25 / 20100049021 - DEVICES, SYSTEMS, METHODS AND TOOLS FOR CONTINUOUS ANALYTE MONITORING | 1 |
Surinder Kaur | US | Lafayette | 2012-12-13 / 20120315645 - MULTIPLE REACTION MONITORING LC-MS/MS METHOD TO DETECT THERAPEUTIC ANTIBODIES IN ANIMAL SAMPLES USING FRAMEWORK SIGNATURE PEPTIDES | 2 |
Irvinder Kaur | US | Northborough | 2015-04-30 / 20150118618 - PHOTOACID GENERATOR, PHOTORESIST, COATED SUBSTRATE, AND METHOD OF FORMING AN ELECTRONIC DEVICE | 2 |
Mandeep Kaur | SA | Thuwal | 2015-12-24 / 20150368620 - METHOD OF DETACHING ADHERENT CELLS FOR FLOW CYTOMETRY | 3 |
Indu Pal Kaur | IN | Chandigarh | 2014-11-27 / 20140348938 - PROCESS FOR PREPARING SOLID LIPID SUSTAINED RELEASE NANOPARTICLES FOR DELIVERY OF VITAMINS | 1 |
Malhi Charanjeet Kaur | IN | Bangalore | 2011-02-03 / 20110023632 - SUB-THRESHOLD ELASTIC DEFLECTION FET SENSOR FOR SENSING PRESSURE/FORCE, A METHOD AND SYSTEM THEREOF | 1 |
Harparkash Kaur | GB | London | 2011-02-10 / 20110033945 - COLORIMETRIC ASSAY FOR PYRETHROID INSECTICIDES | 1 |
Simarna Kaur | US | Watchung | 2015-03-05 / 20150064292 - COMPOSITIONS COMPRISING AN NFkB-INHIBITOR AND A TROPOELASTIN PROMOTER | 9 |
Jasmine Kaur | US | Milpitas | 2013-03-14 / 20130065309 - Preparation of Negative-Stranded RNA Viruses By Electroporation | 2 |
Savneet Kaur | IN | Delhi | 2009-04-02 / 20090088364 - Pharmaceutical composition useful for the treatment of invasive pulmonary aspergillosis | 1 |
Jiten Devender Kaura | US | Houston | 2015-04-16 / 20150101802 - REAL-TIME METHODS OF TRACKING FLUIDS | 1 |
Hong Kaura | US | Sunnyvale | 2011-06-16 / 20110145004 - BITRATE CONSTRAINED VARIABLE BITRATE AUDIO ENCODING | 2 |
Ricky Kaura | GB | Middlesex | 2015-12-03 / 20150351136 - BEARER MANAGEMENT | 5 |
Mitsuharu Kaura | JP | Higashihiroshima-Shi | 2015-09-03 / 20150247441 - METHOD AND SYSTEM FOR DIAGNOSING DETERIORATION OF EXHAUST EMISSION CONTROL CATALYST | 2 |
Ricky Kaura | GB | Staines | 2012-09-06 / 20120224563 - SELECTIVE ENABLING OF USER EQUIPMENT CAPABILITY | 1 |
Ricky Kaura | GB | Uxbridge | 2016-03-17 / 20160080430 - VOICE TRANSMISSION TECHNOLOGY SELECTION | 7 |
Deepak Kaura | QA | Doha | 2015-05-07 / 20150128096 - SYSTEM TO FACILITATE AND STREAMLINE COMMUNICATION AND INFORMATION-FLOW IN HEALTH-CARE | 2 |
Ricky Kaura | GB | Ickenham | 2012-12-27 / 20120327852 - VOICE TRANSMISSION TECHNOLOGY SELECTION | 1 |
Harvey H. Kaura | US | Greer | 2012-06-07 / 20120141200 - ONE-PIECE COUPLING FOR A WIND TURBINE DRIVE SHAFT | 1 |
Harvey Harmeet Kaura | US | Greer | 2011-09-15 / 20110219600 - METHOD AND APPARATUS FOR INSTALLING A SEAL | 1 |
Ricky Kumar Kaura | GB | Middlesex | 2015-01-15 / 20150017966 - SUPPLEMENTARY SERVICES MANAGEMENT SETTING CONTROL | 1 |
Simo Kaurala | FI | Somero | 2014-07-10 / 20140193987 - ELECTRICAL CONTACT DEVICE | 1 |
Matti Kauranen | FI | Helsinki | 2013-09-12 / 20130233014 - HEAT EXCHANGER | 1 |
Eero M. J. Kauranen | FI | Salo | 2011-08-04 / 20110191675 - SLIDING INPUT USER INTERFACE | 1 |
Matti Kauranen | FI | Espoo | 2013-03-28 / 20130075076 - APPARATUS | 2 |
Pertti Kauranen | FI | Tampere | 2009-06-04 / 20090142645 - Bipolar plate, method for producing bipolar plate and PEM fuel cell | 1 |
Eero Matti Juhani Kauranen | FI | Salo | 2013-08-08 / 20130205262 - METHOD AND APPARATUS FOR ADJUSTING A PARAMETER | 1 |
Kari Pekka Kauranen | FI | Helsinki | 2013-09-05 / 20130230056 - Data Volume Reporting for Multimedia Broadcast/Multimedia Service Groups | 2 |
Erkki Kauranen | FI | Kajaani | 2012-07-26 / 20120186700 - APPARATUS FOR PATCHING PLYWOOD VENEER | 2 |
Kari P. Kauranen | FI | Helsinki | 2015-04-23 / 20150110079 - Method for Performing Packet Switched Handover in a Mobile Communication System | 2 |
Tommi Kauri | FI | Huutjävri | 2013-08-01 / 20130193026 - POLYMER-COATED OVEN BOARD AND FOOD PACKAGE MADE FROM IT | 1 |
Tommi Kauri | FI | Huutjävri | 2013-08-01 / 20130193026 - POLYMER-COATED OVEN BOARD AND FOOD PACKAGE MADE FROM IT | 1 |
Darryl Kaurin | US | Portland | 2010-08-05 / 20100198101 - NON-INVASIVE LOCATION AND TRACKING OF TUMORS AND OTHER TISSUES FOR RADIATION THERAPY | 1 |
Daryl Kaurin | US | Portland | 2014-01-09 / 20140012061 - NON-INVASIVE LOCATION AND TRACKING OF TUMORS AND OTHER TISSUES FOR RADIATION THERAPY | 1 |
Michael Kaus | US | Madison | 2013-04-11 / 20130090549 - SIMULTANEOUS MULTI-MODALITY INVERSE OPTIMIZATION FOR RADIOTHERAPY TREATMENT PLANNING | 8 |
Michael Kaus | US | Madison | 2013-04-11 / 20130090549 - SIMULTANEOUS MULTI-MODALITY INVERSE OPTIMIZATION FOR RADIOTHERAPY TREATMENT PLANNING | 8 |
Rainer Kaus | DE | Hochheim | 2012-04-26 / 20120099080 - DEVICE AND METHOD FOR TRANSILLUMINATING A FILM | 1 |
Jonathan Louis Kaus | US | Rochester | 2009-05-28 / 20090138608 - Automatic Multipath iSCSI Session Establishment Over an Arbitrary Network Topology | 1 |
Tyson Kaus | CA | Winnipeg | 2011-06-16 / 20110140408 - METHOD OF PRINTING A LOTTERY TICKET WITH A SCRATCH-OFF LAYER DEFINING A MULTI-COLOR PATTERN | 1 |
Michael R. Kaus | CA | Toronto | 2014-05-08 / 20140126797 - EFFICIENT USER INTERACTION WITH POLYGONAL MESHES FOR MEDICAL IMAGE SEGMENTATION | 3 |
Stanley Kaus | US | Longmont | 2012-02-02 / 20120024292 - DUAL-LUMEN TRACHEAL TUBE WITH SHAPED LUMEN DIVIDER | 1 |
Eberhard Kaus | DE | Boeblingen | 2010-11-04 / 20100276852 - Gas Spring System Having Multi Chamber Gas Springs | 1 |
Robert J. Kaus | US | Boulder | 2015-03-26 / 20150087664 - QUINAZOLINE COMPOUNDS AS SERINE/THREONINE KINASE INHIBITORS | 2 |
Jonathan L. Kaus | US | Rochester | 2015-07-23 / 20150207694 - SELECTIVE LOCKING OF OPERATIONS ON JOINT AND DISJOINT SETS | 8 |
Stanley Brian Kaus | US | Longmont | 2014-07-03 / 20140182595 - Tracheostomy Tube with Cannula Connector | 1 |
Jonathan L. Kaus | US | Rochester | 2015-07-23 / 20150207694 - SELECTIVE LOCKING OF OPERATIONS ON JOINT AND DISJOINT SETS | 8 |
David W. Kaus | US | Coleman | 2015-12-10 / 20150353289 - AUTOMATIC SCRAPER CHAIN TENSIONING APPARATUS | 1 |
Robert Kaus | US | Longmont | 2012-08-16 / 20120208995 - NOVEL MACROCYCLIC INHIBITORS OF HEPATITIS C VIRUS REPLICATION | 3 |
Michael Kaus | CA | Toronto | 2009-11-12 / 20090279739 - POINT SUBSELECTION FOR FAST DEFORMABLE POINT-BASED IMAGING | 5 |
Robert J. Kaus | US | Longmont | 2009-12-03 / 20090297476 - NOVEL PEPTIDE INHIBITORS OF HEPATITIS C VIRUS REPLICATION | 2 |
Michael Kaus | DE | Hamburg | 2009-03-19 / 20090074264 - DATA REPRESENTATION FOR RTP | 3 |
Jim Kaus | US | Stewartsville | 2014-01-09 / 20140012895 - Universal Social Networking Interface for One-Click Posting From Mobile Devices | 1 |
Michael Reinhold Kaus | DE | Hamburg | 2008-11-13 / 20080279428 - Adaptive Point-Based Elastic Image Registration | 1 |
Sander Kaus | EE | Tallinn | 2014-03-27 / 20140085400 - SYSTEM AND METHOD FOR REAL-TIME AUDIOVISUAL INTERACTION WITH A TARGET LOCATION | 2 |
Evan J. Kausalik | US | Appleton | 2016-05-05 / 20160127207 - System for Analyzing an Industrial Control Network | 1 |
William L. Kausch | US | Cottage Grove | 2010-12-02 / 20100300610 - STATIC DISSIPATIVE OPTICAL CONSTRUCTION | 1 |
Marvin L. Kausch | US | Mountain View | 2012-02-23 / 20120043829 - Mode Switching RC Network | 4 |
Christoph Kausch | DE | Aachen | 2011-03-17 / 20110065055 - WASTE HEAT USE | 1 |
Martin Kausch | DE | Burghardtsdorf | 2011-01-06 / 20110000634 - Casting Machine System and Process for Producing Hybrid Metal/Plastic Articles | 1 |
Corey M. Kausch | US | San Juan Capistrano | 2013-08-29 / 20130221648 - FRAME SUPPORT, SUSPENSION STOP, AND METHOD OF IMPROVING A MOTOR VEHICLE | 1 |
Martin Kausch | DE | Burkhardtsdorf | 2015-02-26 / 20150053291 - METHOD FOR MANUFACTURING A FLUID ROUTING COMPONENT BY LAYER-WISE BUILDUP | 1 |
Albert P. Kausch | US | Stonington | 2013-11-21 / 20130310453 - GREEN GARLIC AND METHODS OF PRODUCTION | 5 |
Alpert P. Kausch | US | Stonington | 2011-08-11 / 20110196034 - Green garlic and methods of production | 1 |
Sabine Kausch | DE | Weilbach | 2015-10-08 / 20150285077 - Axial Piston Machine Utilizing A Bent-Axis Construction With A Drive Joint For Driving The Cylinder Barrel | 1 |
Charles M. Kausch | US | Copley | 2008-12-18 / 20080312382 - Polyether Block Copolymers | 1 |
Marco Kauschke | DE | Glaubitz | 2015-06-25 / 20150174049 - METHOD FOR PRODUCING SILICONE EMULSIONS | 1 |
Stefan Kauschke | DE | Biberach An Der Riss | 2013-11-07 / 20130295666 - CRYSTALS OF GLUCOKINASE REGULATORY PROTEIN (GKRP) | 1 |
Thomas Kauschke | DE | Muenchen | 2015-09-24 / 20150270824 - Electroacoustic Component and Method for the Production Thereof | 1 |
Michael Kauschke | DE | Prien | 2015-09-17 / 20150258755 - MULTI-LAYER FILM | 3 |
Michael Kauschke | DE | Prein/backham | 2015-11-05 / 20150314560 - COTENDERED NONWOVEN/PULP FABRIC AND METHOD FOR MAKING THE SAME | 1 |
Michael Kauschke | DE | Braunschweig | 2014-02-20 / 20140050224 - PROVIDING A BUFFERLESS TRANSPORT METHOD FOR MULTI-DIMENSIONAL MESH TOPOLOGY | 2 |
Michael Kauschke | DE | Prien/backham | 2010-02-25 / 20100048072 - Contendered Nonwoven/Pulp Composite Fabric and Method for Making the Same | 1 |
Stefan Kauschke | DE | Biberach | 2011-08-04 / 20110190277 - PHARMACEUTICAL COMBINATION FOR THE PREVENTION OR TREATMENT OF CARDIOVASCULAR, CARDIOPULMONARY, PULMONARY OR RENAL DISEASES | 1 |
James H. Kausek | US | Swampscott | 2015-10-29 / 20150305909 - ORTHOTIC BRACE | 3 |
Hitesh Kaushal | CA | Brampton | 2010-11-04 / 20100278962 - Injection Molding Runner Apparatus Having Pressure Seal | 4 |
Manika Kaushal | US | San Jose | 2008-12-04 / 20080297667 - PROJECTION APPARATUS WITH DIRECT ATTACHMENT OF EXTERNAL STORAGE MEDIA | 1 |
Shalesh Kaushal | US | Gainsville | 2010-08-12 / 20100204093 - USE OF HEAT SHOCK ACTIVATORS FOR TISSUE REGENERATION | 1 |
Kul Bhushan Kaushal | GB | Leicester | 2009-01-08 / 20090008358 - Closure Device | 1 |
Arpan Kumar Kaushal | IN | Bangalore | 2014-01-02 / 20140002736 - Determining the Location of a Point of Interest in a Media Stream that includes Caption Data | 1 |
Vikas Kumar Kaushal | US | Essex Junction | 2014-12-04 / 20140353725 - SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE DEVICE BY FORMING MONOCRYSTALLINE SEMICONDUCTOR LAYERS ON A DIELECTRIC LAYER OVER ISOLATION REGIONS | 1 |
Nikhil Kaushal | US | Pittsburgh | 2009-12-03 / 20090293774 - Stowable Table Unit System for a Vehicle | 1 |
Anuj Kaushal | IN | Noida | 2016-03-31 / 20160092455 - BUILDING QUERIES DIRECTED TO OBJECTS HOSTED ON CLOUDS | 1 |
Naveen Kaushal | US | State College | 2013-01-03 / 20130005737 - COMPOSITIONS, METHODS AND KITS FOR TREATING LEUKEMIA | 1 |
Sunjay Kaushal | US | Pikesville | 2014-11-27 / 20140348895 - PARA-XYLENE FILMS AND THERAPEUTIC USES THEREOF | 1 |
Rohit Kaushal | US | Cupertino | 2009-01-29 / 20090029713 - SYSTEM FOR FAST MACRODIVERSITY SWITCHING IN MOBILE WIRELESS NETWORKS | 1 |
Ramesh Kaushal | US | South Riding | 2013-06-06 / 20130139958 - Method of Making a Composite Sheet | 2 |
Hitesh Kaushal | CA | Kitchener | 2015-08-20 / 20150231809 - Melt Channel Geometries for an Injection Molding System | 3 |
Vikas K. Kaushal | US | Essex Junction | 2016-04-14 / 20160104770 - PROFILE CONTROL OVER A COLLECTOR OF A BIPOLAR JUNCTION TRANSISTOR | 10 |
Nabeel Kaushal | US | Seattle | 2012-06-28 / 20120163709 - AUTOMATED IDENTIFICATION OF IMAGE OUTLIERS | 2 |
Sanjeev Kaushal | US | Los Altos Hills | 2015-11-19 / 20150332167 - SYSTEM AND METHOD FOR MODELING AND/OR ANALYZING MANUFACTURING PROCESSES | 1 |
Anuj Kaushal | DE | Essen | 2013-08-08 / 20130199254 - DEVICE FOR SECURING AN ESSENTIAL COMPONENT OF A MOTOR VEHICLE HANDLE | 1 |
Ash Kaushal | CA | Mississauga | 2013-09-26 / 20130248695 - METHOD AND APPARATUS FOR ANALYTE DETECTION | 4 |
Naveen Kaushal | IN | Chandigarh | 2015-10-01 / 20150272963 - COMPOSITIONS, METHODS AND KITS FOR TREATING CANCER | 2 |
Shalesh Kaushal | US | Gainesville | 2016-02-18 / 20160045453 - STABILIZED MUTANT OPSIN PROTEINS | 10 |
Sanjeev Kaushal | US | San Jose | 2015-06-11 / 20150161520 - SYSTEM AND METHOD FOR LEARNING AND/OR OPTIMIZING MANUFACTURING PROCESSES | 14 |
Chandramala A. Kaushal | IN | Navi Mumbai | 2011-02-17 / 20110038928 - ORALLY DISINTEGRATING TABLETS OF ZOLMITRIPTAN | 1 |
Tej P. Kaushal | GB | Malvern | 2009-11-19 / 20090284405 - Passive Detection Apparatus | 1 |
Ashwani Kaushal | CA | Mississauga | 2008-08-21 / 20080198361 - Method and Apparatus for Determining Blood Analytes | 1 |
Shalesh Kaushal | US | Gainesville | 2016-02-18 / 20160045453 - STABILIZED MUTANT OPSIN PROTEINS | 10 |
Arvind Kaushal | US | Marlton | 2015-04-16 / 20150103994 - Data and Call Routing and Forwarding | 6 |
Tony Kaushal | US | Cupertino | 2009-02-05 / 20090034149 - Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing | 3 |
Vikas K. Kaushal | US | Essex Juction | 2014-09-04 / 20140246676 - BIPOLAR DEVICE HAVING A MONOCRYSTALLINE SEMICONDUCTOR INTRINSIC BASE TO EXTRINSIC BASE LINK-UP REGION | 1 |
Amit Kaushal | US | Los Altos | 2013-06-06 / 20130143755 - Protein and Gene Biomarkers for Rejection of Organ Transplants | 1 |
Sanjeev Kaushal | US | San Jose | 2015-06-11 / 20150161520 - SYSTEM AND METHOD FOR LEARNING AND/OR OPTIMIZING MANUFACTURING PROCESSES | 14 |
Vikas K. Kaushal | US | Essex Junction | 2016-04-14 / 20160104770 - PROFILE CONTROL OVER A COLLECTOR OF A BIPOLAR JUNCTION TRANSISTOR | 10 |
Alok Kaushal | IN | Hyderabad | 2015-07-30 / 20150213446 - ENHANCED COMMUNICATION PLATFORM AND RELATED COMMUNICATION METHOD USING THE PLATFORM | 3 |
Sunjay Kaushal | US | Ruxton | 2015-11-19 / 20150328263 - CARDIAC STEM CELLS FOR CARDIAC REPAIR | 1 |
Tej Kaushal | GB | Worcestershire | 2009-11-19 / 20090287452 - Method and Apparatus for Accurate Footwear and Garment Fitting | 1 |
Tej Paul Kaushal | GB | Malvern | 2011-06-23 / 20110149081 - Sensor with obscurant detection | 1 |
Shalesh Kaushal | US | Worcester | 2010-03-11 / 20100063498 - Methods and Devices for Differentiating Between Tissue Types | 2 |
Govind Kaushal | US | San Francisco | 2015-08-13 / 20150229998 - METHOD AND SYSTEM TO PROVIDE VIDEO-BASED SEARCH RESULTS | 1 |
Govind Kaushal | US | San Jose | 2013-12-05 / 20130325955 - ON-LINE COMMUNITY NETWORK OF SETS | 6 |
Sanjeev Kumar Kaushalya | IN | Mumbai | 2009-04-02 / 20090086204 - Fluorescence Correlation Microscopy with Real-Time Alignment Readout | 1 |
Karen Kaushansky | US | San Fancisco | 2015-08-13 / 20150230022 - WEARABLE ELECTRONIC SYSTEM | 1 |
Ken Kaushansky | US | Del Mar | 2011-10-06 / 20110243853 - MODELS OF ERYTHROPOIESIS | 1 |
Sergey Kaushansky | US | Livingston | 2012-07-19 / 20120183913 - TOWER DISTRIBUTION IN A COAL BURNING POWER PLANT | 2 |
Amir Kaushansky | IL | Herzelia | 2014-04-03 / 20140095700 - System and method for passive decoding of social network activity using replica database | 2 |
Yefim Kaushansky | US | North Haledon | 2012-11-15 / 20120289765 - PORTABLE AND MODULAR TRANSPORTATION UNIT WITH IMPROVED TRANSPORT CAPABILITIES | 2 |
Karen Kaushansky | US | San Francisco | 2015-11-26 / 20150335947 - SPORTS DEVICE AND SYSTEM | 1 |
Kenneth Kaushansky | US | Del Mar | 2011-11-24 / 20110288019 - METHODS OF INCREASING PLATELET AND HEMATOPOIETIC STEM CELL PRODUCTION | 1 |
Nathali Kaushansky | IL | Rehovot | 2015-06-25 / 20150175666 - PEPTIDES DERIVED FROM HIV GP41 FOR TREATING T-CELL MEDIATED PATHOLOGIES | 1 |
David Kaushansky | US | Belmont | 2013-05-02 / 20130110446 - TEST INSTRUMENT HAVING A CONFIGURABLE INTERFACE | 2 |
Zina Kaushansky | US | North Haledon | 2012-11-15 / 20120289765 - PORTABLE AND MODULAR TRANSPORTATION UNIT WITH IMPROVED TRANSPORT CAPABILITIES | 1 |
Howard Kaushansky | US | Nederland | 2015-07-09 / 20150193482 - Topic sentiment identification and analysis | 6 |
Karen J. Kaushansky | US | San Francisco | 2010-07-22 / 20100183126 - IN-BAND SIGNALING IN INTERACTIVE COMMUNICATIONS | 1 |
Mukul Kaushik | US | Florence | 2016-03-17 / 20160075873 - ELASTOMER COMPOSITION WITH REDUCED FLAMMABILITY | 8 |
Balakumar Kaushik | IN | Bangalore | 2013-03-28 / 20130080827 - DATA MIGRATION WITHOUT INTERRUPTING HOST ACCESS | 2 |
Madhu Kaushik | CA | Montreal | 2013-01-31 / 20130029835 - HYBRID CATALYSTS | 1 |
Ritu Rohit Kaushik | US | Longisland City | 2015-05-21 / 20150140094 - PHARMACEUTICAL COMPOSITIONS OF 3-(6-(1-(2,2-DIFLUOROBENZO[D][1,3]DIOXOL-5-YL) CYCLOPROPANECARBOXAMIDO)-3-METHYLPYRIDIN-2-YL)BENZOIC ACID AND ADMINISTRATION THEREOF | 2 |
Sumanth Kaushik | US | Belmont | 2015-02-19 / 20150049210 - Method And Apparatus For Motion Coded Imaging | 6 |
Shiv Kaushik | US | Portland | 2015-03-12 / 20150070368 - Instruction Set Architecture-Based Inter-Sequencer Communications With A Heterogeneous Resource | 3 |
Mudit Kaushik | IN | Meerut | 2014-12-25 / 20140379539 - SYSTEMS AND METHODS FOR GENERATING BILLING DATA OF A COMPOSITE CLOUD SERVICE | 3 |
Kulvaibhav Kaushik | IN | Jaipur | 2015-08-13 / 20150229611 - KEYWORD ORDERED STORAGE, SEARCH AND RETRIEVAL ON ENCRYPTED DATA FOR MULTIUSER SCENARIO | 2 |
Sudhir Kaushik | US | Sunnyvale | 2012-12-27 / 20120330758 - SEGMENTING AD INVENTORY BY CREATORS, RECOMMENDERS AND THEIR SOCIAL STATUS | 2 |
Shivnandan D. Kaushik | US | Portland | 2016-01-21 / 20160019067 - MECHANISM FOR INSTRUCTION SET BASED THREAD EXECUTION ON A PLURALITY OF INSTRUCTION SEQUENCERS | 11 |
Vijay Kaushik | US | Fremont | 2014-09-18 / 20140278782 - System and Method for Statistically Determining Bias in Online Survey Results | 2 |
Anil Kaushik | IN | Bangalore | 2016-04-21 / 20160112903 - SELF-PROVISIONING OF A WIRELESS COMMUNICATION NETWORK USING COORDINATION OF DATA PLANE BEHAVIOR TO STEER STATIONS TO PREFERRED ACCESS POINTS | 13 |
Bala Kaushik | US | Cupertino | 2015-02-12 / 20150046985 - USER ADMINISTERING A TRUSTWORTHY WORKSPACE | 2 |
Parul Kaushik | US | Coppell | 2016-01-07 / 20160005049 - PREDICTING A LIKELIHOOD OF CUSTOMER SERVICE INTERACTIONS | 1 |
Navneet Kaushik | IN | Padam Nagar | 2014-03-27 / 20140089875 - Method and Apparatus for Optimizing Memory-Built-In-Self Test | 2 |
Arvind Kaushik | IN | Ghaziabad | 2016-02-11 / 20160041579 - TIMING SYNCHRONIZATION CIRCUIT FOR WIRELESS COMMUNICATION APPARATUS | 4 |
Amit Kumar Kaushik | US | West Chester | 2013-09-12 / 20130238301 - Systems and Methods for Material Modeling and Prediction | 1 |
Vidya Kaushik | BE | Hoeilaart | 2008-08-21 / 20080197498 - Gate Electrode Silicidation Process | 1 |
Anil Kaushik Kaushik | IN | Bangalore | 2014-05-01 / 20140118113 - DETECTING ROGUE RADIO FREQUENCY BASED TAGS BASED ON LOCATIONING | 1 |
Azad Kumar Kaushik | CA | Morriston | 2010-08-05 / 20100196375 - Engineered scFv against Bovine Herpes Virus Type I | 1 |
Mukul Kaushik | US | Florence | 2016-03-17 / 20160075873 - ELASTOMER COMPOSITION WITH REDUCED FLAMMABILITY | 8 |
Radhey S. Kaushik | US | Brookings | 2011-04-21 / 20110091565 - METHOD OF FORMING NON-IMMUNOGENIC HYDROPHOBIC PROTEIN NANOPARTICLES AND USES THEREFOR | 1 |
Rajnish Kaushik | US | Grafton | 2011-07-07 / 20110165683 - CHIMERIC GAMMARETROVIRUS | 1 |
Mohit Kaushik | IN | Muzaffarnagar | 2013-07-04 / 20130169454 - System and Method for a Successive Approximation Analog to Digital Converter | 1 |
Poonam Kaushik | IN | Ghaziabad | 2015-01-29 / 20150031732 - FEBUXOSTAT SOLID DISPERSION | 2 |
Nilam Kaushik | CA | Waterloo | 2010-02-04 / 20100029316 - Initiating A Selective Dynamic Group Call From A Wireless Mobile Application | 1 |
Vaibhav Kaushik | US | Missouri City | 2013-02-07 / 20130034471 - STEAM-HYDROCARBON REFORMER IMPROVED MANIFOLD SUPPORT AND HEADER BOX SYSTEM | 1 |
Radhey S Kaushik | UA | Brookings | 2014-10-16 / 20140308351 - Method of Forming Non-Immunogenic Hydrophobic Protein Nanoparticles, and Uses Therefor | 1 |
Atul Kaushik | IN | Navi Mumbai | 2014-03-13 / 20140072628 - STABLE PHARMACEUTICAL COMPOSITION OF SAXAGLIPTIN | 1 |
Mudit Kaushik | IN | Subhash Bazaar Mawana (meerut) | 2014-05-29 / 20140149566 - SYSTEM AND METHOD FOR DETERMINING OPTIMAL COMBINATIONS | 3 |
Vinod H. Kaushik | US | San Diego | 2014-07-24 / 20140207991 - HARDWARE ACCELERATED COMMUNICATIONS OVER A CHIP-TO-CHIP INTERFACE | 1 |
Lakshmish Kaushik | US | Allen | 2014-06-12 / 20140163959 - Multi-Domain Natural Language Processing Architecture | 1 |
Ganesan Kaushik | IN | Bangalore | 2013-02-21 / 20130047135 - ENTERPRISE COMPUTING PLATFORM | 1 |
Shivnandan D. Kaushik | US | Portland | 2016-01-21 / 20160019067 - MECHANISM FOR INSTRUCTION SET BASED THREAD EXECUTION ON A PLURALITY OF INSTRUCTION SEQUENCERS | 11 |
Ritu Kaushik | IN | Mumbai | 2013-12-05 / 20130323341 - ANTIVIRAL COMPOSITIION | 2 |
Rini Kaushik | US | Sunnyvale | 2015-12-17 / 20150363443 - PREDICTIVE PLACEMENT OF COLUMNS DURING CREATION OF A LARGE DATABASE | 2 |
Akhil Kaushik | US | San Jose | 2016-01-28 / 20160026703 - ENABLING DATA REPLICATION PROCESSES BETWEEN HETEROGENEOUS STORAGE SYSTEMS | 1 |
Navin Kaushik | IN | Haryana Gurgaon | 2013-07-11 / 20130179984 - method for controlling the execution of an application on a computer system | 1 |
Puneet Kaushik | US | Fremont | 2014-11-06 / 20140330978 - Accelerating USB Redirection over a Network | 1 |
Dave J. Kaushik | US | Ewing | 2015-07-30 / 20150209512 - Prefilled Syringes and Kits Thereof | 1 |
Puneet Kaushik | IN | Ghaziabad | 2015-08-27 / 20150244766 - SYSTEM AND METHOD FOR PROVIDING PRIVATE SESSION-BASED ACCESS TO A REDIRECTED USB DEVICE OR LOCAL DEVICE | 7 |
Nutan Kaushik | IN | New Delhi | 2012-05-24 / 20120128648 - Novel Biopesticide Compositions And Method For Isolation And Characterization Of Same | 1 |
Ritu Rohit Kaushik | US | Watertown | 2016-01-28 / 20160022665 - Pharmaceutical Compositions and Administrations Thereof | 6 |
Sanjeev Kaushik | US | Renton | 2015-06-11 / 20150163675 - PROVISIONING SUBSCRIPTIONS TO USER DEVICES | 1 |
Geetesh Kaushik | IN | Uttar Pradesh | 2010-12-16 / 20100317849 - Process For Producing Pure And Stable Form Of 2-Methyl-4-(4-Methyl-1-Piperazinyl) -10H-Thieno[2,3-B] [1,5] Benzodiazepine | 1 |
Shyam Kaushik | IN | Koramangala | 2010-10-28 / 20100274886 - VIRTUALIZED DATA STORAGE IN A VIRTUALIZED SERVER ENVIRONMENT | 1 |
Mahabir Prashad Kaushik | IN | Pradesh | 2013-02-28 / 20130052287 - BIOACTIVE WATER FRACTION FROM GOMPHOSTEMA NIVEUM | 3 |
Shriraghav Kaushik | US | Bellevue | 2014-09-18 / 20140281512 - SECURE QUERY PROCESSING OVER ENCRYPTED DATA | 6 |
Vinod Kaushik | US | San Diego | 2015-06-04 / 20150156498 - METHODS AND SYSTEMS FOR RESIZING MULTIMEDIA CONTENT BASED ON QUALITY AND RATE INFORMATION | 5 |
Vipin Kumar Kaushik | IN | Andhra Pradesh | 2010-05-27 / 20100130744 - PROCESS FOR THE PREPARATION OF ARIPIPRAZOLE | 2 |
Shriraghav Kaushik | US | Redmond | 2009-03-26 / 20090083238 - STOP-AND-RESTART STYLE EXECUTION FOR LONG RUNNING DECISION SUPPORT QUERIES | 5 |
Puneet Kaushik | US | Santa Clara | 2014-03-20 / 20140082235 - Local Interface Redirection | 1 |
Poonam Kaushik | IN | Gurgaon | 2014-12-25 / 20140378472 - AMORPHOUS VILAZODONE HYDROCHLORIDE, A PROCESS FOR ITS PREPARATION AND PHARMACEUTICAL COMPOSITIONS THEREOF | 1 |
Aashish Kaushik | IN | Noida | 2015-12-10 / 20150358655 - ANALYZING INCOMING DIGITAL SIGNAL AT SET-TOP-BOX (STB) TO PROVIDE VALUE ADDED FEATURES FOR VIEWERS | 1 |
Anil Kaushik | IN | Bangalore | 2016-04-21 / 20160112903 - SELF-PROVISIONING OF A WIRELESS COMMUNICATION NETWORK USING COORDINATION OF DATA PLANE BEHAVIOR TO STEER STATIONS TO PREFERRED ACCESS POINTS | 13 |
Sundarraj Kaushik | IN | Mumbai | 2012-06-28 / 20120166488 - MULTI-TENANT SYSTEM | 1 |
Sandeep Kaushik | IN | Bangalore | 2016-03-10 / 20160071263 - SYSTEM AND METHOD FOR IMAGE INTENSITY BIAS ESTIMATION AND TISSUE SEGMENTATION | 1 |
Sandeep Suryanarayana Kaushik | IN | Bangalore | 2015-10-01 / 20150276906 - SYSTEMS AND METHODS FOR MAGNETIC RESONANCE BONE DEPICTION | 2 |
Pallavi J. Kaushik | US | Chicago | 2015-10-01 / 20150281651 - METHOD AND APPARATUS FOR UPLOADING DATA | 2 |
Krishna Rangraj Kaushik | US | Houston | 2010-08-05 / 20100197535 - PROCESS AND APPARATUS FOR PREPARING A SOAP CONCENTRATE, A LUBRICATING COMPOSITION, AND COMBINATIONS THEREOF | 2 |
Lakshmish Kaushik | US | Irving | 2016-03-03 / 20160062970 - Method and System for Dynamic Speech Recognition and Tracking of Prewritten Script | 1 |
Srinidhi Kaushik | US | Chicago | 2013-11-07 / 20130291299 - ROBOTIC POSTURE TRANSFER ASSIST DEVICES AND METHODS | 2 |
Mudit Kaushik | IN | Mawana (meerut) | 2012-10-11 / 20120260134 - METHOD FOR DETERMINING AVAILABILITY OF A SOFTWARE APPLICATION USING COMPOSITE HIDDEN MARKOV MODEL | 1 |
Vijay Kumar Kaushik | IN | Vadodara | 2013-12-26 / 20130341563 - COMPOSITE ABSORBENT FOR CATALYST RESIDUES REMOVAL FROM POLYOLEFIN SOLUTION POLYMERIZATION MIXTURE | 1 |
Shreyas Satyanand Kaushik | IN | Bangalore | 2015-09-24 / 20150271099 - STATELESS SERVICES IN CONTENT MANAGEMENT CLIENTS | 1 |
Anubhuti Kaushik | IN | New Delhi | 2015-09-10 / 20150256599 - DYNAMIC TRANSACTION COORDINATION | 3 |
Shriraghav Kaushik | US | Kirkland | 2014-09-18 / 20140281511 - SECURE DATA PROCESSING ON SENSITIVE DATA USING TRUSTED HARDWARE | 1 |
Shailendra Kaushik | US | Beverly Hills | 2015-07-16 / 20150197136 - SYSTEMS FOR IMPROVING CLIMATE COMFORT FOR REAR VEHICLE PASSENGERS | 6 |
Shivnandan Kaushik | US | Portland | 2014-05-15 / 20140136746 - TECHNIQUE FOR COMMUNICATING INTERRUPTS IN A COMPUTER SYSTEM | 5 |
Akhil Kaushik | US | Sunnyvale | 2012-11-29 / 20120303587 - BACKUP INFORMATION MANAGEMENT | 1 |
Sudeep Kaushik | US | Fremont | 2016-04-07 / 20160099927 - HACKER SECURITY SOLUTION FOR PACKAGE TRANSFER TO AND FROM A VEHICLE | 2 |
Alok Kaushik | IN | Delhi | 2016-04-28 / 20160119117 - OVERSAMPLING CDR WHICH COMPENSATES FREQUENCY DIFFERENCE WITHOUT ELASTICITY BUFFER | 2 |
Balakumar N. Kaushik | US | Sunnyvale | 2016-01-07 / 20160006674 - METHOD AND APPARATUS FOR ROUTING BETWEEN FIBRE CHANNEL FABRICS | 7 |
Shyam Kaushik | IN | Bangalore | 2010-01-07 / 20100005255 - Method for providing atomicity for host write input/outputs (I/Os) in a continuous data protection (CDP)-enabled volume using intent log | 2 |
Shashikumar Kaushik | US | Torrance | 2010-07-01 / 20100169007 - Method and apparatus for navigation system for detecting and warning traffic rule violation | 1 |
Saha Kaushik | IN | Shamnath Marg | 2009-06-18 / 20090154558 - METHOD FOR ADAPTIVE BIASING OF FULLY DIFFERENTIAL GAIN BOOSTED OPERATIONAL AMPLIFIERS | 1 |
Mayank Kaushik | US | Santa Clara | 2015-07-23 / 20150206277 - UNIFIED MEMORY SYSTEMS AND METHODS | 3 |
Arvind Kaushik | IN | Ghazlabad | 2015-05-28 / 20150146626 - METHOD FOR ADDING LEAF NODE TO MULTI-NODE BASE STATION | 1 |
Meenakshi R. Kaushik | US | Sunnyvale | 2015-04-16 / 20150103659 - QUANTIZED CONGESTION NOTIFICATION FOR COMPUTING ENVIRONMENTS | 4 |
Amrita Kaushik | IN | Pin | 2014-06-19 / 20140172611 - BUYER-SOLICITED OFFERINGS | 1 |
Nishant Kaushik | IN | Bahadurgarh | 2015-11-05 / 20150319198 - CROWDSOURCING FOR DOCUMENTS AND FORMS | 2 |
Meenakshi R. Kaushik | US | Santa Clara | 2015-05-21 / 20150138957 - COMPUTING FORWARDING TABLES FOR LINK FAILURES | 2 |
Naresh Kaushik | NL | Haarlem | 2015-02-19 / 20150051932 - CONCURRENCY-BASED PROJECT MANAGEMENT SYSTEMS AND METHODS | 1 |
Raghav Kaushik | US | Bellevue | 2013-04-11 / 20130091120 - INTEGRATED FUZZY JOINS IN DATABASE MANAGEMENT SYSTEMS | 2 |
Shivnanda Kaushik | US | Portland | 2012-05-17 / 20120124264 - TECHNIQUE FOR COMMUNICATING INTERRUPTS IN A COMPUTER SYSTEM | 2 |
Atul Kaushik | IN | Ghaziabad | 2009-05-21 / 20090130210 - PHARMACEUTICAL COMPOSITIONS OF SIROLIMUS | 2 |
Harshavardhan Kaushikkar | US | San Jose | 2015-05-21 / 20150143044 - MECHANISM FOR SHARING PRIVATE CACHES IN A SOC | 8 |
Harshavardhan Kaushikkar | US | San Jose | 2015-05-21 / 20150143044 - MECHANISM FOR SHARING PRIVATE CACHES IN A SOC | 8 |
Shantanu Kaushikkar | US | San Jose | 2011-10-06 / 20110246085 - System, method, and computer software for the presentation and storage of analysis results | 1 |
Shyam Kaushik V. | IN | Bangalore | 2014-12-11 / 20140366121 - Virtual Private Storage Array Service for Cloud Servers | 2 |
Bryan D. Kaushiva | US | Baltimore | 2013-01-24 / 20130023599 - POLYURETHANE FOAMS MADE WITH ALKOXYLATED VEGETABLE OIL HYDROXYLATE | 2 |
Jorma Kausiala | FI | Espoo | 2008-10-23 / 20080259967 - Method and arrangement for transmitting time stamp information | 1 |
George Kauss | US | San Antonio | 2010-11-11 / 20100285875 - GAMING DEVICE FOR MULTI-PLAYER GAMES | 4 |
Valerjans Kauss | LV | Riga | 2012-09-27 / 20120245391 - METHOD OF PREPARING NERAMEXANE OR A SALT THEREOF | 7 |
Wolfgang Kauss | FR | Francheville | 2013-08-22 / 20130213503 - HYDRAULIC CONTROL ARRANGEMENT | 8 |
Wolfgang Kauss | DE | Francheville | 2011-02-10 / 20110030816 - CONTROL SYSTEM FOR CONTROLLING A DIRECTIONAL CONTROL VALVE | 1 |
Valerjans Kauss | LV | Rigariga | 2011-09-01 / 20110212956 - Pyrazolopyrimidines for treating CNS disorders | 1 |
Wolfgang Kauss | FR | Francheville | 2013-08-22 / 20130213503 - HYDRAULIC CONTROL ARRANGEMENT | 8 |
Corinna Kaute | DE | Renningen | 2013-11-21 / 20130307284 - SHROUD ELEMENT | 1 |
Thomas H. Kauth | US | Canby | 2013-11-14 / 20130305204 - HIERARCHICAL FEATURE EXTRACTION FOR ELECTRICAL INTERACTION CALCULATIONS | 3 |
Hermann Kauth | DE | Krefeld | 2009-06-04 / 20090143619 - PROCESS FOR THE PRODUCTION OF PHOSGENE WITH REDUCED CO EMISSION | 1 |
Christoph Kauth | DE | Lohr Am Main | 2016-03-10 / 20160071340 - Apparatus and Method for Operating Same | 2 |
Mark A. Kauth | US | Elgin | 2008-08-28 / 20080202529 - Endotracheal Tube Holder | 1 |
Christian Kauth | DE | Heidelberg | 2011-10-20 / 20110257083 - MSP-1 PROTEIN PREPARATIONS FROM PLASMODIUM | 2 |
Marion Kauth | DE | Bochum | 2014-06-05 / 20140154290 - COMPOSITION FOR PREVENTION AND TREATMENT OF ALLERGIC AND/OR INFLAMMATORY DISEASES | 2 |
Darrell Kauthen | US | Littleton | 2010-06-03 / 20100137693 - METHODS AND SYSTEMS FOR PATIENT CARE | 1 |
Szabolcs Kautny | HU | Budapest | 2010-04-22 / 20100097670 - Holographic storage system with improved beam overlap | 3 |
Jussi Kautto | FI | Nokia | 2010-11-18 / 20100288150 - ARRANGEMENT FOR SUPPORTING SHELL INTO BREECH-LOADING WEAPON BARREL | 2 |
Torbjörn Kautto | SE | Sandarne | 2011-12-15 / 20110302829 - Fatty Acid Composition, Its Production and Use | 1 |
Jorma Kautto | FI | Vantaa | 2013-11-07 / 20130291808 - METHOD FOR UTILIZING THERMAL ENERGY OF PRODUCT GASES IN A BTL PLANT | 4 |
Ari-Pekka Kautto | FI | Jyska | 2014-12-04 / 20140352609 - Rod-bed Assembly | 4 |
Kaisa Kautto-Koivula | FI | Espoo | 2016-03-03 / 20160065415 - SYSTEM AND METHOD FOR FUNCTIONAL ELEMENTS | 3 |
Jan Kautz | GB | London | 2016-03-17 / 20160075090 - 3D PRINTING WITH CUSTOM SURFACE REFLECTANCE | 6 |
Russel D. Kautz | US | The Colony | 2013-02-28 / 20130055322 - PERSONAL VIDEO NETWORK | 1 |
Christopher Kautz | US | Danbury | 2015-04-30 / 20150119203 - DYNAMIC FIT UNIT | 4 |
Armin Rex Kautz | DE | Jena | 2011-03-03 / 20110053113 - IMPLANT AND METHOD FOR THE MANUFACTURE THEREOF | 3 |
Holger Kautz | DE | Hanau | 2009-04-16 / 20090099271 - Use of polymeric microparticles in building material mixtures | 1 |
Holger Kautz | DE | Haltern Am See | 2011-11-17 / 20110282007 - METHOD FOR PRODUCING ABA-TRIBLOCK COPOLYMERS WITH A WIDELY DISTRIBUTED B-BLOCK | 12 |
Olaf Kautz | DE | Berlin | 2009-07-09 / 20090176461 - Method for changing between a packet-oriented PTT session and a circuit-oriented telephone connection between at least two radio communication appliances, associated radio communication appliance, network component and radio communication system | 1 |
Chad A. Kautz | US | Winslow | 2008-11-13 / 20080280501 - ADJUSTABLE TERMINAL BLOCK | 3 |
Allan D. Kautz | US | Naperville | 2008-12-25 / 20080317210 - X-Ray Tube Cooling Collar | 1 |
Richard William Kautz | US | North Branch | 2016-05-05 / 20160125868 - Electrified Vehicle Noise Cancellation | 7 |
Holger Kautz | DE | Haltem Am See | 2013-07-04 / 20130172511 - BLOCK COPOLYMERS ON THE BASIS OF (METH)ACRYLATE | 1 |
Russell D. Kautz | US | The Colony | 2009-07-02 / 20090172083 - Personal video network | 1 |
Henry Kautz | US | Rochester | 2012-09-27 / 20120245952 - CROWDSOURCING MEDICAL EXPERTISE | 2 |
Greg C. Kautz | US | Jensen Beach | 2009-09-24 / 20090237229 - Method and System for Preventing Leaving A Child In An Unattended Vehicle | 1 |
Holger Kautz | DE | Haltern Am See | 2011-11-17 / 20110282007 - METHOD FOR PRODUCING ABA-TRIBLOCK COPOLYMERS WITH A WIDELY DISTRIBUTED B-BLOCK | 12 |
Susan Kautz | US | Albany | 2015-03-19 / 20150079087 - VEGF ANTAGONIST FORMULATIONS | 5 |
Shawn Kautz | US | Agua Dolce | 2014-02-06 / 20140038792 - TREADMILL RESISTANCE TRAINING APPARATUS | 1 |
David J. Kautz | US | Williamsville | 2012-10-11 / 20120259149 - METHOD AND APPARATUS TO DEMILITARIZE MUNITION ENERGETICS | 1 |
Chad Aarron Kautz | US | Lena | 2012-10-04 / 20120250931 - SHALLOW SPEAKER | 1 |
Jan Kautz | US | Lexington | 2015-10-29 / 20150310798 - SUPERRESOLUTION DISPLAY USING CASCADED PANELS | 3 |
Allan Kautz | US | Naperville | 2012-11-01 / 20120275562 - X-RAY TUBE WITH A COMBINED X- AND Y- FOCAL SPOT DEFLECTION METHOD | 2 |
Leon Kautz | US | Los Angeles | 2016-05-05 / 20160122409 - ERYTHROFERRONE AND ERFE POLYPEPTIDES AND METHODS OF REGULATING IRON METABOLISM | 1 |
Holger Kautz | BE | Brasschaat | 2014-03-06 / 20140066566 - POLY(METH)ACRYLATE AS MULTIFUNCTIONAL ADDITIVE IN PLASTICS | 1 |
Theodor Harald Kautz | BE | Raeren | 2013-03-14 / 20130065425 - ELECTRICAL CONNECTOR ASSEMBLY WITH FIELD TERMINABLE INSULATION DISPLACEMENT CONTACT | 1 |
Thomas O. Kautz | US | Mequon | 2008-12-04 / 20080298431 - TEMPERATURE SENSOR | 1 |
Ulrich Kautz | DE | Allensbach | 2015-12-10 / 20150352096 - NOVEL HYDROXY-6-HETEROARYLPHENANTHRIDINES AND THEIR USE AS PDE4 INHIBITORS | 19 |
Richard W. Kautz | US | North Branch | 2016-04-07 / 20160096433 - BUS LEAKAGE RESISTANCE ESTIMATION FOR ELECTRICAL ISOLATION TESTING AND DIAGNOSTICS | 1 |
Rudolf Kautz | DE | Siegburg | 2009-08-20 / 20090207052 - HAND UTILITY INTERFACE | 1 |
Martin Kautz | DE | Erlangen | 2016-03-24 / 20160083855 - METHOD FOR OPERATING A HIGH-PRESSURE ELECTROLYSIS SYSTEM, HIGH-PRESSURE ELECTROLYSIS SYSTEM AND HYDROGEN FILLING STATION COMPRISING A HIGH-PRESSURE ELECTROLYSIS SYSTEM | 2 |
Dirk Kautz | DE | Mahlow | 2009-02-19 / 20090048645 - STIMULATION SYSTEM FOR TREATMENT OF DYSPHAGIAS | 1 |
Holger Kautz | DE | Haltern Am See/sythen | 2011-02-10 / 20110034623 - METHOD FOR PREPARATION OF PENTA-BLOCK COPOLYMERS WITH OH-FUNCTIONALIZED BLOCKS BASED ON (METH)ACRYLATE | 1 |
Jeffrey Alan Kautzer | US | Pewaukee | 2013-05-09 / 20130114786 - COARSE SEGMENTED DETECTOR ARCHITECTURE AND METHOD OF MAKING SAME | 7 |
Michael Christopher Kautzky | US | Eagan | 2015-11-26 / 20150340050 - NEAR-FIELD TRANSDUCER PEG ENCAPSULATION | 36 |
Michael Christopher Kautzky | US | Eagan | 2015-11-26 / 20150340050 - NEAR-FIELD TRANSDUCER PEG ENCAPSULATION | 36 |
Michael C. Kautzky | US | Eagan | 2016-05-12 / 20160133288 - NEAR FIELD TRANSDUCERs (NFTs) AND METHODS OF FORMING NFTs | 33 |
Michael Christopher Kautzky | US | Eden Prairie | 2013-10-24 / 20130279313 - OPTICAL DEVICES INCLUDING ASSIST LAYERS | 1 |
Reinhold Kautzleben | DE | Karlsruhe | 2013-05-23 / 20130132929 - EXTENSIBILITY INTEGRATED DEVELOPMENT ENVIRONMENT FOR BUSINESS OBJECT EXTENSION DEVELOPMENT | 2 |
Insa Kautzner | DE | Braunschweig | 2015-07-09 / 20150190066 - ECG HAND-HELD DEVICE | 1 |
Thoralf Kautzsch | DE | Dresden | 2016-05-05 / 20160126926 - MICROELECTROMECHANICAL RESONATORS | 49 |
Karl Kautzsch | DE | Schwanstetten | 2012-09-27 / 20120240805 - SAFETY DEVICE FOR A FUZE OF A PROJECTILE | 5 |
Thoralf Kautzsch | DE | Dresden | 2016-05-05 / 20160126926 - MICROELECTROMECHANICAL RESONATORS | 49 |
Lawrence M. Kauvar | US | San Francisco | 2015-10-22 / 20150299298 - BINDING MOIETIES FOR BIOFILM REMEDIATION | 18 |
Lawrence M. Kauvar | US | San Francisco | 2015-10-22 / 20150299298 - BINDING MOIETIES FOR BIOFILM REMEDIATION | 18 |
Joseph Gregory Kauwe | US | Brooklyn | 2016-02-25 / 20160055215 - Systems and methods of enabling integrated activity scheduling, sharing and real-time social connectivity through an event-sharing platform | 2 |
Sergei Kauzunovich | US | Manchester | 2010-04-01 / 20100082805 - MICROCONTROLLER NETWORK DIAGNOSTIC SYSTEM | 1 |
Nataraj Kav | CA | Edmonton | 2011-09-08 / 20110219478 - RECOMBINANT ANTIBODIES TO SCLEROTINIA ANTIGENS | 1 |
Akash Kava | IN | Pushpa Park | 2012-04-05 / 20120084398 - METHODS AND APPARATUS FOR SUBMITTING AND REVIEWING AUDITIONS | 1 |
Sathya Kavacheri | US | Fremont | 2016-03-17 / 20160078052 - Map-Reduce Ready Distributed File System | 3 |
Spyridon Kavadias | GR | Voula | 2012-08-30 / 20120220244 - WCDMA transmit architecture | 4 |
Spyridon Charalabos Kavadias | GR | Athens | 2012-11-08 / 20120282874 - Programmable Baseband Filters Supporting Auto-Calibration for a Mobile Digital Cellular Television Environment | 2 |
Spyridon Kavadias | GR | Athens | 2009-05-07 / 20090117938 - IC FOR A HIGH FREQUENCY COMMUNICATION DEVICE WITH MINIMAL OFF CHIP COMPONENTS | 1 |
Spyridon Charalabos Kavadias | GR | Voula | 2009-07-30 / 20090191821 - METHOD AND SYSTEM FOR TRANSMIT PATH FILTER AND MIXER CO-DESIGN | 1 |
Spyridon C. Kavadias | GR | Voula | 2010-07-08 / 20100173598 - METHOD AND SYSTEM FOR FILTER CALIBRATION USING FRACTIONAL-N FREQUENCY SYNTHESIZED SIGNALS | 1 |
Cueneyt Kavakli | DE | Muenchen | 2015-10-01 / 20150280247 - PROCESS FOR PRODUCING A CARBON-SUPPORTED NICKEL-COBALT-OXIDE CATALYST AND ITS USE IN RECHARGEABLE ELECTROCHEMICAL METAL-OXYGEN CELLS | 2 |
Kadir Kavaklioglu | US | Eden Prairie | 2012-01-12 / 20120011180 - STATISTICAL PROCESSING METHODS USED IN ABNORMAL SITUATION DETECTION | 3 |
Maria Elisabeth Kaval | US | Menlo Park | 2011-04-21 / 20110093781 - DECLARATIVE TASK-BASED USER INTERFACES | 2 |
Brian Kaval | US | Long Beach | 2015-10-08 / 20150285940 - X-Ray Imaging System Having Improved Mobility | 4 |
Nadzeya Kaval | BE | Gent | 2010-07-01 / 20100168102 - Amide Derivatives as Kinase Inhibitors | 2 |
Nadzeya Kaval | BE | Diepenbeek | 2013-09-26 / 20130252975 - NOVEL ROCK INHIBITORS | 2 |
Venkateswara Rao Kavala | IN | Chagallu | 2010-06-03 / 20100135796 - MONITORING JOINT EFFICIENCY IN WIND TURBINE ROTOR BLADES | 1 |
Swaroop Kavalanekar | US | Issaquah | 2016-03-17 / 20160077760 - DYNAMIC MEMORY ALLOCATION AND RELOCATION TO CREATE LOW POWER REGIONS | 2 |
Daniel Ivanov Kavaldjiev | US | San Jose | 2014-05-01 / 20140118730 - Sample Inspection System Detector | 1 |
Daniel Kavaldjiev | US | San Jose | 2016-04-07 / 20160097727 - TDI Sensor in a Darkfield System | 8 |
Daniel Ivanov Kavaldjiev | US | Santa Clara | 2014-11-13 / 20140333937 - Grazing and Normal Incidence Interferometer Having Common Reference Surface | 3 |
Daniel Kavaldjiev | US | San Jose | 2016-04-07 / 20160097727 - TDI Sensor in a Darkfield System | 8 |
Robert Kavaler | US | Kensington | 2014-05-01 / 20140118180 - Apparatus and Method Using Radar in the Ground to Detect and/or Count Bicycles | 11 |
Robert Kavaler | US | Kensington | 2014-05-01 / 20140118180 - Apparatus and Method Using Radar in the Ground to Detect and/or Count Bicycles | 11 |
Robert Kavaler | US | 2008-10-02 / 20080243366 - METHOD AND APPARATUS FOR DETECTING PRESENCE OF VEHICLE USING A MAGNETIC SENSOR EMPLOYING A MAGNETO-RESISTIVE EFFECT | 1 | |
Jeffrey Joseph Kavali | US | Ravenna | 2015-04-30 / 20150114571 - UNI-STAGE TIRE BUILDING DRUM | 1 |
Valdas Kavaliauskis | LT | Telsiai | 2011-04-14 / 20110085159 - FIBER OPTIC END FACE INSPECTION PROBE AND SYSTEM | 1 |
Jack T. Kavalieros | US | Portland | 2016-04-21 / 20160111423 - EXTREME HIGH MOBILITY CMOS LOGIC | 118 |
Jack T. Kavalieros | US | Portand | 2008-10-02 / 20080237577 - Forming a non-planar transistor having a quantum well channel | 1 |
Jack Kavalieros | US | Portland | 2016-04-28 / 20160118464 - APPARATUS AND METHODS FOR FORMING A MODULATION DOPED NON-PLANAR TRANSISTOR | 80 |
Kalyan Kavalipurapu | US | Santa Clara | 2014-06-05 / 20140153334 - TERMINATION FOR COMPLEMENTARY SIGNALS | 2 |
Michael Adam Kavallierou | GB | London | 2011-12-08 / 20110302609 - ENTERTAINMENT SYSTEM AND METHOD OF COMMUNICATION | 2 |
Sandhya Kavalloor | IN | Bangalore | 2016-03-10 / 20160072549 - NON-CONTACT SENSING AND READING OF SIGNALS TRANSMITTED BY A CABLE | 1 |
Ladislav Kavan | CZ | Praha | 2010-07-15 / 20100178562 - CARBON COATED LITHIUM MANGANESE PHOSPHATE CATHODE MATERIAL | 3 |
Ladislav Kavan | US | Salt Lake City | 2013-04-25 / 20130103358 - Continuum Based Model For Position Based Dynamics | 2 |
Joanne Kavanagh | IE | Dublin | 2012-12-06 / 20120308538 - PREVENTING SPOILAGE IN ALCOHOL FERMENTATIONS | 1 |
Mark M. Kavanagh | US | Omaha | 2010-01-21 / 20100015293 - MULTI-COMPONENT PACKAGING SYSTEM AND APPARATUS | 2 |
Alan Kavanagh | CA | Montreal | 2014-07-24 / 20140204947 - IDENTIFICATION OF A PRIVATE DEVICE IN A PUBLIC NETWORK | 9 |
Sarah Clark Kavanagh | US | Bethesda | 2014-04-24 / 20140114715 - SYSTEMS AND METHODS FOR MANAGING REQUESTS | 1 |
Heather Kavanagh | IE | Maynooth | 2013-07-18 / 20130183336 - Vaccine for Prophylaxis or Treatment of an Allergen-Driven Airway Pathology | 2 |
Claire Kavanagh | IE | Eadestown | 2014-08-28 / 20140242142 - CELL SECRETED PROTEINS FOR THE TREATMENT OF MYOCARDIAL INFARCTION | 1 |
Kieran Kavanagh | IE | Kanturk | 2010-06-03 / 20100136726 - LED ARRAY | 1 |
Zentaro K. Kavanagh | US | Los Angeles | 2014-12-18 / 20140372983 - IDENTIFYING THE INTRODUCTION OF A SOFTWARE FAILURE | 1 |
Seamus T. Kavanagh | US | Libertyville | 2015-10-22 / 20150297862 - DISPOSABLE CATHETER WITH SELECTIVELY DEGRADABLE INNER CORE | 4 |
Martin Kavanagh | GB | Oldham | 2009-02-19 / 20090046425 - Heat transfer apparatus | 1 |
Brendan Kavanagh | US | San Jose | 2016-02-04 / 20160034198 - SYSTEM AND METHOD FOR MANAGING DISCARDABLE OBJECTS | 3 |
Ian Kavanagh | CH | Luzern | 2016-02-18 / 20160046980 - TRANSPOSON NUCLEIC ACIDS COMPRISING A CALIBRATION SEQUENCE FOR DNA SEQUENCING | 6 |
Leah Kavanagh | US | Jacksonville | 2011-03-24 / 20110070285 - METHOD OF MAKING FLEXIBLE BIORESORBABLE HEMOSTATIC PACKING AND STENT HAVING A PRESELECTABLE IN-VIVO RESIDENCE TIME | 1 |
Michael A Kavanagh | US | Hilliard | 2012-12-20 / 20120324561 - ROAD BLOCK the next evolution of security software for network operations | 1 |
Gerard Kavanagh | GB | Horsham | 2009-04-16 / 20090099779 - AUTONOMIC SEISMIC DATA PROCESSING | 2 |
Alan Kavanagh | CA | Montreal | 2014-07-24 / 20140204947 - IDENTIFICATION OF A PRIVATE DEVICE IN A PUBLIC NETWORK | 9 |
Brendan Barry Kavanagh | US | San Jose | 2010-12-30 / 20100332586 - SYSTEM AND METHOD OF PREDICTIVE DATA ACQUISITION | 1 |
Kevin T. Kavanagh | US | 2010-11-04 / 20100275506 - Use of high-intensity light to immobilize and enable removal or capture of insects | 1 | |
Scott A. Kavanagh | US | Shelby Township | 2014-10-30 / 20140321966 - PACKAGED VACUUM PUMP AND OIL PUMP, AND SYSTEM AND METHOD THEREOF | 1 |
James Thomas Kavanagh | US | Wells | 2011-12-22 / 20110313808 - Built Environment Management System and Method | 1 |
Michelle M. Kavanagh | US | Coon Rapids | 2010-11-04 / 20100280531 - SNAP-ON SUTURE RING FOR IMPLANTABLE MEDICAL DEVICE | 1 |
Suzanne Kavanagh | US | Andover | 2013-08-29 / 20130225946 - DEVICE, APPARATUS AND METHOD FOR OBTAINING PHYSIOLOGICAL SIGNALS BY WAY OF A FEEDING TUBE | 2 |
Maureen A. Kavanagh | US | Saint Paul | 2009-03-19 / 20090075980 - Pyrazolopyridines and Analogs Thereof | 1 |
Peter Kavanagh | US | San Jose | 2013-08-29 / 20130223652 - Digital Input Class-D Audio Amplifier | 1 |
Colleen M. Kavanagh | US | San Francisco | 2015-08-06 / 20150221011 - SYSTEM AND METHOD FOR OBTAINING BATCH INFORMATION ABOUT A PRODUCT | 1 |
Patricia Kavanagh | US | 2016-02-04 / 20160030274 - Personal Mobility Device | 1 | |
Sarah Clark Kavanagh | US | Chevy Chase | 2013-02-28 / 20130055095 - RESEARCH SYSTEMS AND METHODS FOR RESEARCHING AT LEAST ONE TOPIC | 1 |
Daire Kavanagh | US | West Boylston | 2012-10-18 / 20120260452 - Systems and Methods for Cleaning and Conditioning a Moving Surface Using Cleaning Apparatus with Plate Elements for Mounting to Doctor Blade Holders | 2 |
Nelson Kavanagh | BR | Campinas | 2013-11-28 / 20130313788 - SPIRAL WOUND GASKET | 1 |
Shane Kavanagh | US | Leander | 2015-04-02 / 20150090425 - SYSTEM AND METHOD FOR COOLING INFORMATION HANDLING RESOURCES | 2 |
Zentaro K. Kavanagh | US | Bellevue | 2009-12-31 / 20090327849 - Link Classification and Filtering | 1 |
Gregory Peter Kavanagh | US | New York | 2016-01-28 / 20160028803 - Networking in a Social Network | 3 |
Fidelma Kavanagh | IE | Dublin | 2011-02-10 / 20110034776 - VAGINAL SPECULUM | 1 |
Anthony Patrick Kavanagh | GB | Sutton | 2012-04-05 / 20120083681 - Radiotherapy Planning and Delivery | 1 |
Paula A. Kavanagh | US | Raleigh | 2012-05-03 / 20120110553 - IMPLEMENTING A STEP-TYPE OPERATION DURING DEBUGGING OF CODE USING INTERNAL BREAKPOINTS | 1 |
James Benedict Kavanagh | NL | Veldhoven | 2015-12-10 / 20150356233 - COMPUTATIONAL WAFER INSPECTION | 1 |
Terry Kavanagh | US | New York | 2010-09-02 / 20100223115 - ONLINE AND MOBILE GAME APPLICATION WITH A METHOD OF REAL REWARD REDEMPTION | 1 |
Kevin Kavanagh | IE | Maynooth Co. Kildare | 2014-06-26 / 20140178902 - METHOD FOR DETECTING INFECTIONS | 1 |
Neville Kavanagh | IE | County Kildare | 2012-05-31 / 20120133163 - TOOL FOR COLLECTING DEBRIS | 1 |
Maureen A. Kavanagh | US | 2012-05-17 / 20120121651 - PYRAZOLOPYRIDINES AND ANALOGS THEREOF | 1 | |
Terence Edward Kavanagh | GB | Bedfordshire | 2013-06-06 / 20130139676 - MISSILE CANISTER | 1 |
Daniel Kavanagh | IE | Dublin | 2013-03-28 / 20130080388 - DATABASE CACHING UTILIZING ASYNCHRONOUS LOG-BASED REPLICATION | 2 |
Patricia Kavanagh | US | Brooklyn | 2016-02-04 / 20160030274 - Personal Mobility Device | 1 |
Danielle Kavanagh | US | Cranbury | 2014-07-24 / 20140201907 - FURNITURE WITH ACCESSORY FEATURES | 1 |
Richard J. Kavanagh | US | Brooklyn Park | 2014-09-18 / 20140268499 - FLAT CAPACITOR FOR AN IMPLANTABLE MEDICAL DEVICE | 3 |
Suzanne M. Kavanagh | US | Andover | 2010-07-15 / 20100179389 - BIOMETRIC MONITOR WITH ELECTRONICS DISPOSED ON OR IN A NECK COLLAR | 1 |
Darryl Kavanagh | IE | Waterford | 2011-09-15 / 20110220159 - Beverage Dispensing Gun Cleaning Apparatus | 1 |
Heather Kavanagh | IE | Dublin | 2015-07-02 / 20150182614 - Vaccine for Prophylaxis or Treatment of an Allergen-Driven Airway Pathology | 1 |
John Kavanagh | CA | Kitchener | 2015-05-21 / 20150142645 - MOBILE DEPOSIT SYSTEM FOR DIGITAL IMAGE AND TRANSACTION MANAGEMENT | 3 |
Maureen A. Kavanagh | US | Stanchfield | 2015-06-25 / 20150177441 - PRESSURE SENSITIVE ADHESIVE LIGHT GUIDES | 22 |
Peter Joseph Kavanagh | US | Dallas | 2010-01-21 / 20100011476 - Sports face mask identification system | 1 |
Stephen Kavanagh | IE | County Dublin | 2014-07-17 / 20140201079 - TRANSACTION PROCESSING | 2 |
Ramesh Kavanappillil | IN | Bangalore Ka | 2012-03-15 / 20120062935 - JOB PROCESSING | 1 |
Ramesh Kavanappillil | IN | Banglore | 2014-04-03 / 20140092429 - Associate a Document with a Printer | 1 |
Ramesh Kavanappillil | IN | Bangalore Kamataka | 2014-03-27 / 20140085663 - MOBILE DEVICE PRINTING THROUGH PRINT SERVICE PROVIDER | 1 |
Christopher L. Kavanau | US | New York | 2009-11-05 / 20090274375 - METHOD AND SYSTEM FOR ALIGNING AND CLASSIFYING IMAGES | 1 |
Christopher L. Kavanau | US | Allentown | 2016-03-10 / 20160070969 - METHOD AND SYSTEM FOR ALIGNING AND CLASSIFYING IMAGES | 2 |
Michael Kavanaugh | US | Emeryville | 2010-04-22 / 20100099123 - Soluble Human M-CSF Receptor and Uses Thereof | 1 |
James Kavanaugh | US | St. Louis | 2015-02-12 / 20150043801 - System and Method for the Validation and Quality Assurance of Computerized Contours of Human Anatomy | 1 |
Bart Kavanaugh | US | Miami Beach | 2012-03-08 / 20120055089 - SYSTEM AND PROCESS FOR GASIFYING BIOMASS PRODUCTS | 1 |
Sean M. Kavanaugh | US | South Boston | 2015-12-24 / 20150366589 - BONE ANCHOR SYSTEMS | 3 |
Michael W. Kavanaugh | US | Orinda | 2012-10-04 / 20120251538 - USE OF FGFR1 EXTRA CELLULAR DOMAIN PROTEINS TO TREAT CANCERS CHARACTERIZED BY LIGAND-DEPENDENT ACTIVATING MUTATIONS IN FGFR2 | 1 |
Rosemary Kavanaugh | US | Ny | 2015-02-05 / 20150035259 - WHEELED CARRIER WITH BRAKES | 1 |
Michael D. Kavanaugh | US | North Grafton | 2016-03-31 / 20160090516 - ABRASIVE PARTICLES HAVING COMPLEX SHAPES AND METHODS OF FORMING SAME | 12 |
Michael Kavanaugh | US | Orinda | 2009-10-01 / 20090246208 - METHODS FOR PREVENTING AND TREATING CANCER METASTASIS AND BONE LOSS ASSOCIATED WITH CANCER METASTASIS | 1 |
William M. Kavanaugh | US | Emeryville | 2009-12-31 / 20090324604 - M-csf specific monoclonal antibody and uses thereof | 1 |
Trevor Shaun Kavanaugh | US | Minden | 2016-02-11 / 20160041745 - SYSTEM AND METHOD FOR PRESENTING INFORMATION IN AN INDUSTRIAL MONITORING SYSTEM | 11 |
Sean Kavanaugh | US | Eastham | 2012-12-13 / 20120316648 - INTERVERTEBRAL DISC REINFORCEMENT SYSTEMS | 10 |
Rosemary Kavanaugh | US | Rhinebeck | 2013-12-19 / 20130334797 - Wheeled container carrier | 1 |
W. Michael Kavanaugh | US | Marin | 2016-05-05 / 20160120943 - ANGIOGENICALLY EFFECTIVE UNIT DOSE OF FGF AND METHOD OF ADMINISTERING | 4 |
Richard Kavanaugh | US | Encinitas | 2012-08-09 / 20120203909 - Method and System for Forwarding Data Between Netwowrk Devices | 1 |
Adam K. Kavanaugh | US | Orlando | 2014-04-10 / 20140101641 - SYSTEMS AND METHODS FOR AUTOMATICALLY PARALLELIZING SEQUENTIAL CODE | 1 |
William M. Kavanaugh | US | Orinda | 2015-02-19 / 20150050280 - SOLUBLE HUMAN M-CSF RECEPTOR AND USES THEREOF | 6 |
J. Wade Kavanaugh | US | Richmond | 2015-10-29 / 20150307228 - ACCESSIBLE CAN TOP | 1 |
Michael D. Kavanaugh | US | North Grafton | 2016-03-31 / 20160090516 - ABRASIVE PARTICLES HAVING COMPLEX SHAPES AND METHODS OF FORMING SAME | 12 |
Kurt Kavanaugh | US | Kittery | 2009-01-01 / 20090005883 - SYSTEM AND METHOD FOR PROVIDING DEVICE INDEPENDENT CONTROL AND MODIFICATION | 1 |
Jeff Kavanaugh | US | Colleyville | 2010-07-29 / 20100191579 - SYSTEM AND METHOD FOR CUSTOMIZING PRODUCT LIFECYCLE MANAGEMENT PROCESS TO IMPROVE PRODUCT EFFECTIVENESS | 1 |
William Michael Kavanaugh | US | Orinda | 2014-04-03 / 20140093492 - METHOD FOR PREVENTING AND TREATING CANCER METASTASIS AND BONE LOSS ASSOCIATED WITH CANCER METASTASIS | 2 |
Richard Thomas Kavanaugh | US | Encinitas | 2014-10-02 / 20140295790 - METHOD AND SYSTEM FOR PROVIDING DIFFERENTIATED WIRELESS NETWORK ACCESS AND BILLING TO SUBSCRIBERS | 12 |
W. Michael Kavanaugh | US | Mill Valley | 2009-09-03 / 20090221504 - DOSE OF AN ANGIOGENIC FACTOR AND METHOD OF ADMINISTERING TO IMPROVE MYOCARDIAL BLOOD FLOW | 2 |
Patrick John Kavanaugh | US | Eau Claire | 2014-09-18 / 20140259865 - FISHING DEVICE INSERTS | 4 |
W. Michael Kavanaugh | US | Orinda | 2014-09-18 / 20140274898 - HAIR GROWTH METHODS USING FGFR3 EXTRACELLULAR DOMAINS | 3 |
Nicole Kavanaugh | US | Cambridge | 2015-10-08 / 20150283208 - METHODS OF INHIBITING SURFACE ATTACHMENT OF MICROORGANISMS | 1 |
James Kavanaugh | US | University City | 2015-10-22 / 20150297916 - System and Method for the Validation and Quality Assurance of Computerized Contours of Human Anatomy | 1 |
Susan R. Kavanaugh | US | Florence | 2013-06-06 / 20130140211 - NESTABLE COLLAPSIBLE CONTAINERS | 4 |
Sean Kavanaugh | US | Eastham | 2012-12-13 / 20120316648 - INTERVERTEBRAL DISC REINFORCEMENT SYSTEMS | 10 |
Trevor Shaun Kavanaugh | US | Minden | 2016-02-11 / 20160041745 - SYSTEM AND METHOD FOR PRESENTING INFORMATION IN AN INDUSTRIAL MONITORING SYSTEM | 11 |
Sean Kavanaugh | US | Boston | 2014-04-17 / 20140103065 - NON-CORING REDUCED SHEARING NEEDLE | 1 |
Richard Thomas Kavanaugh | US | Encinitas | 2014-10-02 / 20140295790 - METHOD AND SYSTEM FOR PROVIDING DIFFERENTIATED WIRELESS NETWORK ACCESS AND BILLING TO SUBSCRIBERS | 12 |
Michael P. Kavanaugh | US | Missoula | 2015-02-26 / 20150056138 - Radiolabeled Inhibitors of the Amino Acid Transporters ASCT1 and ASCT2 | 2 |
Bart Kavanaugh | US | Manhattan Beach | 2010-07-01 / 20100169129 - SYSTEM FOR FUNDING, ANALYZING AND MANAGING LIFE INSURANCE POLICIES FUNDED WITH ANNUITIES | 1 |
Patrick J. Kavanaugh | US | Eau Claire | 2011-03-10 / 20110056116 - FISHING LINE STOP HAVING A CHANNEL OFFSET | 2 |
Michael Kavanaugh | US | Mill Valley | 2009-03-19 / 20090074776 - Human FGF-21 gene and gene expression products | 1 |
Nickolas Kavantzas | US | Emarald Hills | 2012-05-24 / 20120131469 - RUNTIME USAGE ANALYSIS FOR A DISTRIBUTED POLICY ENFORCEMENT SYSTEM | 1 |
Nickolas Kavantzas | US | Emerald Hills | 2016-03-24 / 20160088022 - PROXY SERVERS WITHIN COMPUTER SUBNETWORKS | 20 |
Nickolas Kavantzas | US | Emerald Hills | 2016-03-24 / 20160088022 - PROXY SERVERS WITHIN COMPUTER SUBNETWORKS | 20 |
Malcolm J. Kavarana | US | Fairfax | 2012-09-27 / 20120245040 - METHODS FOR SYNTHESIS OF ENCODED LIBRARIES | 2 |
Malcolm J. Kavarana | US | Burlington | 2012-03-22 / 20120071329 - METHODS FOR IDENTIFYING COMPOUNDS OF INTEREST USING ENCODED LIBRARIES | 3 |
Rahim Kavari | US | Campbell | 2012-07-05 / 20120167819 - METHOD FOR RECONSTRUCTING A SEMICONDUCTOR TEMPLATE | 2 |
Raymond Robert Kavarsky, Jr. | US | 2012-05-17 / 20120119471 - GLIDING BOARD BINDING WITH MOVABLE MEDIAL OR LATERAL SIDE PORTION | 1 | |
Raymond Robert Kavarsky, Jr. | US | Bozeman | 2016-05-19 / 20160136505 - SNOWBOARD BINDING AND BOOT | 1 |
Robert W. Kavash | US | Glenside | 2011-10-27 / 20110263625 - HIV REPLICATION INHIBITING PYRIMIDINES | 6 |
Robert W. Kavash | US | Radnor | 2015-07-16 / 20150197485 - Processes For Preparing A Polymeric Compound | 5 |
Sarah Kavassalis | CA | Oakville | 2009-09-17 / 20090233197 - CROSSLINKING OUTER LAYER AND PROCESS FOR PREPARING THE SAME | 1 |
Moorthy Kavasseri | US | Okemos | 2014-12-25 / 20140379303 - IDENTIFYING UTILITY RESOURCE DIVERSION | 1 |
Jayesh Kavathe | US | Flint | 2010-11-25 / 20100297535 - NOVEL DESIGN OF FUEL CELL BIPOLAR FOR OPTIMAL UNIFORM DELIVERY OF REACTANT GASES AND EFFICIENT WATER REMOVAL | 2 |
Ashutosh Kavathekar | IN | Aundh | 2014-07-24 / 20140203948 - METHOD AND SYSTEM FOR OPTIMIZED MONITORING AND IDENTIFICATION OF ADVANCED METERING INFRASTRUCTURE DEVICE COMMUNICATION FAILURES | 1 |
Jitendra Kavathekar | US | Cupertino | 2014-10-09 / 20140303988 - COLLABORATIVE HEALTHCARE | 1 |
Gokul Kavaturi | US | Sunnyvale | 2014-12-18 / 20140372351 - RULE-BASED ITEM CLASSIFICATION | 1 |
Mahesh Kavaturu | US | Akron | 2010-03-18 / 20100065184 - REINFORCING STRUCTURE FOR PNEUMATIC TIRES | 2 |
Julian D. Kavazov | US | Arcadia | 2016-02-18 / 20160045395 - AUTOMATED FILLING SYSTEMS AND METHODS | 42 |
Andrew R. Kavc | US | Oxford | 2012-12-27 / 20120324793 - VEHICLE COMPARTMENT CLOSURE APPARATUS | 1 |
Ravindra S. Kavchale | US | Lexington | / - | 1 |
Daniel A. Kave | US | Folsom | 2008-12-11 / 20080304105 - Data management for media production | 1 |
Douglas Dwayne Kave | US | Byhalia | 2008-09-25 / 20080234689 - Vertebral Plate Measuring Device and Method of Use | 1 |
Douglas D. Kave | US | Byhalia | 2012-11-15 / 20120290011 - BONE ANCHOR EXTENDERS | 11 |
Douglas D. Kave | US | Byhalia | 2012-11-15 / 20120290011 - BONE ANCHOR EXTENDERS | 11 |
Ryan E. Kaveckis | US | Everett | 2011-09-08 / 20110218503 - SYSTEMS AND METHODS FOR TRANSEPTAL CARDIAC PROCEDURES, INCLUDING ADJUSTABLE, SEPARABLE GUIDEWIRES | 4 |
Amol Kaveeshwar | US | Farmers Branch | 2015-12-31 / 20150382212 - METHOD AND APPARATUS FOR MONITORING AND ADJUSTING MULTIPLE COMMUNICATION SERVICES AT A VENUE | 1 |
Mehdi Kaveh | US | Brown Deer | 2009-10-29 / 20090268405 - POWER ELECTRONIC MODULE COOLING SYSTEM AND METHOD | 1 |
Jack T. Kavelieros | US | Portland | 2013-06-27 / 20130164898 - CARRIER MOBILITY IN SURFACE-CHANNEL TRANSISTORS, APPARATUS MADE THEREWITH, AND SYSTEM CONTAINING SAME | 1 |
Yaniv Kaver | IL | Givatayim | 2015-06-04 / 20150156036 - DEVICE AND METHOD FOR CHANNEL ESTIMATION AND SIGNAL DEMODULATION | 1 |
Srini V. Kaveri | FR | Malakoff | 2009-12-24 / 20090317373 - Inhibition of the Anti-FVIII Immune Response | 1 |
Srinivas Kaveri | FR | Paris | 2012-05-10 / 20120115171 - Method For Diagnosing Thrombophilia | 1 |
Srinivas Kaveri | FR | Malakoff | 2010-08-05 / 20100197578 - DEMANNOSYLATED RECOMBINANT FACTOR VIII [[VII]] FOR THE TREATMENT OF PATIENTS WITH HAEMOPHILIA A | 2 |
Sheldon Kavesh | US | Whippany | 2011-03-03 / 20110049753 - High molecular weight poly(alpha-olefin) solutions and articles made therefrom | 2 |
Joseph G. Kaveski | US | Leawood | 2013-12-26 / 20130343079 - Elongated LED Lighting Arrangement | 2 |
Dimitri Kavesky | US | Ossining | 2010-02-11 / 20100034366 - PARTICIPANT ALERTS DURING MULTI-PERSON TELECONFERENCES | 1 |
Praveen Kumar Kavety Loganathan | IN | Hyderabad | 2014-02-06 / 20140040307 - SOFTWARE DOWNLOADS FROM SEARCH RESULTS PAGE | 1 |
Neil B. Kavey | US | Chappaqua | 2015-11-12 / 20150320717 - Methods Of Using Low-Dose Doxepin For The Improvement Of Sleep | 14 |
Neil B. Kavey | US | Chappaqua | 2015-11-12 / 20150320717 - Methods Of Using Low-Dose Doxepin For The Improvement Of Sleep | 14 |
Krishna M. Kavi | US | Carrollton | 2012-11-22 / 20120297110 - METHOD AND APPARATUS FOR IMPROVING COMPUTER CACHE PERFORMANCE AND FOR PROTECTING MEMORY SYSTEMS AGAINST SOME SIDE CHANNEL ATTACKS | 1 |
Kambiz Kaviani | US | Palo Alto | 2016-02-11 / 20160041781 - DATA BUFFER WITH STROBE-BASED PRIMARY INTERFACE AND A STROBE-LESS SECONDARY INTERFACE | 18 |
Saeed Kaviani | US | Orlando | 2010-10-21 / 20100268690 - Electronic Item Management and Archival System and Method of Operating the Same | 1 |
Kambiz Kaviani | US | San Francisco | 2014-06-26 / 20140176365 - HIGH-ACCURACY AND LOW-POWER TRACKING SYSTEM FOR MOBILE DEVICES | 3 |
Kambiz Kaviani | US | Palo Alto | 2016-02-11 / 20160041781 - DATA BUFFER WITH STROBE-BASED PRIMARY INTERFACE AND A STROBE-LESS SECONDARY INTERFACE | 18 |
Danial Kaviani | US | Houston | 2015-09-17 / 20150260027 - VAPOR BLOW THROUGH AVOIDANCE IN OIL PRODUCTION | 1 |
Kamyar Kaviani | US | Potomac | 2015-12-03 / 20150346937 - COLLABORATIVE SYSTEM AND METHOD WITH DRAG ALONG BROWSING AND READING POSITION APPROXIMATION ON A DISPLAY DEVICE | 1 |
Anita Kavile | NO | Oslo | 2009-07-09 / 20090175791 - Anti-VEGF Antibody Compositions and Methods | 1 |
Prabhu Kavimani Nagar | DE | Dresden | 2015-06-25 / 20150175768 - ELASTOMERIC MATERIALS AND USE THEREOF | 1 |
Michael J. Kavis | US | Parrish | 2014-07-24 / 20140207592 - Real-Time Transaction Data Processing and Reporting Platform | 3 |
Mike Kavis | US | Parrish | 2010-09-30 / 20100245912 - COLOR PRINTER TECHNOLOGY | 1 |
Michael J. Kavis | US | Parristi | 2010-11-25 / 20100299266 - Digital Incentives Issuance, Redemption, and Reimbursement | 1 |
Smita G. Kavishwar | IN | Mumbai | 2009-04-09 / 20090093629 - PROCESS FOR PREPARATION OF TRIAZOL-BENZODIAZEPINE DERIVATIVES | 1 |
Girish A. Kavishwar | IN | Mumbai | 2009-04-09 / 20090093629 - PROCESS FOR PREPARATION OF TRIAZOL-BENZODIAZEPINE DERIVATIVES | 1 |
Shashank Kavishwar | US | Redmond | 2012-11-29 / 20120303624 - DYNAMIC RULE REORDERING FOR MESSAGE CLASSIFICATION | 1 |
Uma Kavita | US | Cranbury | 2015-04-23 / 20150110827 - FUSION PROTEINS AND METHODS OF USE | 5 |
Andoji Kavitha | IN | Madhapur | 2012-11-15 / 20120290604 - Systems, Methods, and Apparatus for Utility Common Pool Database | 1 |
Mandapati Kavitha | US | Waltham | 2012-04-26 / 20120101096 - Compounds and Methods for Treating Mammalian Gastrointestinal Microbial Infections | 1 |
P. Kavitha | IN | Chennai | 2009-11-12 / 20090281065 - Use of Lysophospholipids to Treat Inflammation | 1 |
Mandapati Kavitha | IN | Gurgaon | 2015-07-30 / 20150210727 - COMPOUNDS AND METHODS FOR TREATING MAMMALIAN GASTROINTESTINAL MICROBIAL INFECTIONS | 2 |
Andoji Kavitha | IN | Hyderabad | 2015-05-14 / 20150134697 - Systems, Methods, and Apparatus for Utility Common Pool Database | 3 |
Paul Andrew Kavitz | US | Laguna Niguel | 2009-01-01 / 20090006276 - Modular computer program for managing dynamic pricing information | 1 |
Steven G. Kavka | US | Rochester | 2012-10-04 / 20120254294 - Mainframe Web Client Servlet | 1 |
Tom Øystein Kavli | NO | Oslo | 2012-09-27 / 20120243374 - ACOUSTIC MOTION DETERMINATION | 1 |
Tom Øystein Kavli | NO | Nittedal | 2014-05-29 / 20140147005 - METHOD AND APPARATUS FOR DETECTING FRAUD ATTEMPTS IN REVERSE VENDING MACHINES | 3 |
Tom Oystein Kavli | NO | Nittedal | 2013-11-07 / 20130294200 - SIGNAL PROCESSING | 1 |
Tom Kavli | NO | Nittedal | 2016-01-28 / 20160026340 - TOUCHLESS USER INTERFACES | 1 |
Jeffrey J. Kavlick | US | Aurora | 2009-04-16 / 20090100104 - SYSTEM AND METHOD FOR SUPPORTING ATTENDANCE AT A SPECTATOR EVENT | 1 |
Mark Francis Kavlick | US | King George | 2015-11-12 / 20150322525 - Quantification of Human Mitochondrial DNA Using Synthesized DNA Standards | 1 |
Mark F. Kavlick | US | King George | 2013-10-03 / 20130260373 - Quantification of Human Mitochondrial DNA Using Synthesized DNA Standards | 1 |
Beril Kavlicoglu | US | Reno | 2012-02-02 / 20120025128 - NANOSTRUCTURED MAGNETORHEOLOGICAL POLYMER FLUIDS AND GELS | 2 |
Anita Kavlie | NO | Oslo | 2016-01-07 / 20160002349 - COMPOSITIONS FOR INHIBITING MASP-2 DEPENDENT COMPLEMENT ACTIVATION | 17 |
Anita Kavlie | NO | Oslo | 2016-01-07 / 20160002349 - COMPOSITIONS FOR INHIBITING MASP-2 DEPENDENT COMPLEMENT ACTIVATION | 17 |
Jack T. Kavlieros | US | Portland | 2010-06-24 / 20100155788 - Formation of a multiple crystal orientation substrate | 1 |
Abby Kavner | US | Santa Monica | 2009-11-05 / 20090274897 - RHENIUM BORIDE COMPOUNDS AND USES THEREOF | 1 |
Douglas M. Kavner | US | Orange | 2012-05-03 / 20120105625 - METHOD AND APPARATUS FOR GENERATING INFRASTRUCTURE-BASED BASIC SAFETY MESSAGE DATA | 1 |
Iraj Kavosh | US | San Jose | 2013-07-04 / 20130168370 - LASER-BEAM DEVICE, LASER-SOLDERING TOOL AND METHOD, FOR LASER-SOLDERING CONNECTION PADS OF A HEAD-STACK ASSEMBLY FOR A HARD-DISK DRIVE | 8 |
Iraj Kavosh | US | San Jose | 2013-07-04 / 20130168370 - LASER-BEAM DEVICE, LASER-SOLDERING TOOL AND METHOD, FOR LASER-SOLDERING CONNECTION PADS OF A HEAD-STACK ASSEMBLY FOR A HARD-DISK DRIVE | 8 |
Gregory T. Kavounas | US | Bellevue | 2016-05-19 / 20160136042 - CPR CHEST COMPRESSION MACHINE ADJUSTING MOTION-TIME PROFILE IN VIEW OF DETECTED FORCE | 21 |
Gregory T. Kavounas | US | Kirkland | 2013-09-26 / 20130254046 - METHODS, DEVICES AND BANK COMPUTERS FOR CONSUMERS USING COMMUNICATORS TO WIRE FUNDS TO SELLERS AND VENDING MACHINES | 15 |
Gregory T. Kavounas | US | Bellevue | 2016-05-19 / 20160136042 - CPR CHEST COMPRESSION MACHINE ADJUSTING MOTION-TIME PROFILE IN VIEW OF DETECTED FORCE | 21 |
Amirpouya Kavousian | US | San Jose | 2016-05-05 / 20160126983 - MULTI-BAND AMPLIFIER | 8 |
Dinyar Kavouspour | US | Plano | 2012-11-08 / 20120280799 - RULE-BASED TRACKING OF PRODUCTS BASED ON INTELLIGENT IDENTIFIERS | 15 |
Louis R. Kavoussi | US | Lotherville | 2010-09-23 / 20100240989 - ROBOT FOR COMPUTED TOMOGRAPHY INTERVENTIONS | 1 |
Louis R. Kavoussi | US | Lutherville | 2012-03-29 / 20120076681 - PNEUMATIC STEPPER MOTOR | 2 |
Kiowa Kavovit | US | Studio City | 2015-12-03 / 20150343112 - LIQUID BANDAGE | 1 |
Andrew Kavovit | US | Studio City | 2015-12-03 / 20150343112 - LIQUID BANDAGE | 2 |
Barbara Kavovit | US | Wainscott | 2013-10-03 / 20130255000 - CONVERTIBLE PILLOW PURSE | 1 |
Nektarios Kavroulakis | GR | Chania | 2011-03-10 / 20110059048 - THE FUNGUS FUSARIUM SOLANI STRAIN 'FS-K' AND ITS USE IN THE BIOLOGICAL CONTROL OF PLANT PATHOGENS AND IN THE ENHANCEMENT OF PLANT GROWTH AND PRODUCTIVITY | 1 |
Bronislav Kavsan | US | Kirkland | 2015-09-17 / 20150264036 - INTEGRATING OPERATING SYSTEMS WITH CONTENT OFFERED BY WEB BASED ENTITIES | 4 |
Koray Kavukcuoglu | GB | London | 2015-04-09 / 20150100530 - METHODS AND APPARATUS FOR REINFORCEMENT LEARNING | 2 |
Koray Kavukcuoglu | US | Princeton | 2013-04-18 / 20130097108 - Two-Stage Multiple Kernel Learning Method | 3 |
David F. J. Kavulak | US | Fremont | 2014-02-06 / 20140034111 - PHOTOVOLTAIC MODULE AND LAMINATE | 1 |
David F.j. Kavulak | US | Fremont | 2015-02-19 / 20150047690 - SOLAR CELL MODULE WITH HIGH ELECTRIC SUSCEPTIBILITY LAYER | 2 |
David Fredric Joel Kavulak | US | Fremont | 2016-03-31 / 20160093757 - SOLAR CELL INTERCONNECTION | 1 |
Ravi K. Kavuri | US | Inver Grove Heights | 2012-12-06 / 20120310892 - SYSTEM AND METHOD FOR VIRTUAL CLUSTER FILE SERVER | 1 |
Srinivas Kavuri | US | South Plainfield | 2014-06-19 / 20140172796 - SYSTEMS AND METHODS FOR MIGRATING COMPONENTS IN A HIERARCHICAL STORAGE NETWORK | 16 |
Sridhar Rajiv Kavuri | IN | Bangalore | 2014-01-09 / 20140012860 - SYSTEM AND METHOD FOR SORTING PHONEBOOK CONTACTS BASED ON CONTEXT WEIGHTAGE AND INCENTIVES | 1 |
Sateesh Babu Kavuri | IN | Bangalore | 2012-05-10 / 20120113147 - METHOD OF OPERATING A DEVICE | 1 |
Srinivas Kavuri | IN | Hyderabad | 2012-10-25 / 20120271832 - METHOD AND SYSTEM FOR SEARCHING STORED DATA | 6 |
Srinivas Kavuri | US | San Jose | 2016-03-24 / 20160085468 - HIERARCHICAL SYSTEMS AND METHODS FOR PERFORMING DATA STORAGE OPERATIONS | 5 |
Lalitha Kavuri | US | Fremont | 2013-11-28 / 20130318029 - DISTRIBUTED ORDER ORCHESTRATION SYSTEM WITH EXTENSIBLE FLEX FIELD SUPPORT | 2 |
Ravi Kavuri | US | Inver Grove Heights | 2013-12-26 / 20130346444 - METADATA SUBSYSTEM FOR A DISTRIBUTED OBJECT STORE IN A NETWORK STORAGE SYSTEM | 1 |
Srinivas Kavuri | IN | Bangalore | 2014-10-16 / 20140310219 - SYSTEMS AND METHODS FOR STORAGE MODELING AND COSTING | 9 |
Srinivas Kavuri | US | Highland Park | 2013-12-05 / 20130326178 - HIERARCHICAL SYSTEMS AND METHODS FOR PERFORMING DATA STORAGE OPERATIONS | 7 |
Srinivas Kavuri | IN | Miyapur | 2011-09-01 / 20110213755 - SYSTEMS AND METHODS OF HIERARCHICAL STORAGE MANAGEMENT, SUCH AS GLOBAL MANAGEMENT OF STORAGE OPERATIONS | 14 |
Srinivas Kavuri | IN | Bangalore | 2014-10-16 / 20140310219 - SYSTEMS AND METHODS FOR STORAGE MODELING AND COSTING | 9 |
Hariharanath Kavuri | IN | Machilipatnam | 2015-04-09 / 20150096458 - DOOR SEALING ASSEMBLY FOR A RAILCAR AND METHOD OF ASSEMBLING THE SAME | 1 |
Padmini Kavuru | US | Lakeland | 2010-08-12 / 20100204204 - NUTRACEUTICAL CO-CRYSTAL COMPOSITIONS | 1 |
Padmini Kavuru | US | Fitchburg | 2015-09-10 / 20150250797 - NUTRACEUTICAL CO-CRYSTAL COMPOSITIONS | 1 |
Vimal Kavuru | US | East Burnswick | 2015-05-07 / 20150122692 - Blister Card | 1 |
Madhav Kavuru | US | Poplar Bluff | 2013-09-05 / 20130229519 - AUTOMATIC REAR VIEW DISPLAY IN VEHICLES WITH ENTERTAINMENT SYSTEMS | 1 |
Padmini Kavuru | IN | West Lafayette | 2014-08-28 / 20140242193 - LITHIUM COMPOSITIONS | 1 |
Sam Kavusi | US | Menlo Park | 2016-01-28 / 20160025671 - METHODS FOR GENERATING pH/IONIC CONCENTRATION GRADIENT NEAR ELECTRODE SURFACES FOR MODULATING BIOMOLECULAR INTERACTIONS | 24 |
Sam Kavusi | US | Menlo Park | 2016-01-28 / 20160025671 - METHODS FOR GENERATING pH/IONIC CONCENTRATION GRADIENT NEAR ELECTRODE SURFACES FOR MODULATING BIOMOLECULAR INTERACTIONS | 24 |
Sam Kavusi | US | Stanford | 2008-12-25 / 20080316347 - ADAPTIVE PIXEL FOR HIGH DYNAMIC RANGE AND DISTURBANCE DETECTION AND CORRECTION | 1 |
Spyridon Kavvadias | GR | Voula | 2013-05-30 / 20130137381 - Split Microwave Backhaul Transceiver Architecture with Coaxial Interconnect | 1 |
Autar Krishen Kaw | US | Tampa | 2012-11-29 / 20120297965 - Hybrid Body Armor | 1 |
Eros G. Kaw | US | San Mateo | 2015-11-19 / 20150329392 - Bioreactor System for Aquarium and Septic Tank | 3 |
Rahul Kaw | US | Pleasanton | 2014-07-17 / 20140201641 - METHODS AND APPARATUS FOR INTERFACING WITH A PHONE SYSTEM IN AN ON-DEMAND SERVICE ENVIRONMENT | 1 |
Benjamin Kawa | DE | Hechingen | 2015-05-14 / 20150132169 - POSTIVE DISPLACEMENT PUMP | 1 |
Diane Kawa | US | Albany | 2013-06-20 / 20130156804 - Porin B (PorB) as a Therapeutic Target for Prevention and Treatment of Infection by Chlamydia | 5 |
Seiji Kawa | JP | Kanagawa | 2014-05-15 / 20140132809 - SIGNAL PROCESSING CIRCUIT, IMAGING DEVICE, AND PROGRAM | 3 |
Diane Kawa | US | San Marcos | 2012-05-10 / 20120115148 - Reagents and Methods for Detecting Neisseria Gonorrhoeae | 2 |
Jamil Kawa | US | Campbell | 2016-03-03 / 20160063163 - ARRAYS WITH COMPACT SERIES CONNECTION FOR VERTICAL NANOWIRES REALIZATIONS | 32 |
Nour Kawa | US | Emeryville | 2012-01-12 / 20120009540 - LED Tooth Whitening Device and Method of Tooth Whitening Using the Device | 1 |
Nagatoshi Kawa | JP | Kanagawa | 2012-03-22 / 20120069360 - IMAGE FORMING APPARATUS, A CONTROL METHOD AND A RECORDING MEDIUM | 1 |
Rolf Kawa | DE | Monheim | 2014-06-19 / 20140170717 - Ester Synthesis | 27 |
Grzegorz Kawa | CA | Windsor | 2015-04-16 / 20150102024 - OCCUPANCY SENSING WITH HEATING DEVICES | 2 |
Dieter Kawa | DE | Erdmannhausen | 2012-11-29 / 20120301288 - CHARGING DEVICE | 1 |
Emilia Kawa | DE | Hechingen | 2016-03-31 / 20160089238 - IMPLANTABLE DEVICE FOR TREATING MITRAL VALVE REGURGITATION | 4 |
Manabu Kawa | JP | Tokyo | 2009-12-31 / 20090326097 - SURFACE-COATED ALUMINUM OXIDE NANOPARTICLE AND RESIN COMPOSITION THEREOF | 1 |
Noriaki Kawa | JP | Osaka-Shi | 2009-08-20 / 20090210152 - MOBILE-BODY NAVIGATION SYSTEM, NAVIGATION APPARATUS AND SERVER APPARATUS | 1 |
Claude Kawa | CA | Montreal | 2012-07-19 / 20120185440 - METHOD AND APPARATUS FOR PROVIDING ADDRESS BOOK RESTORATION | 5 |
Franz Kawa | CH | Adliswil | 2010-11-04 / 20100276111 - Process for Producing Steel Long Products by Continuous Casting and Rolling | 5 |
Gertrud Kawa | DE | Buehl (baden) | 2013-11-07 / 20130295041 - Novel Composition | 2 |
Yasutaka Kawa | JP | Osaka | 2012-08-02 / 20120194673 - Dimension Measuring Apparatus, Dimension Measuring Method, And Program For Dimension Measuring Apparatus | 3 |
Larry A. Kawa | US | Boca Raton | 2016-03-31 / 20160092052 - SYNCRONIZING AUDIO PLAYBACK IN COORDINATION WITH EXTERNAL VIDEO PLAYBACK WITH AUTO-DIMMING | 1 |
Tadahisa Kawa | JP | Suita-Shi | 2010-01-14 / 20100011149 - Data Storage Devices Accepting Queued Commands Having Deadlines | 1 |
Jamil Kawa | US | Campbell | 2016-03-03 / 20160063163 - ARRAYS WITH COMPACT SERIES CONNECTION FOR VERTICAL NANOWIRES REALIZATIONS | 32 |
Krystian Kawa | DE | Luedenscheid | 2010-04-29 / 20100105235 - CONNECTOR ELEMENT | 1 |
Tsuyoshi Kawaai | JP | Uji-Shi | 2011-07-14 / 20110167853 - COOLING SYSTEM | 4 |
Tsuyoshi Kawaai | JP | Osaka | 2010-08-12 / 20100204845 - Demand Control System, Demand Controller, Demand Program, and Demand Controlling Method | 1 |
Yuichi Kawaai | JP | Matsumoto-Shi | 2015-07-30 / 20150211463 - DIESEL ENGINE | 3 |
Yuta Kawaai | JP | Miyagi | 2011-07-28 / 20110184555 - WORKING SUPPORT ROBOT SYSTEM | 1 |
Ryoji Kawaai | JP | Kariya-City | 2010-09-30 / 20100244910 - Sinusoidal waveform generation circuit | 1 |
Tsuneo Kawaba | JP | Tokyo | 2011-03-03 / 20110052069 - Image search apparatus | 1 |
Motoyuki Kawaba | JP | Kawasaki, Japan | 2016-02-11 / 20160041769 - RECORDING MEDIUM STORING ACCESS CONTROL PROGRAM, ACCESS CONTROL APPARATUS, AND ACCESS CONTROL METHOD | 1 |
Motoyuki Kawaba | JP | Kawasaki | 2016-01-07 / 20160004441 - ACCESS CONTROL METHOD FOR ACCESSING DATA IN STORAGE AND DISK DRIVE | 28 |
Yusaku Kawabat | JP | Kyoto | 2011-09-22 / 20110228517 - LED LAMP | 1 |
Hiroshi Kawabata | JP | Tokyo | 2015-09-10 / 20150252393 - NOVEL HYDROLASE PROTEIN | 11 |
Toru Kawabata | JP | Gunma | 2009-11-19 / 20090283464 - WATER FEED DEVICE | 2 |
Chihiro Kawabata | JP | Kanagawa | 2014-03-06 / 20140067181 - TORQUE DISTRIBUTION APPARATUS, TORQUE DISTRIBUTION METHOD, TORQUE DISTRIBUTION VALUE GENERATION METHOD, AND PROGRAM | 2 |
Chihiro Kawabata | JP | Kawasaki | 2014-02-13 / 20140046568 - TORQUE DISTRIBUTION APPARATUS, TORQUE DISTRIBUTION METHOD, TORQUE DISTRIBUTION VALUE GENERATION METHOD, AND PROGRAM | 3 |
Masahiro Kawabata | JP | Hyogo | 2014-01-16 / 20140017531 - BATTERY PACK | 1 |
Yasuhiro Kawabata | JP | Kawasaki-Shi | 2016-03-03 / 20160065916 - METHOD FOR FORMING COLOR FILTER ARRAY, METHOD FOR MANUFACTURING IMAGING APPARATUS, AND IMAGING APPARATUS | 8 |
Yuto Kawabata | JP | Kanagawa | 2015-05-28 / 20150149900 - TERMINAL DEVICE, ANNOTATION METHOD, COMPUTER SYSTEM, AND COMPUTER PROGRAM | 1 |
Mari Kawabata | JP | Tokyo | 2016-05-19 / 20160138773 - VEHICLE COMBINATION LAMP | 1 |
Nobuhide Kawabata | JP | Kanagawa | 2014-04-17 / 20140104624 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 2 |
Kenichi Kawabata | JP | Isehara-Shi | 2009-01-15 / 20090015621 - IMAGE FORMING APPARATUS AND DEFECTIVE NOZZLE DETECTION METHOD | 1 |
Yoshikazu Kawabata | JP | Handa-Shi | 2013-06-27 / 20130160889 - HIGH-STRENGTH ELECTRIC RESISTANCE WELDED STEEL TUBE AND PRODUCTION METHOD THEREFOR | 2 |
Hiroyuki Kawabata | JP | Kawanishi-Shi | 2013-06-20 / 20130159536 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING SYSTEM, IMAGE PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM | 10 |
Kenichi Kawabata | JP | Isehara City | 2009-05-28 / 20090136279 - IMAGE FORMING APPARATUS CAPABLE OF ELECTROSTATICALLY ATTRACTING SHEET EFFECTIVELY | 1 |
Ken-Ichi Kawabata | JP | Kodaira | 2008-10-23 / 20080261009 - Organism Simulative Phantom | 1 |
Kenichi Kawabata | JP | Kodaira-Shi | 2010-06-24 / 20100158816 - GAS BUBBLE-GENERATING AGENT | 1 |
Makoto Kawabata | JP | Toyama | 2016-03-31 / 20160093476 - Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-Transitory Computer-Readable Recording Medium | 2 |
Masato Kawabata | JP | Hyogo | 2011-12-22 / 20110311617 - ORAL VACCINE | 1 |
Sanemasa Kawabata | JP | Kariya-City | 2009-11-12 / 20090280018 - Compressor | 1 |
Shigeru Kawabata | JP | Kobe-Shi | 2009-10-29 / 20090267711 - High frequency circuit | 1 |
Tatsuya Kawabata | JP | Ehime | 2014-07-24 / 20140207058 - PHARMACEUTICAL INJECTION DEVICE | 1 |
Kenichi Kawabata | JP | Kodaira | 2013-10-03 / 20130261451 - ULTRASONIC MEDICAL DIAGNOSTIC DEVICE FOR IMAGING CHANGES WITH TIME | 9 |
Daigo Kawabata | JP | Tokyo | 2011-07-21 / 20110174154 - RESIN PISTON AND METHOD FOR MOLDING RESIN PISTON | 1 |
Masae Kawabata | JP | Tsu-Shi | 2009-10-08 / 20090251627 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Erina Kawabata | JP | Kyoto-Shi | 2015-09-17 / 20150262030 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 1 |
Yukimi Kawabata | JP | Kanagawa | 2016-03-24 / 20160085164 - ELECTRON TRANSPORT MATERIAL, ELECTROPHOTOGRAPHIC PHOTORECEPTOR, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 5 |
Norihiko Kawabata | JP | Osaka | 2014-05-15 / 20140134510 - SOLID POLYMER FUEL CELL | 25 |
Suguru Kawabata | JP | Osaka-Shi | 2015-04-23 / 20150109093 - VARIABLE RESISTANCE ELEMENT | 8 |
Hideki Kawabata | JP | Osaka-Shi | 2013-02-07 / 20130035014 - HIGH-DENSITY FABRIC | 2 |
Ryoko Kawabata | JP | Hiroshima | 2009-10-08 / 20090253636 - Novel Antifungal Agent | 1 |
Yusaku Kawabata | JP | Kyoto | 2015-05-21 / 20150138773 - LIGHTING DEVICE | 3 |
Shinichi Kawabata | JP | Osaka | 2009-06-18 / 20090156345 - Speed Changing Transmission Apparatus | 1 |
Hirosuke Kawabata | JP | Osaka | 2008-12-25 / 20080318072 - Imide Resin, Production Method of Imide Resin, and Usage of Imide Resin | 2 |
Keisuke Kawabata | JP | Kanagawa | 2015-06-25 / 20150177659 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 6 |
Shuji Kawabata | JP | Miyoshi | 2011-12-08 / 20110301368 - Carrier for Ethylene Oxide Catalysts | 1 |
Yusaku Kawabata | JP | Kyoto-Shi | 2016-04-07 / 20160097491 - LED LAMP | 5 |
Yoshisumi Kawabata | JP | Osaka-Shi | 2013-11-21 / 20130307132 - SEMICONDUCTOR DEVICE | 1 |
Shinichiro Kawabata | JP | Ushiku | 2015-09-03 / 20150247256 - METHOD FOR PRODUCING NITRIDE CRYSTAL AND NITRIDE CRYSTAL | 2 |
Yasuhiro Kawabata | JP | Tokyo | 2010-12-02 / 20100304808 - Game Device, Image Generation Method, Information Recording Medium And Program | 6 |
Takayuki Kawabata | JP | Kawasaki-Shi | 2014-07-17 / 20140201133 - PATTERN EXTRACTION APPARATUS AND CONTROL METHOD THEREFOR | 2 |
Kazunari Kawabata | JP | Kawasaki-Shi | 2016-03-10 / 20160071896 - SOLID-STATE IMAGE SENSING DEVICE AND CAMERA | 5 |
Akihiro Kawabata | JP | Koriyama-Shi | 2013-11-21 / 20130311086 - Navigation Apparatus | 1 |
Shinichi Kawabata | JP | Sakai-Shi | 2014-04-24 / 20140113766 - Hybrid Vehicle | 1 |
Tomoaki Kawabata | JP | Takahama-Shi | 2015-06-04 / 20150152865 - COMPACT STRUCTURE OF GEAR PUMP DESIGNED TO MINIMIZE LOSS OF PUMPING TORQUE | 8 |
Yuya Kawabata | JP | Aichi | 2013-08-15 / 20130206996 - NEUTRON DETECTOR | 1 |
Yuuki Kawabata | JP | Toyota-Shi | 2013-07-25 / 20130189870 - CONNECTOR | 1 |
Yu Kawabata | JP | Kobe-Shi | 2014-01-30 / 20140031145 - GOLF BALL RESIN COMPOSITION AND GOLF BALL | 1 |
Shingo Kawabata | JP | Kyoto-Shi | 2013-10-31 / 20130289254 - CELL ADHESIVE MATERIAL FOR BIOLOGICAL TISSUE | 1 |
Tomonori Kawabata | JP | Toyonaka-Shi | 2013-01-03 / 20130005999 - METHOD FOR REGENERATING TITANOSILICATE CATALYSTS | 8 |
Tomoaki Kawabata | JP | Takahama-City | 2014-06-26 / 20140178236 - ROTARY PUMP AND BRAKE DEVICE HAVING THE SAME | 6 |
Ryohei Kawabata | JP | Tokyo | 2015-09-24 / 20150271922 - PRINTED CIRCUIT BOARD AND POWER SUPPLY UNIT | 1 |
Katsuhiko Kawabata | JP | Sumoto-Shi | 2012-11-29 / 20120300440 - SOLAR BATTERY POWER SUPPLY DEVICE | 1 |
Takashi Kawabata | JP | Kanagawa | 2010-09-30 / 20100247168 - IMAGE FORMING APPARATUS | 3 |
Yoshikatsu Kawabata | JP | Kariya-Shi | 2015-02-19 / 20150050564 - SECONDARY BATTERY | 2 |
Masahito Kawabata | JP | Kanagawa | 2012-06-21 / 20120156948 - SUBSTRATE CONNECTING STRUCTURE AND ELECTRONIC DEVICE | 9 |
Kaoru Kawabata | JP | Ibaraki | 2008-11-20 / 20080288307 - PROJECT MANAGEMENT SUPPORT DEVICE AND METHOD THEREOF | 1 |
Naoya Kawabata | JP | Tokyo | 2016-03-03 / 20160065743 - STEREO ECHO SUPPRESSING DEVICE, ECHO SUPPRESSING DEVICE, STEREO ECHO SUPPRESSING METHOD, AND NON TRANSITORY COMPUTER-READABLE RECORDING MEDIUM STORING STEREO ECHO SUPPRESSING PROGRAM | 4 |
Hiroshi Kawabata | JP | Hokkaido | 2008-11-27 / 20080290158 - Mobile Terminal Device and Data Acquistion Method Using the Same | 1 |
Kennichi Kawabata | JP | Tokyo | 2014-01-02 / 20140005537 - Photoacoustic Measurement Device | 1 |
Atsufumi Kawabata | JP | Higashiosaka-Shi, Osaka | 2016-04-07 / 20160096815 - T-TYPE CALCIUM CHANNEL INHIBITOR | 1 |
Ryosuke Kawabata | JP | Daito-Shi | 2015-12-31 / 20150381789 - MOBILE TERMINAL, LOCK STATE CONTROL PROGRAM FOR MOBILE TERMINAL, AND A METHOD FOR CONTROLLING LOCK STATE OF MOBILE TERMINAL | 4 |
Minoru Kawabata | JP | Tokyo | 2013-08-22 / 20130212972 - TOWER AND WIND TURBINE GENERATOR | 5 |
Takeo Kawabata | JP | Uji-Shi | 2011-10-13 / 20110251393 - HELICENE DERIVATIVE, AXIALLY ASYMMETRIC AMINO ACID, AMINE OR AMINOALCOHOL DERIVATIVE, PERYLENE DERIVATIVE OR SALT THEREOF, AND METHODS FOR PRODUCING SAME | 1 |
Manabu Kawabata | JP | Chiyoda-Ku | 2013-12-05 / 20130323612 - DESULFURIZATION SYSTEM, HYDROGEN-MANUFACTURING SYSTEM, FUEL-CELL SYSTEM, FUEL-DESULFURIZATION METHOD, AND METHOD FOR MANUFACTURING HYDROGEN | 1 |
Masae Kawabata | JP | Osaka | 2015-05-28 / 20150145972 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR CONTROLLING SAME | 13 |
Yasuhiro Kawabata | JP | Nara-Shi | 2010-11-25 / 20100295639 - STRUCTURE OF SPRING AND ACTUATOR USING THE SPRING | 1 |
Shuji Kawabata | JP | Nagoya-Shi | 2012-09-06 / 20120226058 - Carrier for Ethylene Oxide Catalysts | 1 |
Shin-Ichiro Kawabata | US | Goleta | 2012-06-28 / 20120164386 - AMMONOTHERMAL GROWTH OF GROUP-III NITRIDE CRYSTALS ON SEEDS WITH AT LEAST TWO SURFACES MAKING AN ACUTE, RIGHT OR OBTUSE ANGLE WITH EACH OTHER | 1 |
Fuminori Kawabata | JP | Tokyo | 2016-03-10 / 20160067204 - THERAPEUTIC OR PROPHYLACTIC AGENT FOR CORNEAL EPITHELIUM DISORDERS AND/OR CONJUNCTIVAL EPITHELIUM DISORDERS | 2 |
Yuichi Kawabata | JP | Tokyo | 2012-12-06 / 20120311701 - PROTECTION DEVICE, PROTECTION SOFTWARE, AND PROTECTION METHOD FOR CONTROLLING EXTERNAL DEVICE | 1 |
Katsumasa Kawabata | JP | Osaka | 2014-09-25 / 20140286001 - BATTERY HOLDER AND MOBILE ILLUMINATION DEVICE USING SAME | 1 |
Kazuhiro Kawabata | JP | Kagawa | 2014-04-17 / 20140103794 - LIGHT | 1 |
Tomohiro Kawabata | JP | Saitama | 2011-05-26 / 20110121667 - MOTOR DAMPING STRUCTURE FOR ACTUATOR DEVICE | 1 |
Akio Kawabata | JP | Kawasaki | 2016-04-14 / 20160104655 - BONDING SHEET AND MANUFACTURING METHOD THEREOF, AND HEAT DISSIPATION MECHANISM AND MANUFACTURING METHOD THEREOF | 13 |
Masae Kawabata | JP | Osaka-Shi | 2014-05-22 / 20140139562 - LIQUID CRYSTAL DISPLAY DEVICE | 9 |
Keiko Kawabata | JP | Tokyo | 2015-11-05 / 20150315208 - BENZAZEPINE COMPOUND | 5 |
Hiroshi Kawabata | JP | Kanagawa | 2016-03-24 / 20160083341 - METHOD FOR PRODUCING a-SUBSTITUTED CYSTEINE OR SALT THEREOF OR SYNTHETIC INTERMEDIATE OF a-SUBSTITUTED CYSTEINE | 4 |
Hiroshi Kawabata | JP | Osaka | 2009-10-01 / 20090241702 - Work Vehicle | 2 |
Shinichiro Kawabata | JP | Tokyo | 2013-02-21 / 20130042492 - WASHING MACHINE AND DRYING MACHINE | 3 |
Masayuki Kawabata | JP | Tokyo | 2012-06-14 / 20120146416 - TEST APPARATUS | 6 |
Tomohisa Kawabata | JP | Amagasaki-Shi | 2011-10-27 / 20110259746 - Electrode for dielectrophoretic apparatus, dielectrophoretic apparatus, method for manufacturing the same, and method for separating substances using the electrode or dielectrophoretic apparatus | 2 |
Koya Kawabata | JP | Tokyo | 2010-01-14 / 20100007823 - BRIGHTNESS ENHANCEMENT FILM AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Hideki Kawabata | JP | Tokyo | 2016-02-11 / 20160042504 - MEASUREMENT APPARATUS, MEASUREMENT METHOD, INFORMATION PROCESSING APPARATUS, AND MEASUREMENT PROGRAM | 6 |
Tomohisa Kawabata | US | Mountain View | 2010-05-06 / 20100108514 - METHOD OF CONTROLLING TEMPERATURE | 2 |
Tomohisa Kawabata | JP | Hyogo | 2011-02-03 / 20110027902 - STRUCTURE FOR INTRODUCING A PLURALITY OF SOLUTIONS, MICRO FLUIDIC DEVICE HAVING SAID STRUCTURE AND METHOD FOR INTRODUCING SOLUTION | 6 |
Yoshikazu Kawabata | JP | Tokyo | 2015-12-24 / 20150368768 - Electric Resistance Welded Steel Pipe | 5 |
Manabu Kawabata | JP | Osaka | 2010-09-30 / 20100245663 - SEMICONDUCTOR INTEGRATED CIRCUIT AND TRANSMITTER APPARATUS HAVING THE SAME | 1 |
Teruo Kawabata | JP | Osaka | 2011-10-13 / 20110252410 - PROGRAM CONVERTING APPARATUS AND PROGRAM CONVERSION METHOD | 3 |
Minoru Kawabata | JP | Osaka | 2009-06-11 / 20090146503 - COMMUNICATION SYSTEM | 1 |
Eiji Kawabata | JP | Osaka | 2009-09-17 / 20090233246 - METHOD FOR PRODUCING MATERIAL CONTAINING SENSITIZER DISPERSED THEREIN FOR THERMAL RECORDING ARTICLE AND THERMAL RECORDING ARTICLE | 1 |
Kazuhiro Kawabata | JP | Osaka | 2009-12-24 / 20090317638 - POLISHING SLURRY, METHOD FOR MANUFACTURING THE POLISHING SLURRY, NITRIDE CRYSTALLINE MATERIAL AND METHOD FOR PLISHING SURFACE OF THE NITRIDE CRYSTALLINE MATERIAL | 1 |
Shinji Kawabata | JP | Osaka | 2013-10-17 / 20130270423 - Multiple Optical-Axis Photoelectric Sensor | 5 |
Susumu Kawabata | JP | Osaka | 2010-10-21 / 20100268452 - NAVIGATION DEVICE, NAVIGATION METHOD, AND NAVIGATION PROGRAM | 1 |
Tomoaki Kawabata | JP | Tokyo | 2010-04-22 / 20100096099 - PART FOR REMOVING IMPURITIES FROM A MOLTEN METAL | 1 |
Shigenori Kawabata | JP | Tokyo | 2009-01-08 / 20090012384 - Superconducting Magnetism Measuring Apparatus, Biomagnetism Measuring Apparatus, and Sensor Cylinder Cover and Sheet for Biomagnetism Measuring Apparatus | 1 |
Makoto Kawabata | JP | Chiyoda-Ku | 2013-01-10 / 20130011694 - STEEL SHEET FOR CONTAINER EXCELLENT IN CORROSION RESISTANCE | 1 |
Toru Kawabata | JP | Osaka | 2013-12-12 / 20130332944 - Movement Mechanism and Optical Disc Apparatus | 4 |
Kazuo Kawabata | JP | Kawasaki | 2015-07-16 / 20150201416 - COMMUNICATIONS APPARATUS AND COMMUNICATIONS SYSTEM USING MULTICARRIER TRANSMISSION MODE | 48 |
Kohji Kawabata | JP | Tokyo | 2009-01-08 / 20090012054 - Cephem Compounds and Use as Antimicrobial Agents | 1 |
Takashi Kawabata | JP | Saitama | 2013-05-30 / 20130138204 - STENT | 1 |
Katsuhiro Kawabata | JP | Osaka | 2012-02-16 / 20120037335 - UNDERGROUND HEAT EXCHANGER AND AIR CONDITIONING SYSTEM INCLUDING THE SAME | 4 |
Kiyoshi Kawabata | JP | Abiko-Shi | 2008-12-11 / 20080303175 - Electronic circuit package | 1 |
Cesar Kawabata | BR | Sao Paulo | 2015-10-15 / 20150294333 - MOBILE DEVICE BASED INVENTORY MANAGEMENT AND SALES TRENDS ANALYSIS IN A RETAIL ENVIRONMENT | 2 |
Hiroyuki Kawabata | JP | Owariasahi-Shi | 2012-06-21 / 20120156087 - RECYCLED MAGNESIUM ALLOY, PROCESS FOR PRODUCING THE SAME, AND MAGNESIUM ALLOY | 1 |
Kenji Kawabata | JP | Ibaraki-Shi | 2012-09-13 / 20120231490 - Method Of Differentiation From Stem Cells To Hepatocytes | 1 |
Yasuhiro Kawabata | JP | Kawasaki-Shi | 2016-03-03 / 20160065916 - METHOD FOR FORMING COLOR FILTER ARRAY, METHOD FOR MANUFACTURING IMAGING APPARATUS, AND IMAGING APPARATUS | 8 |
Masae Kawabata | JP | Osaka | 2015-05-28 / 20150145972 - LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR CONTROLLING SAME | 13 |
Akihiro Kawabata | JP | Osaka | 2010-05-27 / 20100128058 - IMAGE VIEWING APPARATUS AND METHOD | 2 |
Naoyuki Kawabata | JP | Tokyo | 2015-12-10 / 20150354090 - SIC EPITAXIAL WAFER PRODUCTION METHOD | 1 |
Yoshimi Kawabata | JP | Tokyo | 2009-01-29 / 20090028215 - Heat Detector | 2 |
Masataka Kawabata | JP | Toyota-Shi | 2013-02-07 / 20130032445 - WET FRICTION MEMBER AND ITS MANUFACTURING METHOD | 1 |
Hirotaka Kawabata | JP | Kanagawa | 2015-02-12 / 20150043040 - FACSIMILE MACHINE, NON-TRANSITORY COMPUTER READABLE MEDIUM, AND FACSIMILE COMMUNICATION METHOD | 7 |
Tatsuya Kawabata | JP | Osaka-Shi | 2014-09-04 / 20140246977 - DISCHARGE LAMP IGNITING APPARATUS | 2 |
Jessica Okane Kawabata | US | Salinas | 2014-07-17 / 20140196751 - METHOD FOR SANITIZING FRESH PRODUCE | 1 |
Krystyna Kawabata | US | Naperville | 2014-07-24 / 20140205723 - STABILIZATION OF OXIDIZED FATS | 1 |
Kazuya Kawabata | JP | Kanagawa | 2015-12-03 / 20150347883 - IMAGE FORMING SYSTEM, IMAGE FORMING APPARATUS, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 3 |
Kiichi Kawabata | JP | Kumamoto | 2015-10-15 / 20150291737 - THERMOSETTING RESIN COMPOSITION | 5 |
Erika Kawabata | JP | Kyoto | 2014-07-10 / 20140192525 - LIGHT PROJECTION DEVICE | 3 |
Kaoru Kawabata | JP | Hitachi | 2012-08-30 / 20120221324 - Document Processing Apparatus | 3 |
Hisashi Kawabata | JP | Tokyo | 2009-03-12 / 20090067476 - WIRELESS COMMUNICATION SYSTEM AND METHOD | 2 |
Shunichi Kawabata | JP | Tokyo | 2009-07-02 / 20090169182 - MULTIMEDIA DATA REPRODUCING APPARATUS | 1 |
Sumi Kawabata | JP | Tokyo | 2009-08-13 / 20090203315 - DETECTOR, RF CIRCUIT WITH DETECTOR, AND MOBILE DEVICE WITH RF CIRCUIT | 1 |
Kiyoshi Kawabata | JP | Tokyo | 2011-08-11 / 20110193185 - MAGNETIC MEMORY DEVICE HAVING A RECORDING LAYER | 2 |
Ltaru Kawabata | JP | Yokohama-Shi | 2016-03-03 / 20160064393 - NONVOLATILE SEMICONDUCTOR MEMORY HAVING A WORD LINE BENT TOWARDS A SELECT GATE LINE SIDE | 1 |
Kuniaki Kawabata | JP | Fujisawa | 2015-04-30 / 20150121502 - Session Management Technique | 2 |
Hirofumi Kawabata | JP | Tokyo | 2011-06-30 / 20110158878 - METHOD AND DEVICE FOR PROCESSING EXHAUST GAS | 1 |
Terumasa Kawabata | JP | Tokyo | 2015-10-01 / 20150278310 - DATABASE DEVICE | 1 |
Takeshi Kawabata | JP | Haibara-Gun | 2015-07-02 / 20150185612 - ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, PATTERN FORMING METHOD, MANUFACTURING METHOD OF ELECTRONIC DEVICE USING THE SAME, AND ELECTRONIC DEVICE | 9 |
Seiji Kawabata | JP | Nagano-Ken | 2012-05-03 / 20120105540 - Liquid Ejecting Apparatus | 2 |
Hideo Kawabata | JP | Inabe | 2008-12-18 / 20080312357 - COMPOSITION FOR DRY LUBRICANT FILM AND PLAIN BEARING WITH SLIDING LAYER USING THE SAME | 1 |
Shinichi Kawabata | JP | Toshima-Ku | 2008-12-18 / 20080311016 - Process for disposing waste gas comprising sulfur oxides and apparatus thereof | 1 |
Kazuo Kawabata | JP | Kanagawa | 2009-01-08 / 20090011711 - COMMUNICATION DEVICE AND TERMINAL | 1 |
Takeshi Kawabata | JP | Shizuoka | 2015-04-30 / 20150118628 - ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM THEREFROM, METHOD OF FORMING PATTERN, PROCESS FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE | 9 |
Naohiro Kawabata | JP | Fukuoka | 2014-08-07 / 20140219119 - MOBILE TERMINAL AND METHOD FOR CONTROLLING RATE CHANGE | 1 |
Ryuzo Kawabata | JP | Tokyo | 2015-07-30 / 20150212166 - MAGNETORESISTIVE SENSOR AND GRADIOMETER | 5 |
Katsunori Kawabata | JP | Kyoto | 2015-12-10 / 20150355528 - STROBE APPARATUS | 6 |
Yukitoshi Kawabata | JP | Tokyo | 2009-10-15 / 20090255153 - GROUP CALENDAR INTERFACE | 1 |
Ayako Kawabata | JP | Tokyo | 2010-01-21 / 20100016250 - TOLL-LIKE RECEPTOR 9 AGONISTS | 1 |
Makoto Kawabata | JP | Tokyo | 2013-06-06 / 20130143066 - STEEL SHEET FOR CAN EXHIBITING EXCELLENT CORROSION RESISTANCE | 11 |
Tomoaki Kawabata | JP | Wakayama | 2012-06-07 / 20120138255 - PART FOR REMOVING IMPURITIES FROM A MOLTEN METAL | 1 |
Natsuki Kawabata | JP | Shizuoka | 2015-05-28 / 20150147215 - Scroll-Type Fluid Machine with Grease-Lubricated Orbiting Bearing | 10 |
Tomoaki Kawabata | JP | Takahama-Shi | 2015-06-04 / 20150152865 - COMPACT STRUCTURE OF GEAR PUMP DESIGNED TO MINIMIZE LOSS OF PUMPING TORQUE | 8 |
Takashi Kawabata | JP | Tokyo | 2010-03-04 / 20100054167 - COMMUNICATION METHOD AND WIRELESS COMMUNICATION SYSTEM | 1 |
Masayasu Kawabata | JP | Ise-Shi | 2012-01-05 / 20120000875 - GRIPPER DEVICE FOR TRANSPORT VEHICLE, TRANSPORT VEHICLE AND OVERHEAD HOIST TRANSPORT VEHICLE | 1 |
Makoto Kawabata | JP | Tokyo | 2013-06-06 / 20130143066 - STEEL SHEET FOR CAN EXHIBITING EXCELLENT CORROSION RESISTANCE | 11 |
Yasunari Kawabata | JP | Tokyo | 2011-12-22 / 20110311464 - TEETH WHITENING MATERIAL AND TEETH WHITENING METHOD | 4 |
Yuuji Kawabata | JP | Osaka | 2012-02-02 / 20120023914 - Exhaust Purification Apparatus | 1 |
Yasumitsu Kawabata | JP | Tokyo | 2015-04-09 / 20150099424 - POLISHING METHOD | 2 |
Takeshi Kawabata | JP | Osaka | 2015-12-24 / 20150371971 - SEMICONDUCTOR DEVICE | 13 |
Katsuhiko Kawabata | JP | Tokyo | 2015-12-10 / 20150357249 - SUBSTRATE ETCHING APPARATUS AND SUBSTRATE ANALYSIS METHOD | 2 |
Takeshi Kawabata | JP | Hakusan-Shi | 2010-10-21 / 20100266122 - ENCRYPTION METHOD, DECRYPTION METHOD, DEVICE, AND PROGRAM | 1 |
Yoshihiko Kawabata | JP | Hino-Shi | 2011-10-06 / 20110245658 - METHOD FOR PRODUCING A MAGNETIC RESONANCE ELASTOGRAM (MRE), DEVICE FOR PRODUCING THE SAME, AND BALL VIBRATOR FOR PRODUCING THE SAME | 1 |
Takeshi Kawabata | JP | Haibara-Gun | 2015-07-02 / 20150185612 - ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, PATTERN FORMING METHOD, MANUFACTURING METHOD OF ELECTRONIC DEVICE USING THE SAME, AND ELECTRONIC DEVICE | 9 |
Shinichi Kawabata | JP | Tokyo | 2016-02-18 / 20160048101 - IMAGE FORMING APPARATUS | 11 |
Takeshi Kawabata | JP | Shizuoka | 2015-04-30 / 20150118628 - ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM THEREFROM, METHOD OF FORMING PATTERN, PROCESS FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE | 9 |
Kazuaki Kawabata | JP | Kanagawa | 2011-05-26 / 20110122013 - RADAR APPARATUS | 3 |
Ryuzo Kawabata | JP | Kodaira | / - | 1 |
Tomonori Kawabata | JP | Toyonaka-Shi | 2013-01-03 / 20130005999 - METHOD FOR REGENERATING TITANOSILICATE CATALYSTS | 8 |
Akihiro Kawabata | JP | Saitama-Shi | 2014-03-06 / 20140067256 - Position Calculation Method and Position Calculation Apparatus | 1 |
Shinichiro Kawabata | JP | Minato-Ku | 2013-02-21 / 20130042654 - DAMPER, WASHING MACHINE, AND WASHER/DRYER | 1 |
Kenya Kawabata | JP | Tokyo | 2015-08-06 / 20150219400 - HEAT SINK | 4 |
Fumimaru Kawabata | JP | Tokyo | 2010-05-13 / 20100119861 - HIGH-STRENGTH WELDED STEEL PIPE INCLUDING WELD METAL HAVING HIGH COLD-CRACKING RESISTANCE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Junya Kawabata | JP | Tokyo | 2015-10-22 / 20150300566 - ROTATABLE APPARATUS | 7 |
Shinichiro Kawabata | JP | Seto-Shi | 2009-08-27 / 20090211309 - DRUM TYPE WASHING-DRYING MACHINE | 1 |
Ryuzo Kawabata | JP | Higashiyamato | 2013-08-29 / 20130226049 - COMPRESSION DEPTH CALCULATION SYSTEM AND COMPRESSION DEPTH CALCULATION METHOD | 4 |
Atsufumi Kawabata | JP | Higashiosaka-Shi | 2015-05-28 / 20150148296 - AGENT FOR PROPHYLACTIC AND/OR THERAPEUTIC TREATMENT OF PERIPHERAL NEUROPATHIC PAIN CAUSED BY ANTICANCER AGENT | 1 |
Kentaro Kawabata | JP | Sayama-Shi | 2008-12-18 / 20080308541 - Engine driven welding machine | 3 |
Tsuyoshi Kawabata | JP | Tokyo | 2013-10-03 / 20130260605 - DEVICE FOR MOUNTING PROTECTOR ON DIN RAIL | 2 |
Yoichi Kawabata | JP | Osaka | 2014-04-10 / 20140100373 - PRODUCTION METHOD OF IMIDAZOLE DERIVATIVES | 1 |
Yuichiro Kawabata | JP | Tsukuba-Shi | 2013-08-22 / 20130214453 - PHOTO-CURABLE NANOIMPRINT COMPOSITION, METHOD FOR FORMATING PATTERN USING THE COMPOSITION, AND NANOIMPRINT REPLICA MOLD COMRISING CURED PRODUCT OF THE COMPOSITION | 2 |
Masahito Kawabata | JP | Kanagawa | 2012-06-21 / 20120156948 - SUBSTRATE CONNECTING STRUCTURE AND ELECTRONIC DEVICE | 9 |
Takao Kawabata | JP | Kobe-Shi | 2012-02-09 / 20120032465 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 4 |
Kenichi Kawabata | JP | Tokyo | 2016-05-05 / 20160128196 - ELECTRONIC CIRCUIT MODULE COMPONENT | 25 |
Taketo Kawabata | JP | Tatatsuki-Shi | 2012-05-31 / 20120132232 - PIPING CLEANING NOZZLE AND PIPING CLEANIGN METHOD USING PIPING CLEANING NOZZLE | 1 |
Takao Kawabata | JP | Kobe | 2011-06-23 / 20110148146 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 1 |
Shozo Kawabata | JP | Kasugai-Shi | 2008-12-25 / 20080316787 - METHOD AND APPARATUS FOR ADDRESS ALLOTTING AND VERIFICATION IN A SEMICONDUCTOR DEVICE | 1 |
Natsuki Kawabata | JP | Shizuoka | 2015-05-28 / 20150147215 - Scroll-Type Fluid Machine with Grease-Lubricated Orbiting Bearing | 10 |
Ryuzou Kawabata | JP | Kodaira | 2009-01-01 / 20090001979 - Magnetic field measuring apparatus | 1 |
Takeshi Kawabata | JP | Kawasaki-Shi | 2014-12-18 / 20140369499 - CRYPTOGRAPHIC DEVICE, CRYPTOGRAPHIC PROCESSING METHOD, AND CRYPTOGRAPHIC PROCESSING PROGRAM | 2 |
Eric Kawabata | US | Orange | 2011-02-24 / 20110043016 - Adjustable Articulating Crew Seat | 2 |
Marcia H. Kawabata | US | San Bruno | 2010-09-09 / 20100228573 - SYSTEMS AND METHODS FOR MATCHING CONSUMER REQUESTS WITH SUPPLIER APPETITES | 1 |
Shin-Ichiro Kawabata | US | Santa Barbara | 2009-12-03 / 20090294775 - HEXAGONAL WURTZITE TYPE EPITAXIAL LAYER POSSESSING A LOW ALKALI-METAL CONCENTRATION AND METHOD OF CREATING THE SAME | 1 |
Yoshihiro Kawabata | JP | Gunma | 2009-02-05 / 20090031559 - ELECTRONIC COMPONENT MOUNTING APPARATUS AND METHOD OF MOUNTING ELECTRONIC COMPONENTS | 1 |
Kuninori Kawabata | JP | Kawasaki | 2013-08-22 / 20130215700 - SEMICONDUCTOR MEMORY DEVICE CHANGING REFRESH INTERVAL DEPENDING ON TEMPERATURE | 9 |
Kenichi Kawabata | JP | Kodaira | 2013-10-03 / 20130261451 - ULTRASONIC MEDICAL DIAGNOSTIC DEVICE FOR IMAGING CHANGES WITH TIME | 9 |
Tadashi Kawabata | JP | Kanagawa | 2012-05-24 / 20120128984 - POLYESTER RESIN COMPOSITION | 2 |
Koichi Kawabata | JP | Okazaki-City | 2009-04-16 / 20090095003 - Refrigeration cycle device | 1 |
Takeshi Kawabata | JP | Ishikawa | 2011-05-12 / 20110110519 - DATA TRANSMISSION DEVICE, DATA RECEPTION DEVICE, METHODS THEREOF, RECORDING MEDIUM, AND DATA COMMUNICATION SYSTEM THEREFOR | 1 |
Taro Kawabata | JP | Yokohama-Shi | 2010-09-16 / 20100232958 - NOZZLE BOX OF AXIAL FLOW TURBINE AND AXIAL FLOW TURBINE | 1 |
Takeshi Kawabata | JP | Tokyo | 2013-08-22 / 20130219408 - COMPUTER PROGRAM PRODUCT, AND INFORMATION PROCESSING APPARATUS AND METHOD | 4 |
Takashi Kawabata | JP | Hasuda-Shi | 2009-04-23 / 20090105724 - MEDICAL APPARATUS FOR INSERTION INTO BODY CAVITY | 1 |
Yasuyo Kawabata | JP | Utsunomiya-Shi | 2009-07-02 / 20090168036 - EXPOSURE APPARATUS, STRUCTURE, METHOD FOR SETTING UP APPARATUS, AND DEVICE MANUFACTURING METHOD | 1 |
Kazuhiro Kawabata | JP | Higashioumi-Shi | 2011-11-10 / 20110273846 - Substrate For Mounting Device and Package for Housing Device Employing the Same | 1 |
Itaru Kawabata | JP | Yokohama-Shi | 2015-06-11 / 20150162340 - NONVOLATILE SEMICONDUCTOR MEMORY HAVING A WORD LINE BENT TOWARDS A SELECT GATE LINE SIDE | 7 |
Kenji Kawabata | JP | Yokkaichi-Shi | 2014-08-21 / 20140236337 - PATTERN INSPECTION METHOD AND MANUFACTURING CONTROL SYSTEM | 2 |
Ryo Kawabata | JP | Oota | 2014-04-10 / 20140096643 - MOLTEN STEEL DESULFURIZATION METHOD, MOLTEN STEEL SECONDARY REFINING METHOD, AND MOLTEN STEEL MANUFACTURING METHOD | 1 |
Masae Kawabata | JP | Osaka-Shi | 2014-05-22 / 20140139562 - LIQUID CRYSTAL DISPLAY DEVICE | 9 |
Marie Kawabata | JP | Yokohama-Shi | 2009-05-28 / 20090134331 - METHOD OF EVALUATING SKIN CONDITIONS AND METHOD OF ESTIMATING SKIN THICKNESS | 1 |
Akihiro Kawabata | JP | Tokyo | 2015-10-15 / 20150289839 - ULTRASOUND IMAGING APPARATUS AND ULTRASOUND IMAGE DISPLAY METHOD | 2 |
Nobuyuki Kawabata | JP | Tokyo | 2013-06-27 / 20130160392 - HALF PRECAST SLAB AND METHOD FOR STRUCTURING HALF PRECAST SLAB | 2 |
Mitsuhiro Kawabata | JP | Fujioka-Shi | 2015-12-24 / 20150367672 - WRITING INSTRUMENT | 2 |
Yasuhiro Kawabata | JP | Kyoto | 2016-02-11 / 20160041014 - SENSOR DEVICE, MEASUREMENT SYSTEM, AND MEASUREMENT METHOD | 4 |
Kenji Kawabata | JP | Yokohama-Shi | 2011-06-16 / 20110143503 - Semiconductor storage element and manufacturing method thereof | 4 |
Shuhei Kawabata | JP | Saitama-Shi | 2011-01-20 / 20110014477 - COMPOSITION FOR COATING PLASTIC SUBSTRATE, COATING FILM FORMED THEREFROM, AND FORMED BODY | 2 |
Daishi Kawabata | JP | Yokohama | 2010-11-04 / 20100281193 - DATA BUFFER DEVICE | 1 |
Satoshi Kawabata | JP | Osaka | 2015-02-26 / 20150052694 - METHOD FOR REPLACING SLOPED CABLES, AND TEMPORARY HANGER FOR REPLACING SLOPED CABLES | 1 |
Toshio Kawabata | JP | Shiga-Ken | 2010-09-30 / 20100245013 - MULTILAYER ELECTRONIC COMPONENT | 1 |
Akira Kawabata | JP | Yamaguchi | 2009-05-07 / 20090117374 - POLYIMIDE FILM FOR METALLIZING, AND METAL-LAMINATED POLYIMIDE FILM | 1 |
Mie Kawabata | JP | Toyokawa-Shi | 2015-12-31 / 20150381831 - IMAGE PROCESSING APPARATUS, SCREEN DISPLAY METHOD FOR THE SAME, AND RECORDING MEDIUM | 16 |
Yuichiro Kawabata | JP | Yamaguchi | 2010-09-16 / 20100233485 - Photochromic Optical Element | 1 |
Yoshifumi Kawabata | JP | Himeji-Shi | 2010-07-22 / 20100185317 - VARIABLE MEDIUM PROCESSING APPARATUS AND VARIABLE MEDIUM PROCESSING METHOD | 2 |
Shuji Kawabata | JP | Aichi | 2013-05-09 / 20130116456 - MULLITE-CONTAINING CARRIER FOR ETHYLENE OXIDE CATALYSTS | 1 |
Hideki Kawabata | JP | Chita-Gun | 2014-07-10 / 20140194052 - GRILLE APPARATUS FOR VEHICLE | 3 |
Kenichi Kawabata | JP | Kanagawa | 2012-08-02 / 20120193862 - SHEET TRANSPORT DEVICE AND IMAGE FORMING APPARATUS | 10 |
Akira Kawabata | JP | Ube-Shi | 2013-12-12 / 20130327982 - POLYIMIDE SEAMLESS BELT AND PROCESS FOR PRODUCTION THEREOF, AND POLYIMIDE PRECURSOR SOLUTION COMPOSITION | 2 |
Masayuki Kawabata | JP | Miyagi | 2010-05-06 / 20100109674 - DEVICE, TEST APPARATUS AND TEST METHOD | 1 |
Takahiro Kawabata | JP | Sodegaura-Shi | 2010-05-06 / 20100111904 - MATERIAL FOR CONTROL OF SOIL-BORNE DISEASE IN PLANT UTILIZING NOVEL FILAMENTOUS FUNGI | 1 |
Tomoyuki Kawabata | JP | Chiba | 2009-06-04 / 20090142982 - Biodegradable Polymer, Production Method Thereof, Molded Product Thereof, and Use Thereof | 1 |
Shinichiro Kawabata | JP | Ibaraki | 2010-04-29 / 20100104495 - METHOD FOR PRODUCING NITRIDE SEMICONDUCTOR, CRYSTAL GROWTH RATE INCREASING AGENT, SINGLE CRYSTAL NITRIDE, WAFER AND DEVICE | 2 |
Hideki Kawabata | JP | Aichi-Ken | 2011-04-28 / 20110094317 - APPARATUS AND METHOD FOR DETERMINING SEAT OCCUPANCY | 1 |
Kouichi Kawabata | JP | Hiratsuka-Shi | 2014-12-25 / 20140373992 - Pneumatic Tire | 1 |
Juichirou Kawabata | JP | Ibaraki-Shi | 2011-04-28 / 20110097525 - Coating Material and Container Coated with the Coating Material | 1 |
Tatsuo Kawabata | JP | Shizuoka-Ken | 2010-01-07 / 20100003565 - FUEL CELL AND GASKET | 1 |
Yasuo Kawabata | JP | Kanagawa | 2009-01-22 / 20090020274 - Heat diffusing device and method of producing the same | 1 |
Shunichi Kawabata | JP | Tachikawa-Shi | 2008-10-30 / 20080270621 - CONTENT REPRODUCING APPARATUS FOR REPRODUCING CONTENT USING NETWORK SERVICE | 1 |
Hiroshi Kawabata | JP | Kawasaki-Shi | 2011-03-17 / 20110065847 - METHOD FOR PRODUCING POLYCARBOXYLIC ACID COPOLYMER AND COPOLYMER COMPOSITION FOR CEMENT ADMIXTURE | 1 |
Mie Kawabata | JP | Toyokawa-Shi-Aichi | 2016-03-31 / 20160094737 - IMAGE FORMING APPARATUS AND RECORDING MEDIUM | 1 |
Hisaya Kawabata | JP | Hiroshima-Shi | 2015-03-26 / 20150087503 - EXHAUST GAS PURIFICATION CATALYST | 4 |
Yutaka Kawabata | JP | Kyoto | 2013-08-08 / 20130200140 - Information Acquisition Device, Measurement System, and Information Acquisition Method | 1 |
Masashi Kawabata | JP | Hyogo | 2015-05-07 / 20150126319 - DRIVE DEVICE FOR CONSTRUCTION MACHINE | 1 |
Takahiro Kawabata | JP | Kanagawa | 2011-07-28 / 20110183068 - COMPOSITION FOR PRODUCTION OF METAL FILM, METHOD FOR PRODUCING METAL FILM AND METHOD FOR PRODUCING METAL POWDER | 1 |
Takeshi Kawabata | JP | Osaka | 2015-12-24 / 20150371971 - SEMICONDUCTOR DEVICE | 13 |
Kazunori Kawabata | JP | Toyota-Shi | 2012-12-27 / 20120328416 - TURBOCHARGER | 1 |
Shozo Kawabata | JP | Aichi-Ken | 2011-02-03 / 20110026287 - METHOD AND APPARATUS FOR ADDRESS ALLOTTING AND VERIFICATION IN A SEMICONDUCTOR DEVICE | 1 |
Kazuo Kawabata | JP | Yokohama | 2014-03-20 / 20140079029 - RADIO COMMUNICATION APPARATUS | 2 |
Yoshikazu Kawabata | JP | Aichi | 2012-05-03 / 20120104717 - TORSION BEAM MANUFACTURING METHOD AND TORSION BEAM | 1 |
Ryota Kawabata | JP | Osaka-Shi | 2011-09-15 / 20110221980 - DISPLAY DEVICE AND TELEVISION RECEIVER | 1 |
Shogo Kawabata | JP | Saitama | 2010-03-04 / 20100055160 - ANALGESIC ANTI-INFLAMMATORY PATCHES FOR TOPICAL USE | 2 |
Atsufumi Kawabata | JP | Nara | 2011-09-15 / 20110224154 - PEPTIDE DERIVATIVE AND COMPOSITION FOR PROMOTING TEAR SECRETION COMPRISING THE SAME | 1 |
Masahide Kawabata | JP | Moka-Shi | 2015-10-01 / 20150275344 - HEAT-RESISTANT, CAST FERRITIC STEEL HAVING EXCELLENT MACHINABILITY AND EXHAUST MEMBER MADE THEREOF | 7 |
Sumiko Kawabata | JP | Ibaraki | 2010-12-23 / 20100320418 - Aluminum Silicate Complex and High-Performance Adsorbent Comprising the Same | 1 |
Hideki Kawabata | JP | Osaka | 2013-11-28 / 20130312155 - WOVEN FABRIC EXCELLENT IN TRANSPARENCY, AND DOWN JACKET | 2 |
Hiroyuki Kawabata | JP | Kawanishi-Shi | 2013-06-20 / 20130159536 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING SYSTEM, IMAGE PROCESSING METHOD, AND NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM | 10 |
Hiroyuki Kawabata | JP | Tochigi | 2008-12-04 / 20080297983 - Electrode Sheet For Capacitors, Method For Manufacturing The Same, And Electrolytic Capacitor | 1 |
Kuniaki Kawabata | JP | Saitama | 2008-10-30 / 20080267469 - SPECIMEN ANALYSIS AND ACICULAR REGION ANALYZER | 1 |
Mie Kawabata | JP | Toyokawa-Shi | 2015-12-31 / 20150381831 - IMAGE PROCESSING APPARATUS, SCREEN DISPLAY METHOD FOR THE SAME, AND RECORDING MEDIUM | 16 |
Kuninori Kawabata | JP | Kawasaki-Shi | 2010-12-23 / 20100321983 - SEMICONDUCTOR MEMORY DEVICE CAPABLE OF DRIVING NON-SELECTED WORD LINES TO FIRST AND SECOND POTENTIALS | 3 |
Yukiko Kawabata | JP | Toyota-Shi | 2011-12-15 / 20110304477 - PARKING SUPPORT DEVICE | 2 |
Hiroshi Kawabata | JP | Kawabata | 2008-09-25 / 20080233621 - Method For Producing Alcohol and Carboxylic Acid Having Optical Activity | 1 |
Kuninori Kawabata | JP | Kawasaki | 2013-08-22 / 20130215700 - SEMICONDUCTOR MEMORY DEVICE CHANGING REFRESH INTERVAL DEPENDING ON TEMPERATURE | 9 |
Masashi Kawabata | JP | Kobe-Shi | 2013-06-13 / 20130145880 - DRIVE DEVICE FOR CONSTRUCTION MACHINE | 2 |
Hideyuki Kawabata | JP | Osaka-Shi | 2010-09-30 / 20100247469 - PERMANENT WAVE TREATMENT METHOD AND APPARATUS THEREOF | 1 |
Kazuya Kawabata | JP | Kawasaki-Shi | 2010-09-16 / 20100231954 - PRINTING CONTROL DEVICE, IMAGE FORMING DEVICE, PRINTING CONTROL SYSTEM AND COMPUTER READABLE MEDIUM | 1 |
Suguru Kawabata | JP | Osaka-Shi | 2015-04-23 / 20150109093 - VARIABLE RESISTANCE ELEMENT | 8 |
Tatsuo Kawabata | JP | Susono-Shi | 2010-07-08 / 20100173226 - FUEL CELL | 1 |
Tomoaki Kawabata | JP | Kawasaki-Shi | 2009-06-04 / 20090139058 - Hinge Apparatus and Foldable Electronic Device | 1 |
Akihiro Kawabata | JP | Daito-Shi | 2011-07-28 / 20110184288 - ULTRASONIC DIAGNOSTIC APPARATUS AND TOMOGRAPHIC IMAGE PROCESSING APPARATUS | 1 |
Masayuki Kawabata | JP | Saitama | 2014-01-30 / 20140028326 - DA-CONVERTER AND TEST APPARATUS | 7 |
Hirotaka Kawabata | JP | Saitama | 2011-05-05 / 20110102855 - IMAGE COMMUNICATION APPARATUS | 4 |
Kenji Kawabata | JP | Kyoto-Fu | 2015-01-15 / 20150014899 - METHOD FOR MANUFACTURING LAMINATED COIL COMPONENT | 1 |
Fumio Kawabata | JP | Saitama | 2010-08-05 / 20100199179 - PORTABLE INFORMATION TERMINAL | 7 |
Atushi Kawabata | JP | Hitachi | 2014-08-21 / 20140233204 - Electric Circuit Device, Electric Circuit Module, and Power Converter | 3 |
Ryoji Kawabata | JP | Nomi-Shi | 2010-06-24 / 20100161021 - MYOCARDIAL PAD | 1 |
Michiko Kawabata | JP | Kanagawa | 2013-02-28 / 20130053517 - METHOD FOR PRODUCING MODIFIED POLYMER | 1 |
Noriko Kawabata | JP | Ikoma-Shi | 2013-05-02 / 20130109646 - METHOD FOR TREATING GLAUCOMA OR OCULAR HYPERTENSION WITH AN ADENOSINE DERIVATIVE | 2 |
Ryoichi Kawabata | JP | Aichi-Ken | 2009-06-11 / 20090145538 - MANUFACTURING METHOD OF VEHICLE MOLDED CEILING | 1 |
Motonobu Kawabata | JP | Kyoto | 2012-10-04 / 20120249653 - FILTER MANUFACTURING APPARATUS AND FILTER MANUFACTURING METHOD | 2 |
Yoshikazu Kawabata | JP | Aichi-Ken | 2010-03-11 / 20100062277 - FRICTIONALLY PRESS-BONDED MEMBER | 1 |
Seiji Kawabata | JP | Matsumoto-Shi | 2012-09-13 / 20120229567 - Recording Apparatus | 6 |
Mutsumi Kawabata | JP | Yokohama-Shi | 2012-05-03 / 20120107517 - METHOD OF MANUFACTURING OPTICAL WAVEGUIDE FOR TOUCH PANEL | 1 |
Takahiro Kawabata | JP | Chiba | 2010-02-04 / 20100028974 - NOVEL BACILLUS BACTERIUM STRAIN CAPABLE OF DECOMPOSING/VOLUME-REDUCING PLANT RESIDUE | 1 |
Kuniaki Kawabata | JP | Fujisawa-Shi | 2009-06-11 / 20090150485 - SESSION MANAGEMENT TECHNIQUE | 1 |
Kenji Kawabata | JP | Osaka | 2016-02-18 / 20160046904 - Method For Culturing Hepatoblast-Like Cells And Culture Product Thereof | 1 |
Nobuyoshi Kawabata | JP | Fukui | 2011-10-20 / 20110253827 - EXTENDIBLE STRUCTURE | 1 |
Shinichi Kawabata | JP | Tokyo | 2016-02-18 / 20160048101 - IMAGE FORMING APPARATUS | 11 |
Mitsuo Kawabata | JP | Takefu-Shi | 2010-01-28 / 20100019587 - RADIAL ANISOTROPIC SINTERED MAGNET AND ITS PRODUCTION METHOD, MAGNET ROTOR USING SINTERED MAGNET, AND MOTOR USING MAGNET ROTOR | 1 |
Shuji Kawabata | JP | Nishikamo-Gun | 2010-01-21 / 20100016617 - MULLITE-CONTAINING CARRIER FOR ETHYLENE OXIDE CATALYSTS | 1 |
Akihiro Kawabata | JP | Kanagawa | 2013-12-12 / 20130331700 - ULTRASOUND DIAGNOSTIC APPARATUS AND ULTRASOUND MEASUREMENT METHOD USING SAME | 7 |
Yukiko Kawabata | JP | Aichi | 2010-11-11 / 20100283632 - PARKING ASSIST APPARATUS AND METHOD | 12 |
Hirotaka Kawabata | JP | Shiga | 2015-10-22 / 20150300341 - COMPRESSOR | 5 |
Noboru Kawabata | JP | Kagoshima | 2015-05-07 / 20150123234 - SOLID-STATE IMAGE SENSING APPARATUS AND ELECTRONIC APPARATUS | 5 |
Tomohiro Kawabata | JP | Tochigi | 2010-01-07 / 20100001604 - Motor-mounting structure and actuator for vehicle | 1 |
Masahiro Kawabata | JP | Sumoto-Shi | 2012-12-06 / 20120308848 - BATTERY PACK | 1 |
Nobuyuki Kawabata | JP | Funabashi-Shi | 2015-01-22 / 20150020561 - METHOD OF MANUFACTURING END-GROUP COMPONENTS WITH PURE NIOBIUM MATERIAL FOR SUPERCONDUCTING ACCELERATOR CAVITY | 1 |
Hiroshi Kawabata | JP | Sakai-Shi | 2015-07-02 / 20150181803 - Mid-Mount Mower | 2 |
Takeshi Kawabata | JP | Shizuoka-Ken | 2015-11-26 / 20150338736 - ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM AND METHOD OF FORMING PATTERN | 1 |
Shinji Kawabata | JP | Kawasaki-Shi | 2008-09-04 / 20080215350 - DOCUMENT PROCESSING APPARATUS, DOCUMENT PROCESSING METHOD, COMPUTER READABLE MEDIUM AND COMPUTER DATA SIGNAL | 1 |
Atsunori Kawabata | JP | Toyotake Kaga | 2009-12-10 / 20090300861 - DISLOCATION PREVENTING BOLT, AND LONGITUDINAL RIB COMPOSITE FLOOR PANEL HAVING THE DISLOCATION PREVENTING BOLT | 1 |
Kouji Kawabata | JP | Yamaguchi-Ken | 2008-09-25 / 20080230482 - Optically active polymaleimide derivatives and process for their production | 1 |
Yoichi Kawabata | JP | Kanagawa | 2015-03-19 / 20150077478 - LIQUID CARTRIDGE AND IMAGE FORMING APPARATUS INCORPORATION SAME | 3 |
Masaki Kawabata | JP | Tokyo | 2015-11-12 / 20150321082 - ROULETTE LOTTERY APPARATUS | 1 |
Takeshi Kawabata | JP | Kawasaki | 2016-02-25 / 20160056953 - DATA GENERATING DEVICE, COMMUNICATION DEVICE, MOBILE OBJECT, DATA GENERATING METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Takeshi Kawabata | JP | Kanagawa | 2013-09-12 / 20130236005 - CRYPTOGRAPHIC PROCESSING APPARATUS | 4 |
Hiroki Kawabata | JP | Osaka | 2011-12-22 / 20110309732 - RAIL DEVICE AND REFRIGERATOR USING THE SAME | 1 |
Takashi Kawabata | JP | Ebina-Shi | 2011-06-16 / 20110142485 - CLEANING DEVICE, CHARGING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Tatsuya Kawabata | JP | Hyogo | 2009-12-03 / 20090299104 - CATALYST FOR PARTIAL OXIDATION OF OLEFIN, PREPARATION METHOD THEREOF, AND PROCESS FOR PREPARING ALKYLENE OXIDE | 1 |
Tomonori Kawabata | JP | Osaka | 2011-11-17 / 20110282082 - METHOD FOR PRODUCING OXIDIZED COMPOUND | 7 |
Shozo Kawabata | JP | Inuyama-City | 2008-10-09 / 20080247233 - NON-VOLATILE MEMORY DEVICE, NON-VOLATILE MEMORY SYSTEM AND CONTROL METHOD FOR THE NON-VOLATILE MEMORY DEVICE | 1 |
Isamu Kawabe | JP | Osaka | 2012-07-26 / 20120188292 - SENSOR DEVICE AND ELECTRONIC APPARATUS | 3 |
Takayuki Kawabe | JP | Sagamihara-Shi | 2015-02-12 / 20150043099 - METHOD FOR GENERATING ADDRESS DATA AND DISK STORAGE APPARATUS | 10 |
Masashi Kawabe | JP | Mie | 2012-08-23 / 20120213398 - SPEAKER, AND ELECTRONIC APPARATUS AND CELLULAR PHONE USING THE SPEAKER | 5 |
Hidetaka Kawabe | JP | Kawasaki | 2015-04-16 / 20150101717 - HIGH STRENGTH HOT-ROLLED STEEL SHEET HAVING EXCELLENT DUCTILITY, STRETCH FLANGEABILITY AND UNIFORMITY AND METHOD OF MANUFACTURING THE SAME | 1 |
Takumi Kawabe | JP | Numazu City | 2015-02-26 / 20150056301 - PEPTIDES AND PEPTIDOMIMETICS IN COMBINATION USES AND TREATMENTS FOR CANCER PATIENT SUBPOPULATIONS | 7 |
Toshiharu Kawabe | JP | Gifu | 2016-01-28 / 20160025180 - SHOCK ABSORBER | 1 |
Mitsunori Kawabe | JP | Kitakyushu | 2009-08-06 / 20090198377 - WAFER POSITION TEACHING METHOD AND TEACHING TOOL | 1 |
Manabu Kawabe | JP | Hachioji | 2011-11-10 / 20110275340 - SEMICONDUCTOR INTEGRATED CIRCUIT | 2 |
Kenichi Kawabe | JP | Tokyo | 2015-06-25 / 20150175541 - PARTIALLY SATURATED NITROGEN-CONTAINING HETEROCYCLIC COMPOUND | 1 |
Hidetaka Kawabe | JP | Chiba | 2016-03-24 / 20160083819 - HIGH-STRENGTH STEEL SHEET AND METHOD FOR PRODUCING THE SAME | 2 |
Tsutomu Kawabe | JP | Aichi | 2012-08-23 / 20120215127 - IN VIVO FLOW SENSOR | 2 |
Kuniaki Kawabe | JP | Chiba | 2009-07-02 / 20090166911 - Process for Producing Molded Article by T-Die Molding | 3 |
Junichi Kawabe | JP | Hokkaido | 2014-01-30 / 20140030239 - HIGHLY FUNCTIONALIZED STEM CELL/PROGENITOR CELL BY APE1 GENE TRANSFECTION | 1 |
Yasuhiro Kawabe | JP | Yokosuka | 2010-04-08 / 20100085896 - EXTENSION CONNECTION METHOD AND ROUTE SELECTION DEVICE | 1 |
Chie Kawabe | JP | Kyoto-Shi | 2011-08-11 / 20110195777 - STORAGE MEDIUM HAVING GAME PROGRAM STORED THEREON, GAME APPARATUS, GAME SYSTEM, AND GAME PROCESSING METHOD | 1 |
Hitoshi Kawabe | JP | Kiyosu-Shi | 2014-06-05 / 20140151985 - SIDE AIRBAG APPARATUS | 3 |
Taizo Kawabe | JP | Takasago-Shi | 2015-05-21 / 20150139970 - NOVEL LACTIC ACID BACTERIUM | 1 |
Yasumasa Kawabe | JP | Fujinomiya-Shi | 2015-08-06 / 20150219993 - PHOTOSENSITIVE TRANSFER MATERIAL, PATTERN FORMATION METHOD, AND ETCHING METHOD | 1 |
Nozomu Kawabe | JP | Hyogo | 2012-11-01 / 20120277007 - MAGNESIUM-BASED ALLOY SCREW AND PRODUCING METHOD THEREOF | 6 |
Yuzo Kawabe | JP | Osaka | 2012-12-20 / 20120318902 - DRAG MECHANISM FOR DUAL-BEARING REEL | 1 |
Kenichi Kawabe | JP | Shiga | 2011-06-16 / 20110140600 - PLASMA DISPLAY REAR PANEL AND ITS MANUFACTURING METHOD | 1 |
Genta Kawabe | JP | Matsumoto-Shi | 2015-07-16 / 20150198868 - PROJECTOR SUPPORTING APPARATUS | 4 |
Takao Kawabe | JP | Osaka | 2011-08-11 / 20110192161 - Engine | 11 |
Sadaharu Kawabe | JP | Otake-Shi | 2014-12-18 / 20140371368 - METHOD OF MANUFACTURING RESIN KNEADED PRODUCT | 1 |
Taketoshi Kawabe | JP | Fukuoka | 2015-10-29 / 20150307100 - Vehicle Controller | 1 |
Yoshiki Kawabe | JP | Osaka | 2009-01-01 / 20090004398 - Method for producing multi layered coating film | 1 |
Shinichi Kawabe | JP | Yokohama | 2015-09-17 / 20150261031 - LIQUID CRYSTAL DISPLAY DEVICE | 10 |
Yoshiteru Kawabe | JP | Kyoto | 2012-12-13 / 20120315183 - HYDROGEN ABSORPTION ALLOY, HYDROGEN ABSORPTION ALLOY ELECTRODE, AND SECONDARY BATTERY | 2 |
Keisuke Kawabe | JP | Osaka | 2011-05-12 / 20110111280 - LITHIUM-ION SECONDARY BATTERY | 2 |
Takeshi Kawabe | JP | Kanagawa | 2015-01-29 / 20150030919 - ACTIVATORS FOR LEAD-ACID STORAGE BATTERY AND LEAD-ACID STORAGE BATTERY | 1 |
Sou Kawabe | JP | Odawara | 2012-10-18 / 20120265955 - STORAGE CONTROL APPARATUS FOR COPYING DATA BETWEEN LOGICAL VOLUMES, STORAGE SYSTEM COMPRISING THIS STORAGE CONTROL APPARATUS, AND STORAGE CONTROL METHOD | 1 |
Takuma Kawabe | JP | Settsu-Shi | 2015-08-27 / 20150240012 - METHOD FOR PRODUCING FLUORINE-CONTAINING COPOLYMER | 3 |
Takayuki Kawabe | JP | Kanagawa | 2013-07-18 / 20130182349 - INFORMATION RECORDING APPARATUS AND INFORMATION WRITING METHOD | 1 |
Yasuhiro Kawabe | JP | Yokosuka-Shi | 2013-01-31 / 20130028084 - MOBILE COMMUNICATION SYSTEM, CONTROLLER AND METHOD | 9 |
Nozomu Kawabe | JP | Osaka-Shi, Osaka | 2013-08-29 / 20130220496 - MAGNESIUM ALLOY SHEET AND PROCESS FOR PRODUCING SAME | 1 |
Seiji Kawabe | JP | Kobe-Shi | 2014-05-01 / 20140118186 - RADAR APPARATUS AND SIGNAL PROCESSING METHOD | 3 |
Yota Kawabe | JP | Tokyo | 2014-08-21 / 20140230387 - AIR FILTER ASSEMBLY | 2 |
Hiroshi Kawabe | JP | Ise-City | 2013-12-05 / 20130325929 - SERVER SYSTEM, METHOD FOR EXECUTING SERVER SYSTEM, AND EXTERNAL MEMORY | 1 |
Hidetaka Kawabe | JP | Chiyoda-Ku | 2015-02-05 / 20150034219 - HIGH-STRENGTH COLD-ROLLED STEEL SHEET AND METHOD FOR MANUFACTURING THE SAME | 2 |
Yoshihiro Kawabe | JP | Kamakura-Shi | 2013-12-26 / 20130341881 - SUSPENSION DEVICE FOR VEHICLE, AND METHOD FOR INSTALLING SHOCK ABSORBER | 3 |
Shinsuke Kawabe | JP | Sennan-Gun | 2012-05-10 / 20120111784 - FILTRATION PURPOSE FLAT-MEMBRANE ELEMENT, FLAT-MEMBRANE-TYPE SEPARATION MEMBRANE MODULE, AND FILTRATION APPARATUS | 1 |
Junya Kawabe | JP | Utsunomiya-Shi | 2015-02-19 / 20150047706 - SOLAR CELL MODULE SEALING FILM, AND SOLAR CELL MODULE USING SAME | 1 |
Yoshio Kawabe | JP | Kumogaya-City | 2012-07-26 / 20120188526 - ILLUMINATION OPTICAL APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD | 1 |
Satoshi Kawabe | JP | Sakura-Shi | 2013-09-12 / 20130238196 - ELECTRIC POWER STEERING SYSTEM | 1 |
Takumi Kawabe | JP | Saitama | 2015-08-27 / 20150239417 - INTERLOCKING STRUCTURE, MOUNTING MEMBER, AND AIRBAG DEVICE | 1 |
Takumi Kawabe | US | 2015-08-27 / 20150239417 - INTERLOCKING STRUCTURE, MOUNTING MEMBER, AND AIRBAG DEVICE | 1 | |
Satoshi Kawabe | JP | Saitama | 2013-09-19 / 20130241432 - LIGHT-EMITTING DEVICE, METHOD OF CONTROLLING LIGHT-EMITTING DEVICE, AND PROGRAM | 1 |
Takayuki Kawabe | JP | Kawasaki | 2010-02-11 / 20100033867 - MAGNETIC STORAGE MEDIUM AND MAGNETIC RECORDING DEVICE | 6 |
Yasuhiro Kawabe | JP | Kanagawa | 2012-03-29 / 20120077490 - MOBILE COMMUNICATION METHOD, CONTROL DEVICE, AND EXCHANGE | 7 |
Masanao Kawabe | JP | Kitakyushu-Shi | 2013-03-21 / 20130071632 - ULTRAVIOLET RAY-CURABLE RESIN COMPOSITION USED IN INKJET PRINTING AND OPTICAL ELEMENT OBTAINED USING SAME | 2 |
Kuniaki Kawabe | JP | Chiba-Shi, Chiba | 2016-04-28 / 20160115334 - OLEFIN-BASED PAINT CONTAINING PROPYLENE/a-OLEFIN COPOLYMER | 1 |
Shigetoshi Kawabe | JP | Hachioji-Shi | 2012-10-04 / 20120252147 - METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE ELEMENT | 1 |
Kenji Kawabe | JP | Kakamigahara | 2010-09-02 / 20100219840 - Proximity and Contact Sensor and Sensor Element | 1 |
Hiroshi Kawabe | JP | Mie | 2010-08-26 / 20100217839 - DEVELOPMENT SYSTEM, SERVER OF DEVELOPMENT SYSTEM, AND DEVELOPMENT METHOD | 2 |
Yoshikazu Kawabe | JP | Shiga | 2013-04-25 / 20130098576 - AIR CONDITIONER | 2 |
Naoyuki Kawabe | JP | Yokosuka-Shi | 2015-03-12 / 20150074625 - VERIFICATION APPARATUS FOR SEMICONDUCTOR INTEGRATED CIRCUIT, VERIFICATION METHOD FOR SEMICONDUCTOR INTEGRATED CIRCUIT, AND PROGRAM THEREFOR | 4 |
Hitoshi Kawabe | JP | Susono-Shi Shizuoka-Ken | 2012-12-13 / 20120313356 - CURTAIN AIRBAG SYSTEM | 1 |
Nozomu Kawabe | JP | Osaka-Shi | 2015-06-04 / 20150152527 - MAGNESIUM ALLOY SHEET AND MAGNESIUM ALLOY STRUCTURAL MEMBER | 16 |
Yukihito Kawabe | JP | Kawasaki | 2015-07-09 / 20150194969 - SEMICONDUCTOR DEVICE AND CONTROL METHOD | 4 |
Hirotoshi Kawabe | JP | Amagasaki | 2014-08-28 / 20140238790 - BRAKE DISC FOR RAILWAY VEHICLE | 2 |
Kazunori Kawabe | JP | Kawasaki | 2010-07-29 / 20100189109 - CLOCK SUPPLY DEVICE AND TRANSMISSION DEVICE | 1 |
Kazuyoshi Kawabe | JP | Yokohama | 2014-08-07 / 20140218417 - DISPLAY DEVICE | 16 |
Yuichiro Kawabe | JP | Shizuoka | 2010-05-06 / 20100111586 - PRINTER AND ROLL PAPER HOLDING MECHANISM | 1 |
Rumi Kawabe | JP | Settsu-Shi | 2015-07-09 / 20150191869 - METHOD FOR MANUFACTURING FLUORINE-CONTAINING POLYMER | 2 |
Yasuhiro Kawabe | JP | Yokosuka-Shi | 2013-01-31 / 20130028084 - MOBILE COMMUNICATION SYSTEM, CONTROLLER AND METHOD | 9 |
Takumi Kawabe | JP | Shizuoka | 2016-03-03 / 20160061841 - NRF2-BASED CANCER TREATMENT AND DETECTION METHODS AND USES | 2 |
Hidetaka Kawabe | JP | Chiba-Shi | 2013-06-27 / 20130160907 - HIGH STRENGTH COLD ROLLED STEEL SHEET HAVING EXCELLENT STRETCH FLANGEABILITY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Toshiki Kawabe | JP | Osaka | 2010-11-11 / 20100282607 - Hemoglobin determination method | 2 |
Koji Kawabe | JP | Wako-Shi | 2015-04-02 / 20150094851 - ROBOT CONTROL SYSTEM, ROBOT CONTROL METHOD AND OUTPUT CONTROL METHOD | 1 |
Yota Kawabe | JP | Tachikawa-Shi | 2014-09-04 / 20140245708 - AIR FILTER ASSEMBLY | 1 |
Tooru Kawabe | JP | Ichihara-Shi | 2011-02-24 / 20110046333 - ACRYLIC ACID-BASED POLYMER AND METHOD OF PRODUCING THE SAME | 2 |
Tohru Kawabe | JP | Tsukuba | 2008-08-28 / 20080207160 - Discrete Signal Processing Device and Processing Method | 1 |
Shinsuke Kawabe | JP | Osaka-Shi | 2011-08-18 / 20110198277 - FILTRATION APPARATUS | 2 |
Satoshi Kawabe | JP | Lchinomiya-Shi | 2016-05-19 / 20160141635 - FLAT MEMBER FOR FUEL CELL AND METHOD FOR MANUFACTURING FLAT MEMBER | 1 |
Toru Kawabe | JP | Hachioji-Shi | 2015-06-25 / 20150177664 - IMAGE FORMING APPARATUS AND METHOD OF IMAGE INSPECTION | 1 |
Taizo Kawabe | JP | Hyogo | 2009-10-01 / 20090246186 - AGENT FOR IMPROVING NERVOUS SYSTEM CELL FUNCTIONS | 2 |
Kazuyoshi Kawabe | JP | Kanagawa | 2012-05-10 / 20120113085 - DISPLAY DEVICE USING CAPACITOR COUPLED LIGHT EMISSION CONTROL TRANSISTORS | 11 |
Shuji Kawabe | JP | Tokyo | 2011-07-21 / 20110178308 - METHODS FOR THE PRODUCTION OF 2-HALO-4-NITROIMIDAZOLE AND INTERMEDIATES THEREOF | 1 |
Kazuyoshi Kawabe | JP | Tokyo | 2015-09-17 / 20150262533 - PIXEL CIRCUIT AND DISPLAY DEVICE | 7 |
Satoru Kawabe | JP | Saitama | 2010-03-04 / 20100052368 - REAR VEHICLE BODY STRUCTURE | 1 |
Nozomu Kawabe | JP | Itami-Shi | 2013-01-31 / 20130029180 - Magnesium-Based Alloy Wire and Method of Its Manufacture | 4 |
Shingo Kawabe | JP | Kanagawa | 2010-03-04 / 20100057441 - Information processing apparatus and operation setting method | 1 |
Kazuyasu Kawabe | JP | Tokyo | 2010-11-11 / 20100284513 - Wavelength-dispersive X-ray spectrometer | 2 |
Tadahiko Kawabe | JP | Gifu | 2008-12-18 / 20080308305 - WIRING SUBSTRATE WITH REINFORCING MEMBER | 2 |
Akihiro Kawabe | JP | Kanagawa | 2009-12-03 / 20090294299 - SPENT FUEL REPROCESSING METHOD | 1 |
Hitoshi Kawabe | JP | Aichi-Ken | 2013-08-08 / 20130200596 - AIRBAG APPARATUS | 3 |
Yasuhiro Kawabe | JP | Chiyoda-Ku | 2015-01-15 / 20150017970 - RADIO BASE STATION APPARATUS, RADIO BASE STATION CONTROLLER, AND TRANSITION CONTROL METHOD | 4 |
Mitsunori Kawabe | JP | Fukuoka | 2016-03-17 / 20160074271 - TRAINING DEVICE | 2 |
Kazuyuki Kawabe | JP | Tokyo | 2010-09-30 / 20100243022 - Dye-Sensitized Solar Cell Module | 1 |
Takuma Kawabe | JP | Osaka | 2015-04-23 / 20150110984 - FLUORORESIN AND RISER PIPE | 2 |
Tsuyoshi Kawabe | JP | Tokyo | 2012-04-12 / 20120087640 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING PROGRAM, AND INFORMATION PROCESSING SYSTEM | 3 |
Toru Kawabe | JP | Tokyo | 2014-12-25 / 20140376013 - IMAGE FORMING SYSTEM | 6 |
Yusuke Kawabe | JP | Tokyo | 2014-05-22 / 20140142466 - PHYSICAL MOTION DETECTING DEVICE AND CONTROL METHOD FOR PHYSICAL MOTION DETECTING DEVICE | 1 |
Hideo Kawabe | JP | Tokyo | 2014-02-27 / 20140059255 - INPUT DEVICE, INPUT SYSTEM, ELECTRONIC APPARATUS, AND SENSE PRESENTATION METHOD | 3 |
Kenji Kawabe | JP | Tokyo | 2008-12-04 / 20080296944 - Child seat anchoring device and child seat | 1 |
Kuniaki Kawabe | JP | Chiba-Shi | 2015-07-30 / 20150210038 - NONWOVEN FABRIC LAMINATES | 3 |
Hidetaka Kawabe | JP | Tokyo | 2016-02-18 / 20160047025 - HIGH-STRENGTH GALVANNEALED STEEL SHEET AND METHOD FOR MANUFACTURING THE SAME (AS AMENDED) | 4 |
Satoshi Kawabe | JP | Kanagawa | 2016-03-17 / 20160081169 - LIGHT-EMITTING DEVICE, METHOD OF CONTROLLING LIGHT-EMITTING DEVICE, AND PROGRAM | 1 |
Hideyuki Kawabe | JP | Gumma | 2009-10-29 / 20090266174 - ADHESION INSPECTION APPARATUS AND ADHESION INSPECTION METHOD USING THE SAME | 1 |
Masaaki Kawabe | JP | Ibaraki | 2010-07-01 / 20100164145 - PROCESS OF MANUFACTURING INORGANIC NANOFIBERS | 2 |
Aritsune Kawabe | JP | Koto-Ku | 2016-03-31 / 20160090160 - UNDERWATER MOBILE BODY | 1 |
Hidetoshi Kawabe | JP | Tokyo | 2011-03-10 / 20110057894 - INFORMATION PROCESSING DEVICE | 1 |
Kazumasa Kawabe | JP | Fukui | 2012-10-25 / 20120270030 - REINFORCED THERMOPLASTIC-RESIN MULTILAYER SHEET MATERIAL, PROCESS FOR PRODUCING THE SAME, AND METHOD OF FORMING MOLDED THERMOPLASTIC-RESIN COMPOSITE MATERIAL | 3 |
Shinichiro Kawabe | JP | Tokyo | 2010-03-25 / 20100072259 - SOLDER BALL PRINTING APPARATUS | 1 |
Shigeru Kawabe | JP | Tokyo | 2010-01-21 / 20100017571 - CONTENT WRITE-IN DEVICE | 1 |
Gaku Kawabe | JP | Tochigi | 2013-02-14 / 20130040064 - COATING METHOD AND COATING APPARATUS | 1 |
Makoto Kawabe | JP | Kawaguchi-Shi | 2012-03-15 / 20120063676 - TWO-DIMENSIONAL CODE WITH A LOGO | 1 |
Shinichi Kawabe | JP | Yokohama | 2015-09-17 / 20150261031 - LIQUID CRYSTAL DISPLAY DEVICE | 10 |
Akira Kawabe | JP | Osaka | 2014-04-24 / 20140111216 - VOLTAGE MEASURING APPARATUS | 5 |
Hiroyuki Kawabe | JP | Tokyo | 2012-03-29 / 20120078500 - Driving support apparatus for vehicle | 1 |
Takashi Kawabe | JP | Tokyo | 2016-05-05 / 20160123268 - ENGINE CONTROL DEVICE | 7 |
Aritsune Kawabe | JP | Tokyo | 2014-12-18 / 20140367523 - SPACE DEBRIS REMOVING DEVICE AND SPACE DEBRIS REMOVING METHOD | 4 |
Isao Kawabe | JP | Fuji-Shi | 2015-10-15 / 20150292506 - ROTARY COMPRESSOR AND REFRIGERATION CYCLE DEVICE | 1 |
Shou Kawabe | JP | Shunan-Shi | 2012-10-18 / 20120264976 - HYDROGENATION CATALYST, PROCESS FOR ITS PRODUCTION AND ITS USE | 1 |
Takashi Kawabe | JP | Kyoto-Shi, Kyoto | 2016-05-05 / 20160120985 - MOLECULAR ASSEMBLY USING AMPHIPATHIC BLOCK POLYMER, AND SUBSTANCE-CONVEYANCE CARRIER USING SAME | 1 |
Takayuki Kawabe | JP | Sagamihara | 2015-10-22 / 20150302876 - DATA STORAGE APPARATUS AND METHOD FOR CORRECTING REPEATABLE RUNOUT | 1 |
Shigeki Kawabe | JP | Ibaraki-Shi | 2015-10-15 / 20150291859 - PRESSURE-SENSITIVE ADHESIVE TAPE FOR ELECTROCHEMICAL DEVICE | 4 |
Keisuke Kawabe | JP | Wako-Shi | 2011-09-01 / 20110210230 - FLUID-FILLED TYPE VIBRATION DAMPING DEVICE | 1 |
Taizo Kawabe | JP | Takasago | 2011-03-24 / 20110070213 - DERMAL COMPOSITIONS CONTAINING COENZYME Q AS THE ACTIVE INGREDIENT | 1 |
Shigehisa Kawabe | JP | Kanagawa | 2013-06-27 / 20130166579 - INFORMATION PROCESSING APPARATUS AND COMPUTER READABLE MEDIUM | 5 |
Nozomu Kawabe | JP | Osaka | 2015-01-15 / 20150017057 - MAGNESIUM ALLOY SHEET | 8 |
Azuma Kawabe | JP | Fukuoka | 2009-10-01 / 20090243685 - SIGNAL PROCESSING DEVICE | 1 |
Shingo Kawabe | US | Marina Del Rey | 2012-11-22 / 20120294589 - DIGITAL VIDEO EDITING SYSTEM INCLUDING MULTIPLE VIEWING WINDOWS OF A SAME IMAGE | 1 |
Kenichi Kawabe | JP | Toshima-Ku | 2013-06-27 / 20130165645 - 4-ISOPROPYL-6-METHOXYPHENYL GLUCITOL COMPOUND | 4 |
Shin Kawabe | JP | Tokyo | 2011-06-09 / 20110137620 - THREE-DIMENSIONAL CAD MODEL CREATING APPARATUS AND PROGRAM | 1 |
Takeharu Kawabe | JP | Nagareyama-Shi | 2011-07-07 / 20110165057 - PLASMA CVD DEVICE, DLC FILM, AND METHOD FOR DEPOSITING THIN FILM | 1 |
Yasumasa Kawabe | JP | Shizuoka | 2012-09-13 / 20120231396 - RESIN PATTERN, METHOD FOR PRODUCING THE PATTERN, METHOD FOR PRODUCING MEMS STRUCTURE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND METHOD FOR PRODUCING PLATED PATTERN | 1 |
Taizo Kawabe | JP | Himeiji-Shi | 2009-04-02 / 20090087420 - STABLE SOLUTION OF REDUCED COENZYME Q | 1 |
Hideaki Kawabe | JP | Fukuoka | 2011-01-27 / 20110017784 - MOLTEN METAL DISCHARGE NOZZLE | 1 |
Kenichi Kawabe | JP | Yokohama | 2015-10-29 / 20150307963 - MAGNESIUM REFINING APPARATUS AND MAGNESIUM REFINING METHOD | 3 |
Yusuke Kawabe | JP | Muko-Shi | 2014-07-17 / 20140200857 - BODY MOVEMENT AMOUNT MEASURING APPARATUS | 1 |
Masayoshi Kawabe | JP | Osaka | 2015-07-23 / 20150203646 - METHOD FOR PRODUCING LAMINATED POROUS SHEET COMPRISING POLYTETRAFLUOROETHYLENE AND CARBON PARTICLES | 2 |
Satoshi Kawabe | JP | Wako-Shi | 2014-01-16 / 20140019008 - ELECTRONIC POWER STEERING APPARATUS | 1 |
Isamu Kawabe | JP | Kashiba-Shi | 2008-12-04 / 20080298816 - RECEIVER AND ELECTRONIC DEVICE | 1 |
Kuniaki Kawabe | JP | Ichihara-Shi | 2014-09-04 / 20140248488 - 4-METHYL-1-PENTENE POLYMER, RESIN COMPOSITION CONTAINING 4-METHYL-1-PENTENE POLYMER, MASTERBATCH THEREOF, AND FORMED PRODUCT THEREOF | 6 |
Mitsuo Kawabe | JP | Kawaguchi-Shi | 2013-05-23 / 20130131121 - ANTI-VIRAL AGENT | 5 |
Tomoyuki Kawabe | JP | Tokyo | 2013-05-02 / 20130109967 - LEAK DETECTING SENSOR AND CHEMICAL LIQUID INJECTION SYSTEM | 1 |
Takayuki Kawabe | JP | Sagamihara-Shi | 2015-02-12 / 20150043099 - METHOD FOR GENERATING ADDRESS DATA AND DISK STORAGE APPARATUS | 10 |
Satoru Kawabe | JP | Wako-Shi | 2009-01-01 / 20090001767 - VEHICLE BODY REAR STRUCTURE | 2 |
Taizuo Kawabe | JP | Hyogo | 2009-06-11 / 20090148426 - COMPOSITION FOR LIFE EXTENSION AND METHOD OF EXTENDING THE LIFE | 1 |
Takashi Kawabe | JP | Hachioji-Shi | 2013-08-22 / 20130215298 - IMAGE PROCESSING METHOD, IMAGE PROCESSING APPARATUS AND IMAGE CAPTURING APPARATUS | 1 |
Kazuyoshi Kawabe | JP | Fujisawa | 2012-06-21 / 20120154461 - Liquid Crystal Display Device For Displaying Video Data | 2 |
Yuji Kawabe | JP | Tokyo | 2015-03-19 / 20150082175 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING PROGRAM, AND TERMINAL DEVICE | 4 |
Hiraku Kawabe | JP | Saitama | 2014-02-06 / 20140036662 - TCP RELAY APPARATUS | 2 |
Kazumasa Kawabe | JP | Fukui-Shi | 2016-03-24 / 20160083873 - METHOD AND DEVICE FOR OPENING FIBER BUNDLE | 6 |
Karl Kazushige Kawabe | JP | Tokyo | 2016-02-25 / 20160050935 - METHOD FOR CONTROLLING PARTICLE SIZE OF SILVER PARTICLES, SILVER PARTICLES, ANTIMICROBIAL AGENT CONTAINING SLIVER PARTICLES, AND USE THEREOF | 5 |
Shigeki Kawabe | JP | Osaka | 2015-02-05 / 20150037639 - PRESSURE-SENSITIVE ADHESIVE TAPE OR SHEET | 6 |
Keita Kawabe | JP | Hyogo | 2010-12-09 / 20100309690 - SWITCHING POWER SUPPLY APPARATUS AND SEMICONDUCTOR DEVICE | 2 |
Yasuhiro Kawabe | JP | Yokosuka-Shi, Kanagawa | 2010-11-18 / 20100291916 - COMMUNICATION CONTROLLER AND COMMUNICATION CONTROLLING METHOD | 1 |
Minako Kawabe | JP | Koganei-Shi | 2013-12-19 / 20130335490 - INK, INK CARTRIDGE AND INK JET RECORDING METHOD | 7 |
Shinji Kawabe | JP | Kanagawa | 2011-07-28 / 20110184555 - WORKING SUPPORT ROBOT SYSTEM | 1 |
Keita Kawabe | JP | Osaka | 2015-12-03 / 20150349651 - SWITCHING POWER SUPPLY APPARATUS | 2 |
Shigetoshi Kawabe | JP | Tokyo | 2012-02-09 / 20120032157 - COATING METHOD, AND ORGANIC ELECTROLUMINESCENCE ELEMENT | 1 |
Kuniaki Kawabe | JP | Ichihara-Shi, Chiba | 2010-11-11 / 20100286326 - LAMINATING RESIN COMPOSITION AND MULTILAYER STRUCTURE | 1 |
Satomi Kawabe | JP | Tokyo | 2015-03-12 / 20150072159 - CONDUCTIVE FILM AND ORGANIC ELECTROLUMINESCENT ELEMENT | 15 |
Hideo Kawabe | JP | Saitama | 2015-11-05 / 20150317552 - CARD, INFORMATION PROCESSING UNIT, AND RECORDING MEDIUM HAVING INFORMATION PROCESSING PROGRAM | 24 |
Yoshio Kawabe | JP | Kumagaya-Shi | 2015-08-06 / 20150219997 - REFLECTOR, PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD | 5 |
Mitsuo Kawabe | JP | Shinagawa-Ku | 2013-04-25 / 20130101529 - Composition for treating and/or preventing periodontal disease | 1 |
Takahiro Kawabe | JP | Osaka-Shi | 2011-12-08 / 20110298595 - INDOOR LINE TERMINAL STATE DETERMINATION APPARATUS, PLC ADAPTOR, AND METHOD FOR DETERMINING INDOOR LINE TERMINAL STATE | 1 |
Atsushi Kawabe | JP | Yamanashi | 2015-09-10 / 20150255257 - SUBSTRATE COOLING MEMBER, SUBSTRATE PROCESSING DEVICE, AND SUBSTRATE PROCESSING METHOD | 1 |
Nozomu Kawabe | JP | Osaka | 2015-01-15 / 20150017057 - MAGNESIUM ALLOY SHEET | 8 |
Kazuyoshi Kawabe | JP | Chiyoda-Ku | 2011-08-18 / 20110199359 - DISPLAY PANEL | 1 |
Yusuke Kawabe | JP | Kyoto-Shi | 2011-09-22 / 20110231152 - NUMBER-OF-STEP DETECTION SYSTEM, NUMBER-OF-STEP DETECTING METHOD, AND ACTIVITY METER | 1 |
Yusuke Kawabe | JP | Omihachiman-Shi | 2012-11-22 / 20120291544 - ACTIVITY METER, CONTROL METHOD THEREOF, AND STORAGE MEDIUM | 1 |
Masaaki Kawabe | JP | Saitama | 2011-11-10 / 20110274927 - INORGANIC FIBER STRUCTURE AND PROCESS FOR PRODUCING SAME | 1 |
Yoshihiro Kawabe | JP | Kanagawa | 2010-08-12 / 20100201086 - SUSPENSION DEVICE AND METHOD FOR SUPPORTING A WHEEL | 2 |
Hiroshi Kawabe | JP | Tokyo | 2015-12-24 / 20150367688 - PNEUMATIC TIRE | 1 |
Masayuki Kawabe | JP | Yokkaichi-Shi | 2012-06-21 / 20120156513 - MULTILAYER STRUCTURE | 1 |
Matthew Kawabe | US | Houston | 2016-04-28 / 20160115402 - CATALYST CONFIGURATION FOR INCREASED HYDROCRACKING ACTIVITY | 1 |
Kazuyuki Kawabe | JP | Yamaguchi | 2012-07-26 / 20120189919 - NONAQUEOUS ELECTROLYTE SOLUTION AND ELECTROCHEMICAL ELEMENT USING SAME | 4 |
Nozomu Kawabe | JP | Osaka-Shi | 2015-06-04 / 20150152527 - MAGNESIUM ALLOY SHEET AND MAGNESIUM ALLOY STRUCTURAL MEMBER | 16 |
Kazushige Karl Kawabe | JP | Tokyo | 2015-03-05 / 20150064260 - COLLAGEN PRODUCTION ENHANCER | 2 |
Takayuki Kawabe | JP | Kawasaki-Shi | 2008-08-21 / 20080198501 - Storage apparatus, storage control circuit, and head-position-displacement measuring method | 1 |
Masanao Kawabe | JP | Fukuoka | 2015-12-31 / 20150376447 - Curable resin composition containing aromatic polyester, and cured article thereof | 3 |
Hideo Kawabe | JP | Saitama | 2015-11-05 / 20150317552 - CARD, INFORMATION PROCESSING UNIT, AND RECORDING MEDIUM HAVING INFORMATION PROCESSING PROGRAM | 24 |
Yoichi Kawabuchi | JP | Itami-Shi | 2016-03-17 / 20160078595 - OBJECT OPERATION SYSTEM, NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM STORING OBJECT OPERATION CONTROL PROGRAM, AND OBJECT OPERATION CONTROL METHOD | 12 |
Yosuke Kawabuchi | JP | Koshi-Shi | 2014-03-20 / 20140080312 - SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, AND STORAGE MEDIUM | 3 |
Tatsuo Kawabuchi | JP | Tokyo | 2010-01-28 / 20100021511 - DISPERSION COMPOSITION, COSMETIC PREPARATION FOR SKIN CARE, AND METHOD FOR PRODUCING DISPERSION COMPOSITION | 1 |
Hirokazu Kawabuchi | JP | Kanagawa | 2011-06-09 / 20110132209 - METHOD AND APPARATUS FOR CONTROLLING ELECTRIC SERVO PRESS | 1 |
Tatsuo Kawabuchi | JP | Minato-Ku | 2010-12-30 / 20100330135 - COSMETIC COMPOSITION | 1 |
Yoichi Kawabuchi | JP | Tokyo | 2012-09-27 / 20120242571 - Data Manipulation Transmission Apparatus, Data Manipulation Transmission Method, and Data Manipulation Transmission Program | 1 |
Yuuji Kawabuchi | JP | Wako | 2012-04-12 / 20120086368 - LITHIUM-ION BATTERY CONTROLLING APPARATUS AND ELECTRIC VEHICLE | 1 |
Ryohei Kawabuchi | JP | Hiroshima | 2015-12-24 / 20150367738 - CONTROL SYSTEM FOR VEHICLE | 1 |
Yoichi Kawabuchi | JP | Hyogo | 2014-03-20 / 20140082077 - INFORMATION SHARING SYSTEM, COMMON TERMINAL AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 2 |
Ichiro Kawabuchi | JP | Tokyo | 2012-02-02 / 20120024091 - LINEAR-MOTION TELESCOPIC MECHANISM AND ROBOT ARM HAVING LINEAR-MOTION TELESCOPIC MECHANISM | 2 |
Yosuke Kawabuchi | JP | Koshi City | 2014-12-11 / 20140360536 - SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD AND COMPUTER-READABLE STORAGE MEDIUM RECORDING THEREIN SUBSTRATE PROCESSING PROGRAM | 3 |
Yoshinori Kawabuchi | JP | Tokyo | 2011-10-06 / 20110239705 - DECORATIVE JEWEL AND METHOD FOR CUTTING DECORATIVE JEWEL | 2 |
Yoshinori Kawabuchi | JP | Chuo-Ku | 2011-01-27 / 20110016918 - ORNAMENTAL DIAMOND HAVING TWO-STAGE PAVILION | 1 |
Kenichirou Kawabuchi | JP | Kanagawa | 2009-03-19 / 20090073857 - OPTICAL PICKUP APPARATUS | 1 |
Makoto Kawabuchi | JP | Tokyo | 2013-06-06 / 20130139746 - AIR LUBRICATION SYSTEM OF SHIP | 3 |
Masashi Kawabuchi | JP | Kahoku-Shi | 2010-01-28 / 20100020368 - Image Scanning Apparatus, Computer Readable Medium, Image Management System, and Image Management Methods | 1 |
Yoichi Kawabuchi | JP | Hachioji-Shi | 2011-10-06 / 20110243448 - HANDWRITTEN DATA MANAGEMENT SYSTEM, HANDWRITTEN DATA MANAGEMENT PROGRAM AND HANDWRITTEN DATA MANAGEMENT METHOD | 1 |
Hideharu Kawachi | JP | Osaka | 2010-08-26 / 20100213833 - ORGANIC EL MODULE | 1 |
Tomohiro Kawachi | JP | Kitakyushu-Shi | 2014-07-31 / 20140210397 - INVERTER APPARATUS, METHOD OF CONTROLLING INVERTER APPARATUS, AND ELECTRIC MOTOR DRIVE SYSTEM | 2 |
Genshirou Kawachi | JP | Chiba | 2013-07-04 / 20130168678 - THIN-FILM SEMICONDUCTOR DEVICE FOR DISPLAY APPARATUS AND METHOD OF MANUFACTURING SAME | 8 |
Katsuyoshi Kawachi | JP | Ashikaga-Shi | 2009-08-20 / 20090208156 - BEARING STRUCTURE | 1 |
Takuya Kawachi | JP | Hiratsuka-Shi | 2011-05-12 / 20110111242 - METHOD FOR FORMING MULTILAYER COATING FILM | 3 |
Ryuichi Kawachi | JP | Kanagawa | 2016-05-12 / 20160129620 - MOLDING MACHINE CROSSHEAD AND PARISON FORMING METHOD USING SAME | 3 |
Masako Kawachi | JP | Osaka | 2009-10-29 / 20090269850 - Mutant Paramyxovirus and Method for Production Thereof | 1 |
Yasushi Kawachi | JP | Tokyo | 2013-05-16 / 20130119011 - RUBBER PLUG FOR A VIAL CONTAINER | 4 |
Genshiro Kawachi | JP | Chiba-Shi | 2011-09-22 / 20110230016 - THIN-FILM SEMICONDUCTOR DEVICE, LATERAL BIPOLAR THIN-FILM TRANSISTOR, HYBRID THIN-FILM TRANSISTOR, MOS THIN-FILM TRANSISTOR, AND METHOD OF FABRICATING THIN-FILM TRANSISTOR | 6 |
Toshihiko Kawachi | JP | Kyoto | 2015-04-02 / 20150090160 - WATER REPELLENT SAND MIXTURE AND WATER REPELLENT SAND STRUCTURE | 2 |
Ryuichi Kawachi | JP | Yokohama-Shi | 2014-03-06 / 20140065255 - GUIDE DEVICE FOR PARISON | 1 |
Osamu Kawachi | JP | Kanagawa | 2014-12-04 / 20140354114 - ACOUSTIC WAVE DEVICE AND METHOD OF FABRICATING THE SAME | 3 |
Tomohiro Kawachi | JP | Fukuoka | 2013-01-10 / 20130009586 - INVERTER DEVICE AND ELECTRIC MOTOR DRIVE SYSTEM | 1 |
Taiji Kawachi | JP | Kariya-City | 2015-04-16 / 20150105675 - SPHYGMOMANOMETER | 3 |
Fumio Kawachi | JP | Osaka-Shi | 2013-08-08 / 20130204827 - INTERNAL ABNORMALITY DIAGNOSIS METHOD, INTERNAL ABNORMALITY DIAGNOSIS SYSTEM, AND DECISION TREE GENERATION METHOD FOR INTERNAL ABNORMALITY DIAGNOSIS OF OIL-FILLED ELECTRIC APPARATUS UTILIZING GAS CONCENTRATION IN OIL | 1 |
Toshikatsu Kawachi | JP | Kanagawa | 2012-02-16 / 20120038003 - Semiconductor device | 2 |
Osamu Kawachi | JP | Yokohama | 2010-03-18 / 20100066209 - ACOUSTIC WAVE DEVICE AND METHOD FOR FABRICATING THE SAME | 3 |
Jyunichi Kawachi | JP | Niigata | 2011-03-24 / 20110071269 - PROCESS FOR PRODUCTION OF POLYSILANE COMPOUND | 1 |
Tomonori Kawachi | JP | Aichi | 2016-01-28 / 20160023633 - STEERING LOCK DEVICE | 1 |
Isao Kawachi | JP | Osaka | 2011-03-24 / 20110072351 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING PROGRAM, AND COMPUTER-READABLE STORGAE MEDIUM HAVING INFORMATION PROCESSING PROGRAM STORED THEREON | 1 |
Masanobu Kawachi | JP | Ibaraki | 2013-09-26 / 20130252304 - NOVEL STRAIN CLASSIFIED UNDER Botryococcus braunii | 1 |
Kazuhiro Kawachi | JP | Aichi | 2011-11-10 / 20110272929 - KNEE AIRBAG DEVICE FOR VEHICLE | 1 |
Yumi Kawachi | JP | Inabe-Shi | 2014-01-23 / 20140020929 - INSULATED ELECTRIC WIRE | 1 |
Toshihide Kawachi | JP | Tokyo | 2008-11-27 / 20080292977 - MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Hideo Kawachi | JP | Hyogo | 2014-05-01 / 20140120073 - REDUCED COENZYME Q10 CRYSTAL HAVING EXCELLENT STABILITY | 2 |
Kunihiro Kawachi | JP | Kokubunji-Shi | 2009-05-07 / 20090116890 - DECURL DEVICE, IMAGE FORMING APPARATUS PROVIDED WITH DECURL DEVICE AND FINISHING APPARATUS PROVIDED WITH DECURL DEVICE | 1 |
Toshihide Kawachi | JP | Hitachinaka | 2009-11-19 / 20090286174 - MANUFACTURING METHOD AND MANUFACTURING SYSTEM OF SEMICONDUCTOR DEVICE | 1 |
Takeshi Kawachi | JP | Hadano-Shi | 2013-10-17 / 20130270730 - COMPRESSION MOLDING APPARATUS AND COMPRESSION MOLDING METHOD OF RESIN MOLDED PRODUCT COMPRISING FIBER | 1 |
Kunihiro Kawachi | JP | Tokyo | 2013-05-02 / 20130108283 - IMAGE FORMING APPARATUS | 13 |
Yasuhiro Kawachi | JP | Isehara-Shi | 2011-12-01 / 20110293442 - OIL PUMP APPARATUS | 1 |
Masaki Kawachi | JP | Ashikaga-Shi | 2009-06-11 / 20090145293 - TILTING PLATE TYPE COMPRESSOR | 1 |
Genshiro Kawachi | JP | Hyogo | 2015-08-06 / 20150221675 - METHOD OF MANUFACTURING DISPLAY DEVICE | 1 |
Kazuhiko Kawachi | JP | Miyagi | 2015-11-26 / 20150340168 - ELECTROLYTIC SOLUTION FOR ELECTRIC DOUBLE-LAYER CAPACITOR, AND ELECTRIC DOUBLE-LAYER CAPACITOR | 1 |
Hideharu Kawachi | JP | Kobe-Shi | 2011-10-13 / 20110248627 - ORGANIC EL MODULE AND ILLUMINATION DEVICE INCLUDING SAME | 5 |
Hideo Kawachi | JP | Takasago-Shi | 2015-10-08 / 20150284311 - METHOD FOR PRODUCING REDUCED COENZYME Q10 | 2 |
Hideo Kawachi | JP | Tokyo | 2010-04-08 / 20100085864 - METHOD FOR MANUFACTURING READ-ONLY OPTICAL DISC MEDIUM AND READ-ONLY OPTICAL DISC MEDIUM | 2 |
Takaaki Kawachi | JP | Tokyo | 2015-12-10 / 20150352922 - TORSION BEAM, TORSION BEAM ASSEMBLY, AND TORSION BEAM TYPE SUSPENSION SYSTEM | 1 |
Genshirou Kawachi | JP | Chiba | 2013-07-04 / 20130168678 - THIN-FILM SEMICONDUCTOR DEVICE FOR DISPLAY APPARATUS AND METHOD OF MANUFACTURING SAME | 8 |
Ryu Kawachi | JP | Tokyo | 2012-05-03 / 20120110697 - METHOD OF CONSTRUCTING NOVEL HIGHER PLANT AND METHOD OF PROMOTING THE GROWTH OF HIGHER PLANT | 2 |
Takashi Kawachi | JP | Osaka | 2013-03-14 / 20130065937 - NOVEL PRENYLARENE COMPOUND AND USE THEREOF | 1 |
Masahiro Kawachi | JP | Shimogyo-Ku | 2009-11-19 / 20090285451 - DISPLACEMENT SENSOR HAVING A DISPLAY DATA OUTPUT | 1 |
Motohiro Kawachi | JP | Aichi | 2010-06-10 / 20100140296 - DISPENSER | 3 |
Masahiro Kawachi | JP | Tokyo | 2008-10-02 / 20080241662 - Separator for valve regulated lead-acid battery and valve regulated lead-acid battery | 2 |
Kunihiro Kawachi | JP | Tokyo | 2013-05-02 / 20130108283 - IMAGE FORMING APPARATUS | 13 |
Yasuhiro Kawachi | JP | Yamaguchi | 2012-11-01 / 20120277432 - Preparation of Aminopyrimidine Compounds | 2 |
Genshirou Kawachi | JP | Osaka | 2013-01-03 / 20130001572 - DISPLAY DEVICE, THIN-FILM TRANSISTOR USED FOR DISPLAY DEVICE, AND METHOD OF MANUFACTURING THIN-FILM TRANSISTORS | 1 |
Genshiro Kawachi | JP | Osaka | 2015-04-30 / 20150116618 - DISPLAY DEVICE | 8 |
Genshiro Kawachi | JP | Yokohama-Shi | 2009-05-07 / 20090115754 - IMAGE DISPLAY APPARATUS USING THIN-FILM TRANSISTORS | 3 |
Yuya Kawachi | JP | Aichi | 2012-12-27 / 20120326369 - TANK RUBBER CUSHION | 1 |
Genshiro Kawachi | JP | Osaka | 2015-04-30 / 20150116618 - DISPLAY DEVICE | 8 |
Yumi Kawachi | JP | Tokyo | 2009-08-13 / 20090204634 - INFORMATION-PROCESSING APPARATUS, INFORMATION-PROCESSING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Hideharu Kawachi | JP | Hyogo | 2015-12-31 / 20150377459 - LIGHT EMITTING MODULE AND ILLUMINATING DEVICE USING SAME | 10 |
Hiroto Kawachi | JP | Fujima | 2010-04-29 / 20100106851 - CONTENT DELIVERY SYSTEM | 1 |
Osamu Kawachi | JP | Tokyo | 2015-10-15 / 20150295697 - MULTIPLEXER | 5 |
Hideharu Kawachi | JP | Hyogo | 2015-12-31 / 20150377459 - LIGHT EMITTING MODULE AND ILLUMINATING DEVICE USING SAME | 10 |
Naoki Kawachi | JP | Takasaki-Shi | 2011-10-27 / 20110260071 - COMPTON CAMERA | 1 |
Atsushi Kawachi | JP | Hyogo | 2010-06-17 / 20100149494 - LIGHT-SOURCE LAMP AND PROJECTOR | 1 |
Ryuma Kawachi | JP | Aichi | 2011-11-10 / 20110273074 - SPARK PLUG FOR INTERNAL COMBUSTION ENGINE | 1 |
Kazuhiro Kawachi | JP | Aichi-Ken | 2010-07-22 / 20100181171 - OPERATING DEVICE AND OPERATING SYSTEM | 2 |
Yasuhiro Kawachi | JP | Ube-Shi | 2011-06-30 / 20110160455 - Preparation of Aminopyrimidine Compounds | 2 |
Shinichiro Kawachi | JP | Tokyo | 2014-11-06 / 20140326282 - ENDOSCOPE CLEANING/DISINFECTING APPARATUS | 6 |
Hideki Kawachi | JP | Otake-Shi, Hiroshima | 2015-12-31 / 20150378059 - OPTICAL ARTICLE, MOLD USED IN PRODUCTION OF OPTICAL ARTICLE, AND MOLD PRODUCTION METHOD | 1 |
Shigeru Kawachi | JP | Shiojiri | 2015-03-26 / 20150089114 - COMMUNICATION DEVICE | 1 |
Kazuhiro Kawachi | JP | Nishikamo-Gun | 2010-04-29 / 20100107121 - OPERATION APPARATUS | 3 |
Shinya Kawachi | JP | Sayama-Shi | 2010-10-21 / 20100264558 - INJECTION-MOLDING METHOD AND APPARATUS | 2 |
Taiji Kawachi | JP | Kariya-Shi | 2015-05-14 / 20150133810 - RESPIRATION ASSIST APPARATUS AND RESPIRATORY FUNCTION TEST SYSTEM | 6 |
Masanobu Kawachi | JP | Tsukuba-Shi | 2016-05-05 / 20160122789 - Microalgae of the Genus Euglena, Method for Producing Polysaccharides, and Method for Producing Organic Compound | 1 |
Takeshi Kawachi | JP | Chiyoda-Ku | 2014-02-27 / 20140054872 - FRONT BODY STRUCTURE | 2 |
Genshiro Kawachi | JP | Chiba | 2014-12-25 / 20140374730 - ORGANIC ELECTROLUMINESCENT LIGHT EMITTING DISPLAY DEVICE | 13 |
Genshiro Kawachi | JP | Chiba | 2014-12-25 / 20140374730 - ORGANIC ELECTROLUMINESCENT LIGHT EMITTING DISPLAY DEVICE | 13 |
Tomonori Kawachi | JP | Aichi-Ken | 2010-02-04 / 20100024495 - KEY APPARATUS | 1 |
Katsuyoshi Kawachi | JP | Saitama-Shi | 2009-07-30 / 20090191070 - Motor-operated fan apparatus | 1 |
Tsutomu Kawachi | JP | Tokyo | 2015-08-27 / 20150242596 - INFORMATION PROCESSING DEVICE, CONTROL METHOD, AND STORAGE MEDIUM | 19 |
Yasushi Kawachi | JP | Sumida-Ku | 2013-01-10 / 20130012886 - SYRINGE NEEDLE CAP | 2 |
Kiyokuni Kawachiya | JP | Kanagawa-Ken | 2014-05-29 / 20140149971 - DYNAMIC COMPILER PROGRAM, DYNAMIC COMPILING METHOD AND DYNAMIC COMPILING DEVICE | 15 |
Kiyokuni Kawachiya | JP | Yokihama-Sh | 2013-12-12 / 20130332611 - NETWORK COMPUTING OVER MULTIPLE RESOURCE CENTERS | 2 |
Kiyokuni Kawachiya | JP | Tokyo | 2013-04-25 / 20130103652 - METHOD, PROGRAM, AND SYSTEM FOR SPECIFICATION VERIFICATION | 1 |
Kiyokuni Kawachiya | JP | Kanagawa-Ken | 2014-05-29 / 20140149971 - DYNAMIC COMPILER PROGRAM, DYNAMIC COMPILING METHOD AND DYNAMIC COMPILING DEVICE | 15 |
Kiyokuni Kawachiya | JP | Yokohama-Shi | 2014-02-06 / 20140040327 - GARBAGE COLLECTION OF AN OBJECT | 6 |
Kiyokuni Kawachiya | JP | Kanagawa | 2015-10-08 / 20150286566 - METHOD, PROGRAM, AND SYSTEM FOR REDUCING THE COST OF STACK SCANNING | 5 |
Kiyokuni Kawachiya | JP | Yokohama | 2008-10-02 / 20080243887 - EXCLUSION CONTROL | 1 |
Hideaki Kawada | JP | Gunma | 2009-11-05 / 20090272599 - Electric Power Steering Apparatus | 1 |
Masaya Kawada | JP | Yokohama-Shi | 2014-10-30 / 20140323667 - METHOD FOR PRODUCING CLEANING BLADE | 4 |
Toyoshi Kawada | JP | Kawasaki | 2015-03-26 / 20150084844 - CAPACITIVE-LOAD DRIVING CIRCUIT AND PLASMA DISPLAY APPARATUS USING THE SAME | 2 |
Takanori Kawada | JP | Obu-Shi | 2011-06-02 / 20110127693 - METHOD OF MANUFACTURING THROTTLE VALVES AND THROTTLE BODIES | 1 |
Yoko Kawada | JP | Tsukuba-Shi | 2013-08-29 / 20130225650 - METHOD FOR PROMOTING SYNTHESIS OF TISSUE COLLAGEN | 1 |
Hiroshi Kawada | JP | Tokyo | 2015-08-13 / 20150223449 - Chemical Agent For Controlling Soil Nematode Which Comprises Macrolide-Type Compound | 2 |
Hiroki Kawada | JP | Tsuchiura-Shi | 2013-10-10 / 20130264479 - METHOD AND APPARATUS FOR MEASURING DISPLACEMENT BETWEEN PATTERNS AND SCANNING ELECTRON MICROSCOPE INSTALLING UNIT FOR MEASURING DISPLACEMENT BETWEEN PATTERNS | 1 |
Masashi Kawada | JP | Shizuoka | 2015-01-29 / 20150028875 - METAL DETECTION METHOD, METAL DETECTION DEVICE, METAL DETECTION METHOD FOR WIRELESS POWER SUPPLY DEVICE, AND WIRELESS POWER SUPPLY DEVICE | 2 |
Hiroshi Kawada | JP | Hyogo | 2014-11-06 / 20140329146 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Teruo Kawada | JP | Kyoto-Shi, Kyoto | 2015-12-03 / 20150342916 - METABOLISM-IMPROVING AGENT COMPRISING RARE FATTY ACID | 1 |
Naoki Kawada | JP | Niwa-Gun | 2016-05-05 / 20160121446 - TOOL POT | 1 |
Yasuhiro Kawada | JP | Ehime-Ken | 2015-03-26 / 20150083515 - WORKING VEHICLE ASSEMBLY | 1 |
Jumpei Kawada | JP | Aichi | 2014-12-18 / 20140371394 - THERMOPLASTIC RESIN COMPOSITION, METHOD FOR PRODUCING SAME, AND MOLDED BODY | 2 |
Hidetoshi Kawada | JP | Shizuoka | 2011-06-09 / 20110132504 - Aluminum Alloy Casting Material for Heat Treatment Excelling in Heat Conduction and Process for Producing the Same | 1 |
Hiroyuki Kawada | JP | Suwa | 2015-05-07 / 20150127141 - ROBOT, CONTROL DEVICE, ROBOT SYSTEM AND ROBOT CONTROL METHOD | 2 |
Nobuhito Kawada | JP | Yokohama-Shi | 2009-07-02 / 20090166706 - Semiconductor Device and Method of Manufacturing the Same | 1 |
Megumi Kawada | JP | Ushiku-Shi | 2011-06-16 / 20110144101 - MACROCYCLIC COMPOUNDS USEFUL AS PHARMACEUTICALS | 1 |
Hotaka Kawada | JP | Tokyo | 2014-10-09 / 20140302922 - SERVER DEVICE, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM STORING GAME PROGRAM | 2 |
Kouhei Kawada | JP | Tokyo | 2009-11-12 / 20090280688 - Circuit Board Built-In Connector and Catcher | 1 |
Hiroshi Kawada | JP | Tachikawa-Shi | 2009-10-01 / 20090245277 - Information Receiver and Method for Receiving Information | 1 |
Hiroshi Kawada | JP | Tachikawa | 2010-06-03 / 20100138888 - Receiver and Receiving Method | 1 |
Masato Kawada | JP | Tokyo | 2015-12-03 / 20150350710 - INFORMATION PROCESSING APPARATUS AND METHOD TO GENERATE A PROGRAM GUIDE | 10 |
Hiroki Kawada | JP | Tsuchiura | 2013-06-13 / 20130146763 - Image Processing Device, Charged Particle Beam Device, Charged Particle Beam Device Adjustment Sample, and Manufacturing Method Thereof | 13 |
Hisanosuke Kawada | JP | Akashi-Shi | 2014-06-12 / 20140163785 - Apparatus for controlling railcar and methods for detecting synchronous slide/slip | 1 |
Hiroshi Kawada | JP | Neyagawa-Shi | 2011-02-03 / 20110024274 - MEMS SWITCH AND METHOD OF MANUFACTURING THE MEMS SWITCH | 3 |
Yoshihiro Kawada | JP | Osaka | 2015-08-13 / 20150224689 - PLATE-SHAPED CASING MEMBER AND INSERT INJECTION MOLDING METHOD FOR THE SAME | 15 |
Megumi Kawada | JP | Ibaraki | 2013-08-01 / 20130196987 - MACROCYCLIC COMPOUNDS USEFUL AS PHARMACEUTICALS | 1 |
Hiroyasu Kawada | JP | Kyoto | 2010-11-25 / 20100297478 - Lead Acid Battery | 1 |
Hiroki Kawada | JP | Tokyo | 2016-05-05 / 20160123726 - METHOD AND DEVICE FOR LINE PATTERN SHAPE EVALUATION | 5 |
Ryo Kawada | JP | Minato-Ku, Tokyo | 2016-02-25 / 20160057394 - REFRIGERATOR, CAMERA DEVICE, REFRIGERATOR DOOR POCKET, COMMUNICATION TERMINAL, HOME APPLIANCE NETWORK SYSTEM, AND INTERIOR IMAGE DISPLAY PROGRAM | 2 |
Kazutoshi Kawada | JP | Utsunomiya-Shi | 2013-11-21 / 20130308042 - IMAGE PICKUP APPARATUS, LENS APPARATUS, AND IMAGE PICKUP SYSTEM | 6 |
Shinji Kawada | TW | Hsin-Chu City | 2014-11-06 / 20140329390 - PLASMA TREATMENT METHOD AND PLASMA TREATMENT DEVICE | 2 |
Toru Kawada | JP | Kyoto-Shi | 2014-07-03 / 20140185064 - IMAGE PROCESSING APPARATUS, PRINTING APPARATUS USING THE SAME | 1 |
Yoko Kawada | JP | Ibaraki | 2009-03-05 / 20090062371 - ORAL PREPARATION FOR PROMOTING SYNTHESIS OF TISSUE COLLAGEN | 1 |
Yoshitaka Kawada | US | 2012-07-26 / 20120189904 - SECONDARY BATTERY AND METHOD OF MANUFACTURING THE SAME | 2 | |
Takashi Kawada | JP | Yokohama | 2015-10-01 / 20150278052 - STORAGE SYSTEM, STORAGE DEVICE, AND MONITORING SERVER | 5 |
Kenichi Kawada | JP | Yokohama-Shi | 2013-03-28 / 20130074666 - WORKPIECE CUTTING APPARATUS | 1 |
Yoshihiro Kawada | JP | Kanagawa | 2014-02-20 / 20140047821 - UREA SOLUTION REFORMER AND EXHAUST GAS PURIFIER USING SAME | 2 |
Shinichi Kawada | JP | Tokyo | 2012-09-13 / 20120227321 - GREENING SYSTEM | 1 |
Emiko Kawada | JP | Yokohama-Shi | 2013-07-25 / 20130189209 - HAIR SHAPE-CONTROLLING COMPOSITION | 1 |
Kenji Kawada | JP | Kyoto | 2009-08-20 / 20090208486 - Pharmaceutical composition comprising cxcr3 inhibitor | 1 |
Kosuke Kawada | JP | Yamaguchi | 2009-01-29 / 20090030228 - Process for producing fluoro-compounds | 1 |
Masaya Kawada | JP | Abiko-Shi | 2016-05-05 / 20160124376 - CLEANING BLADE AND CLEANING DEVICE | 2 |
Yasuyuki Kawada | JP | Matsumoto City | 2016-02-25 / 20160056266 - TRENCH GATE TYPE SEMICONDUCTOR DEVICE AND METHOD OF PRODUCING THE SAME | 11 |
Toru Kawada | JP | Kyoto | 2012-10-04 / 20120249653 - FILTER MANUFACTURING APPARATUS AND FILTER MANUFACTURING METHOD | 2 |
Masatoshi Kawada | JP | Tokyo | 2015-04-23 / 20150109185 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, STORAGE MEDIUM HAVING STORED THEREIN INFORMATION PROCESSING PROGRAM, AND INFORMATION PROCESSING METHOD | 1 |
Yasuyuki Kawada | JP | Tokyo | 2009-05-14 / 20090120315 - LITHOGRAPHIC PRINTING METHOD | 1 |
Manabu Kawada | JP | Numazu-Shi | 2011-09-01 / 20110213164 - NOVEL COMPOUND CERAMIDASTIN, METHOD FOR PRODUCING THE SAME, AND USE OF THE SAME | 1 |
Hidenobu Kawada | JP | Tokyo | 2013-12-05 / 20130323758 - MEASUREMENT DEVICE AND MEASUREMENT METHOD | 1 |
Sachiko Kawada | JP | Tokyo | 2009-05-28 / 20090135334 - DISPLAY APPARATUS | 1 |
Hideya Kawada | JP | Ogaki-Shi | 2010-04-29 / 20100102841 - DEVICE, METHOD AND PROBE FOR INSPECTING SUBSTRATE | 1 |
Takumi Kawada | JP | Osaka | 2016-03-24 / 20160083262 - MAGNESIUM OXIDE PARTICLES, MAGNESIUM OXIDE PARTICLE PRODUCTION METHOD, RESIN COMPOSITION AND MOLDED BODY USING SUCH RESIN COMPOSITION, AND ADHESIVE OR GREASE | 1 |
Masanori Kawada | JP | Toyokawa-Shi | 2015-09-10 / 20150253713 - IMAGE FORMING APPARATUS | 4 |
Yukihiro Kawada | JP | Saitama-Ken | 2011-11-10 / 20110274363 - FACE DETECTION METHOD AND DIGITAL CAMERA | 2 |
Isamu Kawada | JP | Hannou-Shi | 2010-11-04 / 20100276832 - COMPOSITE METAL MOLDING AND METHOD FOR MANUFACTURING THEREOF | 1 |
Yoshikazu Kawada | JP | Kashihara-Shi | 2015-05-21 / 20150137499 - ENERGY ABSORBING STEERING APPARATUS | 2 |
Yasuyuki Kawada | JP | Tsukuba-City | 2015-12-31 / 20150380243 - SILICON CARBIDE SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SILICON CARBIDE SEMICONDUCTOR DEVICE | 2 |
Yoshihiro Kawada | JP | Hino-Shi | 2015-12-17 / 20150360177 - EXHAUST GAS PURIFICATION SYSTEM AND OZONE GENERATOR | 3 |
Yoichi Kawada | JP | Shizuoka | 2011-10-13 / 20110249253 - TOTAL REFLECTION TERAHERTZ WAVE MEASUREMENT DEVICE | 6 |
Daisuke Kawada | JP | Tokyo | 2013-12-05 / 20130320073 - IMPELLER MANUFACTURING METHOD | 1 |
Yohei Kawada | JP | Sagamihara | 2011-04-14 / 20110087612 - PRODUCT DELIVERY SYSTEM | 4 |
Atsushi Kawada | JP | Kitakyushu-Shi | 2016-02-25 / 20160056390 - AROMATIC HETEROCYCLIC COMPOUND, MANUFACTURING METHOD THEREOF, ORGANIC SEMICONDUCTOR MATERIAL, AND ORGANIC SEMICONDUCTOR DEVICE | 5 |
Kenji Kawada | JP | Tokyo | 2011-08-25 / 20110208904 - SEMICONDUCTOR DEVICE | 3 |
Nobuo Kawada | JP | Takasaki | 2014-12-25 / 20140377456 - NEGATIVE ELECTRODE MATERIAL FOR SECONDARY BATTERY HAVING LITHIUM-DOPED SILICON-SILICON OXIDE COMPOSITE, METHOD FOR MANUFACTURING NEGATIVE ELECTRODE, AND LITHIUM SECONDARY BATTERY | 1 |
Satoshi Kawada | JP | Itabashi-Ku | 2014-05-22 / 20140141271 - ADHESIVE COMPOSITION AND ADHESIVE SHEET | 1 |
Yohei Kawada | JP | Yokohama | 2008-09-04 / 20080215427 - PRODUCT INFORMATION PROVIDER SYSTEM AND METHOD | 1 |
Yasuyuki Kawada | JP | Matsumoto City | 2016-02-25 / 20160056266 - TRENCH GATE TYPE SEMICONDUCTOR DEVICE AND METHOD OF PRODUCING THE SAME | 11 |
Dai Kawada | JP | Tokyo-To | 2011-12-01 / 20110294901 - FINELY PULVERIZED PHARMACEUTICAL COMPOSITION | 1 |
Shigeru Kawada | JP | Tokyo | 2014-06-19 / 20140168211 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD AND PROGRAM | 1 |
Ken-Ichi Kawada | JP | Kyoto | 2015-11-19 / 20150329694 - POLYLACTIC ACID RESIN COMPOSITION AND MOLDED BODY WHICH IS OBTAINED USING SAME | 3 |
Hiroyoshi Kawada | JP | Toyama | 2009-10-01 / 20090247600 - REMEDY FOR CORNEAL DISEASES | 2 |
Kunihiro Kawada | JP | Matsumoto-Shi | 2009-07-09 / 20090175637 - Image Forming Apparatus and Image Forming Apparatus Control Method | 6 |
Masakazu Kawada | JP | Kahoku | 2010-07-01 / 20100162496 - GOODS GUIDING DEVICE AND METHOD | 1 |
Hideya Kawada | JP | Ibi-Gun | 2012-08-09 / 20120199291 - MANUFACTURING METHOD OF PRINTED WIRING BOARD AND A LAMINATE JOINTING APPARATUS | 2 |
Makiko Kawada | JP | Tokyo | 2012-01-19 / 20120012558 - GAS INSULATED BREAKING DEVICE | 2 |
Masayoshi Kawada | JP | Yokohama-Shi | 2012-12-27 / 20120326366 - VIBRATION ISOLATION STRUCTURE | 2 |
Shintaro Kawada | JP | Kanagawa | 2015-08-20 / 20150233935 - POLYMER PARTICLE CONTAINING FLUORESCENT MOLECULE AND METHOD FOR PRODUCING THE SAME | 2 |
Shinichi Kawada | JP | Isehara-Shi | 2015-12-03 / 20150345346 - VALVE-TIMING CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 16 |
Kouichi Kawada | JP | Tokyo | 2015-11-19 / 20150333303 - Rack Structure, Power Storage System, and Method of Assembling Rack Structure | 2 |
Daisaku Kawada | JP | Kanagawa | 2008-11-27 / 20080289442 - ACTUATOR | 1 |
Shuichi Kawada | JP | Kanagawa | 2016-04-21 / 20160107229 - THREE DIMENSIONAL PRINTER | 5 |
Reiji Kawada | JP | Iwakuni-Shi | 2010-09-16 / 20100234744 - BLOOD VESSEL SENESCENCE DETECTION SYSTEM | 1 |
Akira Kawada | JP | Osaka | 2015-04-09 / 20150099757 - PYRIDAZINONE COMPOUNDS AS PHOSPHODIESTERASE INHIBITORS AND METHODS OF TREATING DISORDERS | 5 |
Koji Kawada | JP | Osaka | 2012-04-05 / 20120082596 - Reactor for Moisture Generation | 4 |
Yoshihiro Kawada | JP | Tokyo | 2014-12-18 / 20140369890 - FUEL REFORMER AND EXHAUST GAS PURIFIER USING THE SAME | 3 |
Minoru Kawada | JP | Aichi | 2011-06-09 / 20110133575 - IN-VEHICLE INTEGRATED-INVERTER ELECTRIC COMPRESSOR | 3 |
Masato Kawada | JP | Tokyo | 2015-12-03 / 20150350710 - INFORMATION PROCESSING APPARATUS AND METHOD TO GENERATE A PROGRAM GUIDE | 10 |
Kazuaki Kawada | JP | Osaka | 2016-01-28 / 20160026029 - DISPLAY DEVICE | 6 |
Toshikazu Kawada | JP | Tokyo | 2012-05-03 / 20120103527 - LABEL PEELING MACHINE | 3 |
Mitsuhiro Kawada | JP | Higashikagawa-Shi | 2011-06-30 / 20110160194 - Anti-Inflammatory Analgesic External Preparation | 2 |
Shinichiro Kawada | JP | Nagaokakyo-Shi | 2016-03-03 / 20160064646 - PIEZOELECTRIC CERAMIC, METHOD FOR PRODUCING PIEZOELECTRIC CERAMIC, AND PIEZOELECTRIC CERAMIC ELECTRONIC COMPONENT | 6 |
Yoshikazu Kawada | JP | Nara | 2011-05-26 / 20110120798 - ELECTRIC POWER STEERING SYSTEM | 1 |
Katsuto Kawada | JP | Toyokawa-Shi | 2016-03-31 / 20160089738 - THREAD-CUTTING TAP | 1 |
Noriko Kawada | JP | Ayabe-Shi | 2016-03-17 / 20160074023 - MEDICAL MATERIAL | 1 |
Satoshi Kawada | JP | Kitakyushu-Shi | 2016-04-21 / 20160108610 - SANITARY WASHING APPARATUS | 2 |
Kenji Kawada | JP | Kaizuka-Shi, Osaka | 2015-11-19 / 20150327809 - BIOLOGICAL INFORMATION MEASURING DEVICE, MEASURING UNIT OF BIOLOGICAL INFORMATION MEASURING DEVICE, FINGER ACCOMMODATING UNIT OF BIOLOGICAL INFORMATION MEASURING DEVICE, AND PULSE OXYMETER | 1 |
Yasuo Kawada | JP | Chiba-Shi | 2012-10-04 / 20120247658 - GLASS BODY CUTTING METHOD, PACKAGE MANUFACTURING METHOD, PACKAGE, PIEZOELECTRIC VIBRATOR, OSCILLATOR, ELECTRONIC APPARATUS, AND RADIO-CONTROLLED TIME PIECE | 11 |
Tsuyoshi Kawada | JP | Shiga-Ken | 2011-01-06 / 20110001599 - LAMINATED INDUCTOR | 1 |
Nobuo Kawada | JP | Gunma | 2010-09-30 / 20100248071 - ELECTROLYTE MEMBRANE-ELECTRODE ASSEMBLY FOR DIRECT METHANOL FUEL CELL | 4 |
Kenji Kawada | JP | Kyoto-Shi, Kyoto | 2016-04-14 / 20160103133 - METHOD FOR DETERMINING PROGNOSIS OF CANCER | 1 |
Toyoshi Kawada | JP | Kunitomi | 2010-06-03 / 20100134459 - FLAT DISPLAY DEVICE | 2 |
Yoshihiro Kawada | JP | Osaka | 2015-08-13 / 20150224689 - PLATE-SHAPED CASING MEMBER AND INSERT INJECTION MOLDING METHOD FOR THE SAME | 15 |
Kouji Kawada | JP | Osaka | 2008-10-02 / 20080241022 - Reactor for Generating Moisture and Moisture Generating and Feeding Apparatus for Which the Reactor is Employed | 1 |
Kazuki Kawada | JP | Osaka | 2011-10-27 / 20110261538 - DISPLAY DEVICE | 1 |
Satoshi Kawada | JP | Tokyo | 2014-01-16 / 20140017467 - PRESSURE SENSITIVE ADHESIVE SHEET | 2 |
Toyoshi Kawada | JP | Atsugi | 2010-06-10 / 20100141625 - DRIVING METHOD AND DRIVING CIRCUIT OF PLASMA DISPLAY PANEL HAVING A POTENTIAL BEING APPLIED TO AN ADDRESS ELECTRODE DURING A RESET PERIOD | 1 |
Takashi Kawada | JP | Kawasaki | 2011-12-22 / 20110314221 - STORAGE APPARATUS, CONTROLLER AND STORAGE APPARATUS CONTROL METHOD | 6 |
Mayumi Kawada | JP | Nagoya | 2009-02-05 / 20090034089 - ZOOM LENS | 1 |
Hidetoshi Kawada | JP | Ihara-Gun | 2012-07-05 / 20120168041 - ALUMINUM ALLOY CASTING MATERIAL FOR HEAT TREATMENT EXCELLING IN HEAT CONDUCTION AND PROCESS FOR PRODUCING THE SAME | 1 |
Hiroshi Kawada | JP | Kobe City | 2013-12-05 / 20130323606 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Hiroshi Kawada | JP | Osaka | 2016-02-25 / 20160056460 - POSITIVE ELECTRODE ACTIVE MATERIAL FOR NON-AQUEOUS ELECTROLYTE SECONDARY CELL, AND NON-AQUEOUS ELECTROLYTE SECONDARY CELL USING SAME | 5 |
Kunihiro Kawada | JP | Suwa-Shi | 2011-08-11 / 20110193931 - Image Forming Apparatus and Image Forming Method | 1 |
Takashi Kawada | JP | Nagaokakyo-Shi | 2014-09-18 / 20140265624 - PIEZOELECTRIC TRANSFORMER, PIEZOELECTRIC TRANSFORMER MODULE, AND WIRELESS POWER TRANSMISSION SYSTEM | 1 |
Fumio Kawada | JP | Kagamigahara | 2014-09-18 / 20140277667 - METHOD AND SYSTEM FOR FILTERING LOT SCHEDULES USING A PREVIOUS SCHEDULE | 1 |
Takashi Kawada | JP | Kanuma-Shi | 2010-04-08 / 20100086758 - FOAMED SHEET OF POLYLACTIC ACID RESIN, FOAM MOLDING OF POLYLACTIC ACID RESIN AND METHOD OF PREPARING FOAM MOLDING | 2 |
Shinya Kawada | JP | Kyoto-Shi | 2014-12-25 / 20140375556 - POSITION DETECTING SYSTEM AND POSITION DETECTING PROGRAM | 2 |
Takuji Kawada | US | Canton | 2008-11-20 / 20080283005 - METHOD OF STARTING INTERNAL COMBUSTION ENGINE | 1 |
Shuichi Kawada | JP | Fukui | 2009-05-28 / 20090133546 - Spindle Apparatus | 1 |
Shinji Kawada | JP | Miyagi | 2010-03-18 / 20100068836 - METHOD OF MEASURING RESISTIVITY OF SIDEWALL OF CONTACT HOLE | 4 |
Masayoshi Kawada | JP | Tokyo | 2010-04-08 / 20100084797 - VIBRATION DAMPING SYSTEM | 1 |
Masakuni Kawada | JP | Tokyo | 2008-11-20 / 20080282693 - Stirling Engine | 1 |
Atsushi Kawada | JP | Fukuoka | 2010-05-13 / 20100121074 - CYCLIC GUANIDINE IONIC LIQUID | 2 |
Shinichiro Kawada | JP | Shiga-Ken | 2010-08-19 / 20100207494 - PIEZOELECTRIC CERAMIC COMPOSITION AND PIEZOELECTRIC CERAMIC ELECTRONIC COMPONENT | 1 |
Shinichiro Kawada | JP | Hikone-Shi | 2010-04-29 / 20100102679 - PIEZOELECTRIC PORCELAIN COMPOSITION, AND PIEZOELECTRIC CERAMIC ELECTRONIC COMPONENT | 5 |
Kiyomi Kawada | JP | Tokyo | 2010-03-18 / 20100066964 - LIQUID CRYSTAL DISPLAY AND METHOD OF MANUFACTURING THE SAME AND METHOD OF DRIVING THE SAME | 1 |
Shinichi Kawada | JP | Isehara-Shi | 2015-12-03 / 20150345346 - VALVE-TIMING CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 16 |
Hideaki Kawada | JP | Yokohama | 2009-01-08 / 20090008867 - RECORDING MEDIUM TRAY ASSEMBLY/DISASSEMBLY MECHANISM AND IMAGE FORMING APPARATUS | 1 |
Yutaka Kawada | JP | Tokyo | 2009-10-01 / 20090244076 - Data display system, data relay device, data relay method, data system, sink device, and data read method | 2 |
Yoshihiro Kawada | JP | Tsukuba-Shi | 2014-01-16 / 20140014935 - POLYMER COMPOUND AND LIGHT-EMITTING ELEMENT USING THE SAME | 1 |
Hiroyoshi Kawada | JP | Toyama-Shi | 2010-10-21 / 20100267665 - REMEDY FOR CORNEAL DISEASES | 2 |
Ryoichi Kawada | JP | Saitama | 2010-08-05 / 20100198584 - SERVER FOR AUTOMATICALLY SCORING OPINION CONVEYED BY TEXT MESSAGE CONTAINING PICTORIAL-SYMBOLS | 3 |
Yohei Kawada | JP | Tokyo | 2014-11-27 / 20140350993 - INFORMATION MANAGEMENT DEVICE AND METHOD | 1 |
Yasuo Kawada | JP | Chiba-Shi | 2012-10-04 / 20120247658 - GLASS BODY CUTTING METHOD, PACKAGE MANUFACTURING METHOD, PACKAGE, PIEZOELECTRIC VIBRATOR, OSCILLATOR, ELECTRONIC APPARATUS, AND RADIO-CONTROLLED TIME PIECE | 11 |
Yuzo Kawada | JP | Nagaokakyo-Shi | 2011-04-21 / 20110091686 - LOW TEMPERATURE CO-FIRED CERAMIC MATERIAL, LOW TEMPERATURE CO-FIRED CERAMIC BODY, AND MULTILAYER CERAMIC SUBSTRATE | 1 |
Hideaki Kawada | JP | Maebashi-Shi | 2009-12-03 / 20090295325 - Electric Power Steering Apparatus and Method of Assembling the Same | 2 |
Nobuo Kawada | JP | Annaka | 2011-10-06 / 20110244334 - NEGATIVE ELECTRODE MATERIAL FOR SECONDARY BATTERY WITH NON-AQUEOUS ELECTROLYTE, METHOD FOR MANUFACTURING NEGATIVE ELECTRODE MATERIAL FOR SECONDARY BATTERY WITH NON-AQUEOUS ELECTROLYTE, AND LITHIUM ION SECONDARY BATTERY | 2 |
Hiroaki Kawada | JP | Kawasaki-Shi | 2013-12-12 / 20130327930 - OPTICAL ENCODER AND LENS FIXING MECHANISM THEREOF | 5 |
Kiyoshi Kawada | JP | Kanagawa | 2011-03-17 / 20110061676 - Pencil-Form Cosmetic Composition And Cosmetic Product Thereof | 1 |
Hideki Kawada | JP | Owaniasahi-Shi | 2009-01-29 / 20090026455 - LIQUID CRYSTAL DISPLAY DEVICE AND ITS MANUFACTURING METHOD | 1 |
Norihiko Kawada | JP | Kanagawa | 2010-03-04 / 20100053426 - VIDEO CONVERSION DEVICE, VIDEO CONVERSION METHOD, AND PROGRAM | 1 |
Kazuaki Kawada | JP | Daito-Shi | 2014-11-27 / 20140347864 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Nobuhito Kawada | JP | Kanagawa | 2010-12-23 / 20100320525 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD OF MANUFACTURING NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Hideki Kawada | JP | Owariasahi-Shi | 2009-04-09 / 20090091523 - ELECTROOPTIC DEVICE AND ELECTRONIC APPARATUS | 1 |
Jun Kawada | JP | Kanagawa | 2010-03-18 / 20100067049 - IMAGE FORMING DEVICE AND COMPUTER-READABLE STORAGE MEDIUM | 2 |
Shigeru Kawada | JP | Chiba | 2016-02-25 / 20160054565 - INFORMATION PROCESSING DEVICE, PRESENTATION STATE CONTROL METHOD, AND PROGRAM | 2 |
Kunihiro Kawada | JP | Shiojiri | 2012-10-18 / 20120263485 - IMAGE FORMING DEVICE | 2 |
Takeshi Kawada | JP | Kanagawa | 2011-03-24 / 20110070044 - Machine Tool | 1 |
Koji Kawada | JP | Osaka-Shi | 2015-12-10 / 20150358792 - WIRELESS COMMUNICATION SYSTEM, PAIRING APPARATUS, METHOD FOR PAIRING PLURAL DEVICES AND PROGRAM FOR CAUSING COMPUTER TO IMPLEMENT THAT METHOD | 1 |
Norihiko Kawada | JP | Toyota-Shi | 2011-02-10 / 20110035101 - VEHICLE AND ITS CONTROL METHOD | 3 |
Yoshitaka Kawada | JP | Tokyo | 2016-04-14 / 20160101483 - WELDING APPARATUS AND NOZZLE DEVICE | 2 |
Hitoshi Kawada | JP | Tokyo | 2015-04-23 / 20150109280 - DISPLAY DEVICE, METHOD OF DRIVING DISPLAY DEVICE AND ELECTRONIC APPARATUS | 2 |
Koji Kawada | JP | Tokyo | 2015-08-06 / 20150220719 - LICENSE CONTROL SYSTEM, LICENSE CONTROL METHOD, LICENSE APPLICATION DEVICE, AND NON-TRANSITORY COMPUTER-READABLE MEDIUM WHEREUPON PROGRAM IS STORED | 3 |
Hideki Kawada | JP | Anpachi-Cho | 2009-04-23 / 20090102758 - ELECTRO-OPTICAL DEVICE | 1 |
Masakazu Kawada | JP | Kanagawa | 2013-05-02 / 20130108163 - IMAGE EVALUATION APPARATUS, IMAGE EVALUATION METHOD, AND PROGRAM | 2 |
Hirohide Kawada | JP | Ibaraki | 2013-01-24 / 20130019840 - Engine and Engine Working Machine | 4 |
Hiroaki Kawada | JP | Yokohama-Shi | 2011-02-10 / 20110031382 - PHOTOELECTRIC ENCODER | 2 |
Takeshi Kawada | JP | Aiko-Gun | 2014-11-20 / 20140338765 - COOLANT SUPPLY APPARATUS | 1 |
Ryouichi Kawada | JP | Fujimino-Shi | 2012-04-12 / 20120086855 - VIDEO CONTENT GENERATION SYSTEM, VIDEO CONTENT GENERATION DEVICE, AND STORAGE MEDIA | 1 |
Hatsuo Kawada | JP | Shizuoka | 2015-06-04 / 20150152047 - BENZAMIDE DERIVATIVE | 2 |
Hiromi Kawada | JP | Kawagoe-Shi | 2015-05-21 / 20150140820 - CLEANING AGENT FOR SEMICONDUCTOR SUBSTRATES AND METHOD FOR PROCESSING SEMICONDUCTOR SUBSTRATE SURFACE | 1 |
Tomoyuki Kawada | JP | Tokyo | 2015-12-24 / 20150367249 - N-METHYL-2-PYRROLIDONE DISTILLING APPARATUS | 2 |
Hatsuo Kawada | JP | Kanagawa | 2015-06-04 / 20150150845 - TETRACYCLIC COMPOUND | 3 |
Yoichi Kawada | JP | Hamamatsu-Shi | 2015-05-21 / 20150136986 - PRISM MEMBER, TERAHERTZ-WAVE SPECTROSCOPIC MEASUREMENT DEVICE, AND TERAHERTZ-WAVE SPECTROSCOPIC MEASUREMENT METHOD | 7 |
Hiroki Kawada | JP | Tuchiura | 2008-09-04 / 20080215274 - Evaluation method of fine pattern feature, its equipment, and method of semiconductor device fabrication | 1 |
Jumpei Kawada | JP | Nisshin-Shi | 2015-08-06 / 20150218373 - RESIN COMPOSITION | 1 |
Hiromi Kawada | JP | Saitama | 2013-10-03 / 20130261040 - SUBSTRATE CLEANER FOR COPPER WIRING, AND METHOD FOR CLEANING COPPER WIRING SEMICONDUCTOR SUBSTRATE | 1 |
Keiji Kawada | JP | Kasugai-Shi | 2015-11-19 / 20150333489 - DISCHARGE ELECTRODE AND NEUTRALIZATION DEVICE | 1 |
Yuya Kawada | JP | Tokyo | 2015-08-06 / 20150217711 - VEHICLE COMMUNICATION DEVICE | 1 |
Yumi Kawada | JP | Kanagawa | 2008-09-18 / 20080224324 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Takuya Kawada | JP | Tokyo | 2015-01-22 / 20150026106 - NON-FACTOID QUESTION-ANSWERING SYSTEM AND COMPUTER PROGRAM | 1 |
Manabu Kawada | JP | Tokyo | 2015-05-21 / 20150140018 - ANTI-CXADR ANTIBODY | 1 |
Tosuke Kawada | JP | Aichi | 2012-02-16 / 20120038763 - MEASURING APPARATUS AND MEASURING METHOD THEREOF, APPARATUS FOR CORRECTING PROCESSING POSITION OF CUTTING MACHINE AND METHOD THEREOF FOR CORRECTING PROCESSING POSITION, AND IMAGING APPARATUS AND CUTTING MACHINE COMPRISING THE SAME | 1 |
Katsutoshi Kawada | JP | Kanagawa-Ken | 2009-05-21 / 20090132930 - E-MAIL COMMUNITY SYSTEM FOR A NETWORK GAME AND PROGRAM THEREFOR | 1 |
Tsutomu Kawada | JP | Tokyo | 2008-11-20 / 20080283229 - HEAT EXCHANGER | 1 |
Shou Kawadahara | JP | Tokyo | 2011-09-22 / 20110226982 - FERRITE COMPOSITION AND ELECTRONIC COMPONENT | 1 |
Kazuhide Kawade | JP | Kiyosu | 2009-02-19 / 20090049325 - DATA PROCESSOR | 1 |
Takahisa Kawade | JP | Yokohama-Shi | 2012-04-12 / 20120086859 - VIDEO OUTPUT APPARATUS, DISPLAY SYSTEM, AND VIDEO OUTPUT METHOD FOR OUTPUTTING VIDEO SIGNAL TO DISPLAY APPARATUS | 3 |
Kenji Kawade | JP | Kawasaki-Shi | 2014-11-13 / 20140336197 - SUBSTITUTED IMIDAZO [1,5-a]QUINOXALINES AS A PDE9 INHIBITOR | 2 |
Hisashi Kawade | JP | Hiratsuka-Shi | 2013-05-16 / 20130118295 - ACCELERATOR PEDAL DEPRESSION FORCE SETTING METHOD FOR ACCELERATOR PEDAL DEPRESSION FORCE CONTROL DEVICE | 1 |
Takuya Kawade | JP | Hashima | 2015-09-24 / 20150270687 - SPARK PLUG AND IGNITION SYSTEM | 1 |
Yasuhiko Kawade | JP | Gifu | 2009-05-21 / 20090129881 - RING WITH CUTTING EDGE (RING-SHAPED CHAMFER TOOL) | 1 |
Masanori Kawade | JP | Obu-Shi | 2010-07-29 / 20100187004 - LEAD PIN FOR MOUNTING SEMICONDUCTOR AND PRINTED WIRING BOARD | 1 |
Kenji Kawade | JP | Kanagawa | 2010-02-25 / 20100048556 - QUINOXALINE DERIVATIVES | 1 |
Kazuhide Kawade | JP | Kanagawa | 2012-05-03 / 20120105679 - SEMICONDUCTOR INTEGRATED CIRCUIT AND MULTI-ANGLE VIDEO SYSTEM | 1 |
Hisashi Kawade | JP | Kanagawa | 2011-04-14 / 20110083528 - Accelerator pedal apparatus | 2 |
Kazuhide Kawade | JP | Kawasaki-Shi | 2015-09-10 / 20150254820 - SEMICONDUCTOR INTEGRATED CIRCUIT AND MULTI-ANGLE VIDEO SYSTEM | 1 |
Hisashi Kawade | JP | Yokohama-Shi | 2010-04-08 / 20100083789 - Accelerator pedal device | 1 |
Masanori Kawade | JP | Ibi-Gun | 2010-02-11 / 20100032200 - CONDUCTIVE CONNECTING PIN AND PACKAGE SUBSTRATE | 4 |
Takaaki Kawade | JP | Odawara-Shi | 2014-05-08 / 20140125005 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Keisuke Kawade | JP | Aichi | 2016-02-11 / 20160041021 - CAPACITIVE LIQUID LEVEL DETECTION DEVICE | 1 |
Megumu Kawae | JP | Kawasaki-Shi | 2013-10-24 / 20130277357 - WORK HEATING DEVICE AND WORK TREATMENT DEVICE | 3 |
Daisuke Kawae | JP | Kanagawa | 2009-09-03 / 20090218576 - THIN-FILM TRANSISTOR AND DISPLAY DEVICE | 1 |
Daisuke Kawae | JP | Kisarazu | 2016-03-03 / 20160064572 - SEMICONDUCTOR DEVICE | 11 |
Tsutomu Kawae | JP | Kariya-Shi | 2016-05-12 / 20160131098 - FUEL INJECTION VALVE | 3 |
Daisuke Kawae | JP | Yokohama | 2015-12-10 / 20150356942 - VOLTAGE CONTROL CIRCUIT OF DISPLAY DEVICE, AND THE DISPLAY DEVICE | 12 |
Daisuke Kawae | JP | Isehara | 2012-07-05 / 20120173915 - Clock Generation Circuit and Semiconductor Device Including the Same | 6 |
Daisuke Kawae | JP | Yamato | 2014-11-20 / 20140339556 - SEMICONDUCTOR DEVICE | 2 |
Daisuke Kawae | JP | Atsugi | 2015-02-19 / 20150049277 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SEMICONDUCTOR DEVICE | 29 |
Sotaro Kawae | JP | Tokyo | 2015-04-30 / 20150119710 - ULTRASONIC DIAGNOSIS APPARATUS | 3 |
Daisuke Kawae | JP | Atsug | 2014-11-06 / 20140327002 - Non-Linear Element, Display Device Including Non- Linear Element, And Electronic Device Including Display Device | 1 |
Daisuke Kawae | JP | Kisarazu | 2016-03-03 / 20160064572 - SEMICONDUCTOR DEVICE | 11 |
Megumu Kawae | JP | Kanagawa | 2012-05-17 / 20120120545 - ELECTROSTATIC ATTRACTING STRUCTURE AND FABRICATING METHOD THEREFOR | 1 |
Ayano Kawae | JP | Tokyo | 2013-03-14 / 20130062026 - PROCESS FOR PRODUCING BLEACHED PULP | 2 |
Daisuke Kawae | JP | Yokohama | 2015-12-10 / 20150356942 - VOLTAGE CONTROL CIRCUIT OF DISPLAY DEVICE, AND THE DISPLAY DEVICE | 12 |
Mitsuhiro Kawafuji | JP | Kawasaki-Shi | 2008-09-04 / 20080212122 - Controlling program, controlling apparatus, image forming apparatus and print controlling method | 1 |
Hiroshi Kawafuji | JP | Kawasaki-Shi | 2015-10-15 / 20150296095 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, RECORDING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 4 |
Hisashi Kawafuji | JP | Tokyo | 2016-03-03 / 20160064353 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Norihiro Kawagishi | JP | Tokyo | 2009-07-23 / 20090184603 - DRIVE CIRCUIT | 5 |
Ken Kawagishi | JP | Yamaguchi | 2011-04-07 / 20110079277 - POLYIMIDE-METAL LAMINATE AND SOLAR CELL | 2 |
Kenji Kawagishi | JP | Kanagawa | 2014-07-10 / 20140194277 - ETHYLENE-BASED POLYMER, POLYETHYLENE-BASED RESIN COMPOSITION AND USE THEREOF, CATALYST COMPONENT FOR OLEFIN POLYMERIZATION, OLEFIN POLYMERIZATION CATALYST CONTAINING THE COMPONENT, AND METHOD FOR PRODUCING ETHYLENE-BASED POLYMER BY USING THE CATALYST | 1 |
Masahiro Kawagishi | JP | Tokyo | 2013-11-28 / 20130316890 - METHOD FOR PRODUCING SILICA GLASS BODY CONTAINING TITANIA, AND SILICA GLASS BODY CONTAINING TITANIA | 2 |
Ken Kawagishi | JP | Ube-Shi | 2012-09-27 / 20120241005 - AROMATIC POLYIMIDE FILM, LAMINATE, AND SOLAR CELL | 1 |
Norihiro Kawagishi | JP | Hamamatsu-Shi | 2015-10-01 / 20150276817 - CURRENT SENSOR AND CURRENT MEASURING DEVICE | 4 |
Makoto Kawagishi | JP | Komatsu-Shi | 2008-09-04 / 20080210462 - METHOD FOR MANUFACTURING CIRCUIT MODULES AND CIRCUIT MODULE | 1 |
Tetsuya Kawagishi | JP | Nasushiobara | 2016-03-10 / 20160066888 - ULTRASONIC DIAGNOSTIC APPARATUS AND IMAGE PROCESSING APPARATUS | 12 |
Takahiro Kawagishi | JP | Toyama | 2015-08-27 / 20150238426 - GRANULAR MATERIAL FOR ORALLY FAST DISINTEGRATING TABLETS | 1 |
Hirokazu Kawagishi | JP | Suruga-Ku | 2009-11-05 / 20090274720 - Anti-dementia substance from hericium erinaceum and method of extraction | 1 |
Masami Kawagishi | JP | Yokohama-Shi | 2012-05-31 / 20120136882 - DIAGNOSIS SUPPORT APPARATUS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 6 |
Tetsuya Kawagishi | JP | Tochigi-Ken | 2009-08-06 / 20090198133 - ULTRASONOGRAPH, MEDICAL IMAGE PROCESSING DEVICE, AND MEDICAL IMAGE PROCESSING PROGRAM | 1 |
Masami Kawagishi | JP | Kyoto-Shi | 2015-01-22 / 20150023579 - DIAGNOSIS SUPPORT APPARATUS, METHOD FOR THE SAME, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 6 |
Wataru Kawagishi | JP | Nagoya-Shi | 2014-09-11 / 20140255668 - RESIN JOINED BODY AND METHOD FOR MANUFACTURING SAME | 1 |
Tetsuya Kawagishi | JP | Nasushiobara-Shi | 2015-07-30 / 20150209006 - ULTRASOUND DIAGNOSIS APPARATUS | 25 |
Makiko Kawagishi | JP | Tsukuba-Shi | 2015-02-12 / 20150047066 - PLANT HAVING INCREASED RESISTANCE OR SUSCEPTIBILITY TO 4-HPPD INHIBITOR | 1 |
Toshio Kawagishi | JP | Tokyo | 2015-12-03 / 20150345970 - DESTINATION PREDICTION APPARATUS | 3 |
Kyoko Kawagishi | JP | Ibaraki | 2015-07-16 / 20150197833 - Ni-BASED SINGLE CRYSTAL SUPERALLOY | 9 |
Hirokazu Kawagishi | JP | Shizuoka | 2011-06-02 / 20110129938 - L-FUCOSE ALPHA1-6 SPECIFIC LECTIN | 1 |
Hiroyuki Kawagishi | JP | Yokohama-Shi | 2012-03-22 / 20120067045 - CALCULATION METHOD OF MOISTURE LOSS IN STEAM TURBINE | 1 |
Shuichiro Kawagishi | JP | Shinjuku-Ku | 2013-08-08 / 20130201562 - ANTIREFLECTIVE FILM AND OPTICAL ELEMENT | 1 |
Motohiko Kawagishi | JP | Tokyo | 2013-01-31 / 20130025301 - HOT WATER SUPPLY SYSTEM CONTROL APPARATUS AND HOT WATER SUPPLY SYSTEM CONTROL PROGRAM AND HOT WATER SUPPLY SYSTEM OPERATING METHOD | 4 |
Takeshi Kawagishi | JP | Kawasaki | 2010-12-16 / 20100316020 - COMMUNICATION SYSTEM, MOBILE TERMINAL AND COMMUNICATION METHOD | 4 |
Yuya Kawagishi | JP | Saitama | 2009-12-24 / 20090315881 - DISPLAY PROCESSING DEVICE, DISPLAY PROCESSING METHOD, AND DISPLAY PROCESSING PROGRAM | 3 |
Kyoko Kawagishi | JP | Ibaraki | 2015-07-16 / 20150197833 - Ni-BASED SINGLE CRYSTAL SUPERALLOY | 9 |
Tetsuya Kawagishi | JP | Nasushiobara | 2016-03-10 / 20160066888 - ULTRASONIC DIAGNOSTIC APPARATUS AND IMAGE PROCESSING APPARATUS | 12 |
Norihiro Kawagishi | JP | Shizuoka | 2010-09-23 / 20100237898 - OVERCURRENT DETECTING CIRCUIT AND POWER SUPPLY DEVICE | 3 |
Tomoki Kawagishi | JP | Toyohashi-Shi | 2014-03-20 / 20140076802 - OPERATING METHOD FOR AIR DIFFUSION APPARATUS | 3 |
Kyoko Kawagishi | JP | Tsukuba-Shi | 2009-08-06 / 20090196760 - Nickel-Base Superalloy Excellent in the Oxidation Resistance | 2 |
Hirokazu Kawagishi | JP | Shizuoka-Shi | 2014-05-29 / 20140148598 - IMIDAZOLE DERIVATIVE | 2 |
Wataru Kawagishi | JP | Ichinomiya-Shi | 2015-03-19 / 20150075700 - METHOD AND APPARATUS FOR MANUFACTURING WELDED RESIN ARTICLE | 1 |
Motohiko Kawagishi | JP | Chiyoda-Ku | 2015-06-11 / 20150159913 - HOT WATER SUPPLY SYSTEM | 1 |
Hiroyuki Kawagishi | JP | Kanagawa | 2009-01-01 / 20090004011 - STEAM TURBINE, AND INTERMEDIATE SUPPORT STRUCTURE FOR HOLDING ROW OF LONG MOVING BLADES THEREIN | 1 |
Tetsuya Kawagishi | JP | Kawasaki | 2016-03-24 / 20160081664 - ULTRASONIC DIAGNOSTIC APPARATUS AND PROBE PRESSURIZATION/DEPRESSURIZATION INFORMATION DISPLAY METHOD | 1 |
Katsuya Kawagoe | JP | Kawasaki-Shi | 2013-08-29 / 20130223901 - IMAGE FORMING APPARATUS WITH TRANSFER NIP ADJUSTMENT FUNCTION | 2 |
Nobuyoshi Kawagoe | JP | Ishikawa | 2013-06-20 / 20130159585 - CONTROL SYSTEM AND RELAY APPARATUS | 1 |
Ryoichi Kawagoe | JP | Kyoto | 2014-01-16 / 20140015886 - METHOD OF INK APPLICATION ON SUBSTRATE | 1 |
Tsuneo Kawagoe | JP | Kitakatsuragi-Gun | 2016-03-10 / 20160067108 - SOLE STIMULATION SOCK | 1 |
Yoshiyuki Kawagoe | JP | Osaka | 2013-05-02 / 20130106924 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Masako Kawagoe | JP | Nagoya-Shi | 2013-06-27 / 20130161412 - LIQUID JETTING APPARATUS | 3 |
Shinya Kawagoe | JP | Osaka | 2013-06-13 / 20130146926 - ILLUMINATING APPARATUS | 11 |
Masafumi Kawagoe | JP | Kyoto | 2014-10-02 / 20140290839 - TRANSFER APPARATUS AND TRANSFER METHOD | 7 |
Junji Kawagoe | JP | Kawagoe-Shi | 2009-01-01 / 20090004888 - WIRING BOARD AND BUS BAR SEGMENTS TO BE USED THEREFOR | 1 |
Norimasa Kawagoe | JP | Wako-Shi | 2009-01-01 / 20090004535 - FUEL CELL | 1 |
Hiroshi Kawagoe | JP | Yokohama-Shi | 2009-02-05 / 20090033992 - PRINTING APPARATUS, PRINTING METHOD, AND PRINTING SYSTEM | 1 |
Kuniaki Kawagoe | JP | Gunma | 2016-04-21 / 20160107719 - CONTROLLER FOR DRIVING A MOTOR, AND ELECTRIC POWER ASSISTED VEHICLE | 2 |
Norimasa Kawagoe | JP | Utsunomiya-Shi | 2009-04-30 / 20090110969 - METHOD OF OPERATING A SOLID POLYMER ELECTROLYTE FUEL CELL AND AGING APPARATUS | 3 |
Fumihiro Kawagoe | JP | Saitama | 2012-05-17 / 20120123173 - Process For Production Of 2-Chloro-3,3,3-Trifluoropropene | 1 |
Osamu Kawagoe | JP | Atsugi-Shi | 2009-07-09 / 20090174387 - Semiconductor Device | 1 |
Tadashi Kawagoe | JP | Obu-City | 2009-08-20 / 20090206061 - Method of controlling the fusing and fusing apparatus | 2 |
Junichi Kawagoe | JP | Saitama | 2009-10-22 / 20090263374 - METHOD OF PREVENTING AND TREATING BRAIN INFARCTION | 1 |
Mitsuru Kawagoe | JP | Machida-City | 2013-11-07 / 20130293093 - alpha-SIALON, LIGHT-EMITTING DEVICE AND USE THEREOF | 1 |
Kazuhiro Kawagoe | JP | Yokohama-Shi | 2010-03-18 / 20100067642 - CONTROL ROD DRIVE MECHANISM | 1 |
Hiroyuki Kawagoe | JP | Kawachi-Gun | 2010-03-18 / 20100070139 - Vehicle maneuver assistance device | 2 |
Kenji Kawagoe | JP | Tachikawa-Shi, Tokyo | 2016-03-10 / 20160067934 - TIRE MOLD AND TIRE | 1 |
Yoshiki Kawagoe | JP | Yokohama-Shi | 2010-04-29 / 20100101722 - Composition for matte layer formation, release sheet using the same, and synthetic leather produced using said release sheet | 2 |
Keisuke Kawagoe | JP | Yokohama | 2013-06-20 / 20130156834 - PROCESS FOR TREATING SOL-GEL CAPSULES | 1 |
Hiroshi Kawagoe | JP | Kyoto-Shi | 2014-07-17 / 20140196934 - WIRING SUBSTRATE AND ELECTRONIC DEVICE | 1 |
Masako Kawagoe | JP | Kyoto-Shi | 2015-09-17 / 20150259856 - GREASEPROOF PAPER HAVING EXCELLENT FOLDING RESISTANCE | 1 |
Kenji Kawagoe | JP | Tachikawa-Shi | 2010-06-10 / 20100139827 - PNEUMATIC TIRE | 1 |
Makoto Kawagoe | JP | Yokosuka-Shi | 2014-11-06 / 20140328068 - Lighting Apparatus | 7 |
Mitsuru Kawagoe | JP | Machida-Shi | 2010-09-02 / 20100219741 - FLUORESCENT MATERIAL, PROCESS FOR PRODUCING THE SAME AND ILLUMINATOR EMPLOYING THE SAME | 1 |
Osamu Kawagoe | JP | Tokyo | 2012-05-03 / 20120105029 - SWITCHING REGULATOR | 4 |
Tomoya Kawagoe | JP | Tokyo | 2016-05-05 / 20160124432 - AUTOMATIC DRIVING CONTROL SYSTEM AND AUTOMATIC DRIVING CONTROL METHOD | 1 |
Katsuya Kawagoe | JP | Kanagawa | 2010-09-23 / 20100239282 - To-be-transferred object length measurement device and image forming apparatus and computer-readable storage medium | 1 |
Kenichi Kawagoe | JP | Suzuka-Shi | 2011-12-22 / 20110310318 - LIQUID CRYSTAL SHUTTER GLASSES | 1 |
Masakuni Kawagoe | JP | Miyazaki | 2016-01-28 / 20160027400 - DISPLAY PANEL | 5 |
Tadashi Kawagoe | JP | Obu-Shi | 2014-10-09 / 20140299588 - METHOD AND APPARATUS FOR ARC WELDING BY CONTROLLING WELDING CURRENT | 1 |
Tadashi Kawagoe | JP | Oobu-City | 2009-01-01 / 20090001134 - Method and apparatus for judging quality of resistance brazing | 2 |
Kenji Kawagoe | JP | Kodaira-Shi | 2015-07-02 / 20150183271 - BASE TIRE MANUFACTURING METHOD AND BASE TIRE | 2 |
Tsuyoshi Kawagoe | JP | Chuo-Ku | 2010-12-02 / 20100302842 - SEMICONDUCTOR MEMORY DEVICE, MANUFACTURING METHOD THEREOF, DATA PROCESSING SYSTEM, AND DATA PROCESSING DEVICE | 1 |
Takuya Kawagoe | JP | Otsu | 2014-01-16 / 20140013612 - TENTER OVEN AND MANUFACTURING METHOD FOR STRETCHED FILM | 1 |
Tomokazu Kawagoe | JP | Tokyo | 2015-05-14 / 20150128628 - AIR-CONDITIONING APPARATUS | 6 |
Tsuyoshi Kawagoe | JP | Tokyo | 2013-09-26 / 20130248809 - VARIABLE RESISTIVE ELEMENT AND NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 2 |
Kiichi Kawagoe | JP | Tokyo | 2011-03-03 / 20110053933 - HYDROXYQUINOXALINECARBOXAMIDE DERIVATIVE | 1 |
Takafumi Kawagoe | JP | Yamaguchi | 2014-01-16 / 20140017517 - STAINLESS STEEL PLATE AND MANUFACTURING METHOD THEREOF | 1 |
Hiroshi Kawagoe | JP | Kyoto-Shi, Kyoto | 2015-11-19 / 20150334877 - WIRING BOARD AND ELECTRONIC DEVICE | 1 |
Yoshiyuki Kawagoe | JP | Osaka-Shi | 2012-08-23 / 20120212520 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Syohei Kawagoe | JP | Osaka | 2012-07-05 / 20120172745 - MUSCLE SYNERGY ANALYSIS METHOD, MUSCLE SYNERGY ANALYZER, AND MUSCLE SYNERGY INTERFACE | 1 |
Masako Kawagoe | JP | Kurashiki | 2014-01-16 / 20140018488 - ALKYL-MODIFIED VINYL ACETAL POLYMER, AND COMPOSITION | 1 |
Tomokazu Kawagoe | JP | Chiyoda-Ku | 2013-04-25 / 20130098092 - HEAT PUMP | 2 |
Yoshinori Kawagoe | JP | Kanagawa-Ken | 2011-12-01 / 20110290185 - SUBSTRATE COOLING DEVICE AND SUBSTRATE TREATMENT SYSTEM | 1 |
Makoto Kawagoe | JP | Kanagawa | 2014-03-27 / 20140085874 - LUMINAIRE | 3 |
Jun Kawagoe | JP | Numazu-Shi | 2014-10-30 / 20140318488 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Yasuhiro Kawagoe | JP | Tokyo | 2013-07-04 / 20130172081 - GAME DEVICE, GAME CONTROL METHOD, AND GAME CONTROL PROGRAM, FOR CONTROLLING PICTURE DRAWING GAME | 1 |
Mitsuru Kawagoe | JP | Tokyo | 2011-11-17 / 20110279018 - ALPHA-SIALON PHOSPHOR, METHOD FOR PRODUCING SAME, AND LIGHT-EMITTING DEVICE | 1 |
Masako Kawagoe | JP | Kurashiki-Shi | 2016-05-12 / 20160130763 - COMPOSITE PAPER HAVING OIL RESISTANCE | 3 |
Kuniaki Kawagoe | JP | Tokyo | 2014-05-01 / 20140121877 - MOTOR DRIVE CONTROLLER AND ELECTRIC POWER-ASSISTED VEHICLE | 2 |
Makoto Kawagoe | JP | Yokosuka | 2014-10-09 / 20140300277 - LIGHTING CONTROL SYSTEM AND LIGHTING CONTROL METHOD | 3 |
Shinya Kawagoe | JP | Osaka | 2013-06-13 / 20130146926 - ILLUMINATING APPARATUS | 11 |
Kazuhiro Kawagome | JP | Kokubungi-Shi | 2009-10-29 / 20090271785 - INFORMATION PROCESSING APPARATUS AND CONTROL METHOD | 1 |
Kazuhiro Kawagome | JP | Kokubunji-Shi | 2016-03-03 / 20160062660 - MEMORY MANAGEMENT DEVICE | 3 |
Kazuhiro Kawagome | JP | Tokyo | 2011-10-06 / 20110246600 - MEMORY SHARING APPARATUS | 1 |
Ryosuke Kawagoshi | JP | Kanagawa | 2015-01-15 / 20150013566 - METAL MATERIAL WITH A BISMUTH FILM ATTACHED AND METHOD FOR PRODUCING SAME, SURFACE TREATMENT LIQUID USED IN SAID METHOD, AND CATIONIC ELECTRODEPOSITION COATED METAL MATERIAL AND METHOD FOR PRODUCING SAME | 4 |
Kenichi Kawagoshi | JP | Osaka | 2015-03-05 / 20150060586 - COILED WAVE SPRING AND DRAG KNOB | 1 |
Hirokazu Kawagoshi | JP | Kanagawa | 2015-11-05 / 20150317943 - DIFFERENTIAL AMPLIFIER AND CONTROL METHOD FOR THE SAME | 10 |
Hirokazu Kawagoshi | JP | Kawasaki | 2011-01-27 / 20110018853 - SIGNAL LINE DRIVING CIRCUIT AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Ryosuke Kawagoshi | JP | Chuo-Ku, Tokyo | 2015-11-19 / 20150329796 - STEEL SHEET HAVING ALKALI-SOLUBLE LUBRICATING FILM, METHOD FOR PRODUCING SAME, AND COMPOSITION | 1 |
Tomoo Kawagoshi | JP | Tokyo | 2011-01-20 / 20110015012 - BELT-DRIVE CVT | 1 |
Hirokazu Kawagoshi | JP | Shiga | 2010-07-22 / 20100181972 - Voltage regulator circuit | 1 |
Ryosuke Kawagoshi | JP | Tokyo | 2015-10-22 / 20150299864 - METAL SURFACE TREATMENT LIQUID, SURFACE TREATMENT METHOD FOR METAL BASES, AND METAL BASE OBTAINED BY SURFACE TREATMENT METHOD FOR METAL BASES | 4 |
Hirokazu Kawagoshi | JP | Siga | 2010-04-08 / 20100085347 - Display panel drive apparatus and display panel drive method | 1 |
Akihito Kawagoshi | JP | Osaka-Shi | 2010-06-17 / 20100148136 - Light Diffusing Thermoplastic Resin Composition and Light Diffusion Sheet Thereof | 4 |
Hirokazu Kawagoshi | JP | Kanagawa | 2015-11-05 / 20150317943 - DIFFERENTIAL AMPLIFIER AND CONTROL METHOD FOR THE SAME | 10 |
Yuji Kawaguchi | JP | Tokyo | 2015-11-05 / 20150316874 - IMAGE FORMING APPARATUS | 14 |
Shinobu Kawaguchi | JP | Warabi-Shi | 2010-01-07 / 20100001910 - On-Vehicle Antenna | 1 |
Rie Kawaguchi | JP | Tamano-Shi | 2010-12-23 / 20100320082 - Conductive diamond electrode and ozone generator using the same | 1 |
Toshikazu Kawaguchi | JP | Chiyoda-Ku | 2013-04-04 / 20130082960 - IMAGE DISPLAY APPARATU, DISPLAY CONTROL METHOD, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM ENCODED WITH DISPLAY CONTROL PROGRAM | 1 |
Toshiyuki Kawaguchi | JP | Saitama-Shi | 2013-06-20 / 20130153392 - MEMBER FOR ELECTROSTATIC CAPACITANCE-TYPE SENSOR AND ELECTROSTATIC CAPACITANCE-TYPE SENSOR USING THE SAME | 2 |
Koji Kawaguchi | JP | Kasugai-Shi | 2016-02-04 / 20160037694 - ELECTRONIC CIRCUIT COMPONENT MOUNTING SYSTEM | 1 |
Hajime Kawaguchi | JP | Osaka-Shi | 2014-05-22 / 20140140111 - REACTOR, CONVERTER AND POWER CONVERSION DEVICE | 5 |
Kuniaki Kawaguchi | JP | Shizuoka | 2010-04-15 / 20100093901 - POLYACETAL RESIN COMPOSITION AND MOLDED ARTICLE THEREOF | 1 |
Noriaki Kawaguchi | JP | Kawasaki | 2011-01-20 / 20110013813 - ELECTRONIC DEVICE HAVING AUTHENTICATION FUNCTION AND AUTHENTICATION METHOD | 1 |
Yuji Kawaguchi | JP | Mishima-Shi | 2014-09-25 / 20140286667 - IMAGE FORMING APPARATUS | 10 |
Takayuki Kawaguchi | JP | Osaka-Fu | 2010-09-23 / 20100240644 - MORPHOLINE DERIVATIVE | 3 |
Masanori Kawaguchi | JP | Tokyo | 2012-08-02 / 20120196038 - JIG FOR SEMICONDUCTOR PRODUCTION AND METHOD FOR PRODUCING SAME | 2 |
Yukinori Kawaguchi | JP | Wako-Shi | 2011-03-17 / 20110062748 - DOOR DEVICE FOR SMALL-SIZED VEHICLE | 2 |
Toshikazu Kawaguchi | JP | Sapporo City | 2013-09-19 / 20130240766 - HOLDING CIRCUIT, ELECTROMAGNETIC VALVE, VALVE SELECTOR, AND FLOW CONTROLLER | 2 |
Etsuro Kawaguchi | JP | Kuwana | 2015-05-21 / 20150137211 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE | 1 |
Hiroshi Kawaguchi | US | Ft. Wortth | 2013-04-11 / 20130090185 - Golf Club And Golf Club Head Structures | 1 |
Shuichiro Kawaguchi | JP | Yokohama-Shi | 2016-04-28 / 20160114641 - VEHICLE LINK COMPONENT, AND MANUFACTURING METHOD THEREFOR | 2 |
Seigou Kawaguchi | JP | Yonezawa-Shi | 2011-10-20 / 20110257354 - FINE PARTICLES AND METHOD OF PRODUCING THEREOF, FINE PARTICLE DISPERSION LIQUID, AND IMAGE DISPLAY MEDIUM AND IMAGE DISPLAY APPARATUS | 1 |
Yasuto Kawaguchi | JP | Fukuoka | 2011-10-13 / 20110249407 - POWER SEMICONDUCTOR MODULE | 1 |
Yasushi Kawaguchi | JP | Tokyo | 2012-01-05 / 20120003742 - RECOMBINANT VIRUS, ESCHERICHIA COLI RETAINING THE SAME AND A PROCESS FOR PRODUCTION THEREOF | 2 |
Osamu Kawaguchi | JP | Tokyo | 2011-01-13 / 20110005933 - METHOD FOR OPERATING ELECTRODEIONIZATION DEIONIZED WATER PRODUCING APPARATUS, ELECTRODEIONIZATION DEIONIZED WATER PRODUCING SYSTEM, AND ELECTRODEIONIZATION DEIONIZED WATER PRODUCING APPARATUS | 2 |
Kenji Kawaguchi | JP | Tokyo | 2015-10-08 / 20150289229 - COMMUNICATION SYSTEM | 10 |
Kazuo Kawaguchi | JP | Tokyo | 2010-12-09 / 20100311630 - SURFACE TREATMENT COMPOSITION, SURFACE TREATMENT METHOD, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Kimitaka Kawaguchi | JP | Tokyo | 2014-04-10 / 20140101603 - INFORMATION PROCESSING APPARATUS, DISPLAY CONTROL METHOD AND DISPLAY CONTROL PROGRAM | 4 |
Yukio Kawaguchi | JP | Tokyo | 2010-10-07 / 20100255244 - SPUTTERING TARGET FOR MAKING OPTICAL MEDIUM, METHOD OF MAKING SAME, OPTICAL MEDIUM, AND METHOD OF MAKING SAME | 2 |
Hiroto Kawaguchi | JP | Miyagi | 2012-10-11 / 20120256872 - COORDINATE DETECTION APPARATUS AND DISPLAY APPARATUS | 8 |
Susumu Kawaguchi | JP | Tokyo | 2010-09-30 / 20100247505 - Composition for Reducing the Level of Glucose, Malondialdehyde-Modified LDL, Homocysteine and/or C-Reactive Protein in Blood | 1 |
Atsushi Kawaguchi | JP | Tokyo | 2011-10-27 / 20110262847 - MASK BLANK PROVIDING SYSTEM, MASK BLANK PROVIDING METHOD, MASK BLANK TRANSPARENT SUBSTRATE MANUFACTURING METHOD, MASK BLANK MANUFACTURING METHOD, AND MASK MANUFACTURING METHOD | 4 |
Toru Kawaguchi | JP | Kawasaki-Shi | 2011-06-30 / 20110155838 - SUBSTRATE CASE AND SUBSTRATE ACCOMMODATION APPARATUS | 1 |
Osamu Kawaguchi | JP | Kawasaki-Shi | 2012-12-13 / 20120314765 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 1 |
Akihiro Kawaguchi | JP | Tokyo | 2012-08-23 / 20120215809 - SEARCH MEDIATION SYSTEM | 2 |
Hirokatsu Kawaguchi | JP | Tokyo | 2010-07-22 / 20100180552 - POWDER FEEDER, POWDER FILLING AND PACKAGING MACHINE, AND METHOD OF MANUFACTURING POWDER PACKAGE | 1 |
Ryo Kawaguchi | JP | Tokyo | 2010-06-17 / 20100151151 - METHOD OF FORMING LOW-K FILM HAVING CHEMICAL RESISTANCE | 1 |
Kyoko Kawaguchi | JP | Tokyo | 2015-10-08 / 20150286866 - APPARATUS AND METHOD FOR ANALYZING TRAJECTORY | 15 |
Rie Kawaguchi | JP | Tokyo | 2010-04-08 / 20100084259 - OPERATION METHOD OF OZONIZER AND OZONIZER APPARATUS USED THEREFOR | 1 |
Shinichi Kawaguchi | JP | Tokyo | 2010-04-01 / 20100077626 - BIAS RULER | 2 |
Hiroo Kawaguchi | JP | Tokyo | 2016-01-28 / 20160023626 - PASSENGER RETAINING APPARATUS | 4 |
Eiichiro Kawaguchi | JP | Tokyo | 2011-06-09 / 20110138155 - VECTOR COMPUTER AND INSTRUCTION CONTROL METHOD THEREFOR | 2 |
Hiromasa Kawaguchi | JP | Tokyo | 2010-02-04 / 20100028650 - CLOSED-CELL URETHANE SHEET, MANUFACTURING METHOD THEREOF AND WATERPROOF SEALING MATERIALS | 1 |
Masakazu Kawaguchi | JP | Tokyo | 2013-11-21 / 20130309187 - SKIN-WHITENING AGENT CONTAINING 3-HYDROXY-2-PYRONE | 3 |
Yuuichi Kawaguchi | JP | Tokyo | 2009-11-19 / 20090286045 - METHOD OF MANUFACTURING STAMPER, METHOD OF MANUFACTURING RESIN MOLDED ARTICLE, AND STAMPER | 1 |
Keisuke Kawaguchi | JP | Tokyo | 2009-10-01 / 20090242645 - NON-CONTACT TYPE IC CARD | 1 |
Isao Kawaguchi | JP | Tokyo | / - | 1 |
Takeshi Kawaguchi | JP | Tokyo | 2009-06-04 / 20090142781 - Non-Liquid Phase Type Chemiluminescent Enzyme Immunoassay Method and Assay Kit | 1 |
Yasuko Kawaguchi | JP | Toyama | 2012-08-23 / 20120214990 - QUINOLINONES AND QUINOXALINONES AS ANTIBACTERIAL COMPOSITION | 2 |
Fumio Kawaguchi | JP | Tokyo | 2009-05-07 / 20090118602 - Disease Diagnosis Support System | 1 |
Shigemi Kawaguchi | JP | Tokyo | 2013-05-30 / 20130134027 - PUSH SWITCH | 1 |
Tatsumi Kawaguchi | JP | Tokyo | 2015-12-24 / 20150371923 - HEAT CONDUCTIVE SHEET AND STRUCTURE | 4 |
Hirosuke Kawaguchi | JP | Tokyo | 2015-10-08 / 20150283754 - Roller-Type Pressurization Device, Imprinter, and Roller-Type Pressurization Method | 9 |
Nobuaki Kawaguchi | JP | Tokyo | 2009-01-29 / 20090030289 - Biological Information Monitoring System | 1 |
Yasuhide Kawaguchi | JP | Tokyo | 2015-01-15 / 20150014893 - PROCESS FOR PRODUCING ARTICLE HAVING FINE PATTERN ON ITS SURFACE | 4 |
Yuki Kawaguchi | JP | Tokyo | 2014-03-27 / 20140084862 - Charging System | 6 |
Zenya Kawaguchi | JP | Tokyo | 2013-03-14 / 20130063623 - IMAGE PROCESSING APPARATUS AND CONTROL METHOD FOR IMAGE PROCESSING APPARATUS | 5 |
Noriyasu Kawaguchi | JP | Tokyo | 2010-02-11 / 20100034392 - ELECTRONIC APPARATUS, METHOD FOR CONTROLLING FUNCTIONS OF THE APPARATUS AND SERVER | 2 |
Yoshifumi Kawaguchi | JP | Tokyo | 2009-01-29 / 20090027912 - LIGHT SOURCE UNIT AND VEHICULAR LAMP | 1 |
Katsuhisa Kawaguchi | JP | Tokyo | 2016-05-19 / 20160142669 - CONTENT CAPTURING AND RECORDING APPARATUS | 3 |
Michinori Kawaguchi | JP | Tokyo | 2014-10-02 / 20140294555 - OPERATION METHOD FOR VACUUM PROCESSING APPARATUS | 2 |
Tsutomu Kawaguchi | JP | Tokyo | 2013-11-28 / 20130312530 - ULTRASONIC PROBE AND ULTRASONIC DISPLAY DEVICE | 1 |
Kotatsu Kawaguchi | JP | Tokyo | 2015-06-04 / 20150156920 - RADIATION DETECTING APPARATUS AND RADIATION TOMOGRAPHIC IMAGING APPARATUS | 2 |
Kensuke Kawaguchi | JP | Yamaga-Shi | 2015-05-07 / 20150123753 - ELECTROMAGNETIC RELAY | 2 |
Tadashi Kawaguchi | JP | Matsudo-Shi | 2016-05-12 / 20160134772 - IMAGE FORMING SYSTEM INCLUDING IMAGE FORMING APPARATUS WITH SLEEP MODE, CONTROL METHOD FOR IMAGE FORMING APPARATUS IN SYSTEM CONCERNED, AND STORAGE MEDIUM STORING CONTROL PROGRAM FOR IMAGE FORMING APPARATUS | 1 |
Hiroshi Kawaguchi | US | Fort Worth | 2012-06-21 / 20120157223 - GOLF CLUBS and GOLF CLUB HEADS | 2 |
Michinori Kawaguchi | JP | Shunan | 2013-05-02 / 20130108400 - VACUUM PROCESSING DEVICE AND METHOD OF TRANSPORTING PROCESS SUBJECT MEMBER | 2 |
Shinobu Kawaguchi | JP | Osaka | 2011-06-30 / 20110159064 - INSECT-REPELLING RESIN COMPOSITION AND EXTENDED-RELEASE INSECT-REPELLING RESIN MOLDED PRODUCT OBTAINED THEREFROM | 1 |
Hajime Kawaguchi | JP | Osaka | 2013-02-14 / 20130038415 - REACTOR | 3 |
Tatsunari Kawaguchi | JP | Kita-Ku | 2012-11-29 / 20120297820 - COMBINED HEAT EXCHANGER SYSTEM | 1 |
Kenichi Kawaguchi | JP | Tsukuba-Shi | 2008-09-04 / 20080214543 - N-Phenyl-(2R,5S)Dimethylpiperazine Derivative | 1 |
Tatsuo Kawaguchi | JP | Mizuho-City | 2012-10-04 / 20120247732 - HEAT EXCHANGER | 1 |
Atsushi Kawaguchi | JP | Wako-Shi | 2015-05-07 / 20150122561 - ELECTRIC VEHICLE | 3 |
Kenichi Kawaguchi | JP | Hyogo | 2009-03-26 / 20090083530 - Computer System, Data Structure Representing Configuration Information, Mapping System, and Mapping Method | 1 |
Kenichi Kawaguchi | JP | Hachioji-Shi | / - | 1 |
Kenichi Kawaguchi | JP | Tokyo | 2010-09-30 / 20100249088 - AZOLECARBOXAMIDE COMPOUND OR SALT THEREOF | 2 |
Kenichi Kawaguchi | JP | Kawasaki | 2010-04-15 / 20100090196 - Optical semiconductor device and manufacturing method of the same | 2 |
Yukimi Kawaguchi | JP | Osaka-Shi | 2013-11-28 / 20130318554 - DISPLAY CONTROL DEVICE, TELEVISION RECEIVER, METHOD OF CONTROLLING DISPLAY, DISPLAY CONTROL PROGRAM AND RECORDING MEDIUM | 3 |
Kenichi Kawaguchi | JP | Kobe-Shi | 2010-12-02 / 20100306441 - DATA TRANSFER APPARATUS AND DATA TRANSFER METHOD | 3 |
Junichi Kawaguchi | JP | Osaka | 2014-06-05 / 20140150382 - SEALING DEVICE | 1 |
Yusuke Kawaguchi | JP | Kanagawa-Ken | 2014-10-30 / 20140319603 - SEMICONDUCTOR DEVICE | 17 |
Tsuneaki Kawaguchi | JP | Kashiwa | 2011-09-29 / 20110233412 - COLLIMATOR, RADIOLOGICAL IMAGING APPARATUS AND NUCLEAR MEDICINE DIAGNOSIS APPARATUS | 6 |
Bungo Kawaguchi | JP | Susono-Shi | 2015-04-30 / 20150113985 - EXHAUST GAS PURIFICATION SYSTEM FOR AN INTERNAL COMBUSTION ENGINE | 3 |
Hiroshi Kawaguchi | JP | Hyogo | 2013-11-21 / 20130307713 - TD CONVERTER AND AD CONVERTER WITH NO OPERATIONAL AMPLIFIER AND NO SWITCHED CAPACITOR | 3 |
Satoshi Kawaguchi | JP | Kanagawa | 2011-09-08 / 20110216946 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, PROGRAM, AND INFORMATION STORAGE MEDIUM | 3 |
Kouji Kawaguchi | KR | Chiba-Shi | 2010-06-10 / 20100143018 - Printer with cutter | 1 |
Masayuki Kawaguchi | JP | Chiba-Shi | 2012-09-13 / 20120230155 - METHOD OF MANUFACTURING PIEZOELECTRIC VIBRATING REED, APPARATUS OF MANUFACTURING PIEZOELECTRIC VIBRATING REED, PIEZOELECTRIC VIBRATING REED, PIEZOELECTRIC VIBRATOR, OSCILLATOR, ELECTRONIC APPARATUS, AND RADIO-CONTROLLED TIMEPIECE | 3 |
Keiko Kawaguchi | JP | Karatsu-Shi | 2011-06-02 / 20110127516 - POLYMER COMPOUND AND LIGHT-EMITTING ELEMENT USING SAME | 1 |
Shintarou Kawaguchi | JP | Shizuoka | 2014-03-13 / 20140069218 - VESSEL PROPULSION APPARATUS | 2 |
Tamio Kawaguchi | JP | Kawasaki-Shi | 2014-07-10 / 20140194293 - ARRAY ANTENNA APPARATUS | 1 |
Kenichirou Kawaguchi | JP | Susono-Shi | 2013-11-07 / 20130292159 - Wire Harness | 1 |
Hiroto Kawaguchi | JP | Kanagawa | 2016-05-05 / 20160125225 - UNDERLAY BODY | 12 |
Yasuhiko Kawaguchi | JP | Nagoya-Shi | 2015-10-22 / 20150298334 - Cutting Apparatus and Cutting Control Program Therefor | 37 |
Yukimasa Kawaguchi | JP | Kanagawa | 2016-02-25 / 20160052899 - METHOD FOR PRODUCING ETHYLENE OXIDE | 1 |
Kenichi Kawaguchi | JP | Nishiwaki-Shi | 2012-09-13 / 20120228108 - Operation Switch | 1 |
Fumiaki Kawaguchi | JP | Kyoto | 2010-12-30 / 20100326837 - METHOD FOR MANUFACTURING ANODE FOIL OF ALUMINIUM ELECTROLYTIC CAPACITOR | 1 |
Toyoma Kawaguchi | JP | Tokyo | 2012-09-13 / 20120227297 - UPRIGHT DISPLAY | 1 |
Hideyuki Kawaguchi | JP | Aichi | 2012-09-13 / 20120227219 - Clip | 1 |
Futao Kawaguchi | JP | Shizuoka | 2011-05-26 / 20110123581 - SOFT CAPSULE | 1 |
Yasunori Kawaguchi | JP | Shimada-Shi | 2015-06-04 / 20150153392 - CURRENT DETECTION APPARATUS | 11 |
Daichi Kawaguchi | JP | Yokkaichi-Shi | 2011-04-07 / 20110081803 - Shielded connector | 2 |
Katsuo Kawaguchi | JP | Gifu | 2008-11-27 / 20080289859 - Flex-Rigid Wiring Board and Manufacturing Method Thereof | 1 |
Minoru Kawaguchi | JP | Hyogo | 2013-07-25 / 20130187751 - PLANT OPERATION APPARATUS AND PLANT OPERATION TRAINING SIMULATOR APPARATUS | 1 |
Mitsuhisa Kawaguchi | JP | Tottori | 2010-12-23 / 20100325233 - DISPLAY DEVICE | 1 |
Hideki Kawaguchi | JP | Kobe-Shi | 2013-05-30 / 20130137476 - TERMINAL APPARATUS | 2 |
Akihide Kawaguchi | JP | Ogaki-Shi | 2011-10-06 / 20110240356 - WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 2 |
Takuo Kawaguchi | JP | Tokyo | 2014-06-12 / 20140162779 - Curvate Motion Sensing and Control System | 1 |
Masahiro Kawaguchi | JP | Aichi-Ken | 2011-10-06 / 20110245004 - COMPRESSOR WITH TRANSMISSION | 14 |
Kei Kawaguchi | JP | Osaka | 2012-09-06 / 20120226387 - POWER DISTRIBUTION SYSTEM | 1 |
Kenji Kawaguchi | JP | Kyoto | 2013-11-07 / 20130291905 - SUBSTRATE TREATMENT APPARATUS | 3 |
Toshikazu Kawaguchi | JP | Kobe-Shi | 2016-04-28 / 20160119500 - IMAGE PROCESSING APPARATUS, TERMINAL DEVICE, AND NON-TRANSITORY DATA RECORDING MEDIUM RECORDING CONTROL PROGRAM | 8 |
Kei Kawaguchi | JP | Aichi | 2012-08-30 / 20120221491 - ELECTRIC POWER INTERCHANGE SYSTEM | 1 |
Ken-Ichi Kawaguchi | JP | Tsukuba-Shi | 2010-06-03 / 20100137585 - FUSED HETEROARYL DERIVATIVES | 2 |
Masahiro Kawaguchi | JP | Odawara-Shi | 2013-12-12 / 20130331569 - CROSS-LINKED CYCLIC AMINE COMPOUNDS AND AGENTS FOR PEST CONTROL | 10 |
Sumio Kawaguchi | JP | Minato-Ku | 2009-10-22 / 20090260304 - Damper and vibration damping structure using the same | 1 |
Akiyoshi Kawaguchi | JP | Tokushima-Shi | 2009-06-25 / 20090159847 - Resin Composition And Flexible Printed Circuit Board | 1 |
Hiroshi Kawaguchi | US | Carlsbad | 2015-09-24 / 20150265885 - GOLF CLUB | 1 |
Tadashi Kawaguchi | JP | Koga-Shi | 2011-04-21 / 20110088191 - CENTRAL CORE FOR A CLEANING SPONGE ROLLER | 1 |
Tadashi Kawaguchi | JP | Hiratsuka-Shi | 2015-07-23 / 20150202979 - BATTERY CHARGE/DISCHARGE CONTROL DEVICE, BATTERY CHARGE/DISCHARGE CONTROL METHOD, AND HYBRID WORKING MACHINE WITH BATTERY CHARGE/DISCHARGE CONTROL DEVICE | 16 |
Tadashi Kawaguchi | JP | Tokyo | 2015-06-04 / 20150153973 - IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF | 5 |
Tadashi Kawaguchi | JP | Shinagawa-Ku | 2011-03-24 / 20110069338 - PRINT SYSTEM, CONTROL METHOD THEREFOR, INFORMATION PROCESSING APPARATUS, CONTROL METHOD THEREFOR, PROGRAM FOR IMPLEMENTING THE CONTROL METHOD, AND STORAGE MEDIUM STORING THE CONTROL PROGRAM | 2 |
Tadashi Kawaguchi | JP | Kanagawa | 2010-07-29 / 20100186713 - ENGINE CONTROL APPARATUS | 2 |
Hiroshi Kawaguchi | US | Encinitas | 2016-04-28 / 20160114226 - GOLF CLUB HEAD | 1 |
Akio Kawaguchi | JP | Kawachi-Nagano City | 2014-04-17 / 20140104595 - DEVICE FOR MEASURING PROPERTIES OF SCATTERERS | 2 |
Shoh Kawaguchi | US | Hilliard | 2013-08-15 / 20130206679 - FILTER DEVICE | 1 |
Miyoshi Kawaguchi | JP | Aichi-Ken | 2011-06-16 / 20110143875 - VEHICULAR DRIVE APPARATUS | 2 |
Ryutaro Kawaguchi | JP | Chiyoda-Ku, Tokyo | 2016-05-19 / 20160141941 - ROTATING ELECTRICAL MACHINE AND METHOD OF MOUNTING ELEMENT WIRE TEMPERATURE MEASUREMENT SENSORS ON ROTATING ELECTRICAL MACHINE | 1 |
Miyoshi Kawaguchi | JP | Anjo | 2009-04-09 / 20090093331 - Hybrid drive device | 1 |
Miyoshi Kawaguchi | JP | Anjo-Shi | 2008-08-28 / 20080207374 - Drive apparatus | 1 |
Koichi Kawaguchi | JP | Kanagawa | 2012-11-29 / 20120301652 - THERMOPLASTIC RESIN COMPOSITION | 2 |
Atsuo Kawaguchi | JP | Sagamihara | 2010-12-30 / 20100329636 - Video playback apparatus | 4 |
Yuusaku Kawaguchi | JP | Nagoya-City | 2015-12-03 / 20150345627 - SHIFT POSITION SWITCHING CONTROLLER | 1 |
Masahiro Kawaguchi | JP | Kariya-Shi | 2012-11-29 / 20120301341 - COMPRESSOR | 14 |
Akira Kawaguchi | JP | Tokyo | 2014-12-11 / 20140363642 - AQUEOUS COLORING AGENT DISPERSION FOR INKJET, INK COMPOSITION, INKJET RECORDING METHOD, AND COLORED BODY | 13 |
Yukihiko Kawaguchi | JP | Fukuroi-Shi | 2010-09-30 / 20100242244 - PROCESSING METHOD OF SLOTTED HOLE | 1 |
Keiichi Kawaguchi | JP | Kanagawa | 2016-02-18 / 20160050369 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE SYSTEM | 2 |
Akira Kawaguchi | JP | Wakayama | 2010-06-24 / 20100156037 - PAPER INVERTING DEVICE | 4 |
Kinji Kawaguchi | JP | Yokohama | 2015-08-06 / 20150222478 - MONITORING APPARATUS, MONITORING METHOD AND MONITORING PROGRAM | 2 |
Yasuhiko Kawaguchi | JP | Osaka | 2014-11-20 / 20140339037 - VIBRATION-DAMPING SHEET | 13 |
Akira Kawaguchi | JP | Nishikamo-Gun | 2009-12-31 / 20090326908 - Simulation support method, computer-readable storage medium storing simulation support program, and simulation support apparatus | 1 |
Toru Kawaguchi | JP | Osaka | 2013-09-19 / 20130243103 - ENCODING DEVICE, DECODING DEVICE, PLAYBACK DEVICE, ENCODING METHOD, AND DECODING METHOD | 12 |
Kenichi Kawaguchi | JP | Ebina | 2015-07-02 / 20150187973 - SOLAR CELL AND MANUFACTURING METHOD THEREOF | 4 |
Soichi Kawaguchi | JP | Inazawa-Shi | 2014-01-16 / 20140013819 - OXYGEN SENSOR CONTROLLING APPARATUS, OXYGEN SENSOR CONTROLLING METHOD AND COMPUTER READABLE RECORDING MEDIUM | 3 |
Yusuke Kawaguchi | JP | Kanagawa | 2008-10-16 / 20080251838 - SEMICONDUCTOR DEVICE | 1 |
Mutsuyuki Kawaguchi | JP | Amagasaki-Shi | 2010-09-23 / 20100236690 - METHOD FOR FORMING A LAMINATE | 5 |
Takuji Kawaguchi | JP | Kanagawa | 2016-03-24 / 20160082753 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 3 |
Hidehiro Kawaguchi | JP | Kanagawa | 2009-02-05 / 20090033644 - DISPLAY DEVICE AND WIRING ROUTING METHOD | 1 |
Tomohiro Kawaguchi | JP | Tokyo | 2016-01-07 / 20160006810 - STORAGE SYSTEM AND CONTROL METHOD FOR STORAGE SYSTEM | 8 |
Shunji Kawaguchi | JP | Kanagawa | 2015-07-23 / 20150208046 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, PROGRAM AND ELECTRONIC APPARATUS | 4 |
Takayoshi Kawaguchi | JP | Kanagawa | 2012-03-29 / 20120075499 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 7 |
Takahiro Kawaguchi | JP | Kanagawa | 2015-02-19 / 20150051853 - APPARATUS FOR PARAMETER ESTIMATION | 2 |
Atsuo Kawaguchi | JP | Kanagawa | 2015-12-24 / 20150373319 - SHAPE MEASUREMENT SYSTEM, IMAGE CAPTURE APPARATUS, AND SHAPE MEASUREMENT METHOD | 3 |
Akihisa Kawaguchi | JP | Kanagawa | 2011-01-13 / 20110008049 - TRANSMISSION APPARATUS, TRANSMISSION CONTROLLING METHOD, AND OPTICAL SUPERVISORY CHANNEL (OSC) PROCESSING APPARATUS | 2 |
Kunio Kawaguchi | JP | Kanagawa | 2015-05-14 / 20150130808 - DISPLAY DEVICE AND DISPLAY METHOD | 10 |
Junichiro Kawaguchi | JP | Kanagawa | 2009-01-08 / 20090007541 - THRUSTER USING NITROUS OXIDE | 1 |
Hirokazu Kawaguchi | JP | Kanagawa | 2011-11-24 / 20110287163 - BODY TASTE IMPROVER COMPRISING DECOMPOSED SUBSTANCES OR THEIR EXTRACTS OF LONG-CHAIN HIGHLY UNSATURATED FATTY ACID | 2 |
Hidehiko Kawaguchi | JP | Kanagawa | 2009-09-24 / 20090236316 - SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS | 1 |
Isao Kawaguchi | JP | Kanagawa | 2009-10-15 / 20090258245 - STIFFENED PLATE AND A METHOD OF PRODUCING SAME | 2 |
Hiroshi Kawaguchi | JP | Kawasaki | 2013-10-31 / 20130288445 - SEMICONDUCTOR DEVICE WITH GATE ELECTRODE INCLUDING A CONCAVE PORTION | 1 |
Yohichi Kawaguchi | JP | Kanagawa | 2011-05-19 / 20110117378 - AQUEOUS COATING COMPOSITION AND METHOD FOR FORMING MULTILAYER COATING FILM | 2 |
Tamio Kawaguchi | JP | Kanagawa | 2014-11-06 / 20140327500 - FILTER AND RESONATOR | 4 |
Hideichi Kawaguchi | JP | Kanagawa | 2010-12-02 / 20100304279 - MANUFACTURING METHOD OF PHASE SHIFT MASK, CREATING METHOD OF MASK DATA OF PHASE SHIFT MASK, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Haruma Kawaguchi | JP | Kanagawa | 2011-01-27 / 20110021347 - Molecule Recognizing Material And Process For Producing The Molecule Recognizing Material | 1 |
Kazuaki Kawaguchi | JP | Kanagawa | 2012-03-29 / 20120079330 - TEST DEVICE AND TEST METHOD FOR RESISTIVE RANDOM ACCESS MEMORY AND RESISTIVE RANDOM ACCESS MEMORY DEVICE | 3 |
Hirosuke Kawaguchi | JP | Kanagawa | 2013-05-30 / 20130136817 - TEMPERATURE ADJUSTING DEVICE, AND IMPRINTING DEVICE USING SAME | 3 |
Naoki Kawaguchi | JP | Kanagawa | 2011-03-03 / 20110050139 - PHASE DETECTION METHOD, PHASE DETECTING APPARATUS, SYNCHRONOUS-MOTOR CONTROL METHOD, AND SYNCHRONOUS MOTOR CONTROLLER | 1 |
Takafumi Kawaguchi | JP | Kanagawa | 2010-06-03 / 20100135751 - ROTATION INTRODUCTION MECHANISM, SUBSTRATE TRANSFER APPARATUS, AND VACUUM PROCESSING APPARATUS | 2 |
Hiroshi Kawaguchi | US | Aliso Viejo | 2015-07-30 / 20150209630 - Golf Club and Golf Club Heads | 6 |
Akira Kawaguchi | JP | Tokyo | 2014-12-11 / 20140363642 - AQUEOUS COLORING AGENT DISPERSION FOR INKJET, INK COMPOSITION, INKJET RECORDING METHOD, AND COLORED BODY | 13 |
Yuji Kawaguchi | JP | Tokyo | 2015-11-05 / 20150316874 - IMAGE FORMING APPARATUS | 14 |
Akira Kawaguchi | JP | Kanagawa-Ken | 2009-08-27 / 20090215293 - Electronic Part Connector | 1 |
Hirofumi Kawaguchi | JP | Tokushima-Shi | 2016-04-28 / 20160118548 - LIGHT EMITTING DEVICE | 6 |
Hiroshi Kawaguchi | JP | Osaka | 2012-08-02 / 20120195024 - FLEXIBLE CIRCUIT BOARD CONNECTION STRUCTURE AND DISPLAY DEVICE | 1 |
Takashi Kawaguchi | JP | Hiratsuka-Shi | 2011-07-14 / 20110167811 - ENGINE CONTROL APPARATUS | 1 |
Daisuke Kawaguchi | JP | Hamamatsu-Shi | 2016-02-25 / 20160052088 - LASER MACHINING DEVICE AND LASER MACHINING METHOD | 10 |
Hiroaki Kawaguchi | AT | Fuerstenfeld | 2011-12-22 / 20110309396 - Led Module having a Platform with a Central Recession | 1 |
Nobutaka Kawaguchi | JP | Kamakura | 2012-07-26 / 20120192278 - UNAUTHORIZED PROCESS DETECTION METHOD AND UNAUTHORIZED PROCESS DETECTION SYSTEM | 1 |
Hiroaki Kawaguchi | JP | Ama | 2012-07-26 / 20120187432 - LED Platform with Membrane | 1 |
Hiroshi Kawaguchi | JP | Kanagawa | 2012-12-20 / 20120319196 - SEMICONDUCTOR DEVICE | 14 |
Hiroshi Kawaguchi | JP | Osaka-Shi | 2014-09-18 / 20140267991 - LIQUID CRYSTAL DISPLAY PANEL | 1 |
Mamoru Kawaguchi | JP | Osaka | 2013-07-25 / 20130186077 - Work Vehicle Having Exhaust Apparatus | 1 |
Norihito Kawaguchi | JP | Tokyo | 2015-12-03 / 20150348781 - LASER ANNEALING METHOD AND DEVICE | 19 |
Masataka Kawaguchi | JP | Tokyo | 2014-08-28 / 20140241840 - FORKLIFT | 3 |
Hiroshi Kawaguchi | US | Ft. Worth | 2012-07-19 / 20120184394 - Golf Club Or Other Ball Striking Device Having Stiffened Face Portion | 1 |
Daisuke Kawaguchi | JP | Hamamatsu-Shi | 2016-02-25 / 20160052088 - LASER MACHINING DEVICE AND LASER MACHINING METHOD | 10 |
Hirofumi Kawaguchi | JP | Kanagawa | 2013-10-31 / 20130286040 - SEMICONDUCTOR DEVICE, IMAGE PROCESSING SYSTEM, AND PROGRAM | 2 |
Satoshi Kawaguchi | JP | Tokyo | 2015-12-31 / 20150376486 - WORKING FLUID FOR HEAT CYCLE | 8 |
Takanori Kawaguchi | JP | Tokyo | 2011-09-29 / 20110237791 - 2-PYRIDONE COMPOUNDS | 1 |
Hitoshi Kawaguchi | JP | Ashigarakami-Gun | 2013-05-23 / 20130130087 - NON-AQUEOUS ELECTROLYTE BATTERY MODULE | 1 |
Touru Kawaguchi | JP | Kariya-City | 2011-12-22 / 20110308774 - Apparatus having agitator for agitating fluid | 2 |
Teppei Kawaguchi | JP | Yamanashi | 2013-07-04 / 20130167361 - ELECTRONIC COMPONENT MOUNTING DEVICE AND ELECTRONIC COMPONENT MOUNTING METHOD | 4 |
Katsuhisa Kawaguchi | JP | Atsugi-Shi | 2016-05-12 / 20160132206 - PHOTOGRAPHING APPARATUS AND OPERATION CONTROL METHOD FOR THE SAME | 8 |
Takateru Kawaguchi | JP | Susono-Shi | 2015-05-14 / 20150134215 - VEHICLE STARTUP CONTROL DEVICE AND STARTUP CONTROL METHOD | 4 |
Takateru Kawaguchi | JP | Suntoh-Gun | 2008-09-11 / 20080221764 - AUTOMATIC TRANSMISSION AND CONTROL METHOD THEREOF | 1 |
Teruko Kawaguchi | JP | Koto-Ku | 2015-09-03 / 20150246872 - IMIDE COMPOUND, METHOD FOR MANUFACTURING SAME, AND USE AS INSECTICIDE | 1 |
Yasuhiro Kawaguchi | JP | Kasugai-Shi | 2016-05-05 / 20160122610 - THERMAL CONDUCTIVE ELECTROMAGNETIC WAVE ABSORBING SHEET | 3 |
Toshikazu Kawaguchi | JP | Kobe-Shi | 2016-04-28 / 20160119500 - IMAGE PROCESSING APPARATUS, TERMINAL DEVICE, AND NON-TRANSITORY DATA RECORDING MEDIUM RECORDING CONTROL PROGRAM | 8 |
Yoshitada Kawaguchi | JP | Wakayama | 2009-01-22 / 20090019614 - HEAT-RESISTANT FABRIC AND GARMENT AND HEAT-RESISTANT GLOVE USING THE SAME | 1 |
Akira Kawaguchi | JP | Isehara-Shi | 2011-12-22 / 20110312914 - CARBON MONOXIDE REMOVAL AGENT | 1 |
Tomoya Kawaguchi | JP | Yokkaichi-Shi | 2012-09-06 / 20120224339 - TERMINAL BOX FOR USE WITH SOLAR CELL MODULE AND METHOD OF MANUFACTURING THE TERMINAL BOX | 2 |
Yoshihide Kawaguchi | JP | Ibaraki-Shi | 2013-09-26 / 20130248442 - METHOD FOR PRODUCING POROUS EPOXY RESIN SHEET | 4 |
Koichiro Kawaguchi | JP | Yokohama-Shi | 2015-04-09 / 20150097890 - APPARATUS AND METHOD FOR RECORDING | 29 |
Junichi Kawaguchi | JP | Fukuoka | 2010-09-30 / 20100246425 - PACKET PROCESSING APPARATUS, INTERFACE UNIT AND METHOD THEREOF | 1 |
Masaru Kawaguchi | JP | Fukuoka | 2010-01-14 / 20100010192 - PROCESS FOR PRODUCING RESIN FOR OPTICAL MATERIAL | 3 |
Takayuki Kawaguchi | JP | Chiyoda-Ku, Tokyo | 2015-12-24 / 20150371920 - VEHICULAR POWER CONVERSION DEVICE | 1 |
Shigemi Kawaguchi | JP | Fukuoka | 2009-10-29 / 20090266698 - Electronic Device | 1 |
Nobuyuki Kawaguchi | JP | Kanagawa | 2014-10-16 / 20140305468 - METHOD FOR EXFOLIATING COATING LAYER OF ELECTRODE FOR ELECTROLYSIS | 1 |
Noboru Kawaguchi | JP | Tokyo | 2014-08-07 / 20140217248 - ANTENNA APPARATUS | 3 |
Takayuki Kawaguchi | JP | Osaka-Shi | 2013-01-31 / 20130029979 - FUSED BICYCLIC COMPOUND | 2 |
Takahiro Kawaguchi | JP | Shiga | 2014-12-25 / 20140377525 - ALKALI-FREE GLASS | 5 |
Hiroaki Kawaguchi | JP | Saitama | 2011-07-21 / 20110176249 - SWITCHING APPARATUS AND CONTROLLING METHOD THEREOF | 1 |
Rie Kawaguchi | JP | Okayama | 2012-07-05 / 20120168302 - OZONE GENERATOR | 1 |
Norihito Kawaguchi | JP | Yokohama-Shi | 2012-07-05 / 20120167790 - OFFSET PRINTING METHOD AND APPARATUS | 1 |
Yoshinobu Kawaguchi | JP | Mihara-Shi | 2012-01-19 / 20120015465 - Nitride semiconductor light emitting device, method of manufacturing nitride semiconductor light emitting device, and nitride semiconductor transistor device | 5 |
Yoshinobu Kawaguchi | JP | Nara-Shi | 2009-09-24 / 20090238229 - NITRIDE SEMICONDUCTOR LASER ELEMENT AND EXTERNAL-CAVITY SEMICONDUCTOR LASER DEVICE | 1 |
Yoshinobu Kawaguchi | JP | Hiroshima | 2010-12-09 / 20100308360 - Nitride semiconductor light emitting device | 6 |
Yoshinobu Kawaguchi | JP | Ube-Shi | 2011-01-13 / 20110007770 - Nitride semiconductor light-emitting device and method for fabrication thereof | 2 |
Kenzo Kawaguchi | JP | Kounan-Shi | 2014-10-09 / 20140301054 - WIRING BOARD FOR HAVING LIGHT EMITTING ELEMENT MOUNTED THEREON | 1 |
Yoshinobu Kawaguchi | JP | Osaka | 2016-02-04 / 20160036197 - NITRIDE SEMICONDUCTOR LIGHT EMITTING DEVICE | 3 |
Takamasa Kawaguchi | JP | Yokohama | 2012-08-02 / 20120194383 - POSITIONAL INFORMATION TRANSMITTER, COMMUNICATION TERMINAL, AND POSITIONING SYSTEM | 5 |
Yasuhiro Kawaguchi | JP | Hyogo | 2015-08-27 / 20150240013 - PROCESS FOR PRODUCING POLYACRYLIC ACID (SALT)-BASED WATER ABSORBENT RESIN | 1 |
Wataru Kawaguchi | JP | Fukuoka | 2009-08-20 / 20090209280 - WIRELESS NETWORK CONTROLLER AND WIRELESS COMMUNICATION SYSTEM | 1 |
Satoshi Kawaguchi | JP | Fukuoka | 2009-03-05 / 20090056114 - NOZZLE MECHANISM, MOUNTING HEAD AND ELECTRONIC COMPONENT MOUNTING APPARATUS | 1 |
Yuichi Kawaguchi | JP | Fukuoka | 2008-12-18 / 20080313638 - Network Resource Management Device | 1 |
Koji Kawaguchi | JP | Kasukabe-Shi | 2015-05-28 / 20150146284 - LENS FOR INFRARED CAMERAS | 2 |
Youji Kawaguchi | JP | Kyoto | 2014-03-13 / 20140072892 - HYDROGEN GENERATION APPARATUS, FUEL CELL SYSTEM INCLUDING THE SAME, AND METHOD OF OPERATING HYDROGEN GENERATION APPARATUS | 2 |
Kunio Kawaguchi | JP | Kanagawa | 2015-05-14 / 20150130808 - DISPLAY DEVICE AND DISPLAY METHOD | 10 |
Tadayoshi Kawaguchi | JP | Kudamatsu | 2013-06-27 / 20130160949 - PLASMA PROCESSING APPARATUS | 5 |
Toshiyuki Kawaguchi | JP | Tokyo | 2014-04-10 / 20140098501 - COVER LAY FILM AND FLEXIBLE PRINTED WIRING BOARD | 14 |
Kyoko Kawaguchi | JP | Tokyo | 2015-10-08 / 20150286866 - APPARATUS AND METHOD FOR ANALYZING TRAJECTORY | 15 |
Masamoto Kawaguchi | JP | Kariya-City | 2014-10-23 / 20140315356 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hiroyuki Kawaguchi | JP | Hiroshima-Shi | 2012-08-23 / 20120214738 - THERAPEUTIC AGENT AND THERAPEUTIC METHOD FOR PERIODONTAL DISEASES AND PULPAL DISEASES | 2 |
Tomohiro Kawaguchi | US | Columbia | 2014-01-30 / 20140030750 - High Throughput In Vitro Translation (Cell-Lysate Based) Assay for Detecting Quorum Sensing Signals | 2 |
Gaku Kawaguchi | JP | Yokohama-Shi | 2014-09-18 / 20140259573 - BUCKLE | 5 |
Tadashi Kawaguchi | JP | Ibaraki | 2011-11-03 / 20110265279 - CLEANING SPONGE ROLLER | 1 |
Takayuki Kawaguchi | JP | Tokyo | 2013-10-31 / 20130289109 - INHIBITORS OF ALPHA4 MEDIATED CELL ADHESION | 7 |
Hiroshi Kawaguchi | JP | Tokyo | 2016-03-03 / 20160064538 - SEMICONDUCTOR DEVICE AND A METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE | 8 |
Noriaki Kawaguchi | JP | Shunan-Shi | 2015-10-29 / 20150307777 - NEUTRON SCINTILLATOR, NEUTRON DETECTION METHOD AND NEUTRON DETECTOR | 14 |
Masataka Kawaguchi | JP | Hyogo | 2011-03-10 / 20110060503 - VEHICLE STEERING CONTROL DEVICE AND METHOD | 4 |
Shusaku Kawaguchi | JP | Tosu-Shi | 2014-10-30 / 20140319712 - PLASMA DEVICE FOR PRODUCTION OF METAL POWDER | 1 |
Daichi Kawaguchi | JP | Hyogo | 2011-05-05 / 20110104476 - Soft magnetic material, motor core, transformer core, and method for manufacturing soft magnetic material | 1 |
Mutsuyuki Kawaguchi | JP | Hyogo | 2008-10-23 / 20080261020 - Adhesive layer for resin and a method of producing a laminate including the adhesive layer | 1 |
Yoshifumi Kawaguchi | JP | Hyogo | 2009-02-26 / 20090053131 - MAGNESIUM OXIDE SINGLE CRYSTAL AND METHOD FOR PRODUCING THE SAME | 1 |
Yasutoshi Kawaguchi | JP | Hyogo | 2011-07-28 / 20110182310 - NITRIDE SEMICONDUCTOR LASER DIODE AND MANUFACTURING METHOD THEREOF | 4 |
Tomohiro Kawaguchi | JP | Tokyo | 2016-01-07 / 20160006810 - STORAGE SYSTEM AND CONTROL METHOD FOR STORAGE SYSTEM | 8 |
Tatsuji Kawaguchi | JP | Suita | 2010-07-01 / 20100162568 - OUTER BLADE FOR RECIPROCATION-TYPE ELECTRIC SHAVER AND METHOD OF PRODUCING THE SAME | 1 |
Yoshiya Kawaguchi | JP | Kyoto | 2013-05-16 / 20130122586 - METHOD OF CULTURING PANCREATIC ISLET-LIKE TISSUES BY A TISSUE COMPLEX OF PANCREAS-DERIVED NON-ENDOCRINAL EPITHELIAL CELLS AND VASCULAR ENDOTHELIAL CELLS | 1 |
Shinichi Kawaguchi | JP | Osaka | 2015-10-15 / 20150295218 - FLAT-SHAPED BATTERY | 5 |
Takahiro Kawaguchi | JP | Otsu-Shi | 2016-02-25 / 20160052819 - GLASS SUBSTRATE | 2 |
Hisao Kawaguchi | JP | Nara | 2010-03-04 / 20100053491 - LIQUID CRYSTAL DISPLAY APPARATUS AND PROCESS FOR MANUFACTURING THE SAME | 1 |
Shinsuke Kawaguchi | JP | Fukuoka-Shi | 2008-09-04 / 20080215375 - Communication system for indemnification insurance service | 1 |
Shinji Kawaguchi | JP | Chiyoda-Ku | 2010-11-18 / 20100289459 - POWER SUPPLY DEVICE | 1 |
Shinichiro Kawaguchi | JP | Ritto | 2009-08-06 / 20090198844 - PROGRAMMABLE CONTROLLER AND COMMUNICATION UNIT THEREFOR | 1 |
Shinichi Kawaguchi | JP | Hachioji-Shi | 2009-11-19 / 20090284569 - INKJET HEAD | 1 |
Dean Kawaguchi | US | San Jose | 2014-09-11 / 20140253297 - RFID SYSTEMS AND METHODS FOR ASSOCIATING IMAGES OF DOCUMENTS WITH RFID TAG-RELATED DATA | 15 |
Takayuki Kawaguchi | JP | Tokyo-To | 2009-08-20 / 20090209511 - Benzofuran Derivatives | 2 |
Kaori Kawaguchi | JP | Kyoto-Shi | 2010-03-18 / 20100069203 - BODY MOTION DISCRIMINATING APPARATUS AND ACTIVITY MONITOR | 1 |
Noriaki Kawaguchi | JP | Yamaguchi | 2015-04-30 / 20150115168 - Metal Fluoride Crystal, Light Emitting Element, Scintillator, Method of Detecting Neutron, and Method of Producing Metal Fluoride Crystal | 8 |
Noriaki Kawaguchi | JP | Shunan-Shi | 2015-10-29 / 20150307777 - NEUTRON SCINTILLATOR, NEUTRON DETECTION METHOD AND NEUTRON DETECTOR | 14 |
Shingo Kawaguchi | JP | Kanagawa | 2016-02-11 / 20160044558 - CAMERA IMAGE DISPLAY SYSTEM | 1 |
Kaori Kawaguchi | JP | Utsunomiya-Shi | 2010-05-13 / 20100120584 - ACTIVITY METER | 1 |
Noboru Kawaguchi | JP | Wako-Shi | 2014-10-16 / 20140305107 - AIR-COOLED ENGINE FOR WORKING MACHINE | 2 |
Masataka Kawaguchi | JP | Takasago-Shi | 2011-03-10 / 20110056755 - HYBRID INDUSTRIAL VEHICLE | 2 |
Masayoshi Kawaguchi | JP | Saitama | 2013-10-10 / 20130263825 - FUEL SUPPLY DEVICE | 3 |
Masaru Kawaguchi | JP | Omuta-Shi | 2016-01-28 / 20160024242 - METHOD FOR PRODUCING POLYTHIOL COMPOUND, POLYMERIZABLE COMPOSITION FOR OPTICAL MATERIAL, AND USES THEREOF | 7 |
Masao Kawaguchi | JP | Chiba | 2009-11-12 / 20090280339 - POLYIMIDE FILM, POLYIMIDE METAL LAMINATE USING SAME, AND METHOD FOR MANUFACTURING SAME | 2 |
Masao Kawaguchi | JP | Ichihara-Shi | 2008-12-04 / 20080299402 - Polyimide film, polyimide metal laminate and process for producing the same | 1 |
Masakazu Kawaguchi | JP | Higashimurayama-Shi | 2010-10-21 / 20100267659 - EXTRACT OBTAINED FROM ORCHIDACEAE PLANTS, METHOD FOR PRODUCING THE EXTRACT, AND EXTERNAL AGENT FOR SKIN COMPRISING THE EXTRACT | 1 |
Masahiko Kawaguchi | JP | Tokyo-To | 2010-03-04 / 20100052838 - ELECTRONIC COMPONENT | 1 |
Makoto Kawaguchi | JP | Imizu | 2009-01-01 / 20090004647 - Method of Judging Grade of Malignancy of Carcinoma Cell | 1 |
Toshimichi Kawaguchi | JP | Hiroshima-Shi | 2014-11-20 / 20140339842 - PROTECTOR WITH SENSOR AND METHOD OF MOLDING END PART OF THE SAME | 1 |
Kouji Kawaguchi | JP | Aichi-Ken | 2010-10-07 / 20100252357 - SOUND INSULATION STRUCTURE OF INTERNAL COMBUSTION ENGINE | 1 |
Kouji Kawaguchi | JP | Chiba-Shi | 2014-08-28 / 20140240437 - ADHESIVE LABEL ISSUING APPARATUS AND PRINTER | 7 |
Koji Kawaguchi | JP | Hyogo-Ken | 2010-07-29 / 20100189278 - PUBLIC-ADDRESS SYSTEM | 1 |
Toshio Kawaguchi | JP | Satsumasendai-Shi, | 2013-02-14 / 20130039010 - HEAT DISSIPATION DEVICE AND SEMICONDUCTOR DEVICE | 1 |
Mitsuhisa Kawaguchi | JP | Tottori-Shi | 2014-08-28 / 20140245434 - INPUT DEVICE FOR INPUTTING PASSWORD OR THE LIKE AND MOBILE TELEPHONE HAVING THE INPUT DEVICE | 4 |
Koji Kawaguchi | JP | Nagano | 2012-02-09 / 20120032151 - COLOR CONVERSION FILM AND MULTICOLOR-EMITTING, ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING THE COLOR CONVERSION FILM | 2 |
Koji Kawaguchi | JP | Matsumoto City | 2015-06-25 / 20150180233 - UNINTERRUPTIBLE POWER SUPPLY APPARATUS | 6 |
Kenji Kawaguchi | JP | Tokyo | 2015-10-08 / 20150289229 - COMMUNICATION SYSTEM | 10 |
Koichiro Kawaguchi | JP | Kanagawa-Ken | 2009-11-05 / 20090273138 - RECORDING APPARATUS | 2 |
Koichi Kawaguchi | JP | Hiratsuka-Shi | 2013-06-20 / 20130156982 - METHOD FOR PRODUCING THERMOPLASTIC RESIN COMPOSITION | 6 |
Kiyoshi Kawaguchi | JP | Yokohama-Shi | 2009-09-24 / 20090236767 - METHOD OF FEEDING MOLTEN RESIN AND APPARATUS FOR FEEDING MOLTEN RESIN | 1 |
Hiroshi Kawaguchi | JP | Kobe | 2016-04-21 / 20160111138 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Keizoh Kawaguchi | JP | Okazaki-Shi | 2014-04-17 / 20140107870 - IN-VEHICLE DEVICE | 2 |
Takashi Kawaguchi | JP | Okayama | 2013-09-26 / 20130252432 - PATTERNING METHOD | 3 |
Kazuo Kawaguchi | JP | Aichi-Ken | 2010-04-01 / 20100081539 - POWER OUTPUT APPARATUS, VEHICLE INCLUDING POWER OUTPUT APPARATUS, AND CONTROL UNIT AND METHOD FOR POWER OUTPUT APPARATUS | 1 |
Kazuo Kawaguchi | JP | Kasugai-Shi | 2011-04-28 / 20110098881 - VEHICLE CONTROL DEVICE | 3 |
Kazuo Kawaguchi | JP | Chino | 2009-06-25 / 20090160690 - D/A CONVERTER CIRCUIT, INTEGRATED CIRCUIT DEVICE, AND ELECTRONIC APPARATUS | 1 |
Katsuya Kawaguchi | JP | Hiroshima-Shi | 2010-11-25 / 20100299107 - ACOUSTIC ANALYSIS APPARATUS FOR VEHICLE | 1 |
Katsumi Kawaguchi | JP | Ibaraki | 2010-06-03 / 20100136350 - GAS BARRIER MULTILAYER STRUCTURE PRECURSOR,GAS BARRIER MULTILAYER STRUCTURE AND PROCESSES FOR PRODUCING THE SAME | 2 |
Isao Kawaguchi | JP | Yokohama-Shi | 2012-05-17 / 20120118863 - STIFFENED PLATE AND METHOD OF MANUFACTURING THE SAME | 2 |
Hitoshi Kawaguchi | JP | Yokohama | 2010-12-02 / 20100306438 - BUS SYSTEM FOR USE WITH INFORMATION PROCESSING APPARATUS | 2 |
Hitoshi Kawaguchi | JP | Yokohama-Shi | 2008-10-02 / 20080244124 - Bus system for use with information processing apparatus | 1 |
Hiroto Kawaguchi | JP | Miyagi | 2012-10-11 / 20120256872 - COORDINATE DETECTION APPARATUS AND DISPLAY APPARATUS | 8 |
Tomohiro Kawaguchi | US | Cupertino | 2015-03-05 / 20150067257 - FAST ACCESSIBLE COMPRESSED THIN PROVISIONING VOLUME | 33 |
Hiroko Kawaguchi | JP | Tochigi | 2009-05-28 / 20090137975 - Absorbent article | 1 |
Hirokazu Kawaguchi | JP | Kawasaki-Shi | 2011-06-16 / 20110143002 - METHOD OF APPLICATION OF BODY TASTE ENHANCER COMPRISING LONG-CHAIN HIGHLY UNSATURATED FATTY ACID AND/OR ITS ESTER | 3 |
Hirofumi Kawaguchi | JP | Anan-Shi | 2008-10-23 / 20080258158 - LIGHT EMISSION DEVICE | 1 |
Hideo Kawaguchi | JP | Hatoyama | 2009-03-12 / 20090069663 - LIVING BODY INSPECTION APPARATUS | 1 |
Atsushi Kawaguchi | JP | Ibaraki | 2011-10-27 / 20110262944 - CONSTRUCTION AND CRYSTALLIZATION OF EXPRESSION SYSTEM FOR RNA POLYMERASE PB1-PB2 PROTEIN DERIVED FROM INFLUENZA VIRUS | 2 |
Atsushi Kawaguchi | JP | Aichi | 2010-05-06 / 20100108424 - VEHICLE SAFETY DEVICE | 1 |
Yusuke Kawaguchi | JP | Miura-Gun | 2014-09-25 / 20140284711 - SEMICONDUCTOR APPARATUS | 4 |
Yuko Kawaguchi | JP | Ibaraki-Shi | 2010-12-23 / 20100322056 - OPTICAL RECORDING MEDIUM, MANUFACTURING METHOD FOR OPTICAL RECORDING MEDIUM, AND REPRODUCING METHOD FOR OPTICAL RECORDING MEDIUM | 3 |
Yuki Kawaguchi | JP | Shizuoka-Ken | 2013-02-21 / 20130043307 - SELF-CHECHOUT APPARATUS | 1 |
Yuji Kawaguchi | JP | Mishima-Shi | 2014-09-25 / 20140286667 - IMAGE FORMING APPARATUS | 10 |
Kenshi Kawaguchi | US | Seattle | 2013-02-21 / 20130046795 - SYSTEM AND METHOD FOR THE CALCULATION AND USE OF TRAVEL TIMES IN SEARCH AND OTHER APPLICATIONS | 1 |
Hedemasa Kawaguchi | JP | Fuji-Shi | 2012-05-31 / 20120135829 - CONTROLLER AND CONTROL METHOD OF BELT TYPE CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Makoto Kawaguchi | JP | Chikuma-Shi | 2012-05-31 / 20120135096 - RESIN MOLDING MACHINE | 1 |
Yoshiyuki Kawaguchi | JP | Hachiouji | 2011-05-05 / 20110106432 - GUIDE DISPLAY DEVICE AND GUIDE DISPLAY METHOD, AND DISPLAY DEVICE AND METHOD FOR SWITCHING DISPLAY CONTENTS | 1 |
Yoshiyuki Kawaguchi | JP | Kyoto | 2012-07-26 / 20120187442 - LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING LIGHT EMITTING ELEMENT | 2 |
Yoshio Kawaguchi | JP | Fukui-Shi | 2009-01-01 / 20090002920 - MONOLITHIC CERAMIC CAPACITOR | 2 |
Yoshihiro Kawaguchi | JP | Kyoto-Shi | 2010-07-08 / 20100170568 - AG ELECTRODE PASTE, SOLAR BATTERY CELL, AND METHOD OF MANUFACTURING THE SAME | 2 |
Yoshifumi Kawaguchi | JP | Kyoto | 2014-01-30 / 20140029804 - IMAGE EDITING APPARATUS | 3 |
Hiroshi Kawaguchi | JP | Kawasaki-Shi | 2014-12-04 / 20140353720 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Yohei Kawaguchi | JP | Hachioji | 2013-09-05 / 20130228677 - Mass Spectrometric System | 4 |
Yohei Kawaguchi | JP | Fussa-Shi | 2009-08-20 / 20090206789 - DEVICE AND METHOD OF DRIVING STEPPING MOTOR OF ANALOG ELECTRONIC CLOCK | 1 |
Yohei Kawaguchi | JP | Ishikawa | 2009-07-09 / 20090174304 - HOT-MELT TYPE MEMBER AND ORGANIC EL DISPLAY PANEL | 1 |
Yasunori Kawaguchi | JP | Shimada-Shi | 2015-06-04 / 20150153392 - CURRENT DETECTION APPARATUS | 11 |
Yasuhiro Kawaguchi | JP | Yamaguchi | 2014-09-25 / 20140288199 - THERMALLY EXPANDABLE MICROCAPSULE AND FOAM-MOLDED ARTICLE | 5 |
Tsuyoshi Kawaguchi | JP | Niigata | 2015-02-05 / 20150035725 - HEAD-UP DISPLAY DEVICE | 2 |
Toshihiro Kawaguchi | JP | Nara-Shi | 2009-01-29 / 20090028483 - Double row ball bearing | 1 |
Yohei Kawaguchi | JP | Tokyo | 2015-12-31 / 20150378313 - ELECTRONIC DEVICE | 6 |
Tomoya Kawaguchi | JP | Kyoto | 2011-01-27 / 20110022737 - DEVICE CONTROL METHOD AND DEVICE SELECTING APPARATUS | 1 |
Tomonari Kawaguchi | JP | Shiga | 2011-05-12 / 20110107798 - WASHING MACHINE | 1 |
Tomohiro Kawaguchi | JP | Yokohama | 2013-12-05 / 20130326139 - STORAGE SYSTEM FOR RESTORING DATA STORED IN FAILED STORAGE DEVICE | 6 |
Tatsuya Kawaguchi | JP | Yamaguchi | 2009-02-05 / 20090034362 - MICRODEVICE AND METHOD FOR JOINING FLUIDS | 1 |
Tashihiro Kawaguchi | JP | Kashiba-Shi | 2009-08-20 / 20090208152 - Tapered roller bearing apparatus | 1 |
Takuya Kawaguchi | JP | Ichikawa-Shi | 2010-04-22 / 20100098991 - Gas Diffusion Electrode For Polymer Electrolyte Fuel Cell, Membrane-Electrode Assembly For Polymer Electrolyte Fuel Cell, Production Method Therefor, And Polymer Electrolyte Fuel Cell | 1 |
Takanori Kawaguchi | JP | Toshima-Ku | 2010-07-29 / 20100190980 - PYRAZINAMIDE COMPOUND | 1 |
Takafumi Kawaguchi | JP | Osaka-Shi | 2010-10-21 / 20100265425 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Takafumi Kawaguchi | JP | Chigasaki-Shi | 2010-05-13 / 20100121487 - SUBSTRATE TRANSFER APPARATUS | 1 |
Tadayoshi Kawaguchi | JP | Kudamatsu-Shi | 2013-11-14 / 20130299091 - PLASMA PROCESSING APPARATUS | 3 |
Koji Kawaguchi | JP | Saitama-Shi | 2015-03-26 / 20150085353 - Optical System for an Infrared Ray | 1 |
Susumu Kawaguchi | JP | Meguro-Ku | 2014-12-18 / 20140370117 - Low-Concentration Nutritional Composition | 4 |
Shuji Kawaguchi | JP | Suwa-Shi | 2009-04-09 / 20090091580 - Integrated circuit device and electronic instrument | 1 |
Shuichi Kawaguchi | JP | Chiba | 2009-07-16 / 20090180773 - ACTUATOR AND BLADE DRIVE DEVICE FOR CAMERA | 1 |
Shiro Kawaguchi | JP | Konan-Shi | 2008-11-20 / 20080285026 - OPTICAL CHARACTERISTIC MEASURING APPARATUS AND MEASURING METHOD USING LIGHT REFLECTED FROM OBJECT TO BE MEASURED | 1 |
Kyoko Kawaguchi | JP | Osaka | 2012-01-05 / 20120004887 - ACTION ANALYSIS DEVICE AND ACTION ANALYSIS METHOD | 1 |
Koji Kawaguchi | JP | Tottori-Shi | 2015-10-01 / 20150276499 - CIRCUIT DEVICE, TEMPERATURE DETECTION DEVICE, ELECTRONIC DEVICE, AND TEMPERATURE DETECTION METHOD | 1 |
Yohei Kawaguchi | JP | Ushiku-Shi | 2015-09-03 / 20150248116 - ROBOT APPARATUS, CONTROLLING METHOD OF ROBOT APPARATUS, PROGRAM AND RECORDING MEDIUM | 1 |
Koji Kawaguchi | JP | Nagoya-Shi | 2015-10-15 / 20150296668 - ELECTRONIC CIRCUIT COMPONENT MOUNTING HEAD | 2 |
Koji Kawaguchi | JP | Tokyo | 2015-07-30 / 20150208673 - Baked Lotus Root Cake, Solid Food Using Lotus Root Powder, and Methods for Manufacturing Same | 1 |
Hirosuke Kawaguchi | JP | Tokyo | 2015-10-08 / 20150283754 - Roller-Type Pressurization Device, Imprinter, and Roller-Type Pressurization Method | 9 |
Genki Kawaguchi | JP | Mie | 2012-05-24 / 20120126306 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD OF NONVOLATILE SEMICONDUCTOR MEMORY DEVICE | 1 |
Satoshi Kawaguchi | JP | Toyama | 2012-05-24 / 20120125704 - FRAME STRUCTURE FOR MOUNTING BATTERY MODULE IN VEHICLE | 1 |
Jun Kawaguchi | JP | Narashino-Shi | 2012-05-24 / 20120125489 - HIGH STRENGTH STEEL WIRE FOR SPRING | 1 |
Kazunori Kawaguchi | JP | Kanagawa | 2012-12-20 / 20120320507 - DISPLAY AND ELECTRONIC UNIT | 1 |
Yohei Kawaguchi | JP | Chiyoda-Ku, Tokyo | 2015-01-15 / 20150016622 - DEREVERBERATION PARAMETER ESTIMATION DEVICE AND METHOD, DEREVERBERATION/ECHO-CANCELLATION PARAMETERESTIMATIONDEVICE,DEREVERBERATIONDEVICE,DEREVERBERATION/ECHO-CANC- ELLATION DEVICE, AND DEREVERBERATION DEVICE ONLINE CONFERENCING SYSTEM | 1 |
Koji Kawaguchi | JP | Chigasaki-City | 2016-01-07 / 20160006242 - POWER SOURCE SYSTEM | 2 |
Chiharu Kawaguchi | JP | Mobara | 2009-04-23 / 20090102766 - DISPLAY DEVICE | 1 |
Hidenobu Kawaguchi | JP | Hidaka-Gun | 2009-07-30 / 20090192994 - Self-development apparatus, self-development system, self-development program and computer-readable storage medium for storing self-development program | 1 |
Ikumi Kawaguchi | JP | Yamaguchi | 2010-09-16 / 20100233485 - Photochromic Optical Element | 2 |
Norishige Kawaguchi | JP | Chiba | 2010-06-10 / 20100140833 - METHOD OF MANUFACTURING POLYOLEFIN-POLYAMIDE RESIN COMPOSITION | 1 |
Yukimi Kawaguchi | JP | Osaka | 2012-05-17 / 20120121231 - DIGITAL BROADCAST RECEIVER HAVING A RECORDING FUNCTION | 1 |
Mine Kawaguchi | JP | Tokyo | 2015-07-30 / 20150208673 - Baked Lotus Root Cake, Solid Food Using Lotus Root Powder, and Methods for Manufacturing Same | 1 |
Yoshihiro Kawaguchi | JP | Mobara-Shi | 2012-01-12 / 20120006264 - FILM FORMATION APPARATUS | 1 |
Tomohiro Kawaguchi | US | Santa Clara | 2016-03-10 / 20160070497 - METHOD AND APPARATUS TO CHANGE TIERS | 1 |
Nobutaka Kawaguchi | JP | Kamakura-Shi | 2013-08-29 / 20130227690 - PROGRAM ANALYSIS SYSTEM AND METHOD THEREOF | 2 |
Tomoki Kawaguchi | US | San Diego | 2008-12-04 / 20080295529 - REFRIGIRATOR HAVING USER-CONTROLLED FUNCTIONS | 1 |
Mark Kawaguchi | US | Sunnyvale | 2014-03-27 / 20140083463 - SYSTEM AND METHOD FOR MONITORING WAFER STRESS | 7 |
Seiji Kawaguchi | US | San Jose | 2010-12-30 / 20100327413 - HARDMASK OPEN AND ETCH PROFILE CONTROL WITH HARDMASK OPEN | 1 |
Mark Naoshi Kawaguchi | US | Sunnyvale | 2010-12-16 / 20100313917 - METHOD OF PARTICLE CONTAMINANT REMOVAL | 4 |
Takahiro Kawaguchi | US | San Francisco | 2014-09-25 / 20140289671 - INFORMATION TERMINAL, INFORMATION PRESENTATION METHOD FOR AN INFORMATION TERMINAL, AND INFORMATION PRESENTATION PROGRAM | 2 |
Tomoki Kawaguchi | US | Bonita | 2010-06-17 / 20100152904 - Refrigerator having user-controlled functions | 1 |
Yasushi Kawaguchi | JP | Bunkyo-Ku | 2013-05-09 / 20130115589 - Pharmaceutical Composition for Treatment and Prevention of Herpes Virus Infections | 1 |
Dean Kawaguchi | US | San Jose | 2014-09-11 / 20140253297 - RFID SYSTEMS AND METHODS FOR ASSOCIATING IMAGES OF DOCUMENTS WITH RFID TAG-RELATED DATA | 15 |
Riki Kawaguchi | US | Tarzana | 2009-02-19 / 20090048196 - Membrane receptor for retinol binding protein mediates cellular uptake of vitamin A, methods of use and compositions | 1 |
Yujiro Kawaguchi | JP | Ibaraki | 2008-10-30 / 20080268179 - Method for producing laminate comprising liquid-crystalline polyester layer | 1 |
Shigeyoshi Kawaguchi | JP | Toshima-Ku | 2010-01-07 / 20100000955 - Girder block lifting method and jack-up stage used therefor | 1 |
Hitoshi Kawaguchi | JP | Tokyo | 2016-03-24 / 20160088732 - TOUCH SENSOR BUILT-IN DISPLAY DEVICE AND TERMINAL CONNECTION STRUCTURE | 12 |
Satoshi Kawaguchi | JP | Takaoka-Shi | 2012-01-12 / 20120009008 - Combined structural member | 2 |
Takashi Kawaguchi | JP | Tokyo | 2014-07-17 / 20140197637 - WAVE POWER GENERATION DEVICE AND METHOD OF CONTROLLING THE SAME | 7 |
Takashi Kawaguchi | JP | Niiza-Shi | 2010-05-27 / 20100127685 - POWER SOURCE APPARATUS | 1 |
Takashi Kawaguchi | JP | Nagasaki | 2010-10-28 / 20100271521 - DA CONVERTER, SOLID-STATE IMAGING DEVICE, AND CAMERA SYSTEM | 3 |
Takashi Kawaguchi | JP | Kariya-City | 2008-10-30 / 20080263830 - Operating knob | 1 |
Takashi Kawaguchi | JP | Nagano-Ken | 2008-09-18 / 20080225648 - Electronic Timepiece with Generator Function | 2 |
Yoshio Kawaguchi | JP | Nagaokakyo-Shi | 2013-12-26 / 20130342081 - CERAMIC ELECTRONIC COMPONENT AND CERAMIC ELECTRONIC APPARATUS | 5 |
Kouichi Kawaguchi | JP | Hiratsuka-Shi | 2013-03-07 / 20130056123 - THERMOPLASTIC ELASTOMER COMPOSITION AND METHOD FOR PRODUCING THE SAME | 1 |
Yutaro Kawaguchi | JP | Odawara | 2013-01-24 / 20130024616 - Storage System and Its Logical Unit Management Method | 3 |
Motohiko Kawaguchi | JP | Aichi | 2015-01-29 / 20150029736 - CEILING LIGHTING APPARATUS OF AIRCRAFT AND AIRCRAFT | 1 |
Yoshifumi Kawaguchi | JP | Osaka | 2011-09-15 / 20110223148 - THERAPEUTIC/PROPHYLACTIC AGENT FOR PROSTATE CANCER | 1 |
Yuuki Kawaguchi | JP | Chiba | 2011-08-11 / 20110192900 - READING APPARATUS | 1 |
Hidemasa Kawaguchi | JP | Shizuoka | 2012-05-10 / 20120115678 - CONTROLLER AND CONTROL METHOD OF BELT TYPE CONTINUOUSLY VARIABLE TRANSMISSION | 3 |
Mitsuyasu Kawaguchi | JP | Tokyo | 2016-01-07 / 20160002247 - 8-SUBSTITUTED IMIDAZOPYRIMIDINONE DERIVATIVE HAVING AUTOTAXIN INHIBITORY ACTIVITY | 1 |
Takahiro Kawaguchi | JP | Shizuoka-Ken | 2015-05-21 / 20150138573 - COLOR ERASING APPARATUS AND CONTROL METHOD OF THE COLOR ERASING APPARATUS | 66 |
Yasutoshi Kawaguchi | JP | Toyama | 2013-08-29 / 20130223463 - NITRIDE SEMICONDUCTOR DEVICE AND PRODUCTION METHOD THEREOF | 1 |
Yoshinobu Kawaguchi | JP | Osaka-Shi | 2015-10-29 / 20150309235 - OPTICAL APPARATUS, LIGHT SOURCE APPARATUS, AND VEHICLE | 1 |
Takashi Kawaguchi | JP | Shiojiri | 2015-10-29 / 20150309479 - TIME MEASUREMENT DEVICE | 1 |
Akiko Kawaguchi | JP | Ibaraki | 2011-11-10 / 20110272185 - PREGREG, FILM WITH RESIN, METAL FOIL WITH RESIN, METAL-CLAD LAMINATE, AND PRINTED WIRING BOARD | 1 |
Kazunori Kawaguchi | JP | Nagoya-Shi | 2014-02-13 / 20140042098 - AB-REMOVER, AB-REMOVING APPARATUS, AND AB REMOVAL METHOD | 2 |
Yuta Kawaguchi | JP | Suntou-Gun | 2008-09-04 / 20080211166 - SHEET FEEDING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Daisuke Kawaguchi | JP | Shizuoka | 2015-03-19 / 20150075221 - METHOD FOR CUTTING TOUGHENED GLASS PLATE | 3 |
Tamio Kawaguchi | JP | Kanagawa-Ken | 2013-10-10 / 20130265122 - HEAT INSULATING TRANSMISSION LINE, VACUUM INSULATING CHAMBER, WIRELESS COMMUNICATION SYSTEM | 2 |
Daiji Kawaguchi | JP | Wako-Shi | 2012-10-04 / 20120247076 - HONEYCOMB UNIT FOR EXHAUST EMISSION CONTROL | 2 |
Yasutoshi Kawaguchi | JP | Okayama | 2011-11-10 / 20110272670 - NITRIDE SEMICONDUCTOR DEVICE AND PRODUCTION METHOD THEREOF | 1 |
Daisuke Kawaguchi | JP | Susono-Shi | 2016-01-28 / 20160026098 - METHOD FOR PRODUCING ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER | 3 |
Daisuke Kawaguchi | JP | Shinagawa-Ku, Tokyo | 2015-03-05 / 20150064503 - BATTERY CONTAINING BODY AND POWER STORAGE DEVICE | 1 |
Yuu Kawaguchi | JP | Kumamoto | 2015-03-05 / 20150060396 - ETCHING PROCESS, METHOD OF MANUFACTURING ELECTRONIC DEVICE, AND METHOD OF MANUFACTURING POLARIZING PLATE | 1 |
Seiichi Kawaguchi | JP | Hyogo | 2014-11-20 / 20140341642 - BACK-SHIELDED WELDING METHOD AND WELDED STRUCTURE USING THE SAME | 2 |
Hideyuki Kawaguchi | JP | Okazaki-Shi | 2011-08-18 / 20110197405 - CLIP | 1 |
Satoshi Kawaguchi | JP | Sapporo-Shi | 2011-08-18 / 20110200629 - TUMOR ANTIGEN PEPTIDE AND USE THEREOF | 1 |
Tamio Kawaguchi | JP | Kawasaki | 2016-03-10 / 20160072168 - MULTIBAND FILTER | 5 |
Takahiro Kawaguchi | JP | Mishima-Shi | 2015-12-24 / 20150370202 - HEAT SOURCE UNIT | 26 |
Hiroshi Kawaguchi | JP | Habikino-Shi | 2012-01-26 / 20120022721 - FLYING OBJECT | 1 |
Norihito Kawaguchi | JP | Akishima | 2013-09-05 / 20130229144 - SECONDARY-BATTERY MONITORING DEVICE AND BATTERY PACK | 1 |
Hiro Kawaguchi | JP | Tokyo | 2011-10-27 / 20110260433 - SIDE COLLISION DETECTION SYSTEM AND OCCUPANT RESTRAINT SYSTEM | 1 |
Hiroshi Kawaguchi | JP | Takasago-Shi | 2012-06-21 / 20120152486 - CAST STRIP WITHDRAWING APPARATUS FOR CONTINUOUS CASTING FACILITY | 3 |
Takahiro Kawaguchi | JP | Shizuoka | 2016-04-28 / 20160114610 - IMAGE DECOLORING DEVICE | 35 |
Tadashi Kawaguchi | JP | Hiratsuka-Shi | 2015-07-23 / 20150202979 - BATTERY CHARGE/DISCHARGE CONTROL DEVICE, BATTERY CHARGE/DISCHARGE CONTROL METHOD, AND HYBRID WORKING MACHINE WITH BATTERY CHARGE/DISCHARGE CONTROL DEVICE | 16 |
Toru Kawaguchi | JP | Tokyo | 2015-03-05 / 20150062295 - IMAGE PROCESSING SYSTEM, TRANSMITTING DEVICE, RECEIVING DEVICE, TRANSMITTING METHOD, RECEIVING METHOD, AND COMPUTER PROGRAM | 7 |
Katsumi Kawaguchi | JP | Tokyo | 2011-09-08 / 20110217561 - Coating Liquid and Gas Barrier Laminate | 1 |
Yoshihiro Kawaguchi | JP | Aichi | 2012-04-12 / 20120086263 - AUTOMOTIVE WHEEL | 1 |
Toshiya Kawaguchi | JP | Aichi-Gun | 2012-04-12 / 20120088269 - SOLUTION FOR CELL-FREE PROTEIN SYNTHESIS, KIT FOR CELL-FREE PROTEIN SYNTHESIS, AND METHOD OF PROTEIN SYNTHESIS | 1 |
Hiroshi Kawaguchi | JP | Ashigara-Gun | 2008-10-02 / 20080241725 - TONER FOR DEVELOPING AGENT AND METHOD FOR PRODUCING THE SAME | 1 |
Taishi Kawaguchi | JP | Utsunomiya-Shi | 2010-05-13 / 20100122018 - BACKUP METHOD, BACKUP DEVICE, AND VEHICLE CONTROLLER | 1 |
Yuichiro Kawaguchi | JP | Fujisawa | 2012-04-05 / 20120084006 - SYSTEM FOR PROVIDING WEATHER FLUCTUATION PREDICTION INFORMATION AND METHOD OF PROVIDING WEATHER FLUCTUATION PREDICTION INFORMATION | 1 |
Hiroshi Kawaguchi | JP | Ashigarakami-Gun | 2008-10-09 / 20080247783 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD AND DEVELOPING AGENT | 1 |
Hiroto Kawaguchi | JP | Kanagawa | 2016-05-05 / 20160125225 - UNDERLAY BODY | 12 |
Daisuke Kawaguchi | NL | Venlo | 2016-04-14 / 20160103633 - APPARATUS AND METHOD FOR DETERMINING SUITABLE PRINTING CONDITIONS FOR PRINTING SHEETS IN A PRINTING SYSTEM | 2 |
Hiroshi Kawaguchi | JP | Kanagawa-Ken | 2013-08-29 / 20130224641 - NONMAGNETIC SINGLE-COMPONENT DEVELOPER, DEVELOPMENT MACHINE CARTRIDGE, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 3 |
Hiroshi Kawaguchi | JP | Numazu-Shi | 2014-06-19 / 20140169850 - IMAGE FORMING APPARATUS | 4 |
Hiroshi Kawaguchi | JP | Hitachinaka | 2013-12-05 / 20130320216 - DEVICE FOR DETECTING FOREIGN MATTER AND METHOD FOR DETECTING FOREIGN MATTER | 6 |
Hiroshi Kawaguchi | JP | Kobe-Shi | 2013-01-31 / 20130029684 - SENSOR NETWORK SYSTEM FOR ACUIRING HIGH QUALITY SPEECH SIGNALS AND COMMUNICATION METHOD THEREFOR | 4 |
Masahiro Kawaguchi | JP | Gunma-Ken | 2008-10-09 / 20080247291 - Optical disc type determining method and optical disc device | 1 |
Chie Kawaguchi | JP | Osaka-Shi | 2016-03-24 / 20160083458 - ANTI-LIPOARABINOMANNAN ANTIBODY AND IMMUNOASSAY FOR ACID-FAST BACILLARY INFECTION USING THE ANTIBODY | 1 |
Jun Kawaguchi | JP | Tokyo | 2015-10-22 / 20150298819 - JIG FOR FORMING SEALANT LAYER FOR LIGHTNING PROTECTION FASTENER, METHOD FOR FORMING SEALANT LAYER FOR LIGHTNING PROTECTION FASTENER, LIGHTNING PROTECTION FASTENER, AND WING OF AIRCRAFT | 3 |
Takahiro Kawaguchi | JP | Tokyo | 2015-11-19 / 20150329759 - HEATING MEDIUM COMPOSITION | 4 |
Yoshiharu Kawaguchi | JP | Aichi | 2012-03-22 / 20120071417 - METHODS OF INHIBITING CANCER CELL GROWTH WITH HDAC INHIBITORS AND METHODS OF SCREENING FOR HDAC10 INHIBITORS | 1 |
Kouji Kawaguchi | JP | Tokyo-To | 2012-03-22 / 20120070781 - BASE GENERATOR, PHOTOSENSITIVE RESIN COMPOSITION, PATTERN FORMING MATERIAL COMPRISING THE PHOTOSENSITIVE RESIN COMPOSITION, PATTERN FORMING METHOD USING THE PHOTOSENSITIVE RESIN COMPOSITION AND PRODUCTS COMPRISING THE SAME | 1 |
Masahiro Kawaguchi | JP | Kyoto-Shi | 2009-03-12 / 20090065055 - POLYESTER RESIN SHEET FOR PHOTOVOLTAIC BATTERIES, LAMINATE FORMED BY USING THE SAME, PHOTOVOLTAIC BATTERY BACKSIDE PROTECTION SHEET, AND MODULE | 1 |
Masahiro Kawaguchi | JP | Kanagawa | 2009-04-09 / 20090093373 - DNA MICRO-ARRAY HAVING STANDARD PROBE AND KIT INCLUDING THE ARRAY | 1 |
Hiroshi Kawaguchi | JP | Kamiichi-Machi | 2011-04-21 / 20110091546 - COMPOSITION FOR RAPID DISINTEGRATING TABLET IN ORAL CAVITY | 1 |
Yasuko Kawaguchi | JP | Toyama-Shi | 2015-08-13 / 20150225335 - NOVEL HYDROXAMIC ACID DERIVATIVE | 2 |
Yasuhiko Kawaguchi | JP | Osaka | 2014-11-20 / 20140339037 - VIBRATION-DAMPING SHEET | 13 |
Hideyuki Kawaguchi | JP | Osaka | 2010-03-04 / 20100051927 - ORGANIC FIELD EFFECT TRANSISTOR AND ITS PRODUCTION METHOD | 2 |
Kenji Kawaguchi | JP | Osaka | 2010-02-04 / 20100025254 - INSOLUBLE ANODE FOR METAL WIRE ELECTROPLATING AND METHOD OF ELECTROPLATING METAL WIRE USING THE SAME | 1 |
Shinji Kawaguchi | JP | Osaka | 2014-06-19 / 20140171655 - DIPHOSPHINE LIGAND AND TRANSITION METAL COMPLEX USING THE SAME | 2 |
Koji Kawaguchi | JP | Osaka | 2009-01-29 / 20090026714 - SEALING STRUCTURE AND SPIDER JOINT | 1 |
Kouji Kawaguchi | JP | Osaka | 2008-11-20 / 20080283338 - Cross shaft joint | 1 |
Yujiro Kawaguchi | JP | Osaka | 2008-10-09 / 20080249220 - Photosemiconductor encapsulating resin composition | 1 |
Toru Kawaguchi | JP | Osaka | 2013-09-19 / 20130243103 - ENCODING DEVICE, DECODING DEVICE, PLAYBACK DEVICE, ENCODING METHOD, AND DECODING METHOD | 12 |
Kazuhiko Kawaguchi | JP | Osaka | 2011-04-07 / 20110081977 - POWER TRANSMISSION PART, DAMPER MECHANISM, AND FLYWHEEL ASSEMBLY | 1 |
Daisuke Kawaguchi | JP | Kanagawa | 2015-03-05 / 20150064503 - BATTERY CONTAINING BODY AND POWER STORAGE DEVICE | 6 |
Hirofumi Kawaguchi | JP | Osaka | 2010-08-05 / 20100194931 - IMAGING DEVICE | 1 |
Hisao Kawaguchi | JP | Osaka | 2010-07-22 / 20100182293 - DISPLAY MODULE, LIQUID CRYSTAL DISPLAY DEVICE AND METHOD FOR MANUFACTURING DISPLAY MODULE | 2 |
Yoshihide Kawaguchi | JP | Osaka | 2014-05-01 / 20140120334 - METHOD AND APPARATUS FOR PRODUCING POROUS THERMOSETTING RESIN SHEET, POROUS THERMOSETTING RESIN SHEET, AND POROUS THERMOSETTING RESIN SHEET ROLL | 6 |
Masao Kawaguchi | JP | Osaka | 2015-05-28 / 20150146756 - SEMICONDUCTOR LIGHT EMITTING ELEMENT | 6 |
Hidetsugu Kawaguchi | JP | Osaka | 2011-02-10 / 20110034261 - NETWORK BOWLING SYSTEM | 1 |
Tsuyoshi Kawaguchi | JP | Osaka | 2015-07-23 / 20150207519 - SIGNAL MODULATION CIRCUIT | 5 |
Nobuyuki Kawaguchi | JP | Osaka | 2009-10-01 / 20090242072 - POSITIVE ELECTRODE PLATE FOR ALKALINE STORAGE BATTERY AND METHOD FOR PRODUCING THE SAME | 1 |
Seiji Kawaguchi | JP | Osaka | 2014-03-27 / 20140085175 - DISPLAY DEVICE | 5 |
Yasutoshi Kawaguchi | JP | Osaka | 2008-11-06 / 20080272462 - Nitride-Based Semiconductor Device and Method for Fabricating the Same | 1 |
Daisuke Kawaguchi | JP | Abiko-Shi | 2011-01-20 / 20110013944 - DEVELOPING APPARATUS | 4 |
Daisuke Kawaguchi | JP | Aichi | 2010-05-13 / 20100120985 - PROCESS FOR PRODUCING POLYMERIC OBJECT HAVING MICROPHASE-SEPARATED STRUCTURE AND POLYMERIC OBJECT HAVING MICROPHASE-SEPARATED STRUCTURE | 1 |
Akio Kawaguchi | JP | Sunto-Gun | 2015-12-10 / 20150354083 - METHOD FOR FORMING HEAT INSULATING FILM, AND STRUCTURE OF HEAT INSULATING FILM | 3 |
Naoichi Kawaguchi | JP | Kawasaki | 2008-12-18 / 20080309372 - Semiconductor memory device | 1 |
Bungo Kawaguchi | JP | Susono-Shi, Shizuoka-Ken | 2015-11-05 / 20150314239 - EXHAUST GAS CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE (AS AMENDED) | 1 |
Mako Kawaguchi | JP | Kawasaki | 2010-01-21 / 20100014520 - COMMUNICATION METHOD FOR SYSTEM INCLUDING CLIENT DEVICE AND PLURAL SERVER DEVICES | 2 |
Makoto Kawaguchi | JP | Shizuoka | 2010-11-11 / 20100284710 - INNER CAP FOR TONER CONTAINER AND TONER CONTAINER | 2 |
Hiroshi Kawaguchi | JP | Tokyo | 2016-03-03 / 20160064538 - SEMICONDUCTOR DEVICE AND A METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE | 8 |
Katsuhisa Kawaguchi | JP | Atsugi-Shi | 2016-05-12 / 20160132206 - PHOTOGRAPHING APPARATUS AND OPERATION CONTROL METHOD FOR THE SAME | 8 |
Kiyofumi Kawaguchi | JP | Yokkaichi-City | 2012-03-15 / 20120064741 - ELECTRIC JUNCTION BOX | 2 |
Tomoyuki Kawaguchi | JP | Tokyo | 2016-05-05 / 20160126560 - FUEL CELL ELECTRODE CATALYST AND METHOD FOR ACTIVATING CATALYST | 2 |
Junji Kawaguchi | JP | Shizuoka | 2011-02-24 / 20110045408 - Color-forming photosensitive composition, lithographic printing plate precursor and novel cyanine dye | 2 |
Masahiro Kawaguchi | JP | Atsugi-Shi | 2009-12-10 / 20090305261 - PROBE, PROBE SET, PROBE-IMMOBILIZED CARRIER, AND GENETIC TESTING METHOD | 2 |
Masahiro Kawaguchi | JP | Odawara-Shi | 2013-12-12 / 20130331569 - CROSS-LINKED CYCLIC AMINE COMPOUNDS AND AGENTS FOR PEST CONTROL | 10 |
Masahiro Kawaguchi | JP | Tokyo | 2010-06-03 / 20100136530 - PROBE, PROBE SET, PROBE-IMMOBILIZED CARRIER, AND GENETIC TESTING METHOD | 1 |
Takateru Kawaguchi | JP | Shizuoka | 2008-09-25 / 20080234088 - STEP AUTOMATIC TRANSMISSION | 2 |
Yasunori Kawaguchi | JP | Osaka | 2015-04-23 / 20150108376 - Distance Measuring Photoelectric Sensor And Method For Controlling Light Projection Spot Thereof | 1 |
Michihiro Kawaguchi | JP | Shizuoka | 2014-10-30 / 20140319372 - MASK COVER, CHARGED PARTICLE BEAM DRAWING APPARATUS AND CHARGED PARTICLE BEAM DRAWING METHOD | 5 |
Kouji Kawaguchi | JP | Saitama-Shi | 2012-08-23 / 20120212808 - Infrared Lens | 3 |
Noriaki Kawaguchi | JP | Yamaguchi | 2015-04-30 / 20150115168 - Metal Fluoride Crystal, Light Emitting Element, Scintillator, Method of Detecting Neutron, and Method of Producing Metal Fluoride Crystal | 8 |
Junichiro Kawaguchi | JP | Sagamihara-Shi | 2015-01-08 / 20150007568 - POWER GENERATION APPARATUS, POWER GENERATION METHOD, DECOMPOSITION-GAS TURBINE AND DECOMPOSITION-GAS BOILER | 3 |
Takashi Kawaguchi | JP | Kanagawa | 2015-06-11 / 20150163420 - SOLID STATE IMAGING DEVICE, METHOD OF CONTROLLING SOLID STATE IMAGING DEVICE, AND PROGRAM FOR CONTROLLING SOLID STATE IMAGING DEVICE | 2 |
Hiroshi Kawaguchi | JP | Kanagawa | 2012-12-20 / 20120319196 - SEMICONDUCTOR DEVICE | 14 |
Hiroshi Kawaguchi | US | Southlake | 2014-06-19 / 20140171218 - Golf Clubs and Golf Club Heads | 12 |
Satoshi Kawaguchi | JP | Tokyo | 2015-12-31 / 20150376486 - WORKING FLUID FOR HEAT CYCLE | 8 |
Yasunori Kawaguchi | JP | Shizuoka | 2011-06-23 / 20110148407 - ASSEMBLY STRUCTURE OF CURRENT DETECTION DEVICE | 2 |
Tsuyoshi Kawaguchi | JP | Kawasaki | 2009-07-09 / 20090177751 - Mail transmission method | 1 |
Yasuhide Kawaguchi | JP | Chiyoda-Ku | 2012-07-12 / 20120175821 - PHOTOCURABLE COMPOSITION AND METHOD FOR PRODUCING MOLDED PRODUCT WITH FINE PATTERN | 6 |
Hideo Kawaguchi | JP | Saitama | 2009-05-07 / 20090118602 - Disease Diagnosis Support System | 1 |
Noboru Kawaguchi | JP | Saitama | 2009-03-12 / 20090064642 - Gas-liquid separation device of engine | 1 |
Hiroshi Kawaguchi | JP | Funabashi-Shi | 2015-04-30 / 20150117736 - METHOD FOR GENERATING IMAGE FOR PET ATTENUATION CORRECTION FROM MR IMAGE AND COMPUTER PROGRAM | 1 |
Yuichiro Kawaguchi | JP | Saitama | 2011-05-05 / 20110106310 - ROBOT AND TASK EXECUTION SYSTEM | 4 |
Koji Kawaguchi | JP | Saitama | 2013-01-03 / 20130003168 - Infrared Zoom Lens | 2 |
Masaaki Kawaguchi | JP | Osaka | 2014-02-06 / 20140037843 - ADHESIVE OPTICAL FILM, METHOD FOR MANUFACTURING ADHESIVE OPTICAL FILM AND IMAGE DISPLAY | 1 |
Yasushi Kawaguchi | JP | Saitama | 2015-02-19 / 20150047433 - PHOTOACOUSTIC WAVE MEASUREMENT DEVICE, METHOD, PROGRAM, AND RECORDING MEDIUM | 3 |
Tatsunoshin Kawaguchi | JP | Kawasaki | 2012-07-26 / 20120192278 - UNAUTHORIZED PROCESS DETECTION METHOD AND UNAUTHORIZED PROCESS DETECTION SYSTEM | 2 |
Mitsuhiro Kawaguchi | JP | Kawasaki | 2010-09-23 / 20100238936 - DATA PROCESSING APPARATUS AND REDUNDANCY SWITCHING METHOD | 4 |
Keiko Kawaguchi | JP | Fukuoka | 2015-08-20 / 20150235604 - DISPLAY DEVICE, METHOD OF DRIVING THE SAME, AND ELECTRONIC UNIT | 2 |
Takaaki Kawaguchi | JP | Shinjuku-Ku | 2012-03-08 / 20120058497 - DIAGNOSTIC REAGENT FOR CROHN'S DISEASE | 1 |
Emi Kawaguchi | JP | Chuo-Ku | 2015-10-08 / 20150283235 - IMMUNE-TOLERANCE INDUCER | 2 |
Noriyuki Kawaguchi | JP | Kawasaki | 2010-11-04 / 20100278115 - Wireless Base Station Apparatus, Frequency Deviation Detecting Method, And Mobile Communication System | 1 |
Hiroshi Kawaguchi | US | Southlake | 2014-06-19 / 20140171218 - Golf Clubs and Golf Club Heads | 12 |
Yuuki Kawaguchi | JP | Shizuoka | 2011-06-23 / 20110147454 - SELF-CHECKOUT TERMINAL AND CONTROL METHOD THEREFOR | 5 |
Kinji Kawaguchi | JP | Kawasaki | 2012-08-23 / 20120216289 - CONTENT PROVISION SYSTEM | 2 |
Hiromasa Kawaguchi | JP | Nagano | 2009-01-08 / 20090011221 - Cushioning Material for a Polishing Pad | 1 |
Shinichi Kawaguchi | JP | Yamanashi | 2012-02-09 / 20120031434 - SUBSTRATE CLEANING METHOD | 1 |
Kazunori Kawaguchi | JP | Toyoake-Shi | 2012-02-09 / 20120031840 - METHOD FOR REDUCING AMYLOID BETA CONCENTRATION IN BLOOD | 1 |
Takahiro Kawaguchi | US | Atlanta | 2012-04-05 / 20120083260 - INFORMATION TERMINAL, INFORMATION PRESENTATION METHOD FOR AN INFORMATION TERMINAL, AND INFORMATION PRESENTATION PROGRAM | 2 |
Sachi Kawaguchiya | JP | Shiga | 2015-12-24 / 20150366785 - EXTERNAL SKIN PREPARATION | 1 |
Bungo Kawaguguchi | JP | Shizuoka-Ken | 2011-06-30 / 20110155109 - EXHAUST GAS RECIRCULATION DEVICE OF ENGINE | 1 |
Takesi Kawaguti | JP | Shizuoka-Ken | 2012-08-30 / 20120219939 - TRAINING DEVICE, METHOD AND TRAINING SYSTEM | 1 |
Takesi Kawaguti | JP | Shizuoka | 2012-08-23 / 20120213428 - TRAINING DEVICE, TRAINING SYSTEM AND METHOD | 4 |
Syuya Kawahama | JP | Chuo-Ku | 2011-06-02 / 20110128557 - TABLET PRINTING APPARATUS AND TABLET PRODUCTION METHOD, AND TABLET | 1 |
Sayako Kawahama | JP | Yokohama-Shi | 2012-05-03 / 20120108851 - METHOD FOR PRODUCING NORBORNENE DERIVATIVE | 2 |
Shuuya Kawahama | JP | Tokyo | 2010-09-16 / 20100233260 - PHARMACEUTICAL COMPOSITION FOR ORAL ADMINISTRATION | 1 |
Kota Kawahara | JP | Kawasaki | 2012-06-07 / 20120140775 - NETWORK SYSTEM, MANAGEMENT COMPUTER, AND BANDWIDTH MANAGEMENT METHOD | 1 |
Jun Kawahara | JP | Minamiashigara-Shi | 2013-08-29 / 20130222886 - DISPERSION LIQUID FOR DISPLAY, DISPLAY MEDIUM, AND DISPLAY DEVICE | 4 |
Takahiko Kawahara | JP | Yokohama-Shi | 2011-04-21 / 20110090928 - LASER DIODE | 2 |
Atsushi Kawahara | JP | Fukuoka | 2013-02-07 / 20130033125 - LINEAR MOTOR ARMATURE AND LINEAR MOTOR | 2 |
Kota Kawahara | JP | Yokohama | 2013-09-19 / 20130242804 - PATH CALCULATION METHOD | 1 |
Takayuki Kawahara | JP | Tokyo | 2015-12-17 / 20150362458 - BIOMOLECULE MEASURING DEVICE | 3 |
Hiroshi Kawahara | JP | Aichi-Ken | 2011-05-19 / 20110116966 - ALUMINUM ALLOY, METHOD OF CASTING ALUMINUM ALLOY, AND METHOD OF PRODUCING ALUMINUM ALLOY PRODUCT | 1 |
Fumio Kawahara | JP | Toyota-Shi | 2014-02-20 / 20140048182 - SURFACE TREATMENT METHOD FOR METAL MATERIAL AND MOLD TREATED BY SURFACE TREATMENT METHOD | 4 |
Makiko Kawahara | JP | Tokyo-To | 2011-07-21 / 20110176095 - INK-JET INK COMPOSITION FOR COLOR FILTER, COLOR FILTER, METHOD FOR PRODUCING A COLOR FILTER, AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Naoki Kawahara | JP | Minato-Ku | 2014-11-27 / 20140350775 - VEHICLE DIAGNOSTIC SYSTEM, VEHICLE DIAGNOSTIC METHOD, AND EXTERNAL DIAGNOSTIC DEVICE | 1 |
Izumi Kawahara | JP | Utsunomiya-Shi | 2014-05-01 / 20140118728 - DETECTION APPARATUS, LITHOGRAPHY APPARATUS, METHOD OF MANUFACTURING ARTICLE, AND DETECTION METHOD | 2 |
Hisayoshi Kawahara | JP | Kawagoe-Shi | 2014-11-27 / 20140348593 - UNDERWATER RISING/FALLING DEVICE | 1 |
Shigeru Kawahara | JP | Tokyo | 2011-08-11 / 20110196080 - POLYAMIDE COMPOSITION | 1 |
Mitsuyasu Kawahara | JP | Susono-Shi | 2010-08-05 / 20100197815 - Polyparaphenylene Hydrocarbon Electrolyte, Manufacture Method Therefor, and Polyparaphenylene as well as Electrolyte Membrane, Catalyst Layer and Solid Polymer Fuel Cell | 3 |
Kazuhiko Kawahara | JP | Matsumoto-Shi | 2010-06-24 / 20100161764 - Content Information Deliver System | 1 |
Mitsuyasu Kawahara | JP | Susono | 2009-12-31 / 20090325029 - MEMBRANE ELECTRODE ASSEMBLY FOR FUEL CELL AND FUEL CELL | 1 |
Ichirou Kawahara | JP | Saitama-Shi | 2012-10-04 / 20120251183 - LIGHT IRRADIATION ELEMENT, IMAGE FORMING STRUCTURE, AND IMAGE FORMING APPARATUS | 2 |
Kazunori Kawahara | JP | Aichi | 2009-12-03 / 20090298628 - Hydraulic Auto-Tensioner | 1 |
Akifumi Kawahara | JP | Kyoto | 2014-05-29 / 20140146594 - DESIGNING METHOD OF NON-VOLATILE MEMORY DEVICE, MANUFACTURING METHOD OF NON-VOLATILE MEMORY DEVICE, AND NON-VOLATILE MEMORY DEVICE | 4 |
Yoshinobu Kawahara | JP | Osaka | 2014-08-21 / 20140236869 - INTERACTIVE VARIABLE SELECTION DEVICE, INTERACTIVE VARIABLE SELECTION METHOD, AND INTERACTIVE VARIABLE SELECTION PROGRAM | 3 |
Toyoki Kawahara | JP | Tokyo | 2015-06-25 / 20150181602 - TRANSMISSION APPARATUS AND TRANSMISSION METHOD | 2 |
Noriyuki Kawahara | JP | Saitama | 2015-03-12 / 20150070930 - LUMINOUS FLUX CONTROL MEMBER, LIGHT EMITTING APPARATUS, AND ILLUMINATING APPARATUS | 11 |
Akira Kawahara | JP | Tokyo | 2009-02-26 / 20090053549 - Aluminum alloy fin material for brazing | 1 |
Akiyoshi Kawahara | JP | Yamanashi | 2016-03-10 / 20160067806 - ELECTRONIC DISCHARGE MACHINE | 8 |
Hideo Kawahara | JP | Saitama | 2009-10-29 / 20090268061 - IMAGE SENSING APPARATUS, METHOD OF CONTROLLING IMAGE SENSING APPARATUS AND IMAGE RECORDING APPARATUS, AND METHOD OF DESIGNING OPTICAL SYSTEM | 1 |
Morihisa Kawahara | JP | Toyohashi-Shi | 2015-11-12 / 20150326744 - TECHNIQUE FOR SETTING PAPER SIZE FOR IMAGE FORMING APPARATUS | 3 |
Ryo Kawahara | JP | Kanagawa-Ken | 2012-03-22 / 20120072199 - METHOD AND PROGRAM FOR ESTIMATING OPERATION OF PROGRAM | 1 |
Takumi Kawahara | JP | Tokyo | 2009-06-11 / 20090147107 - Electronic camera | 1 |
Tomokazu Kawahara | JP | Yokohama-Shi | 2015-09-24 / 20150269437 - IMAGE PROCESSING APPARATUS, METHOD THEREOF AND PROGRAM THEREFOR | 2 |
Shingo Kawahara | JP | Ritto-Shi | 2012-12-13 / 20120315520 - BATTERY PACK | 2 |
Takumi Kawahara | JP | Saitama | 2010-09-30 / 20100245895 - CONTROL DEVICE, IMAGE FORMING APPARATUS, COMPUTER READABLE MEDIUM, AND CONTROL METHOD | 1 |
Shinya Kawahara | JP | Shizuoka | 2015-03-19 / 20150080214 - MARKING CONTROL DEVICE, LASER APPLICATION DEVICE, MARKING CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM HAVING MARKING CONTROL PROGRAM | 9 |
Kazuaki Kawahara | JP | Tokyo | 2015-04-02 / 20150095889 - EVALUATION SYSTEM, EVALUATION DEVICE, AND EVALUATION METHOD | 1 |
Tetsuya Kawahara | JP | Saitama | 2010-11-25 / 20100299690 - INFORMATION DELIVERY SYSTEM AND METHOD, INFORMATION DELIVERY DEVICE, AND DISPLAY DEVICE | 1 |
Tatsuaki Kawahara | JP | Gamagori-Shi | 2014-04-03 / 20140091761 - CHARGING CONNECTOR ACCOMMODATION APPARATUS FOR USE IN TRUCK-TYPE ELECTRIC VEHICLE | 1 |
Yusuke Kawahara | JP | Saitama | 2011-03-10 / 20110056556 - DYE-SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 3 |
Shinichiro Kawahara | JP | Yamatokoriyama-Shi | 2013-05-23 / 20130126079 - ACRYLATE BASED ADHESIVE COMPOSITION FOR OPTICAL USE, ACRYLATE BASED ADHESIVE SHEET FOR OPTICAL USE, AND METHOD FOR SEPARATING OPTICAL COMPONENT USING THE SAME | 1 |
Jun Kawahara | JP | Saitama | 2014-02-13 / 20140041213 - ELECTROCHEMICAL DEVICE MANUFACTURING | 1 |
Hideaki Kawahara | JP | Kobe-Shi | 2014-09-18 / 20140274509 - BELT ATTACHMENT JIG | 1 |
Rumi Kawahara | JP | Tokyo | 2015-05-07 / 20150126454 - COMPOSITION | 2 |
Jun Kawahara | JP | Tokyo | 2009-12-17 / 20090309186 - SEMICONDUCTOR DEVICE AND ITS MANUFACTURING METHOD | 3 |
Hiroshi Kawahara | JP | Saitama | 2011-03-17 / 20110062828 - PIEZOELECTRIC VIBRATING DEVICES AND METHODS FOR MANUFACTURING SAME | 2 |
Akihito Kawahara | JP | Haga-Gun | 2012-07-19 / 20120183998 - Modified Promoter | 1 |
Takemasa Kawahara | JP | Osaka | 2011-06-09 / 20110131697 - POSTURE-IMPROVING GARMENT | 4 |
Youhei Kawahara | JP | Tokyo | 2015-10-22 / 20150301115 - BATTERY CONTROL DEVICE, BATTERY SYSTEM | 8 |
Toshio Kawahara | JP | Osaka | 2011-03-24 / 20110068875 - FLUCTUATION OSCILLATOR, FLUCTUATION OSCILLATING SYSTEM, OBSERVATION DEVICE AND CONTROL SYSTEM | 1 |
Kenzo Kawahara | JP | Yamaguchi | 2009-11-26 / 20090288585 - LOW-FUEL-CONSUMPTION TRANSPORT SHIP | 1 |
Kunihiko Kawahara | JP | Hamura-Shi Tokyo | 2015-06-25 / 20150178036 - VIDEO OUTPUT DEVICE, INFORMATION PROCESSOR, AND METHOD | 1 |
Takaaki Kawahara | JP | Kawasaki-Shi | 2015-10-22 / 20150303205 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Kazuto Kawahara | JP | Sagamihara-Shi | 2012-08-16 / 20120206624 - IMAGE PROCESSING METHOD, IMAGE PROCESSING APPARATUS, AND ELECTRONIC CAMERA | 2 |
Masakazu Kawahara | JP | Osaka | 2011-08-25 / 20110205178 - ELECTRONIC DEVICE HAVING TWO DISPLAY DEVICES, METHOD OF CONTROLLING THE SAME, AND RECORDING MEDIUM | 2 |
Daisaku Kawahara | JP | Tokyo | 2010-09-02 / 20100222452 - ANTIFOULING COATING | 1 |
Takumi Kawahara | JP | Yokohama-Shi | 2011-09-22 / 20110228125 - Digital camera that uses object detection information at the time of shooting for processing image data after acquistion of an image | 2 |
Noriyuki Kawahara | JP | Saitama | 2015-03-12 / 20150070930 - LUMINOUS FLUX CONTROL MEMBER, LIGHT EMITTING APPARATUS, AND ILLUMINATING APPARATUS | 11 |
Takahiko Kawahara | JP | Hadano-Shi | 2009-03-19 / 20090076525 - PFO CLOSING DEVICE | 1 |
Kazuo Kawahara | US | Ann Arbor | 2009-01-08 / 20090011928 - PHOTOCATALYTIC METHODS FOR PREPARATION OF ELECTROCATALYST MATERIALS | 1 |
Tsuyoshi Kawahara | JP | Tokyo | 2015-07-30 / 20150214599 - RADIO WAVE MONITORING APPARATUS | 1 |
Shinya Kawahara | JP | Numazu-Shi | 2014-04-10 / 20140099574 - IMAGE PROCESSING METHOD, AND IMAGE PROCESSOR | 12 |
Makoto Kawahara | JP | Yokohama | 2011-03-31 / 20110074241 - ROTATING ELECTRICAL MACHINE AND COIL | 1 |
Mitsuhiro Kawahara | JP | Kyoto | 2013-07-04 / 20130172521 - METHOD FOR PRODUCING NYLON SALT POWDER, AND METHOD FOR PRODUCING NYLON | 6 |
Nakaya Kawahara | JP | Toyota-Shi | 2012-09-13 / 20120231584 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Takeshi Kawahara | JP | Tokyo | 2013-05-23 / 20130128622 - POWER CONVERTING APPARATUS | 2 |
Manabu Kawahara | JP | Tokyo | 2010-05-13 / 20100122364 - METHOD OF CONSTRUCTING NUCLEUS-IMPLANTED EGG, PARTHENOGENETIC EMBRYO AND PARTHENOGENETIC MAMMAL | 2 |
Koji Kawahara | JP | Kyoto | 2014-01-30 / 20140032375 - CONTENT SELLING SYSTEM, TRADING MEDIUM, CONTENT SERVER DEVICE, RECORDING MEDIUM, AND CONTENT SELLING METHOD | 1 |
Hidetaka Kawahara | JP | Kawasaki | 2010-05-13 / 20100119007 - PRECODER CIRCUIT | 1 |
Mikio Kawahara | JP | Tokyo | 2010-08-12 / 20100203546 - Allele Determining Device, Allele Determining Method And Computer Program | 1 |
Hideki Kawahara | JP | Wakayama | 2011-01-20 / 20110015931 - PERIODIC SIGNAL PROCESSING METHOD,PERIODIC SIGNAL CONVERSION METHOD,PERIODIC SIGNAL PROCESSING DEVICE, AND PERIODIC SIGNAL ANALYSIS METHOD | 1 |
Tsukasa Kawahara | JP | Kyoto | 2015-01-22 / 20150022089 - LIGHT-EMITTING ELEMENT DRIVING DEVICE | 5 |
Takeo Kawahara | JP | Yamaguchi | 2010-08-26 / 20100216050 - SEPARATION MEMBRANE FOR FUEL CELL | 1 |
Takayuki Kawahara | JP | Higashiyamoto | 2013-05-02 / 20130105760 - SEMICONDUCTOR DEVICE | 1 |
Takeshi Kawahara | JP | Kakogawa | / - | 1 |
Yoshitaka Kawahara | JP | Kanagawa | 2015-07-30 / 20150210567 - SCALE SUPPRESSION APPARATUS, GEOTHERMAL POWER GENERATION SYSTEM USING THE SAME, AND SCALE SUPPRESSION METHOD | 5 |
Koji Kawahara | JP | Hokkaido | 2012-02-02 / 20120030204 - TEXT DATA PROCESSING DEVICE AND PROGRAM | 1 |
Takumi Kawahara | JP | Saitama-Shi | 2009-11-19 / 20090287858 - DMA CONTROL SYSTEM, PRINTING APPARATUS, TRANSFER INSTRUCTION METHOD AND COMPUTER READABLE MEDIUM | 1 |
Takumi Kawahara | JP | Ichikawa-Shi | 2011-04-28 / 20110096199 - Electronic camera | 2 |
Nobuo Kawahara | JP | Ibaraki | 2015-02-19 / 20150051293 - PTEROSIN DERIVATIVE-CONTAINING THERAPEUTIC PREPARATION FOR DISEASE ASSOCIATED WITH CARTILAGE LOSS, CARTILAGE DEGENERATION AND/OR CARTILAGE THINNING | 1 |
Atsuko Kawahara | JP | Mobara-Shi | 2014-10-09 / 20140303377 - AGRICULTURAL/HORTICULTURAL INSECTICIDE AND METHOD FOR USING THESAME | 7 |
Kunihiko Kawahara | JP | Tokyo | 2013-02-28 / 20130050411 - VIDEO PROCESSING DEVICE AND VIDEO PROCESSING METHOD | 2 |
Yoshitaka Kawahara | JP | Yokohama-Shi | 2014-06-19 / 20140165564 - METHOD FOR SUPPRESSING SCALE AND GEOTHERMAL POWER GENERATING DEVICE | 1 |
Hidetaka Kawahara | JP | Shimotsuga | 2016-05-19 / 20160142799 - TRANSMISSION DEVICE | 4 |
Shigeru Kawahara | JP | Kawasaki-Shi | 2013-09-26 / 20130252310 - NOVEL ALDOLASE AND PRODUCTION PROCESS OF SUBSTITUTED ALPHA-KETO ACIDS | 10 |
Takayuki Kawahara | JP | Mishima | 2015-08-06 / 20150220899 - COMMODITY DATA REGISTRATION APPARATUS AND CHECKOUT DATA TRANSMISSION METHOD | 2 |
Yukiharu Kawahara | JP | Osaka-Shi | 2016-04-28 / 20160116446 - ANALYSIS METHOD FOR ORGANIC SUBSTANCES IN SOLUTION TO BE EXAMINED | 1 |
Takaaki Kawahara | JP | Tokyo | 2011-03-10 / 20110057265 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 3 |
Shigeru Kawahara | JP | Kawasaki-Shi | 2013-09-26 / 20130252310 - NOVEL ALDOLASE AND PRODUCTION PROCESS OF SUBSTITUTED ALPHA-KETO ACIDS | 10 |
Nobuhiro Kawahara | JP | Tokyo | 2013-01-10 / 20130011135 - Communication device, communication system and communication method | 1 |
Koji Kawahara | JP | Tokyo | 2015-11-12 / 20150320606 - Facial Patch | 7 |
Yuki Kawahara | JP | Osaka | 2015-12-17 / 20150362053 - POWER TRANSMISSION DEVICE AND LOCK-UP DEVICE FOR TORQUE CONVERTER | 5 |
Yuzo Kawahara | JP | Kanagawa-Ken | 2010-09-09 / 20100227506 - Attachment Member Surface-Mount Component Comprising the Attachment Member, and Mounting Structure Using the Attachment Member | 2 |
Norihito Kawahara | CN | Hong Kong | 2010-11-11 / 20100281905 - Dehumidifier | 1 |
Taichiro Kawahara | JP | Chiyoda-Ku | 2015-07-30 / 20150214741 - SYSTEM CONTROL DEVICE AND SYSTEM CONTROL METHOD | 1 |
Kota Kawahara | JP | Tokyo | 2014-05-29 / 20140146662 - MANAGEMENT SYSTEM AND MANAGEMENT METHOD | 1 |
Toshikazu Kawahara | JP | Tokyo | 2016-05-19 / 20160140287 - Template Creation Device for Sample Observation Device, and Sample Observation Device | 3 |
Tatsuya Kawahara | JP | Toyota-Shi | 2011-11-24 / 20110287335 - REINFORCED ELECTROLYTE MEMBRANE FOR FUEL CELL, MEMBRANE-ELECTRODE ASSEMBLY FOR FUEL CELL, AND POLYMER ELECTROLYTE FUEL CELL COMPRISING THE SAME | 2 |
Hiroshi Kawahara | JP | Fujisawa-Shi | 2012-10-04 / 20120247240 - Linear Actuator | 1 |
Hideo Kawahara | JP | Kawaguchi-Shi | 2014-12-25 / 20140375867 - IMAGE PICKUP APPARATUS CAPABLE OF CONTROLLING TRANSMITTANCE OF TRANSMITTANCE CHANGING MEMBER, METHOD OF CONTROLLING IMAGE PICKUP APPARATUS, AND STORAGE MEDIUM | 1 |
Seiichi Kawahara | JP | Nagaoka-Shi | 2013-06-06 / 20130144012 - MODIFIED NATURAL RUBBER PARTICLE, PRODUCTION METHOD THEREOF, AND MODIFIED NATURAL RUBBER LATEX | 3 |
Teruo Kawahara | JP | Kawasaki-Shi | 2010-09-09 / 20100226299 - WIRELESS COMMUNICATION METHOD FOR WIRELESS LAN SYSTEM, WIRELESS COMMUNICATION DEVICE AND WIRELESS TERMINAL | 1 |
Tatsuya Kawahara | JP | Toyota-Shi Aichi-Ken | 2015-04-30 / 20150114849 - DURABILITY TEST DEVICE OF MEMBRANE ELECTRODE ASSEMBLY AND DURABILITY TEST METHOD THEREOF | 1 |
Hisashi Kawahara | JP | Osaka-Shi | 2015-01-22 / 20150022852 - AN IMAGE FORMING APPARATUS THAT DISPLAYS A PREVIEW OF A DISPLAY IMAGE SHOWING A STATE WHERE AN IMAGE IS FORMED ON A SHEET OF PAPER | 1 |
Yoshirou Kawahara | JP | Okayama | 2009-10-01 / 20090246135 - DIAGNOSTIC AGENT | 1 |
Takashi Kawahara | JP | Chiba | 2015-07-16 / 20150200654 - POWER SUPPLY IMPEDANCE OPTIMIZING APPARATUS | 1 |
Naoyoshi Kawahara | JP | Kawasaki | 2008-09-04 / 20080211097 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Kazuma Kawahara | JP | Tokyo | 2016-03-10 / 20160071542 - INFORMATION OUTPUT DEVICE AND COMPUTER READABLE MEDIUM | 7 |
Takamitsu Kawahara | JP | Kawasaki-Shi | 2013-09-12 / 20130234164 - SILICON CARBIDE SUBSTRATE, SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SILICON CARBIDE SUBSTRATE | 3 |
Satoshi Kawahara | JP | Tokyo | 2014-03-20 / 20140077105 - RADIOACTIVE CONTAMINANT CONTAINER | 1 |
Jun Kawahara | JP | Ichihara | 2013-06-20 / 20130158328 - PROCESS FOR PRODUCING UNSATURATED HYDROCARBONS AND DEHYDROGENATION CATALYST USED IN THE PROCESS | 1 |
Hidehisa Kawahara | JP | Hirakata-Shi | 2008-12-25 / 20080317704 - Control of ice-crystal growth by non-proteinaceous substance | 1 |
Hiroyuki Kawahara | JP | Osaka | 2013-01-10 / 20130010373 - LENS CONTROL APPARATUS AND INTERCHANGEABLE LENS INCLUDING LENS CONTROL APPARATUS | 6 |
Hidehisa Kawahara | JP | Suita-Shi | 2014-07-31 / 20140213663 - ICE CRYSTALLIZATION INHIBITOR DERIVED FROM PLANT SEED | 5 |
Takahiro Kawahara | JP | Kodaira-Shi | 2015-01-22 / 20150020937 - PNEUMATIC TIRE | 1 |
Tetsuji Kawahara | JP | Hitachinaka | 2011-11-24 / 20110283779 - AUTOMATIC ANALYZER | 3 |
Hideaki Kawahara | JP | Hyogo | 2014-08-21 / 20140235391 - ACCESSORY DRIVE BELT TRANSMISSION SYSTEM FOR AUTOMOBILE AND V-RIBBED BELT USED FOR THE SAME | 2 |
Masahiro Kawahara | JP | Kobe | 2011-06-30 / 20110156433 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 1 |
Yuzo Kawahara | JP | Osaka | 2010-07-08 / 20100174063 - Polysaccharide Produced by Microorganism Belonging to Genus Bifidobacterium | 1 |
Tatsuya Kawahara | JP | Aichi-Ken | 2009-09-24 / 20090239116 - ASSEMBLY FOR FUEL CELL, FUEL CELL, AND METHOD FOR MANUFACTURING FUEL CELL | 1 |
Kunihiko Kawahara | JP | Hamura-Shi | 2012-10-11 / 20120257027 - Stereoscopic Image Display Apparatus, Image Display System and Method for Displaying Stereoscopic Image | 4 |
Jun Kawahara | JP | Kawasaki | 2014-04-03 / 20140095745 - BUFFER DEVICE, BUFFER CONTROL DEVICE, AND BUFFER CONTROL METHOD | 4 |
Masahiro Kawahara | JP | Kobe-Shi | 2011-06-30 / 20110156425 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 2 |
Nobuto Kawahara | JP | Utsunomiya-Shi | 2015-11-19 / 20150328827 - IMPRINT APPARATUS AND ARTICLE MANUFACTURING METHOD | 2 |
Hideki Kawahara | JP | Kyoto | 2012-12-20 / 20120320218 - IMAGING APPARATUS | 1 |
Michiharu Kawahara | JP | Minamitsuru-Gun | 2012-01-12 / 20120010745 - NUMERICAL CONTROLLER FOR MACHINE TOOL WITH FUNCTION OF CONTROLLING SPEED OF ARC OPERATION | 1 |
Shinichi Kawahara | JP | Tokyo | 2015-03-19 / 20150078795 - WASTE-TONER CONVEYANCE DEVICE AND IMAGE FORMING APPARATUS | 19 |
Takahiro Kawahara | JP | Tokyo | 2015-12-10 / 20150357115 - COIL COMPONENT | 3 |
Tomohiro Kawahara | JP | Himeji-Shi | 2013-12-05 / 20130318835 - SHEET FOR SHOE SOLE AND SHOE SOLE INCLUDING THE SAME | 1 |
Shuya Kawahara | JP | Gotenba-Shi | 2015-08-06 / 20150221966 - MANUFACTURING METHOD OF FUEL CELL, FUEL CELL AND FUEL CELL SYSTEM | 5 |
Chie Kawahara | US | Minneapolis | 2011-02-24 / 20110046520 - IMPLANTABLE MEDICAL DEVICE CONFIGURED AS A PEDOMETER | 3 |
Fumitomo Kawahara | JP | Tokyo | 2010-08-26 / 20100212148 - Methods For Manufacturing and Reclaiming Electrode For Plasma Processing Apparatus | 1 |
Kiyoaki Kawahara | JP | Ibaraki | 2014-10-09 / 20140299666 - CARD AND CARD MANUFACTURING METHOD | 1 |
Satoshi Kawahara | JP | Kyoto-Shi | 2015-04-02 / 20150090745 - Nozzle Mounting Structure of Component Container | 3 |
Hideo Kawahara | JP | Hatogaya-Shi | 2014-06-05 / 20140152812 - IMAGE PICKUP APPARATUS WITH INCLINATION GUIDE DISPLAY | 13 |
Yoshihiro Kawahara | JP | Otsu-Shi | 2015-04-02 / 20150091212 - GATE VALVE AND MANUFACTURING METHOD OF GATE VALVE | 1 |
Takuto Kawahara | JP | Tokyo | 2014-04-03 / 20140095993 - MEDICAL IMAGE DISPLAY APPARATUS,MEDICAL IMAGE DISPLAY METHOD, AND RECORDING MEDIUM | 3 |
Nobuyuki Kawahara | JP | Chiba | 2016-01-07 / 20160002198 - AMIDE DERIVATIVES, PROCESS FOR PREPARATION THEREOF AND USE THEREOF AS INSECTICIDE | 9 |
Toshirou Kawahara | JP | Chiyoda-Ku | 2014-10-02 / 20140295823 - BASE STATION AND COMMUNICATION SYSTEM | 1 |
Ichiro Kawahara | JP | Yokohama-Shi | 2014-10-02 / 20140294430 - CHARGING DEVICE, ASSEMBLY, AND IMAGE FORMING APPARATUS | 1 |
Shouroku Kawahara | JP | Ushiku-Shi | 2013-06-20 / 20130152574 - Working Vehicle Travel Control Apparatus | 1 |
Hiroyuki Kawahara | JP | Tokyo | 2016-02-25 / 20160051504 - COMPOSITION FOR EXTERNAL USE ON SKIN FOR INFLAMMATORY DISEASES | 12 |
Shiro Kawahara | JP | Funabashi-Shi | 2012-03-08 / 20120059169 - NOVEL CRYSTAL FORM OF TRICYCLIC BENZOPYRAN COMPOUND AND PRODUCTION METHOD THEREOF | 1 |
Toshio Kawahara | JP | Aichi | 2015-08-06 / 20150221779 - THIN FILM TRANSISTOR AND METHOD FOR PRODUCING SAME | 2 |
Akihiro Kawahara | JP | Shinjuku-Ku | 2016-02-11 / 20160041462 - MASK BLANK GLASS SUBSTRATE, MULTILAYER REFLECTIVE FILM COATED SUBSTRATE, MASK BLANK, MASK, AND METHODS OF MANUFACTURING THE SAME | 2 |
Hiroshi Kawahara | JP | Kanagawa | 2016-03-31 / 20160089711 - Joint Structure and Joining Method Thereof | 2 |
Tetsuya Kawahara | JP | Tsukuba | 2009-08-13 / 20090203911 - BENZIMIDAZOLE COMPOUND | 1 |
Lisa Y. Kawahara | US | Colorado Springs | 2011-12-08 / 20110302562 - HIGHER ORDER LOGIC APPLIED TO EXPERT SYSTEMS FOR ALARM ANALYSIS, FILTERING, CORRELATION AND ROOT CAUSE | 1 |
Koji Kawahara | JP | Chiyoda-Ku | 2013-04-18 / 20130095994 - OXIDE CERAMICS SINTERED COMPACT AND METHOD OF MANUFACTURING THE SAME | 1 |
Noriyuki Kawahara | JP | Kawaguchi | 2013-07-11 / 20130176741 - LIGHT FLUX CONTROLLING MEMBER AND ILLUMINATION DEVICE | 1 |
Tetsuya Kawahara | JP | Ibaraki | 2009-07-16 / 20090182149 - SALT OF SULFINYLBENZIMIDAZOLE COMPOUND, AND CRYSTAL AND AMORPHOUS FORM THEREOF | 2 |
Tetsuya Kawahara | JP | Inashiki-Gun | 2009-08-27 / 20090215795 - CYCLIC AMIDINE DERIVATIVES | 1 |
Keizou Kawahara | JP | Ohtsu-Shi | 2009-06-18 / 20090152095 - EASY-TO-TEAR STRETCHED ALIPHATIC POLYESTER FILM | 1 |
Ichiro Kawahara | JP | Kanagawa | 2016-02-11 / 20160041489 - IMAGE FORMING APPARATUS AND IMAGE FORMING UNIT | 3 |
Takaaki Kawahara | JP | Kanagawa | 2013-09-19 / 20130240977 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 5 |
Shigeru Kawahara | JP | Kanagawa | 2012-02-16 / 20120040416 - NOVEL ALDOLASE AND PRODUCTION PROCESS OF SUBSTITUTED ALPHA-KETO ACIDS | 2 |
Kohji Kawahara | JP | Kanagawa | 2009-01-01 / 20090006028 - Motion Detection Apparatus and Motion Detecting Method | 1 |
Toshirou Kawahara | JP | Yokohama-Shi | 2010-07-22 / 20100182876 - MODULATION DEVICE, DEMODULATION DEVICE, AND ACOUSTIC SIGNAL TRANSMISSION METHOD | 1 |
Jun Kawahara | SE | Norrkoping | 2013-06-27 / 20130162512 - FIXED IMAGE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 3 |
Toshiro Kawahara | US | Saratoga | 2010-01-07 / 20100005310 - METHOD AND APPARATUS FOR AUTHENICATION OF DATA STREAMS WITH ADAPTIVELY CONTROLLED LOSSES | 5 |
Ichirou Kawahara | JP | Yokohama-Shi | 2011-09-08 / 20110217103 - COLLECTION CONTAINER AND IMAGE-FORMING APPARATUS | 1 |
Hiroshi Kawahara | JP | Tokyo | 2011-12-22 / 20110309720 - PIEZOELECTRIC DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Atsushi Kawahara | JP | Utsunomiya-Shi | 2015-11-26 / 20150338746 - EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD | 6 |
Atsushi Kawahara | JP | Kanagawa | 2009-07-02 / 20090165541 - ENGINE ROTATING METER | 2 |
Atsushi Kawahara | JP | Kawasaki-Shi | 2008-08-28 / 20080206427 - W1/O/W2 TYPE COMPOSITE EMULSIFIED DRESSING AND METHOD FOR PREPARING THE SAME | 1 |
Hitoshi Kawahara | JP | Tokyo | 2008-10-09 / 20080245935 - CONNECTION STRUCTURE AND JOINT | 1 |
Rena Kawahara | JP | Tokyo | 2015-12-10 / 20150357262 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF, AND TRANSFER SHEET AND MANUFACTURING METHOD THEREOF | 1 |
Keizo Kawahara | JP | Shiga | 2009-03-05 / 20090056995 - ADHESIVE SHEET, METAL-LAMINATED SHEET AND PRINTED WIRING BOARD | 3 |
Craig Kawahara | US | San Jose | 2016-05-19 / 20160139756 - DETECTING AN EVENT WITHIN INTERACTIVE MEDIA INCLUDING SPATIALIZED MULTI-CHANNEL AUDIO CONTENT | 2 |
Manabu Kawahara | JP | Matsumoto-Shi | 2009-06-11 / 20090146362 - TRANSPORTATION DEVICE AND IMAGE PROCESSING APPARATUS | 1 |
Noriyuki Kawahara | JP | Kanagawa | 2014-12-11 / 20140362123 - SCROLLING APPARATUS, SCROLLING METHOD, AND COMPUTER-READABLE MEDIUM | 3 |
Kouhei Kawahara | JP | Kanagawa | 2009-06-25 / 20090159526 - CRYSTALLINE POLYMER MICROPOROUS MEMBRANE, METHOD FOR PRODUCING SAME, AND FILTER FOR FILTRATION | 1 |
Shoroku Kawahara | JP | Ushiku-Shi | 2015-04-09 / 20150098784 - Work Vehicle | 1 |
Naomi Kawahara | JP | Osaka | 2015-12-17 / 20150361185 - FORMYL GROUP-CONTAINING POROUS SUPPORT, ADSORBENT USING SAME, METHOD FOR PRODUCING SAME, AND METHOD FOR PRODUCING THE ADSORBENT | 3 |
Toshiro Kawahara | JP | Kanagawa | 2009-08-20 / 20090207758 - Fast Active Scanning Wireless Network Apparatus and Method | 1 |
Masanori Kawahara | JP | Kawasaki | 2008-10-23 / 20080259559 - Apparatus and method for controlling apparatus | 2 |
Masahiro Kawahara | JP | Toyota-Shi | 2015-01-29 / 20150027388 - OIL JET | 2 |
Toshirou Kawahara | JP | Kanagawa | 2009-11-19 / 20090285118 - PROXY TERMINAL, SERVICE DEVICE, PROXY TERMINAL COMMUNICATION PATH SETTING METHOD, AND SERVER DEVICE COMMUNICATION PATH SETTING METHOD | 1 |
Kazuyoshi Kawahara | JP | Kanagawa | 2010-02-18 / 20100038798 - METHOD FOR CORRECTING MASK PATTERN, PHOTOMASK, METHOD FOR FABRICATING PHOTOMASK, ELECTRON BEAM WRITING METHOD FOR FABRICATING PHOTOMASK, EXPOSURE METHOD, SEMICONDUCTOR DEVICE, AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 1 |
Takayoshi Kawahara | JP | Fukuoka | 2012-02-23 / 20120046362 - ANTIVIRAL AGENT AND CLEANSING AGENT | 1 |
Kazutomo Kawahara | JP | Hyogo | 2015-10-01 / 20150282330 - CONDUCTIVE ADHESIVE FOR SCREEN PRINTING, JOINED BODY OF INORGANIC MATERIAL, AND METHOD FOR PRODUCING SAME | 2 |
Eiji Kawahara | JP | Hiroshima | 2009-06-25 / 20090164801 - RECORDING/REPRODUCING DEVICE, COMMUNICATION DEVICE, PROGRAM, SYSTEM LSI | 2 |
Nobuo Kawahara | JP | Sodegaura-Shi | 2011-08-11 / 20110196103 - PROPYLENE COPOLYMER, POLYPROPYLENE COMPOSITION AND USES THEREOF, TRANSITION METAL COMPOUND AND OLEFIN POLYMERIZATION CATALYST | 6 |
Eiji Kawahara | JP | Nagoya-Shi | 2014-10-02 / 20140294439 - Image Forming Device Including Mechanism for Moving Developer Cartridge | 2 |
Ryo Kawahara | JP | Kanagawa | 2010-09-30 / 20100250226 - HYBRID SYSTEM SIMULATION METHOD AND SIMULATION PROGRAM PRDUCT | 1 |
Eiji Kawahara | JP | Nagoya-Shi, Aichi-Ken | 2010-12-02 / 20100303497 - Toner Recovery Machine and Image Forming Apparatus | 1 |
Hisakazu Kawahara | JP | Kagoshima | 2009-12-17 / 20090310062 - LINEAR LIGHT SOURCE DEVICE, PLANAR LIGHT EMITTING DEVICE AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Tomokazu Kawahara | JP | Kanagawa | 2014-08-07 / 20140219554 - PATTERN RECOGNITION APPARATUS, METHOD THEREOF, AND PROGRAM PRODUCT THEREFOR | 7 |
Eiji Kawahara | JP | Ibaraki | 2012-09-06 / 20120225874 - BICYCLIC DERIVATIVES AS CETP INHIBITORS | 6 |
Naoyoshi Kawahara | JP | Kanagawa | 2015-04-23 / 20150108570 - SEMICONDUCTOR DEVICE | 3 |
Akihiro Kawahara | JP | Tokyo | 2010-09-30 / 20100248092 - MASK BLANK SUBSTRATE, MASK BLANK, EXPOSURE MASK, MASK BLANK SUBSTRATE MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING METHOD | 3 |
Masataka Kawahara | JP | Mishima-Shi | 2016-05-19 / 20160139516 - ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER, PROCESS CARTRIDGE AND ELECTROPHOTOGRAPHIC APPARATUS | 37 |
Minoru Kawahara | JP | Suita-Shi | 2010-03-11 / 20100059717 - GaN CRYSTAL PRODUCING METHOD, GaN CRYSTAL, GaN CRYSTAL SUBSTRATE, SEMICONDUCTOR DEVICE AND GaN CRYSTAL PRODUCING APPARATUS | 1 |
Shiro Kawahara | JP | Chiba | 2011-10-20 / 20110257394 - CRYSTALS OF HYDROCHLORIDE OF PYRIDAZIN-3(2H)-ONE COMPOUND AND PROCESS FOR PRODUCTION OF SAME | 1 |
Minoru Kawahara | JP | Kanagawa | 2012-05-17 / 20120121016 - Predictive encoding and data decoding control | 5 |
Jun Kawahara | JP | Kanagawa | 2016-01-07 / 20160005792 - SEMICONDUCTOR MEMORY DEVICE, AND METHOD FOR PRODUCING THE SAME | 18 |
Nobuo Kawahara | JP | Chiba | 2009-07-23 / 20090186985 - Functionalized elastomer compositions | 3 |
Hideaki Kawahara | JP | Ichihara-Shi | 2013-04-11 / 20130090413 - WATER-DISPERSIBLE EPOXY RESIN, WATER-BASED EPOXY RESIN COMPOSITION AND CURED PRODUCT THEREOF | 1 |
Naoto Kawahara | JP | Yokohama-Shi | 2010-06-17 / 20100147544 - Work Apparatus With Internal Combustion Engine | 1 |
Shuya Kawahara | JP | Gotemba-Shi | 2013-04-11 / 20130089798 - FUEL CELL | 1 |
Hideaki Kawahara | JP | Tokyo | 2013-02-07 / 20130032922 - INTEGRATED HIGH VOLTAGE DIVIDER | 5 |
Takeshi Kawahara | JP | Osaka | 2014-11-27 / 20140346229 - TRIGGER SIGNAL GENERATING DEVICE AND MOVING OBJECT MANAGEMENT SYSTEM | 2 |
Toshinori Kawahara | JP | Fuji-Shi | 2014-09-11 / 20140256903 - LIQUID CRYSTAL POLYESTER AMIDE RESIN | 1 |
Taisuke Kawahara | JP | Kyoto | 2015-01-29 / 20150029934 - STORAGE MEDIUM HAVING STORED THEREIN COMMUNICATION PROGRAM, INFORMATION PROCESSING APPARATUS, COMMUNICATION TERMINAL APPARATUS, COMMUNICATION SYSTEM, AND COMMUNICATION METHOD | 2 |
Kazunori Kawahara | JP | Nishio-Shi, | 2013-11-21 / 20130306015 - LUBRICATION STRUCTURE FOR ROCKER ARM | 3 |
Kouhei Kawahara | JP | Minami-Ashigara-Shi | 2011-03-03 / 20110049044 - CRYSTALLINE POLYMER MICROPOROUS MEMBRANE, METHOD FOR PRODUCING THE SAME, AN DFILTER FOR FILTRATION | 1 |
Chie Kawahara | US | Seattle | 2012-10-25 / 20120271207 - ORTHOSIS AND METHODS OF USING THE SAME | 1 |
Kohji Kawahara | JP | Tokyo | 2010-06-17 / 20100150992 - Methods for Treatment of Ophthalmic Disease of an External Ophthalmic Tissue | 1 |
Toyoki Kawahara | JP | Osaka | 2009-11-19 / 20090285211 - COMMUNICATION METHOD AND RECEIVING TERMINAL | 1 |
Naoto Kawahara | JP | Hiroshima | 2014-01-16 / 20140018974 - VEHICLE DRIVING SUPPORT DEVICE AND VEHICLE DRIVING SUPPORT METHOD | 1 |
Makoto Kawahara | JP | Tokyo | 2016-02-25 / 20160056573 - CONNECTOR DEVICE | 1 |
Michiaki Kawahara | JP | Tokyo | 2016-04-07 / 20160096803 - CRYSTAL OF PYRROLE DERIVATIVE AND METHOD FOR PRODUCING THE SAME | 1 |
Kazuya Kawahara | JP | Osaka | 2014-11-13 / 20140336315 - DRIPPING INHIBITOR AND RESIN COMPOUND | 1 |
Kei Kawahara | JP | Isahaya | 2015-06-04 / 20150155232 - SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING THE SAME, METHOD FOR GENERATING MASK DATA, MASK AND COMPUTER READABLE RECORDING MEDIUM | 6 |
Masanori Kawahara | JP | Hanno-Shi | 2013-11-21 / 20130309898 - CHARGING APPARATUS | 1 |
Nobou Kawahara | JP | Chiba | 2009-05-28 / 20090136774 - Resin Composition Comprising Olefinic Block polymer and Application Thereof | 1 |
Kouji Kawahara | JP | Tokyo | 2009-09-03 / 20090220580 - Percutaneous Absorption Formulation | 2 |
Yasuyuki Kawahara | JP | Kyoto-Shi | 2015-03-12 / 20150072911 - LUBRICANT BASE OIL FOR FLUID BEARING | 1 |
Yohei Kawahara | JP | Tokyo | 2015-12-24 / 20150369873 - BATTERY CONTROLLER | 1 |
Atsushi Kawahara | JP | Kitakyushu-Shi | 2015-11-12 / 20150326101 - ROTARY ELECTRIC MACHINE | 1 |
Youhei Kawahara | JP | Hitachi | 2014-06-12 / 20140159671 - Battery Control Circuit for Reducing Power Consumption | 11 |
Hirotomo Kawahara | JP | Tokyo | 2016-03-17 / 20160077320 - PROTECTIVE FILM, REFLECTIVE MEMBER, AND METHOD FOR PRODUCING PROTECTIVE FILM | 3 |
Youhei Kawahara | JP | Hitachi-Shi | 2012-10-11 / 20120256569 - Battery Control Device and Motor Drive System | 7 |
Keiji Kawahara | JP | Hitachinaka | 2013-12-12 / 20130328185 - Power Semiconductor Module, Method of Manufacturing Power Semiconductor Module, and Power Conversion Device | 2 |
Shuya Kawahara | JP | Gotemba-Shi, Sizuoka-Ken | 2016-03-17 / 20160079621 - FUEL CELL SYSTEM AND CONTROL METHOD THEREOF | 1 |
Yoshihiro Kawahara | JP | Tokyo | 2016-04-21 / 20160112227 - TRANSMITTING DEVICE, RECEIVING DEVICE, AND TRANSMITTING AND RECEIVING SYSTEM | 1 |
Tomoharu Kawahara | JP | Kanagawa | / - | 1 |
Akiyoshi Kawahara | JP | Yamanashi | 2016-03-10 / 20160067806 - ELECTRONIC DISCHARGE MACHINE | 8 |
Nobuaki Kawahara | JP | Aichi | 2008-12-04 / 20080296529 - Piezoelectric thin film, piezoelectric material, and fabrication method of piezoelectric thin film and piezoelectric material, and piezoelectric resonator, actuator element, and physical sensor using piezoelectric thin film | 1 |
Kenta Kawahara | JP | Tokyo | 2016-05-12 / 20160131404 - OUTDOOR UNIT AND AIR-CONDITIONING APPARATUS | 2 |
Yoshio Kawahara | JP | Kawasaki-Shi | 2014-02-13 / 20140045219 - Method for Producing Substance Utilizing Microorganism | 1 |
Yuji Kawahara | JP | Osaka | 2009-08-20 / 20090205556 - ROWING BOAT FOOTREST ASSEMBLY | 1 |
Mikio Kawahara | JP | Kanagawa | 2015-07-23 / 20150203926 - PRIMER SET FOR DETECTING BOVINE LEUKEMIA VIRUS AND USE THEREOF | 1 |
Kazuya Kawahara | JP | Settsu-Si | 2015-07-16 / 20150200402 - SHEET, ELECTRODE AND FUEL CELL | 1 |
Nobuyuki Kawahara | JP | Chiba | 2016-01-07 / 20160002198 - AMIDE DERIVATIVES, PROCESS FOR PREPARATION THEREOF AND USE THEREOF AS INSECTICIDE | 9 |
Yoshitaka Kawahara | JP | Kawasaki-Shi | 2014-08-28 / 20140238023 - MIXED AIR REMOVAL DEVICE AND POWER GENERATOR INCLUDING THE SAME | 1 |
Shuya Kawahara | JP | Susono-Shi | 2012-12-06 / 20120308905 - FUEL CELL SYSTEM AND CONTROL METHOD OF SAME | 1 |
Norihiro Kawahara | JP | Kawasaki-Shi | 2015-11-26 / 20150339115 - INFORMATION PROCESSING APPARATUS, METHOD FOR CONTROLLING THE SAME, AND STORAGE MEDIUM | 5 |
Nobuyuki Kawahara | JP | Toyota-Shi | 2015-08-27 / 20150241904 - PEDAL UNIT FOR VEHICLE | 4 |
Shuya Kawahara | JP | Shizuoka-Ken | 2012-02-23 / 20120045705 - FUEL CELL SYSTEM | 1 |
Yukio Kawahara | JP | Koto-Ku | 2009-10-22 / 20090261506 - Crystallization method of neck of primary molded product for biaxially-oriented blow-molded bottle-shaped container and jig to be used for the same | 1 |
Minoru Kawahara | JP | Osaka | 2009-08-20 / 20090205561 - METHOD FOR PRODUCING SILICON CARBIDE (SiC) CRYSTAL AND SILICON CARBIDE (SiC) CRYSTAL OBTAINED BY THE SAME | 2 |
Yoshiyuki Kawahara | JP | Hyogo | 2009-07-23 / 20090185652 - RECYCLED FUEL ASSEMBLY STORAGE BASKET AND RECYCLED FUEL ASSEMBLY STORAGE CONTAINER | 1 |
Yoshihiro Kawahara | JP | Ishikawa-Ken | 2009-02-19 / 20090045177 - Hybrid Laser Processing Apparatus | 1 |
Sadahiro Kawahara | JP | Kashihara-Shi | 2009-03-12 / 20090065285 - VEHICLE STEERING APPARATUS | 2 |
Yusuke Kawahara | JP | Tokyo | 2015-03-05 / 20150060779 - ORGANIC ELECTROLUMINESCENT ILLUMINATING DEVICE | 1 |
Masakazu Kawahara | JP | Tokyo | 2009-02-05 / 20090036314 - METHOD OF FORMING OXIDE SUPERCONDUCTOR THICK FILM | 1 |
Sadahiro Kawahara | JP | Nara | 2010-01-21 / 20100013175 - VARIABLE STIFFNESS STABILIZER DEVICE | 1 |
Ryuichi Kawahara | JP | Kobe | 2015-10-29 / 20150312105 - SERVER INFORMATION MANAGEMENT APPARATUS, NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM HAVING STORED THEREIN SERVER INFORMATION MANAGEMENT PROGRAM, AND SERVER INFORMATION MANAGEMENT METHOD | 2 |
Takeshi Kawahara | JP | Shiga | 2012-11-29 / 20120301779 - METHOD OF MANUFACTURING BATTERY, AND BATTERY | 1 |
Youhei Kawahara | JP | Hitachi | 2014-06-12 / 20140159671 - Battery Control Circuit for Reducing Power Consumption | 11 |
Nobuyuki Kawahara | JP | Mobara-Shi | 2014-10-09 / 20140303377 - AGRICULTURAL/HORTICULTURAL INSECTICIDE AND METHOD FOR USING THESAME | 3 |
Yuki Kawahara | JP | Osaka-Shi | 2014-07-31 / 20140209423 - LOCK-UP DEVICE FOR TORQUE CONVERTER | 6 |
Satoshi Kawahara | JP | Yokohama | 2011-12-08 / 20110299585 - RECEIVING DEVICE AND RECEIVING METHOD | 2 |
Fumio Kawahara | JP | Aichi | 2010-04-22 / 20100098321 - TEMPERATURE MEASURING DEVICE AND TEMPERATURE MEASURING METHOD | 1 |
Naoki Kawahara | JP | Osaka | 2009-02-12 / 20090041184 - X-RAY FLUORESCENCE SPECTROMETER AND PROGRAM USED THEREIN | 1 |
Yuji Kawahara | JP | Osaka-Fu | 2012-05-24 / 20120127101 - DISPLAY CONTROL APPARATUS | 1 |
Shoji Kawahara | JP | Tokyo | 2010-12-23 / 20100321398 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Kenichi Kawahara | JP | Ise-Shi | 2010-05-13 / 20100118101 - Thermal transfer printer | 1 |
Yoshinari Kawahara | JP | Hitachinaka | 2009-09-03 / 20090218179 - Electric Brake | 1 |
Hideaki Kawahara | US | Plano | 2016-05-19 / 20160141204 - TRENCH HAVING THICK DIELECTRIC SELECTIVELY ON BOTTOM PORTION | 6 |
Noriaki Kawahara | JP | Tokyo | 2012-01-05 / 20120005272 - RELATIONSHIP SUPPORT APPARATUS, RELATIONSHIP SUPPORT METHOD, AND STORAGE MEDIUM | 1 |
Hiroharu Kawahara | JP | Kitakyushu-Shi | 2014-06-12 / 20140162318 - ENHANCING INGREDIENTS FOR PROTEIN PRODUCTION FROM VARIOUS CELLS | 2 |
Hiroyuki Kawahara | JP | Tokyo | 2016-02-25 / 20160051504 - COMPOSITION FOR EXTERNAL USE ON SKIN FOR INFLAMMATORY DISEASES | 12 |
Noriyuki Kawahara | JP | Kawaguchi-Shi | 2009-02-19 / 20090047180 - Fluid handling device | 1 |
Kosei Kawahara | JP | Tokyo | 2015-10-22 / 20150299862 - MANUFACTURING METHOD OF ROTATING MACHINE, PLATING METHOD OF ROTATING MACHINE, AND ROTATING MACHINE | 2 |
Hidehisa Kawahara | JP | Osaka | 2015-06-04 / 20150150293 - METHOD FOR PRODUCING ENOKITAKE MUSHROOM EXTRACT, ENOKITAKE MUSHROOM EXTRACT, AND FOOD ADDITIVE | 1 |
Akiyoshi Kawahara | JP | Minamitsuru-Gun | 2014-01-16 / 20140014624 - WIRE ELECTRIC DISCHARGE MACHINE WITH MACHINING POWER SOURCE SWITCHABLE FOR WIRE CUTTING | 8 |
Kazufumi Kawahara | JP | Hiroshima | 2014-05-15 / 20140133912 - Tsunami Breakwater Wall of Multilayered Steel Pipe Pile Structure And Its Construction Method | 2 |
Seiichi Kawahara | JP | Niigata-Ken | 2010-11-18 / 20100292411 - MODIFIED RUBBER AND MANUFACTURING METHOD FOR THE SAME | 1 |
Takayuki Kawahara | JP | Higashiyamato | 2015-02-05 / 20150036423 - SEMICONDUCTOR DEVICE | 26 |
Shinya Kawahara | JP | Shizuoka | 2015-03-19 / 20150080214 - MARKING CONTROL DEVICE, LASER APPLICATION DEVICE, MARKING CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM HAVING MARKING CONTROL PROGRAM | 9 |
Masataka Kawahara | JP | Mishima-Shi | 2016-05-19 / 20160139516 - ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER, PROCESS CARTRIDGE AND ELECTROPHOTOGRAPHIC APPARATUS | 37 |
Akiyoshi Kawahara | JP | Minamitsuru-Gun | 2014-01-16 / 20140014624 - WIRE ELECTRIC DISCHARGE MACHINE WITH MACHINING POWER SOURCE SWITCHABLE FOR WIRE CUTTING | 8 |
Aklo Kawahara | JP | Toyama-Shi | 2013-07-25 / 20130187576 - LOAD DRIVING DEVICE AND INVERTED MOVABLE BODY EQUIPPED WITH SAME | 1 |
Kenji Kawahara | JP | Kariya-City | 2010-07-22 / 20100184562 - AUTOMATIC STOP/START CONTROLLER FOR INTERNAL COMBUSTION ENGINE | 1 |
Shoroku Kawahara | JP | Ibaraki | 2010-04-22 / 20100095664 - HST Cooling Circuit | 1 |
Tetsushi Kawahara | JP | Tokyo | 2014-02-20 / 20140050727 - ANTI-CD14 ANTIBODY FUSION PROTEIN | 8 |
Tetsushi Kawahara | JP | Tokyo | 2014-02-20 / 20140050727 - ANTI-CD14 ANTIBODY FUSION PROTEIN | 8 |
Makoto Kawahara | JP | Wako-Shi | 2014-10-30 / 20140322568 - BATTERY PACK FOR ELECTRIC CAR | 1 |
Shintaro Kawahara | JP | Yokosuka-Shi | 2014-10-30 / 20140320494 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, COMPUTER READABLE NON-TRANSITORY RECORDING MEDIUM AND IMAGE PROCESSING SYSTEM | 1 |
Tsuyoshi Kawahara | JP | Yokohama-Shi | 2009-10-22 / 20090264057 - DRESSER FEEDING DEVICE | 1 |
Rumi Kawahara | JP | Shizuoka | 2010-04-22 / 20100099918 - Tranquilizer and Functional Food | 1 |
Keiji Kawahara | JP | Hitachinaka-Shi | 2012-06-21 / 20120156561 - Welded Construction and Resistance Welding Method | 1 |
Taichiro Kawahara | JP | Tokyo | 2015-03-26 / 20150084432 - Power System Management Device and Method | 1 |
Youhei Kawahara | JP | Tokyo | 2015-10-22 / 20150301115 - BATTERY CONTROL DEVICE, BATTERY SYSTEM | 8 |
Ko-Ichi Kawahara | JP | Kagoshima | 2013-04-04 / 20130086703 - PERIODONTAL-DISEASE-SPECIFIC PEPTIDE, AND TREATMENT AND DIAGNOSIS OF PERIODONTAL DISEASE USING SAME | 1 |
Fumiaki Kawahara | JP | Osaka | 2011-12-15 / 20110306453 - METHOD OF MANUFACTURING SPROCKET SEGMENT, AND SPROCKET SEGMENT | 5 |
Jun Kawahara | JP | Kanagawa | 2016-01-07 / 20160005792 - SEMICONDUCTOR MEMORY DEVICE, AND METHOD FOR PRODUCING THE SAME | 18 |
Takamitsu Kawahara | JP | Shinjuku-Ku | 2011-01-13 / 20110006310 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Takehiko Kawahara | JP | Hamamatsu-Shi | 2015-09-17 / 20150262612 - Method and Apparatus for Notifying Motion | 2 |
Kazuo Kawahara | JP | Nagoya | 2014-03-20 / 20140080038 - PHOTOCATALYTIC METHODS FOR PREPARATION OF ELECTROCATALYST MATERIALS | 1 |
Masahiro Kawahara | JP | Aichi | 2011-07-07 / 20110164840 - SLIDING BEARING AND METHOD OF MANUFACTURING THE SAME | 1 |
Hitoshi Kawahara | JP | Chiba | 2010-01-14 / 20100010787 - PLATELET THROMBUS FORMATION SIMULATOR | 1 |
Kenji Kawahara | JP | Kariya-Shi | 2013-08-08 / 20130204512 - ENGINE CONTROL APPARATUS PERFORMING AUTOMATIC ENGINE RESTART FOR ENSURING BRAKE BOOSTER ASSISTANCE AFTER AUTOMATIC ENGINE STOP | 8 |
Keisuke Kawahara | JP | Tokyo | 2012-05-31 / 20120133469 - TRANSFORMER | 6 |
Yoshirou Kawahara | JP | Okayama-Shi | 2011-12-01 / 20110293523 - Diagnostic agent | 1 |
Hideaki Kawahara | JP | Kobe | 2009-02-12 / 20090042684 - Flat Belt and Method for Production Thereof | 1 |
Akihiro Kawahara | JP | Yokohama | 2014-03-13 / 20140071124 - IMAGE PROCESSING APPARATUS | 2 |
Kouzou Kawahara | JP | Kumamoto | 2015-12-10 / 20150352587 - LIQUID COATING METHOD, LIQUID COATING APPARATUS, AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Hideya Kawahara | US | Mountain View | 2009-06-11 / 20090150574 - METHOD AND APPARATUS FOR ORGANIZING AND CONSOLIDATING PORTABLE DEVICE FUNCTIONALITY | 2 |
Shinichi Kawahara | JP | Kawasaki | 2015-09-17 / 20150261738 - INSPECTION SUPPORTING APPARATUS AND INSPECTION SUPPORTING METHOD | 2 |
Takumi Kawahara | JP | Kanagawa | 2015-11-12 / 20150324201 - INFORMATION PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 2 |
Kota Kawahara | JP | Hokkaido | 2012-12-20 / 20120320185 - DIFFRACTION MICROSCOPY | 1 |
Kenji Kawahara | JP | Kariya-Shi | 2013-08-08 / 20130204512 - ENGINE CONTROL APPARATUS PERFORMING AUTOMATIC ENGINE RESTART FOR ENSURING BRAKE BOOSTER ASSISTANCE AFTER AUTOMATIC ENGINE STOP | 8 |
Mitsuhiro Kawahara | JP | Osaka | 2011-09-15 / 20110220247 - FLUX COMPOSITION AND SOLDERING PASTE COMPOSITION | 1 |
Fumikiyo Kawahara | JP | Ibaraki-Shi | 2012-11-08 / 20120281370 - CIRCUIT MODULE AND MANUFACTURING METHOD FOR THE SAME | 2 |
Takao Kawahara | JP | Tokyo | 2012-01-26 / 20120019272 - PIN CARD AND TEST APPARATUS USING THE SAME | 2 |
Yudai Kawahara | JP | Tokyo | 2011-01-13 / 20110007136 - Image signal processing apparatus and image display | 1 |
Yumi Kawahara | JP | Hiroshima | 2012-05-10 / 20120115224 - CULTURE METHODS OF BONE MARROW STROMAL CELLS AND MESENCHYMAL STEM CELLS, AND MANUFACTURE METHOD OF GRAFT CELLS FOR CENTRAL NERVE SYSTEM DISEASES THERAPY | 1 |
Takao Kawahara | JP | Gunma | 2010-02-18 / 20100042347 - DEVICE, METHOD, AND PROGRAM FOR DETERMINING ELEMENT, RECORDING MEDIUM, AND MEASUREMENT DEVICE | 1 |
Toshikazu Kawahara | JP | Hitachinaka | 2013-09-12 / 20130234019 - GLOBAL ALIGNMENT USING MULTIPLE ALIGNMENT PATTERN CANDIDATES | 2 |
Tsuneo Kawahara | JP | Tokyo | 2011-07-28 / 20110183284 - DENTAL CLINICAL APPARATUS AND PLASMA JET APPLYING DEVICE FOR DENTISTRY | 1 |
Tomokazu Kawahara | JP | Kanagawa-Ken | 2016-03-24 / 20160088261 - SYSTEM AND A METHOD FOR SPECIFYING AN IMAGE CAPTURING UNIT, AND A NON-TRANSITORY COMPUTER READABLE MEDIUM THEREOF | 4 |
Eiji Kawahara | JP | Tsukuba | 2011-08-18 / 20110201606 - PYRIMIDINE DERIVATIVES | 1 |
Hideki Kawahara | JP | Kariya-City | 2014-11-27 / 20140346635 - SEMICONDUCTOR MODULE AND DRIVING DEVICE FOR SWITCHING ELEMENT | 3 |
Takayuki Kawahara | JP | Higashiyamato-Shi | 2009-01-15 / 20090016102 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE WHICH STORES MULTI-VALUE INFORMATION | 1 |
Koji Kawahara | JP | Ibaraki | 2014-07-31 / 20140212164 - COOLING DEVICE AND IMAGE FORMING APPARATUS INCORPORATING SAME | 2 |
Kazuya Kawahara | JP | Settsu-Shi | 2013-07-18 / 20130184395 - DRIPPING INHIBITOR AND RESIN COMPOUND | 1 |
Taichiro Kawahara | JP | Tokai | 2013-10-10 / 20130265030 - Power Conversion Device, Control Device for Power Conversion Device, and Control Method for Power Conversion Device | 2 |
Ichirou Kawahara | JP | Kanagawa | 2012-03-29 / 20120076529 - CLEANING BODY, CLEANING DEVICE, CHARGING DEVICE, ASSEMBLED BODY, AND IMAGE FORMING APPARATUS | 1 |
Hideya Kawahara | US | Santa Clara | 2009-10-29 / 20090267957 - PARTIAL WINDOW VISIBILITY | 1 |
Fumio Kawahara | JP | Aichi-Ken | 2011-09-15 / 20110223339 - METHOD FOR SURFACE PROCESSING | 3 |
Kazuya Kawahara | JP | Kyoto | 2010-01-21 / 20100014217 - ELECTRONIC COMPONENT AND METHOD OF MANUFACTURING THE SAME | 1 |
Satoshi Kawahara | JP | Kyoto | 2009-06-11 / 20090147982 - HEADPHONE SET AND HEADPHONE CABLE | 1 |
Atsuko Kawahara | JP | Chiba | 2011-06-09 / 20110137068 - METHOD FOR PRODUCING AMIDE DERIVATIVE | 1 |
Mihoko Kawaharada | JP | Wako-Shi | 2014-05-22 / 20140141356 - MEMBRANE ELECTRODE ASSEMBLY | 2 |
Yukihiko Kawaharada | JP | Sakura-Shi | 2010-02-25 / 20100044652 - PIGMENT COMPOSITION FOR COLOR FILTER | 1 |
Yuji Kawaharada | JP | Osaka | 2014-01-23 / 20140023695 - PATCH PREPARATION | 3 |
Mihoko Kawaharada | JP | Saitama-Shi | 2013-05-02 / 20130108942 - FUEL CELL | 1 |
Gen Kawaharada | JP | Tokyo | 2009-02-05 / 20090035945 - MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Yasushi Kawaharada | JP | Otake-Shi | 2015-02-26 / 20150053844 - ROD LENS ARRAY AND IMAGE SENSOR HEAD THAT USES SAME | 2 |
Yoshiyuki Kawaharada | JP | Saitama | 2015-10-22 / 20150302943 - SOLIDIFIED BODY OF RADIOACTIVE WASTE AND PRODUCTION METHOD THEREOF | 1 |
Yukihiko Kawaharada | JP | Kitaadachi-Gun | 2013-12-19 / 20130338310 - INK JET RECORDING WATER-BASED INK AND METHOD FOR PRODUCING THE SAME | 1 |
Makoto Kawaharada | JP | Aichi | 2009-02-26 / 20090052526 - ENCODING DEVICE AND DYNAMIC IMAGE RECORDING SYSTEM USING THE ENCODING DEVICE | 1 |
Mihoko Kawaharada | JP | Wako | 2013-04-25 / 20130101916 - FUEL CELL | 1 |
Shingo Kawaharada | JP | Kawasaki-Shi | 2010-09-30 / 20100250631 - INFORMATION PROCESSOR, ELECTRONIC FILE MANAGING DEVICE, ELECTRONIC FILE MANAGING SYSTEM, AND COMPUTER READABLE MEDIUM | 1 |
Yasuhiro Kawahashi | JP | Kitaibaraki-Shi | 2015-05-07 / 20150123029 - Positive Electrode Active Material For Lithium-Ion Battery, Positive Electrode For A Lithium-Ion Battery, Lithium-Ion Battery Using Same, And Precursor To A Positive Electrode Active Material For A Lithium-Ion Battery | 7 |
Akira Kawahashi | JP | Komaki-Shi | 2012-08-30 / 20120217639 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 2 |
Akira Kawahashi | JP | Aichi-Ken | 2011-11-24 / 20110287626 - OHMIC ELECTRODE AND METHOD OF FORMING THE SAME | 1 |
Akira Kawahashi | JP | Aichi | 2010-04-29 / 20100102332 - METHOD OF FORMING AN OHMIC CONTACT ON A P-TYPE 4H-SIC SUBSTRATE | 2 |
Nobuo Kawahashi | JP | Tokyo | 2008-11-06 / 20080274620 - CHEMICAL MECHANICAL POLISHING AGENT KIT AND CHEMICAL MECHANICAL POLISHING METHOD USING THE SAME | 1 |
Satsuki Kawahashi | JP | Hamamatsu-Shi | 2014-10-09 / 20140298974 - Timbre Selector, Musical Instrument, and Timbre Selecting Method | 1 |
Kazunari Kawahata | JP | Kyoto-Fu | 2012-03-29 / 20120075158 - ANTENNA MODULE | 2 |
Noriyuki Kawahata | US | Somerville | 2016-04-21 / 20160108089 - BIOLOGICALLY ACTIVE PEPTIDOMIMETIC MACROCYCLES | 9 |
Noriyuki Kawahata | US | West Roxbury | 2016-04-28 / 20160115204 - METHODS FOR PREPARING PURIFIED POLYPEPTIDE COMPOSITIONS | 12 |
Yasutaka Kawahata | JP | Otsu | 2012-03-15 / 20120062749 - HUMAN BODY IDENTIFICATION METHOD USING RANGE IMAGE CAMERA AND HUMAN BODY IDENTIFICATION APPARATUS | 2 |
Toshikazu Kawahata | JP | Sagamihara-Shi | 2014-12-18 / 20140369883 - COPPER ALLOY AND METHOD OF PRODUCING SAME | 1 |
Kazunari Kawahata | JP | Yokohama-Shi | 2009-11-05 / 20090273531 - ANTENNA DEVICE AND WIRELESS COMMUNICATION APPARATUS | 5 |
Yuichi Kawahata | JP | Kawasaki | 2008-12-11 / 20080304157 - Chromatic dispersion compensation device and method | 1 |
Yasuoki Kawahata | JP | Ibaraki | 2013-07-18 / 20130180116 - PORTABLE CUTTING MACHINE | 1 |
Makoto Kawahata | JP | Shizuoka | 2014-05-29 / 20140144187 - GLASS FORMING APPARATUS | 1 |
Junichi Kawahata | JP | Tokyo | 2015-10-15 / 20150295317 - ANTENNA DEVICE | 1 |
Yukiyasu Kawahata | JP | Tokyo | 2015-02-12 / 20150042515 - COMMUNICATION DEVICE, COMMUNICATION METHOD, AND PROGRAM | 1 |
Junichi Kawahata | JP | Kanagawa | 2013-03-28 / 20130076578 - ANTENNA FOR VEHICLES | 1 |
Ken Kawahata | JP | Miyagi-Ken | 2015-03-12 / 20150069997 - MANUFACTURING METHOD FOR CURRENT SENSOR AND CURRENT SENSOR | 1 |
Kenji Kawahata | JP | Sayama-Shi | 2011-04-07 / 20110080228 - Voltage controlled oscillator | 3 |
Kanae Kawahata | JP | Kawasaki-Shi | 2015-02-19 / 20150050426 - METHOD OF FORMING FILM | 3 |
Takashi Kawahata | JP | Tokyo | 2015-11-26 / 20150340789 - PRESSURE CONTACT TYPE CONNECTOR AND MANUFACTURING METHOD OF THE SAME | 1 |
Junichi Kawahata | JP | Shinagawa-Ku, Tokyo | 2016-03-17 / 20160079661 - VEHICLE-MOUNTED ANTENNA DEVICE | 1 |
Yasushi Kawahata | JP | Kanagawa | 2016-03-31 / 20160091840 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 6 |
Satoshi Kawahata | JP | Kanagawa | 2013-03-14 / 20130063541 - DATA DISTRIBUTION APPARATUS, DATA DISTRIBUTION METHOD, AND PROGRAM | 5 |
Kohji Kawahata | JP | Osaka | 2015-08-13 / 20150229346 - AMPLIFIER CIRCUIT, ANTENNA MODULE AND WIRELESS COMMUNICATION APPARATUS | 1 |
Yasuoki Kawahata | JP | Hitachinaka-Shi | 2010-11-11 / 20100283332 - Electric power tool | 1 |
Ryoji Kawahata | JP | Yamato-Shi | 2014-03-06 / 20140067009 - ELECTRICAL LIVING BODY STIMULATION SIGNAL WAVEFORM GENERATION DEVICE AND ELECTRICAL LIVING BODY STIMULATION DEVICE | 1 |
Yasutaka Kawahata | JP | Otsu-Shi | 2013-01-17 / 20130016880 - TRAFFIC MONITORING DEVICEAANM KAWAHATA; YasutakaAACI Otsu-shiAACO JPAAGP KAWAHATA; Yasutaka Otsu-shi JP | 1 |
Toshikazu Kawahata | JP | Kanagawa | 2008-11-13 / 20080277033 - COPPER ALLOY AND METHOD OF MANUFACTURING THE SAME | 1 |
Takashi Kawahata | JP | Ota-Ku | 2009-11-12 / 20090280657 - CARD CONNECTOR MECHANISM AND CARD ADAPTER HAVING THE CARD CONNECTOR MECHANISM | 1 |
Noriyuki Kawahata | US | Somerville | 2016-04-21 / 20160108089 - BIOLOGICALLY ACTIVE PEPTIDOMIMETIC MACROCYCLES | 9 |
Koji Kawahata | JP | Osaka | 2015-12-10 / 20150358053 - AMPLIFIER CIRCUIT, ANTENNA MODULE, AND RADIO COMMUNICATION DEVICE | 1 |
Noriyuki Kawahata | US | West Roxbury | 2016-04-28 / 20160115204 - METHODS FOR PREPARING PURIFIED POLYPEPTIDE COMPOSITIONS | 12 |
Kazunari Kawahata | JP | Kyoto | 2013-11-07 / 20130293432 - MEMS MODULE, VARIABLE REACTANCE CIRCUIT AND ANTENNA DEVICE | 2 |
Kazunari Kawahata | JP | Kanagawa-Ken | 2010-06-17 / 20100149053 - ANTENNA APPARATUS AND RADIO COMMUNICATION APPARATUS | 1 |
Mitsuru Kawahata | JP | Iwaki | 2011-07-28 / 20110185350 - SHARED-PROGRAM UPDATING SYSTEM | 1 |
Shougo Kawahigashi | JP | Kanagawa | 2009-01-08 / 20090009230 - Semiconductor device | 1 |
Yoshitsugu Kawahigashi | JP | Osaka | 2015-12-17 / 20150362652 - LIGHTING DEVICE, DISPLAY DEVICE, AND TELEVISION RECEIVING DEVICE | 2 |
Masato Kawahigashi | JP | Chiyoda-Ku | 2015-01-15 / 20150014278 - CURRENT SWITCH | 1 |
Haruko Kawahigashi | JP | Tokyo | 2009-11-26 / 20090290588 - METHOD OF SELECTING NETWORK PATH AND COMMUNICATION SYSTEM | 2 |
Yoshitsugu Kawahigashi | JP | Osaka-Shi | 2014-05-29 / 20140146562 - ILLUMINATION DEVICE AND DISPLAY DEVICE | 2 |
Hideto Kawahigashi | JP | Fuji-Shi | 2009-01-08 / 20090011888 - AUTOMATIC TRANSMISSION HYDRAULIC PRESSURE CONTROL APPARATUS | 1 |
Toshitaka Kawahigashi | JP | Kahoku-Shi | 2011-10-20 / 20110258311 - MONITORING APPARATUS, MONITORING METHOD AND COMPUTER READABLE MEDIUM FOR MONITORING | 1 |
Masato Kawahigashi | JP | Tokyo | 2013-10-24 / 20130279084 - GAS-INSULATED SWITCHGEAR | 6 |
Yutaka Kawahigashi | JP | Kawasaki | 2014-10-30 / 20140319730 - CASING, ELECTRONIC APPARATUS, AND METHOD AND MACHINE FOR FORMING CASING | 3 |
Toshitaka Kawahigashi | JP | Ishikawa | 2013-03-21 / 20130070639 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 3 |
Yuichi Kawahira | JP | Osaka-Shi | 2013-11-07 / 20130293817 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Yuichi Kawahira | JP | Osaka | 2015-02-12 / 20150042922 - LIQUID CRYSTAL DISPLAY PANEL AND LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Takao Kawahira | JP | Osaka | 2013-12-26 / 20130342212 - DIAGNOSTIC DEVICE FOR DEGRADATION OF RECHARGEABLE LI-ION BATTERY | 1 |
Yuichi Kawahira | JP | Osaka | 2015-02-12 / 20150042922 - LIQUID CRYSTAL DISPLAY PANEL AND LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Kazumi Kawahira | JP | Kagoshima-Shi | 2016-03-17 / 20160074271 - TRAINING DEVICE | 2 |
Kazumi Kawahira | JP | Kirishima City | 2013-03-14 / 20130066407 - THERMOTHERAPY AND APPARATUS FOR THERMOTHERAPY | 1 |
Hiroshi Kawahira | JP | Toyota-Shi | 2011-06-09 / 20110132677 - AIR GUIDE PLATE FOR AUTOMOBILE AND SEALING STRUCTURE | 1 |
Tetsuya Kawahira | JP | Chiba | 2009-06-18 / 20090152091 - SEATING DETECTION SWITCH | 3 |
Tetsuya Kawahira | JP | Sakura-Shi | 2012-05-24 / 20120125698 - SEATING SENSOR | 2 |
Kazuo Kawahito | JP | Osaka | 2013-12-12 / 20130329341 - ELECTROLYTIC CAPACITOR | 4 |
Motohiro Kawahito | JP | Sagamihara-Shi | 2009-05-07 / 20090119654 - COMPILER FOR OPTIMIZING PROGRAM | 2 |
Motohiro Kawahito | JP | Kanagawa | 2012-12-13 / 20120317560 - METHOD FOR OPTIMIZING BINARY CODES IN LANGUAGE HAVING ACCESS TO ZONED DECIMAL TYPE VARIABLE, OPTIMIZATION APPARATUS AND COMPUTER PROGRAM FOR THE SAME | 2 |
Masaaki Kawahito | JP | Fuchu | 2014-07-31 / 20140214384 - RECORDING MEDIUM, COMPUTING APPARATUS, AND COMPUTING METHOD | 3 |
Motohiro Kawahito | JP | Tokyo | 2015-11-12 / 20150324177 - OPTIMIZING IF STATEMENTS IN COMPUTER PROGRAMMING | 4 |
Takashi Kawahito | JP | Fusisawa City | 2009-04-02 / 20090086316 - ENVIRONMENT MAINTAINING APPARATUS FOR MICROSCOPE AND MICROSCOPE | 1 |
Rie Kawahito | JP | Sunto-Gun | 2010-10-21 / 20100266827 - CARBON FIBER AND COMPOSITE MATERIAL USING THE SAME | 2 |
Shoji Kawahito | JP | Hamamatsu-Shi | 2015-07-30 / 20150215549 - SOLID-STATE IMAGE PICKUP DEVICE | 15 |
Takashi Kawahito | JP | Kanagawa | 2010-06-10 / 20100142038 - MICROSCOPE | 1 |
Yosuke Kawahito | JP | Osaka | 2011-04-28 / 20110095002 - LASER LAP WELDING METHOD FOR GALVANIZED STEEL SHEETS | 2 |
Shoji Kawahito | JP | Shizuoka | 2015-07-02 / 20150187923 - SEMICONDUCTOR ELEMENT AND SOLID-STATE IMAGING DEVICE | 24 |
Motohiro Kawahito | JP | Kanagawa-Ken | 2016-03-03 / 20160062752 - METHOD, PROGRAM, AND SYSTEM FOR CODE OPTIMIZATION | 6 |
Takashi Kawahito | JP | Tokyo | 2016-04-28 / 20160116727 - MICROSCOPE APPARATUS AND STORAGE MEDIUM STORING MICROSCOPE APPARATUS CONTROL PROGRAM | 1 |
Shoji Kawahito | JP | Hamamatsu-Shi, Shizuoka | 2016-05-05 / 20160124091 - DISTANCE MEASUREMENT DEVICE | 1 |
Hiroshi Kawahito | JP | Kitakatsuragi-Gun | 2009-07-02 / 20090169240 - DEVELOPING DEVICE, IMAGE FORMING APPARATUS USING THE SAME, AND METHOD FOR PEELING OFF SEALING MEMBER OF THE DEVELOPING DEVICE | 2 |
Yousuke Kawahito | JP | Osaka | 2010-11-25 / 20100295256 - INSTALLATION STRUCTURE FOR BOOT FOR CONSTANT VELOCITY UNIVERSAL JOINT AND METHOD OF MANUFACTURING CONSTANT VELOCITY UNIVERSAL JOINT | 1 |
Takashi Kawahito | JP | Fujisawa City | 2009-05-07 / 20090116103 - MICROSCOPE APPARATUS | 1 |
Takayuki Kawahito | JP | Kanagawa | 2011-05-05 / 20110106991 - Bus system and bus control method | 1 |
Shigehiro Kawahito | JP | Soka-Shi | 2012-05-24 / 20120129996 - Defoaming Agent for Water-based Paint | 2 |
Shoji Kawahito | JP | Sizuoka | 2009-09-17 / 20090230437 - SEMICONDUCTOR RANGE-FINDING ELEMENT AND SOLID-STATE IMAGING DEVICE | 2 |
Takashi Kawahito | JP | Fujisawa-Shi | 2011-06-16 / 20110141260 - Microscope apparatus and storage medium storing microscope apparatus control program | 2 |
Shoji Kawahito | JP | Hamamatsu-Shi | 2015-07-30 / 20150215549 - SOLID-STATE IMAGE PICKUP DEVICE | 15 |
Shigeyuki Kawai | JP | Kyoto-Shi | 2014-08-28 / 20140242653 - METHOD FOR PRODUCING PYRUVIC ACID FROM ALGINIC ACID | 1 |
Shintaro Kawai | JP | Ibaraki | 2008-11-27 / 20080292376 - THERMAL FIXING DEVICE AND IMAGE FORMING DEVICE | 1 |
Yuuji Kawai | JP | Kanagawa | 2009-04-09 / 20090094587 - INFORMATION PROCESSING TERMINAL AND PROGRAM | 1 |
Hiroki Kawai | JP | Kanagawa | 2011-12-15 / 20110305415 - OPTICAL COMMUNICATION MODULE AND OPTICAL COMMUNICATION CONNECTOR | 1 |
Tohru Kawai | JP | Kawasaki-Shi | 2015-04-30 / 20150115323 - SEMICONDUCTOR DEVICE | 2 |
Tsuyoshi Kawai | JP | Ibi-Gun | 2012-08-16 / 20120208441 - END FACE PROCESSING APPARATUS, END FACE PROCESSING SYSTEM, END FACE PROCESSING METHOD FOR HONEYCOMB MOLDED BODY, AND MANUFACTURING METHOD FOR HONEYCOMB STRUCTURE | 1 |
Keitaro Kawai | JP | Hamamatsu-Shi, Shizuoka | 2015-11-26 / 20150336155 - Method for forming a pressed component, method for manufacturing a pressed component, and die apparatus for forming a pressed component | 1 |
Shinji Kawai | JP | Tokyo | 2016-02-11 / 20160040901 - INDOOR UNIT OF AIR-CONDITIONING APPARATUS | 4 |
Sunao Kawai | JP | Nagoya | 2009-04-02 / 20090087106 - MANAGEMENT DEVICE, AND METHOD AND COMPUTER READABLE MEDIUM THEREFOR | 1 |
Tatsundo Kawai | JP | Tokyo | 2011-12-15 / 20110304265 - LIGHT EMITTING SUBSTRATE AND IMAGE DISPLAY APPARATUS INCLUDING THE SAME | 1 |
Hajime Kawai | JP | Kyoto-Shi | 2015-09-17 / 20150258687 - METHOD FOR DETECTING COMPONENTS IN CARRIER TAPE, SENSOR MODULE, SPLICING DEVICE, AND COMPONENT MOUNTING DEVICE | 2 |
Takashi Kawai | JP | Nagano | 2012-08-23 / 20120212986 - SWITCHING POWER SUPPLY APPARATUS | 1 |
Kouzou Kawai | JP | Neyagawa-Shi | 2010-04-22 / 20100100012 - ACTIVITY INTENSITY MEASUREMENT DEVICE | 1 |
Keito Kawai | JP | Kawasaki-Shi | 2013-09-05 / 20130227978 - AIR CONDITIONER | 5 |
Kiyoyuki Kawai | JP | Fukushima-Ken | 2013-05-23 / 20130127999 - CALIBRATION APPARATUS FOR VEHICLE MOUNTED CAMERA | 3 |
Yoshinari Kawai | JP | Hyogo | 2010-09-02 / 20100218439 - VIBRATION DAMPER | 1 |
Masahiro Kawai | JP | Chiyoda-Ku | 2015-11-19 / 20150330324 - FLOW MEASURING DEVICE | 8 |
Michihiro Kawai | JP | Kobe | 2013-09-26 / 20130247684 - BATCH-TYPE MULTIPHASE FLOW RATE MEASUREMENT DEVICE AND FLOW RATE MEASUREMENT METHOD | 2 |
Yoshinori Kawai | JP | Kawasaki-Shi | 2015-03-05 / 20150062652 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 8 |
Kazuhide Kawai | JP | Kariya-Shi | 2016-03-03 / 20160064138 - IGNITION COIL FOR INTERNAL COMBUSTION ENGINE | 3 |
Tatsushi Kawai | JP | Nagoya-Shi | 2012-03-15 / 20120064172 - BONE FILLING MATERIAL COMPRISING SINTERED TITANIUM DIOXIDE AND DEXTRIN AND METHOD FOR RECONSTRUCTING BONE DEFECTS USING THE SAME | 1 |
Ryuji Kawai | JP | Shizuoka | 2016-05-05 / 20160126681 - Connector | 1 |
Makoto Kawai | JP | Annaka-Shi | 2016-03-10 / 20160071761 - METHOD FOR PRODUCING HYBRID SUBSTRATE, AND HYBRID SUBSTRATE | 13 |
Kenji Kawai | JP | Kawasaki-Shi | 2015-12-24 / 20150368518 - PROTECTIVE FILM-LAMINATED ADHESIVE SHEET | 3 |
Shigeyuki Kawai | JP | Kyoto | 2013-03-07 / 20130059356 - ETHANOL PRODUCTION FROM MANNITOL USING YEAST | 2 |
Ryosuke Kawai | JP | Yokohama-Shi | 2016-04-28 / 20160118547 - LIGHT-EMITTING DEVICE AND METHOD OF PRODUCING THE SAME | 5 |
Takuma Kawai | JP | Kizugawa-Shi | 2008-09-11 / 20080219544 - FACTOR ESTIMATING SUPPORT DEVICE AND METHOD OF CONTROLLING THE SAME, AND FACTOR ESTIMATING SUPPORT PROGRAM | 1 |
Katsunori Kawai | JP | Toyota-Shi | 2014-07-10 / 20140196114 - ELECTRONIC CONTROL DEVICE FOR A VEHICLE | 1 |
Hidemi Kawai | JP | Chiba-Shi | 2014-05-15 / 20140132939 - CLEANUP METHOD FOR OPTICS IN IMMERSION LITHOGRAPHY | 1 |
Nobutomo Kawai | JP | Osaka-Shi | 2015-12-10 / 20150353690 - MODIFIED DIENE POLYMER, METHOD FOR PRODUCING SAME, RUBBER COMPOSITION, AND PNEUMATIC TIRE | 1 |
Hisashi Kawai | JP | Nagoya-Shi | 2014-02-13 / 20140046699 - MEDICAL INFORMATION SYSTEM AND MEDICAL INFORMATION DISPLAY APPARATUS | 1 |
Akitoshi Kawai | JP | Yokohama-City | 2008-11-20 / 20080285840 - Defect inspection apparatus performing defect inspection by image analysis | 1 |
Makoto Kawai | JP | Toyonaka-Shi | 2013-08-01 / 20130197219 - SUBSTITUTED POLYCYCLIC CARBAMOYL PYRIDONE DERIVATIVE PRODRUG | 1 |
Ryozo Kawai | JP | Saitama | 2009-12-24 / 20090317616 - PROCESS FOR PRODUCING MELANIN, MELANIN PRODUCED BY THE PROCESS, FUNCTIONAL FILM CONTAINING THE MELANIN, AND PROCESS FOR PRODUCING THE SAME | 1 |
Yasuhiro Kawai | JP | Tochigi | 2016-02-18 / 20160045975 - SEAM WELDING METHOD AND SYSTEM | 5 |
Yuji Kawai | JP | Kawasaki-Shi | 2011-08-18 / 20110199529 - INFORMATION PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND RECORDING MEDIUM | 1 |
Joji Kawai | JP | Ube-Shi | 2014-04-24 / 20140114062 - METHOD FOR PRODUCING AMIDE COMPOUND | 3 |
Takamitsu Kawai | JP | Obu-Shi | 2014-09-18 / 20140267493 - WASTE INK RETAINING DEVICE | 3 |
Noriji Kawai | JP | Aichi | 2015-12-03 / 20150342455 - TARGET PRESENTING APPARATUS | 5 |
Noriji Kawai | JP | Gamagori-Shi | 2013-01-24 / 20130021577 - ANTERIOR SEGMENT MEASURING APPARATUS | 2 |
Jun Kawai | JP | Anjo-City | 2015-03-19 / 20150079781 - SILICON CARBIDE SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 7 |
Ryozo Kawai | JP | Tokorozawa-City | 2009-12-31 / 20090323179 - Laminated body for optical purposes that includes a melanin-containing layer and an optical product which includes the laminate | 1 |
Masahiro Kawai | JP | Tokyo | 2016-04-28 / 20160116314 - FLOW RATE MEASURING DEVICE | 14 |
Tetsuro Kawai | JP | Kanagawa | 2011-05-26 / 20110122275 - Image processing apparatus, image processing method and program | 2 |
Ken Kawai | JP | Osaka | 2015-12-17 / 20150364193 - VARIABLE RESISTANCE NONVOLATILE MEMORY DEVICE | 20 |
Ai Kawai | JP | Kawasaki-Shi | 2011-03-24 / 20110069227 - IMAGE PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 3 |
Tetsuji Kawai | JP | Kasugai-Shi | 2012-01-12 / 20120006695 - CONTACT LENS DISTRIBUTION/STORAGE METHOD AND CONTACT LENS PACKAGE | 1 |
Masahiro Kawai | JP | Osaka | 2009-01-01 / 20090007209 - Broadcasting Device and Receiver | 2 |
Masahiro Kawai | JP | Tokyo | 2016-04-28 / 20160116314 - FLOW RATE MEASURING DEVICE | 14 |
Shuji Kawai | JP | Karumi-Ku | 2010-09-16 / 20100230028 - BICYCLE TIRE | 2 |
Masahiro Kawai | JP | Nagasaki | 2011-01-20 / 20110012362 - WIND TURBINE GENERATOR | 2 |
Shuji Kawai | JP | Kobe | 2013-07-11 / 20130174692 - BICYCLE PEDAL ASSEMBLY | 6 |
Masahiro Kawai | JP | Shizuoka | 2015-10-22 / 20150300435 - CLUTCH UNIT | 9 |
Masahiro Kawai | JP | Chiyoda-Ku | 2015-11-19 / 20150330324 - FLOW MEASURING DEVICE | 8 |
Shuji Kawai | JP | Tokyo | 2012-09-13 / 20120228548 - MOISTURE CONTROL CONSTRUCTION MATERIAL AND METHOD FOR PRODUCING THE SAME | 2 |
Takashi Kawai | JP | Haga-Gun | 2013-09-12 / 20130232712 - BULKY SHEET AND METHOD FOR PRODUCING SAME | 1 |
Takayoshi Kawai | JP | Hoi-Gun | 2011-07-07 / 20110162409 - Air conditioning system for vehicle | 1 |
Akinori Kawai | JP | Kobe-Shi | 2015-12-24 / 20150369741 - URINE SPECIMEN ANALYSIS DEVICE AND URINE SPECIMEN ANALYSIS METHOD | 2 |
Megumi Kawai | US | Libertyville | 2012-05-10 / 20120115824 - Vitamin D Receptor Agonists and Uses Thereof | 2 |
Hidenori Kawai | JP | Chita-Shi | 2008-08-21 / 20080199707 - Method and apparatus for producing an inorganic calcined substance | 1 |
Yasufumi Kawai | JP | Osaka | 2016-04-21 / 20160112040 - DRIVE DEVICE | 8 |
Masafumi Kawai | JP | Tokyo | 2016-03-03 / 20160061099 - UNIFLOW SCAVENGING 2-CYCLE ENGINE | 3 |
Aritoki Kawai | JP | Tokyo | 2015-05-28 / 20150145886 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 5 |
Narumi Kawai | JP | Nagoya-Shi | 2013-11-07 / 20130293614 - INK-JET PRINTER | 8 |
Kazuhiro Kawai | JP | Kanagawa | 2009-07-23 / 20090184180 - FUEL INJECTION VALVE | 3 |
Toshihisa Kawai | US | Brookline | 2015-11-26 / 20150335615 - CHOLESTEROL-LOWERING COMPOUNDS IN COMBINATION WITH LIPID METABOLISM-ALTERING COMPOUNDS OF NON-ABSORBABLE SUGARS, COMPOUNDS THAT CONVERT NH3 TO NH4+, OR HYDROGEN-GENERATING COMPOUNDS FOR THE TREATMENT OF HIGH CHOLESTEROL AND INFLAMMATION | 8 |
Hideo Kawai | JP | Kanagawa | 2009-11-26 / 20090293021 - INPUT CONTROL DEVICE | 2 |
Tomoshige Kawai | JP | Nagoya | 2014-07-03 / 20140187308 - GAME MACHINE | 1 |
Tomoshige Kawai | JP | Nagoya-Shi | 2014-07-03 / 20140187321 - GAME MACHINE | 2 |
Yasunori Kawai | JP | Nagoya-Shi | 2014-08-28 / 20140238286 - SEWING MACHINE | 4 |
Yasuhiko Kawai | JP | Osaka | 2012-09-13 / 20120230000 - FLEXIBLE FLAT CABLE AND IMAGE DISPLAY DEVICE | 1 |
Satoshi Kawai | JP | Kanagawa | 2010-09-23 / 20100238051 - PARKING ASSISTANT AND PARKING ASSISTING METHOD | 2 |
Kiyoyuki Kawai | JP | Iwaki-Shi | 2013-11-21 / 20130307982 - ONBOARD CAMERA AUTOMATIC CALIBRATION APPARATUS | 1 |
Hiromitsu Kawai | JP | Kawasaki | 2013-04-25 / 20130102301 - CONTROL APPARATUS AND METHOD, AND NODE APPARATUS | 4 |
Satoru Kawai | JP | Minamitsuru-Gun | 2015-12-31 / 20150375347 - MANUFACTURING APPARATUS AND MANUFACTURING METHOD FOR MANUFACTURING LESS UNBALANCED BLOWER BLADE | 2 |
Yasuhiro Kawai | JP | Susono-Shi | 2014-02-06 / 20140038099 - ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER, PROCESS CARTRIDGE,AND ELECTROPHOTOGRAPHIC APPARATUS | 5 |
Yasuhiro Kawai | JP | Tochigi-Ken | 2010-12-23 / 20100321704 - THREE-DIMENSIONAL SHAPE MEASURING SYSTEM AND THREE-DIMENSIONAL SHAPE MEASURING METHOD | 1 |
Kensuke Kawai | JP | Tokorozawa-Shi | 2009-10-01 / 20090248179 - PLANT OPERATION DATA MONITORING APPARATUS | 1 |
Jun Kawai | JP | Otsu-Shi | 2013-09-12 / 20130237962 - CATHETER ASSEMBLY | 2 |
Eizi Kawai | JP | Kyoto-Shi | 2013-09-12 / 20130235035 - IMAGE PROCESSING SYSTEM, METHOD OF OPERATING IMAGE PROCESSING SYSTEM, HOST APPARATUS, PROGRAM, AND METHOD OF MAKING PROGRAM | 10 |
Wakahiro Kawai | JP | Shiga | 2015-10-22 / 20150301520 - CONTROL DEVICE, CONTROL SYSTEM, CONTROL METHOD, PROGRAM, AND RECORDING MEDIUM | 6 |
Hiroshi Kawai | JP | Ishikawa | 2012-12-13 / 20120314269 - PIEZOELECTRIC ACTUATOR MECHANISM | 1 |
Takatoshi Kawai | JP | Tsukuba-Shi | 2011-06-16 / 20110144101 - MACROCYCLIC COMPOUNDS USEFUL AS PHARMACEUTICALS | 1 |
Hajime Kawai | JP | Kyoto | 2016-03-10 / 20160069800 - REFLECTIVE SENSOR | 3 |
Hiroshi Kawai | JP | Okayama | 2013-01-17 / 20130017383 - MULTILAYERED STRUCTURE AND LAMINATE, AND METHOD FOR PRODUCTION OF THE SAMEAANM Tai; ShinjiAACI PasadenaAAST TXAACO USAAGP Tai; Shinji Pasadena TX USAANM Kawai; HiroshiAACI OkayamaAACO JPAAGP Kawai; Hiroshi Okayama JPAANM Yamakoshi; SatoshiAACI OkayamaAACO JPAAGP Yamakoshi; Satoshi Okayama JPAANM Isoyama; KoutaAACI OkayamaAACO JPAAGP Isoyama; Kouta Okayama JPAANM Hikasa; MasaoAACI OkayamaAACO JPAAGP Hikasa; Masao Okayama JP | 3 |
Yasuhiro Kawai | JP | Hagagun | 2012-11-01 / 20120277898 - PROCESSING SYSTEM AND PROCESSING METHOD | 2 |
Wakahiro Kawai | JP | Kyoto-Fu | 2010-02-11 / 20100032066 - IC TAG, PNEUMATIC TIRE FITTED WITH THE SAME, AND METHOD OF FITTING IC TAG | 1 |
Yasuhiro Kawai | JP | Chiyoda-Ku | 2012-02-09 / 20120036511 - CONTROL DEVICE FOR DIE-SINKING ELECTRICAL DISCHARGE MACHINE | 1 |
Ryoya Kawai | JP | Kawasaki-Shi | 2015-10-15 / 20150293735 - COMMUNICATION METHOD, COMMUNICATION TERMINAL, AND STORAGE MEDIUM | 2 |
Takashi Kawai | JP | Koto-Ku | 2016-04-14 / 20160101036 - SKIN CLEANSING COMPOSITION | 1 |
Shigeto Kawai | JP | Kanagawa | 2015-09-17 / 20150259417 - Anti-Glypican 3 Antibody | 2 |
Jun Kawai | JP | Kanagawa | 2014-10-02 / 20140294444 - IMAGE FORMING APPARATUS AND IMAGE CARRIER | 2 |
Katsuji Kawai | JP | Kosai-City | 2011-10-20 / 20110254393 - ELECTRIC MOTOR DEVICE | 2 |
Yoshifumi Kawai | JP | Kanagawa | 2013-07-11 / 20130179708 - PROCESSING DEVICE | 7 |
Youichiro Kawai | JP | Okazaki-Shi | 2015-10-01 / 20150280096 - PHONON SCATTERING MATERIAL, NANOCOMPOSITE THERMOELECTRIC MATERIAL, AND METHOD OF PRODUCING THE SAME | 1 |
Michihiro Kawai | JP | Osaka-Shi | 2013-06-20 / 20130155030 - DISPLAY SYSTEM AND DETECTION METHOD | 2 |
Shigenobu Kawai | JP | Aichi | 2014-07-31 / 20140208864 - TORSION TESTER | 1 |
Kazuhiro Kawai | JP | Osaka | 2012-02-23 / 20120043316 - HIGH-FREQUENCY HEATING EQUIPMENT | 2 |
Tomoji Kawai | JP | Mino-Shi | 2013-12-12 / 20130330674 - METHOD OF PATTERNING SELF-ORGANIZING MATERIAL, PATTERNED SUBSTRATE OF SELF-ORGANIZING MATERIAL AND METHOD OF PRODUCING THE SAME, AND PHOTOMASK USING PATTERNED SUBSTRATE OF SELF-ORGANIZING MATERIAL | 2 |
Tomoji Kawai | JP | Minoo-Shi | 2009-06-11 / 20090145209 - PROBE APPARATUS FOR MEASURING AN ELECTRON STATE ON A SAMPLE SURFACE | 1 |
Kiyoji Kawai | JP | Tokyo | 2011-11-10 / 20110272221 - ELEVATOR SYSTEM | 14 |
Hiroyuki Kawai | JP | Kanagawa | 2015-04-02 / 20150095684 - DATA PROCESSING SYSTEM, MICROCONTROLLER AND SEMICONDUCTOR DEVICE | 10 |
Sousuke Kawai | JP | Fukuroi-Shi | 2015-12-03 / 20150345574 - RATCHET TYPE ONE-WAY CLUTCH AND ARRANGEMENT OF PAWL MEMBER THEREIN | 2 |
Ronald Tatsuji Kawai | US | Rancho Palos Verdes | 2009-01-29 / 20090026283 - THRUST VECTORING SYSTEM AND METHOD | 1 |
Ronald T. Kawai | US | Rancho Palos Verdes | 2016-03-17 / 20160076461 - DUAL FUEL GAS TURBINE THRUST AND POWER CONTROL | 1 |
Jun Kawai | JP | Saitama | 2010-04-29 / 20100104312 - POWER FEEDING MECHANISM AND IMAGE FORMING APPARATUS | 2 |
Hidekazu Kawai | JP | Shizuoka | 2013-01-31 / 20130025985 - Method of Manufacturing Brake Disc and Brake Disc | 3 |
Kazunari Kawai | JP | Yachiyo-Shi | 2009-07-02 / 20090168141 - ION CONDUCTOR AND ELECTROCHEMICAL DISPLAY DEVICE UTILIZING THE SAME | 1 |
Hidemasa Kawai | JP | Nagaokakyo-Shi | 2012-10-04 / 20120251791 - ELECTRONIC COMPONENT MANUFACTURING METHOD | 1 |
Hiroshi Kawai | JP | Kurashiki-Shi | 2016-04-21 / 20160108193 - ETHYLENE-VINYL ALCOHOL COPOLYMER-CONTAINING RESIN COMPOSITION, FILM, LAMINATE, PACKAGING MATERIAL, VACUUM THERMAL INSULATOR, FILM PRODUCTION METHOD, AND LAMINATE PRODUCTION METHOD | 3 |
Kenji Kawai | JP | Kanagawa | 2011-09-08 / 20110215423 - SEMICONDUCTOR DEVICE AND A MANUFACTURING METHOD THEREOF | 2 |
Hirohito Kawai | JP | Nagakute-Shi | 2014-04-10 / 20140100716 - AIR-CONDITION REMOTE CONTROL SYSTEM FOR VEHICLE, SERVER, MOBILE TERMINAL, AND VEHICLE | 1 |
Masashi Kawai | US | 2015-07-23 / 20150204812 - SOLID ELECTROLYTE GAS SENSOR ELEMENT AND GAS SENSOR | 1 | |
Koichi Kawai | US | Orange | 2014-06-19 / 20140169093 - ERASE AND SOFT PROGRAM FOR VERTICAL NAND FLASH | 1 |
Jun Kawai | JP | Saitama-Shi | 2011-03-17 / 20110064458 - Image-Forming Apparatus | 3 |
Taichiro Kawai | JP | Ora-Gun | 2010-12-02 / 20100301917 - LEVEL SHIFT CIRCUIT | 1 |
Hidetsugu Kawai | JP | Nara | 2009-02-19 / 20090047420 - Ink Discharging Apparatus and Ink Discharging Method | 1 |
Taichiro Kawai | JP | Kiryu-Shi | 2008-12-04 / 20080297232 - CHARGE PUMP CIRCUIT AND SLICE LEVEL CONTROL CIRCUIT | 2 |
Shigekazu Kawai | JP | Oura-Gun | 2010-05-06 / 20100109663 - MAGNETIC DEVICE | 2 |
Hiroji Kawai | JP | Oyama-Shi | 2016-03-31 / 20160093691 - SEMICONDUCTOR DEVICE, ELECTRIC EQUIPMENT, BIDIRECTIONAL FIELD EFFECT TRANSISTOR, AND MOUNTED STRUCTURE BODY | 1 |
Yoichiro Kawai | JP | Oakazaki-Shi | 2013-10-31 / 20130284083 - MANUFACTURING APPARATUS OF SiC SINGLE CRYSTAL AND METHOD FOR MANUFACTURING SiC SINGLE CRYSTAL | 1 |
Atsuyoshi Kawai | JP | Utsunomiya-Shi | 2009-04-23 / 20090102220 - UNDERCOVER FOR VEHICLE AND MOUNTING STRUCTURE THEREOF | 1 |
Chiharu Kawai | JP | Kawasaki | / - | 1 |
Ryo Kawai | JP | Kawasaki | 2013-08-22 / 20130218943 - PARALLEL DISTRIBUTED PROCESSING METHOD AND COMPUTER SYSTEM | 3 |
Hiroyuki Kawai | JP | Hiroshima | 2013-03-28 / 20130076010 - VEHICLE AIRBAG SYSTEM | 1 |
Tokio Kawai | JP | Gifu | 2015-10-22 / 20150299551 - HIGH THERMAL CONDUCTIVE BOEHMITE AND METHOD FOR MANUFACTURING SAME | 1 |
Kenji Kawai | US | Seattle | 2016-03-17 / 20160078126 - Computer-Implemented System And Method For Generating Document Groupings For Display | 14 |
Eri Kawai | JP | Yokohama | 2014-09-11 / 20140256299 - COMMUNICATION SYSTEM AND SERVER | 9 |
Takanobu Kawai | JP | Shiga | 2010-11-25 / 20100297500 - Negative Electrode Active Material for Lithium Ion Rechargeable Battery and Negative Electrode Using the Same | 2 |
Toshihiko Kawai | JP | Kanagawa | 2015-09-10 / 20150254275 - INFORMATION PROCESSING DEVICE, SYSTEM, INFORMATION PROCESSING METHOD, AND PROGRAM | 4 |
Hideya Kawai | JP | Anjo | 2014-02-13 / 20140045649 - CONTROL DEVICE | 4 |
Hiroshi Kawai | JP | Nagaokakyo-Shi | 2013-09-26 / 20130249649 - BULK ACOUSTIC WAVE RESONATOR | 1 |
Hidetsugu Kawai | JP | Kashiba-Shi | 2011-02-10 / 20110032293 - Ink ejector, and ink ejection control method | 1 |
Koichi Kawai | JP | Yokohama | 2015-09-17 / 20150262636 - ENABLE/DISABLE OF MEMORY CHUNKS DURING MEMORY ACCESS | 7 |
Shigeki Kawai | JP | Tokyo | 2008-10-16 / 20080252385 - Highly Sensitive Force/Mass Detection Method and Device Using Phase Synchronization Circuit | 1 |
Kenichi Kawai | JP | Yokohama | 2015-07-30 / 20150214596 - PRINTED BOARD AND WIRING ARRANGEMENT METHOD | 6 |
Yoshinori Kawai | JP | Kawasaki-Shi | 2015-03-05 / 20150062652 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND STORAGE MEDIUM | 8 |
Mitsuyoshi Kawai | JP | Tokyo | 2009-01-29 / 20090027812 - MAGNETIC SIGNAL REPRODUCTION SYSTEM AND MAGNETIC SIGNAL REPRODUCTION METHOD | 1 |
Hiromitsu Kawai | JP | Yokohama | 2015-10-01 / 20150281934 - WIRELESS COMMUNICATION SYSTEM | 7 |
Toshiyuki Kawai | JP | Nagoya-Shi | 2015-10-29 / 20150311563 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND ASSEMBLY THEREOF | 1 |
Takuro Kawai | JP | Tokyo | 2015-08-13 / 20150227779 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND PROGRAM | 7 |
Hiroiku Kawai | JP | Yokohama | 2009-11-05 / 20090275792 - Dehydrogenation process with water control | 1 |
Takamasa Kawai | JP | Tokyo | 2016-05-12 / 20160130884 - THREADED JOINT FOR OIL COUNTRY TUBULAR GOODS (AS AMENDED) | 5 |
Norie Kawai | JP | Tokyo | 2015-08-06 / 20150216762 - VIBRATION PROCESSING DEVICE AND METHOD | 4 |
Takafumi Kawai | JP | Tokyo | 2008-09-18 / 20080225922 - Rod-Type Solid-State Laser System | 1 |
Tomohito Kawai | JP | Tokyo | 2008-11-06 / 20080276312 - COMPUTER READABLE STORAGE MEDIUM STORING SOFTWARE EXECUTION CONTROL PROGRAM, SOFTWARE EXECUTION CONTROL METHOD AND SOFTWARE EXECUTION CONTROL SYSTEM | 1 |
Kenji Kawai | JP | Kobe | 2009-10-01 / 20090245425 - ANTENNA DEVICE, DEMODULATING DEVICE AND RECEIVING DEVICE | 1 |
Tetsuya Kawai | JP | Tokyo | 2012-03-15 / 20120064137 - TWO-LAYER SEPARATE TYPE HAIR COSMETIC COMPOSITION | 2 |
Shigeyuki Kawai | JP | Tokyo | 2008-12-04 / 20080301014 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, ELECTRONIC MONEY SERVICE PROVIDING SYSTEM, AND RECORDING MEDIUM | 1 |
Yoshihiko Kawai | JP | Tokyo | 2016-05-19 / 20160135548 - BUCKLE AND SEAT BELT APPARATUS INCLUDING THE SAME | 9 |
Keisuke Kawai | JP | Tokyo | 2009-04-23 / 20090101443 - LUBRICATING DEVICE, PRINTER, LUBRICATING METHOD, AND COMPUTER PROGRAM FOR LUBRICATION | 1 |
Junji Kawai | JP | Tokyo | 2009-05-28 / 20090134360 - ELECTRODE CATALYST LAYER | 1 |
Hidenori Kawai | JP | Tokyo | 2016-01-07 / 20160004339 - PROGRAMMABLE DISPLAY DEVICE AND SCREEN-OPERATION PROCESSING PROGRAM THEREFOR | 2 |
Masashi Kawai | JP | Tokyo | 2009-08-20 / 20090208004 - File Encrypting/Decrypting Method, Apparatus, Program, And Computer-Readable Recording Medium Storing The Program | 1 |
Kiyoshi Kawai | JP | Tokyo | 2009-08-27 / 20090217420 - Method for transformation using mutant acetolactate synthase gene | 1 |
Fumi Kawai | JP | Tokyo | 2009-09-17 / 20090232403 - OBJECT DETECTING APPARATUS AND LEARNING APPARATUS FOR THE SAME | 1 |
Katsura Kawai | JP | Tokyo | 2009-10-01 / 20090248609 - OPTIMUM ROUTE SEARCHING APPARATUS, METHOD AND PROGRAM | 1 |
Yasuaki Kawai | JP | Tokyo | 2009-10-01 / 20090243019 - OPTICAL SENSING DEVICE INCLUDING VISIBLE AND UV SENSORS | 2 |
Takao Kawai | JP | Tokyo | 2016-05-19 / 20160140217 - TEXT MATCHING DEVICE AND METHOD, AND TEXT CLASSIFICATION DEVICE AND METHOD | 13 |
Tsutomu Kawai | JP | Tokyo | 2010-07-15 / 20100180022 - REGISTRATION OF ELECTRONIC DEVICE TO SERVER | 1 |
Hidemasa Kawai | JP | Tokyo | 2010-09-30 / 20100248031 - Anode for secondary battery and secondary battery using the same | 1 |
Saki Kawai | JP | Tokyo | 2010-10-14 / 20100261401 - Textile fastening toy system | 2 |
Toshihisa Kawai | US | Brookline | 2015-11-26 / 20150335615 - CHOLESTEROL-LOWERING COMPOUNDS IN COMBINATION WITH LIPID METABOLISM-ALTERING COMPOUNDS OF NON-ABSORBABLE SUGARS, COMPOUNDS THAT CONVERT NH3 TO NH4+, OR HYDROGEN-GENERATING COMPOUNDS FOR THE TREATMENT OF HIGH CHOLESTEROL AND INFLAMMATION | 8 |
Shigehiro Kawai | JP | Tokyo | 2010-11-18 / 20100289530 - ELECTRONIC APPARATUS AND CABLE DEVICE | 1 |
Ryo Kawai | JP | Tokyo | 2016-02-25 / 20160055044 - FAULT ANALYSIS METHOD, FAULT ANALYSIS SYSTEM, AND STORAGE MEDIUM | 2 |
Ryota Kawai | JP | Tokyo | 2013-05-16 / 20130118669 - Reinforced Radial Tire | 2 |
Shingo Kawai | JP | Tokyo | 2015-02-12 / 20150046145 - MANUAL CREATION FOR A PROGRAM PRODUCT | 3 |
Takanao Kawai | JP | Osaka | 2015-08-27 / 20150244889 - Image Forming Apparatus and Method for Displaying Application Screen of Image Forming Apparatus That Ensures Display of Application Screen on Operation Panel with Different Resolution | 11 |
Yosuke Kawai | JP | Tokyo | 2011-03-10 / 20110059957 - BENZENE OR THIOPHENE DERIVATIVE AND USE THEREOF AS VAP-1 INHIBITOR | 2 |
Takuji Kawai | JP | Shiojiri-Shi | 2011-09-29 / 20110234988 - LIGHT SOURCE AND PROJECTOR | 2 |
Shigeto Kawai | JP | Tokyo | 2015-03-19 / 20150079610 - Chimeric Fc-gamma Receptor and Method for Determination of ADCC Activity by Using the Receptor | 7 |
Yohei Kawai | JP | Tokyo | 2016-01-28 / 20160025899 - SUBSTRATE WITH ANTIREFLECTION LAYER | 6 |
Tomoyuki Kawai | JP | Tokyo | 2011-05-12 / 20110109776 - IMAGING DEVICE AND IMAGING APPARATUS | 1 |
Motoyoshi Kawai | JP | Tokyo | 2016-01-28 / 20160028209 - OPTICAL AMPLIFIER AND CONTROL METHOD THEREOF | 3 |
Yoshimichi Kawai | JP | Tokyo | 2013-03-28 / 20130074427 - ENERGY DISSIPATING METAL PLATE AND BUILDING STRUCTURE | 4 |
Toshimichi Kawai | JP | Tokyo | 2009-05-07 / 20090117419 - DRY-STATE DETECTING METHOD AND ELECTRONIC DEVICE SYSTEM FOR FUEL CELL, AND POWER CONTROL METHOD THEREFOR | 1 |
Hisao Kawai | JP | Tokyo | 2009-06-04 / 20090141357 - PLASTIC LENS COMPRISING MULTILAYER ANTIREFLECTIVE FILM AND METHOD FOR MANUFACTURING SAME | 1 |
Yasunori Kawai | JP | Tokyo | 2009-08-20 / 20090208250 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR, IMAGE-FORMING APPARATUS, AND ELECTROPHOTOGRAPHIC CARTRIDGE | 1 |
Takazumi Kawai | JP | Tokyo | 2009-10-15 / 20090257943 - Method of Selective Purification of Armchair Carbon | 1 |
Katsuya Kawai | JP | Tokyo | 2010-09-30 / 20100250116 - NAVIGATION DEVICE | 1 |
Masaaki Kawai | JP | Kakegawa-Shi | 2013-01-31 / 20130029838 - EXHAUST GAS PURIFYING CATALYST | 2 |
Yoshikazu Kawai | JP | Tokyo | 2016-05-12 / 20160134856 - IMAGE DISPLAY APPARATUS AND CONTROL METHOD THEREOF | 4 |
Sunao Kawai | JP | Toyoake-Shi | 2010-05-13 / 20100122181 - Operation Target Identification System | 3 |
Masaaki Kawai | JP | Kawasaki | 2010-02-11 / 20100033796 - Optical module, optical control method by optical module, optical switch, and optical switching method | 2 |
Toshiyuki Kawai | JP | Toyohashi-Shi | 2012-05-10 / 20120112754 - APPARATUS QUANTIFYING STATE-OF-CHARGE OF VEHICLE-MOUNTED RECHARGEABLE BATTERY | 5 |
Masashi Kawai | JP | Toyohashi-Shi | 2013-11-14 / 20130302056 - IMAGE FORMING APPARATUS CAPABLE OF STABLY DETECTING TEMPERATURE OF FIXING DEVICE REGARDLESS OF ORIENTATION OF THE IMAGE FORMING APPARATUS | 6 |
Kazuhiro Kawai | JP | Tokyo | 2011-05-19 / 20110119371 - DEVICE DATA MANAGEMENT SYSTEM | 2 |
Atsushi Kawai | JP | Toyokawa-Shi | 2012-08-23 / 20120213534 - IMAGE FORMATION DEVICE | 12 |
Hiroki Kawai | JP | Chino | 2015-10-01 / 20150276513 - SENSOR ELEMENT, FORCE DETECTING DEVICE, ROBOT AND SENSOR DEVICE | 9 |
Toshiharu Kawai | JP | Yokohama-Shi | 2016-01-28 / 20160026151 - IMAGE FORMING APPARATUS AND CARTRIDGE | 4 |
Kenji Kawai | US | Seattle | 2016-03-17 / 20160078126 - Computer-Implemented System And Method For Generating Document Groupings For Display | 14 |
Masaaki Kawai | JP | Shizuoka-Ken | 2010-01-21 / 20100011749 - EXHAUST GAS PURIFYING APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Yoshiko Kawai | JP | Osaka | 2011-09-15 / 20110224154 - PEPTIDE DERIVATIVE AND COMPOSITION FOR PROMOTING TEAR SECRETION COMPRISING THE SAME | 1 |
Masaaki Kawai | JP | Nishikasugai-County | 2013-02-21 / 20130043186 - METHOD FOR SEPARATING LIQUID MIXTURE, AND DEVICE FOR SEPARATING LIQUID MIXTURE | 4 |
Tohru Kawai | JP | Kanagawa | 2015-03-05 / 20150060948 - SEMICONDUCTOR DEVICE | 1 |
Kenzo Kawai | JP | Osaka | 2011-09-15 / 20110224154 - PEPTIDE DERIVATIVE AND COMPOSITION FOR PROMOTING TEAR SECRETION COMPRISING THE SAME | 1 |
Masaaki Kawai | JP | Nagoya-City | 2015-02-26 / 20150053605 - METHOD FOR MANUFACTURING SILICA MEMBRANE FILTER, AND SILICA MEMBRANE FILTER | 3 |
Hidemi Kawai | JP | Iwata-Shi | 2010-06-03 / 20100136118 - CALCIUM ABSORPTION ENHANCER | 1 |
Hidemi Kawai | US | Palo Alto | 2009-08-06 / 20090195762 - Cleanup method for optics in immersion lithography | 3 |
Joji Kawai | JP | Yamaguchi | 2011-03-17 / 20110065913 - PROCESS FOR PRODUCING LAUROLACTAM | 3 |
Yoshio Kawai | JP | Isesaki | 2011-09-22 / 20110228498 - ELECTRONIC CONTROLLER FOR VEHICLE | 1 |
Yoshio Kawai | JP | Jyoetsu | 2015-03-26 / 20150086909 - METHOD FOR MANUFACTURING PHOTOMASK BLANK | 3 |
Nobuyuki Kawai | JP | Tokyo | 2013-06-27 / 20130161176 - SWITCHING APPARATUS PROVIDED WITH SWITCHES AND LEVER | 1 |
Takashi Kawai | JP | Kiyosu-Shi | 2015-03-19 / 20150076547 - Group III Nitride Semiconductor Light-Emitting Device | 2 |
Toshinori Kawai | JP | Kyoto | 2012-01-12 / 20120010001 - STORAGE MEDIUM STORING PROGRAM, APPARATUS, COMMUNICATION SYSTEM AND CONTROL METHOD | 1 |
Yoshio Kawai | JP | Joetsu-Shi | 2016-03-10 / 20160070169 - NEGATIVE-TONE RESIST COMPOSITIONS AND MULTIFUNCTIONAL POLYMERS THEREIN | 7 |
Takuya Kawai | JP | Osaka | 2012-05-17 / 20120121734 - COMPOSITIONS CONTAINING SUCRALOSE AND APPLICATION THEREOF | 2 |
Yoshio Kawai | JP | Ota-Shi | 2014-03-20 / 20140080329 - ELECTRONIC CONTROL DEVICE | 4 |
Takashi Kawai | JP | Kariya-Shi | 2016-04-21 / 20160107645 - DEPARTURE PREVENTION SUPPORT APPARATUS | 1 |
Hiroshi Kawai | JP | Shimane | 2010-09-02 / 20100219714 - MOTOR AND ELECTRONIC APPARATUS USING THE SAME | 1 |
Hideki Kawai | JP | Yokkaichi-City | 2013-03-28 / 20130075155 - WIRE PROTECTOR WITH PORTION FOR FIXING ELONGATED OBJECT | 2 |
Tomoya Kawai | JP | Susono-Shi | 2011-11-10 / 20110271788 - PEDAL REACTION FORCE CONTROL DEVICE | 1 |
Hiroshi Kawai | JP | Moriyama-Shi | 2010-01-14 / 20100006977 - INDUCTOR AND FILTER | 1 |
Junya Kawai | JP | Kanagawa | 2012-08-23 / 20120211082 - PHOTOELECTRIC CONVERSION DEVICE AND SOLAR CELL USING THE SAME | 3 |
Hiroshi Kawai | JP | Toyota-Shi | 2014-04-17 / 20140106994 - LUBRICANT FOR A PLUNGER AND PRODUCTION METHOD THEREOF | 2 |
Hiromasa Kawai | JP | Ibaraki | 2012-05-10 / 20120114953 - PHOTOCURABLE RESIN COMPOSITION AND CURED PRODUCT OF SAME, RESIN SHEET AND PRODUCTION METHOD FOR SAME, AND DISPLAY DEVICE | 1 |
Takayoshi Kawai | JP | Okazaki-Shi | 2011-10-06 / 20110243456 - METHOD AND DEVICE FOR GENERATING IMAGE-PROCESSING COMPONENT DATA | 2 |
Hiroshi Kawai | JP | Aichi | 2011-05-05 / 20110101575 - PREFORM FOR COMPOSITE MATERIAL AND PROCESS FOR PRODUCING THE SAME | 2 |
Kenji Kawai | JP | Inuyama-Shi | 2011-06-02 / 20110128628 - ANISOTROPIC LIGHT-DIFFUSING FILM, ANISOTROPIC LIGHT-DIFFUSING LAMINATE, ANISOTROPIC LIGHT-REFLECTING LAMINATE, AND USE THEREOF | 2 |
Hiroshi Kawai | JP | Nara | 2010-03-11 / 20100059509 - MICROWAVE HEATING APPLIANCE | 3 |
Hiroki Kawai | JP | Yokosuka-Shi | 2013-05-09 / 20130114925 - OPTICAL COMMUNICATION MODULE | 1 |
Atsushi Kawai | JP | Yokohama-Shi | 2015-12-24 / 20150368448 - RUBBER COMPOSITION FOR HOSES, AND HOSE | 3 |
Hiroki Kawai | JP | Toride-Shi | 2015-07-30 / 20150212473 - IMAGE FORMING APPARATUS | 6 |
Hiroki Kawai | JP | Shiga | 2011-08-25 / 20110205443 - BROADCAST RECEIVING CIRCUIT AND BROADCAST RECEIVING APPARATUS | 1 |
Yuji Kawai | JP | Osaka | 2012-05-10 / 20120117513 - INFORMATION PROCESSING TERMINAL | 1 |
Hiroki Kawai | JP | Chino | 2015-10-01 / 20150276513 - SENSOR ELEMENT, FORCE DETECTING DEVICE, ROBOT AND SENSOR DEVICE | 9 |
Nobuyuki Kawai | JP | Okazaki-Shi | 2010-12-09 / 20100309949 - DEVICE FOR DETECTING ABNORMALITY IN A SECONDARY BATTERY | 2 |
Nobuyuki Kawai | JP | Aichi-Ken | 2009-05-07 / 20090114361 - Demolding method and equipment | 1 |
Tomofumi Kawai | JP | Kawasaki-Shi | 2013-11-07 / 20130297081 - AIR CONDITIONER | 1 |
Takeshi Kawai | JP | Kanagawa | 2014-04-10 / 20140099141 - CLEANING MEMBER, CHARGING DEVICE, ASSEMBLY, AND IMAGE FORMING APPARATUS | 10 |
Eizi Kawai | JP | Kyoto-Shi | 2013-09-12 / 20130235035 - IMAGE PROCESSING SYSTEM, METHOD OF OPERATING IMAGE PROCESSING SYSTEM, HOST APPARATUS, PROGRAM, AND METHOD OF MAKING PROGRAM | 10 |
Hideaki Kawai | JP | Kyoto-Shi | 2008-08-21 / 20080199227 - TONER CARTRIDGE | 1 |
Takashi Kawai | JP | Gotemba-Shi | 2015-10-29 / 20150307080 - CONTROL SYSTEM OF HYBRID VEHICLE | 15 |
Hiroki Kawai | JP | Kyoto-Shi | 2010-02-25 / 20100046045 - IMAGE READING APPARATUS | 1 |
Ryou Kawai | JP | Hiratsuka-Shi | 2013-05-09 / 20130115064 - INSULATOR, AND STATOR AND MOTOR PROVIDED WITH SAME | 1 |
Hideya Kawai | JP | Anjo-Shi | 2015-01-15 / 20150018171 - CONTROL DEVICE AND CONTROL METHOD FOR SOLENOID VALVE | 1 |
Yoichiro Kawai | JP | Aichi | 2012-05-17 / 20120118221 - METHOD OF PRODUCTION OF SIC SINGLE CRYSTAL | 1 |
Kotaro Kawai | JP | Tokyo | 2013-06-06 / 20130139629 - ROLLING ELEMENT SCREW ASSEMBLY | 1 |
Toru Kawai | JP | Fukushima | 2011-12-29 / 20110318697 - GROUND FLARE | 1 |
Yuki Kawai | JP | Tokyo | 2013-11-07 / 20130294012 - ELECTROCHEMICAL DEVICE | 4 |
Takuji Kawai | JP | Ebina | 2014-01-16 / 20140016641 - Communication System and Communication Control Device | 4 |
Toru Kawai | JP | Kumamoto-Ken | 2010-01-07 / 20100003279 - VACCINE FOR IN OVO INOCULATION | 1 |
Hiroshi Kawai | JP | Chiyoda-Ku | 2015-07-02 / 20150183356 - CLIP FOR MOUNTING COMPONENT, AND COMPONENT-MOUNTING STRUCTURE | 1 |
Toru Kawai | JP | Wako-Shi | 2014-07-31 / 20140209054 - PREMIXED COMPRESSION SELF-IGNITION ENGINE | 6 |
Michihiro Kawai | JP | Nagoya-Shi | 2013-10-10 / 20130267671 - LIVING RADICAL POLYMERIZATION METHOD | 1 |
Hideyasu Kawai | JP | Aichi | 2010-04-08 / 20100086843 - LITHIUM ION BATTERY BEFORE PRE-DOPING AND LITHIUM ION BATTERY MANUFACTURING METHOD | 3 |
Keita Kawai | JP | Toyohashi-Shi | 2015-03-19 / 20150078940 - ELECTRIC PUMP AND CLEANING DEVICE FOR ON-VEHICLE OPTICAL SENSOR | 4 |
Hideyasu Kawai | JP | Aichi-Ken | 2010-06-03 / 20100136461 - POWER STORAGE DEVICE | 1 |
Toru Kawai | JP | Aichi | 2013-12-19 / 20130337271 - SLIDING MATERIAL COMPOSITION AND SLIDING MEMBER | 1 |
Makoto Kawai | JP | Osaka | 2015-04-23 / 20150111854 - SUBSTITUTED POLYCYCLIC CARBAMOYL PYRIDONE DERIVATIVE PRODRUG | 4 |
Kenichi Kawai | JP | Hiroshima | 2010-10-07 / 20100253122 - SEAT STRUCTURE | 1 |
Nobuyuki Kawai | JP | Okazuki-Shi, Aichi | 2010-07-22 / 20100182154 - BATTERY MANAGEMENT SYSTEM FOR ELECTRIC VEHICLE | 1 |
Tomohiko Kawai | JP | Minamitsuru-Gun | 2009-02-05 / 20090033271 - MACHINE TOOL HAVING FUNCTION OF CORRECTING MOUNTING ERROR THROUGH CONTACT DETECTION | 6 |
Kiyoshi Kawai | JP | Hiroshima | 2011-10-27 / 20110262943 - REAGENT KIT FOR MEASURING FRESHNESS | 1 |
Tomohiko Kawai | JP | Yamanashi | / - | 1 |
Tomohiko Kawai | JP | Minamitsuru-Gun, Yamanashi | 2009-03-12 / 20090067764 - FLUID BEARING STRUCTURE AND ASSEMBLY METHOD FOR FLUID BEARING STRUCTURE | 1 |
Etsuzo Kawai | JP | Aichi-Ken | 2008-10-30 / 20080265225 - Control System for Transfer Means | 1 |
Kochi Kawai | JP | Kyoto-Shi | 2015-12-10 / 20150356838 - VIBRATION GENERATION SYSTEM, STORAGE MEDIUM HAVING STORED THEREIN VIBRATION GENERATION PROGRAM, AND VIBRATION GENERATION METHOD | 5 |
Makoto Kawai | JP | Gunma-Ken | 2010-09-30 / 20100244182 - METHOD OF MANUFACTURING LAMINATED WAFER BY HIGH TEMPERATURE LAMINATING METHOD | 3 |
Shuhei Kawai | JP | Ota-Shi | 2014-11-06 / 20140327367 - CONTROL CIRCUIT OF LIGHT EMITTING ELEMENT | 6 |
Nobuyuki Kawai | JP | Okazaki-Si | 2009-08-06 / 20090197166 - BATTERY MODULE CASE | 1 |
Tetsurou Kawai | JP | Kumagaya | 2011-09-22 / 20110227178 - SEMICONDUCTOR STRAIN SENSOR | 1 |
Takashi Kawai | JP | Gotemba-Shi, Shizuoka-Ken | 2016-04-28 / 20160114789 - CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 4 |
Makoto Kawai | JP | Aichi-Ken | 2009-12-03 / 20090298811 - BENZIMIDAZOLONE DERIVATIVES | 2 |
Koichi Kawai | JP | Yokohama-Shi | 2013-10-03 / 20130262754 - SEMICONDUCTOR INTEGRATED CIRCUIT ADAPTED TO OUTPUT PASS/FAIL RESULTS OF INTERNAL OPERATIONS | 8 |
Jun Kawai | JP | Kawasaki | 2015-10-29 / 20150309584 - INPUT CONTROL DEVICE AND METHOD | 12 |
Youichiro Kawai | JP | Okazaki-Shi Aichi-Ken | 2015-03-12 / 20150069284 - NANOCOMPOSITE THERMOELECTRIC CONVERSION MATERIAL AND METHOD OF MANUFACTURING THE SAME | 1 |
Fukiko Kawai | JP | Tokyo | 2015-07-30 / 20150212498 - DESIGN METHOD OF CONTROL DEVICE AND CONTROL DEVICE | 1 |
Kiyoyuki Kawai | JP | Iwaki-City | 2009-01-08 / 20090009594 - Three-Dimensional Representation Apparatus | 1 |
Takeshi Kawai | JP | Komaki-Shi | 2012-08-23 / 20120211374 - NOx CONCENTRATION DETECTION APPARATUS AND NOx CONCENTRATION DETECTION METHOD | 6 |
Kiyoyuki Kawai | JP | Okaya-Shi | 2009-01-22 / 20090020082 - Hollow valve for internal combustion engine, and internal combustion engine having the hollow valve | 1 |
Yoshinori Kawai | JP | Kawasaki | 2008-10-02 / 20080239348 - METHOD FOR CREATING COLOR CONVERSION DEFINITION FOR IMAGE OUTPUT DEVICES AND IMAGE PROCESSING APPARATUS IMPLEMENTING THE METHOD | 1 |
Kiyoyuki Kawai | KR | Suwon | 2010-06-03 / 20100135345 - WAVELENGTH CONVERSION LASER DEVICE AND NONLINEAR OPTICAL CRYSTAL USED IN THE SAME | 1 |
Kazunari Kawai | JP | Kawasaki-Shi | 2015-03-19 / 20150078831 - AUXILIARY TUNNELING APPARATUS | 2 |
Tomoji Kawai | JP | Minoh | 2014-12-25 / 20140374255 - SINGLE PARTICLE ANALYZER AND SINGLE PARTICLE ANALYSIS METHOD | 1 |
Takeshi Kawai | JP | Niigata | 2011-05-12 / 20110112346 - PROCESS FOR PRODUCING ADAMANTANE | 2 |
Nobuyuki Kawai | JP | Seto-Shi | 2012-08-23 / 20120213456 - Opening Means in Pillow Package Bag Provided with Easy Unsealing Means | 1 |
Tomoyuki Kawai | JP | Saitama | 2015-08-27 / 20150244925 - IMAGE PROCESSING DEVICE, IMAGING DEVICE, IMAGE PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 8 |
Tomoyuki Kawai | JP | Kurokawa-Gun | 2009-07-23 / 20090185061 - IMAGE PICKUP APPARATUS AND METHOD OF CORRECTING A PICKED-UP IMAGE SIGNAL OF THE SAME | 3 |
Shigeaki Kawai | JP | Kawasaki | 2016-03-31 / 20160094227 - TRANSMITTER CIRCUIT AND SEMICONDUCTOR INTEGRATED CIRCUIT | 2 |
Takahiro Kawai | JP | Shizuoka | 2011-11-10 / 20110275703 - SUBSTITUTED SPIROKETAL DERIVATIVES AND USE THEREOF AS THERAPEUTIC DRUG FOR DIABETES | 1 |
Takeshi Kawai | JP | Aichi | 2010-09-09 / 20100225339 - DETECTION METHOD FOR DEFECT OF SENSOR | 1 |
Shoko Kawai | JP | Saitama-Shi, Saitama | 2015-03-12 / 20150070754 - INFRARED OPTICAL SYSTEM | 1 |
Satoshi Kawai | JP | Shizuoka | 2012-03-15 / 20120061785 - SEMICONDUCTOR LIGHT DETECTING ELEMENT AND MANUFACTURING METHOD THEREFOR | 3 |
Nobuyuki Kawai | JP | Saitama-Ken | 2011-12-22 / 20110309349 - ANODE STRUCTURE FOR USE IN ORGANIC EL DEVICE, PRODUCTION METHOD THEREOF AND ORGANIC EL DEVICE | 1 |
Kiyoshi Kawai | JP | Shizuoka | 2009-12-03 / 20090300803 - GENE CODING FOR ACETOLACTATE SYNTHASE | 2 |
Kenzo Kawai | JP | Shizuoka | 2013-06-13 / 20130145941 - PRINTING APPARATUS AND PRINTING METHOD | 1 |
Junko Kawai | JP | Shibuya-Ku | 2011-04-21 / 20110092776 - MEDICAL MANIPULATOR | 4 |
Hiromitsu Kawai | JP | Shizuoka | 2015-09-10 / 20150252849 - NEEDLE ROLLER AND CAGE ASSEMBLY | 2 |
Hideaki Kawai | JP | Kakogawa-Shi | 2016-04-07 / 20160096583 - MOTORCYCLE | 8 |
Osamu Kawai | JP | Hiroshima | 2014-05-22 / 20140141270 - ACRYLIC RESIN COMPOSITION, ACRYLIC RESIN SHEET, ACRYLIC RESIN LAMINATE, AND MANUFACTURING METHOD FOR SAME | 6 |
Yoshio Kawai | JP | Hitachinaka-Shi | 2015-07-30 / 20150216088 - Electronic Control Apparatus | 1 |
Yuma Kawai | JP | Hyogo-Ken | 2010-07-29 / 20100189278 - PUBLIC-ADDRESS SYSTEM | 1 |
Tachio Kawai | JP | Odawara-Shi | 2014-11-13 / 20140334844 - DEVELOPING DEVICE, PROCESS CARTRIDGE AND DRUM UNIT | 15 |
Yumiko Kawai | JP | Soka-Shi | 2012-05-24 / 20120125235 - AQUEOUS PIGMENT ANTI-SETTLING AGENT AND PROCESS FOR PRODUCING SAME | 1 |
Yuuichi Kawai | JP | Niigata | 2010-08-19 / 20100206421 - FIBER-REINFORCED RESIN PIPE | 1 |
Hiroyuki Kawai | JP | Kanagawa | 2015-04-02 / 20150095684 - DATA PROCESSING SYSTEM, MICROCONTROLLER AND SEMICONDUCTOR DEVICE | 10 |
Hiroyuki Kawai | JP | Osaka | 2011-12-22 / 20110309014 - FILTRATION MATERIAL FOR FILTERS, AND FILTER CARTRIDGE | 4 |
Hiroyuki Kawai | JP | Tokyo | 2012-07-12 / 20120178393 - RECEIVING APPARATUS AND INTERFERENCE POWER ESTIMATION METHOD | 3 |
Toshimitsu Kawai | JP | Hamamatsu-Shi | 2015-08-20 / 20150233831 - SURFACE-ENHANCED RAMAN SCATTERING ELEMENT | 4 |
Hiroyuki Kawai | JP | Osaka-Shi | 2010-08-05 / 20100195270 - LAMINATE, SEPARATOR FOR CAPACITOR, AND CAPACITOR | 1 |
Atsushi Kawai | JP | Tokyo | 2014-08-21 / 20140232927 - FOCUS ESTIMATING DEVICE, IMAGING DEVICE, AND STORAGE MEDIUM STORING IMAGE PROCESSING PROGRAM | 2 |
Hiroyuki Kawai | US | Kirkland | 2009-08-27 / 20090214610 - BENZOIC ACID CONTAINING COMPOSITION FOR MAINTAINING HYDANTOINYLATED POLYMERS IN A BIOCIDALLY ACTIVE STATE | 1 |
Satoshi Kawai | JP | Hamamatsu-Shi | 2015-07-30 / 20150214395 - PHOTODIODE AND PHOTODIODE ARRAY | 2 |
Hirotoshi Kawai | JP | Tokyo | 2013-09-19 / 20130245868 - CONTROL DEVICE, CONTROL METHOD, AND ELECTRIC MOTOR CAR | 2 |
Kazunori Kawai | JP | Hamamatsu-Shi | 2015-05-07 / 20150127232 - TRACTION CONTROL DEVICE | 2 |
Fujito Kawai | JP | Hamamatsu-Shi | 2015-12-10 / 20150354995 - RESOLVER | 2 |
Daisuke Kawai | JP | Hamamatsu-Shi | 2008-09-25 / 20080230030 - INTAKE DEVICE FOR MOTORCYCLE | 1 |
Nobuo Kawai | JP | Kanagawa | 2012-11-29 / 20120299854 - MOBILE ELECTRONIC DEVICE AND INPUT METHOD | 1 |
Eizi Kawai | JP | Kyoto | 2013-05-02 / 20130111531 - INFORMATION PROCESSING SYSTEM, SERVER SYSTEM, TERMINAL SYSTEM, INFORMATION PROCESSING PROGRAM, AND INFORMATION PRESENTATION METHOD | 3 |
Hiroyuki Kawai | JP | Shizuoka-Ken | 2012-02-23 / 20120045572 - CARBON NANOTUBE PRODUCTION PROCESS AND CARBON NANOTUBE PRODUCTION APPARATUS | 3 |
Nobutomo Kawai | JP | Osaki-Shi | 2014-12-11 / 20140364536 - PROCESS FOR PRODUCING MODIFIED POLYMER, DIENE POLYMER, RUBBER COMPOSITION AND PNEUMATIC TIRE | 1 |
Hideharu Kawai | JP | Nagoya-Shi | 2013-08-29 / 20130222103 - FERRITE CLAMP | 3 |
Yusuke Kawai | JP | Hiroshima | / - | 1 |
Shunsuke Kawai | JP | Toyota-Shi | 2011-09-08 / 20110217883 - Press-fit terminal | 1 |
Junichi Kawai | JP | Toyota-Shi | 2013-05-16 / 20130118831 - STRUCTURE HAVING SOUND ABSORPTION CHARACTERISTIC | 2 |
Yoshiyuki Kawai | JP | Nagoya-Shi | 2015-04-30 / 20150114368 - INTERNAL COMBUSTION ENGINE AND SEPARATOR STRUCTURE THEREOF | 6 |
Yasuaki Kawai | JP | Nagoya-Shi | 2014-12-18 / 20140370321 - NANOHETEROSTRUCTURED PERMANENT MAGNET AND METHOD FOR PRODUCING THE SAME | 3 |
Masaaki Kawai | JP | Shizuoka | 2012-11-08 / 20120283091 - CATALYST FOR CONVERTING EXHAUST GASES | 1 |
Yasutoshi Kawai | JP | Osaka | 2011-06-30 / 20110157798 - PORTABLE ELECTRONIC APPARATUS | 3 |
Akiko Kawai | JP | Ibaraki | 2010-08-19 / 20100210820 - PROTEIN REFOLDING COLUMN FILLER AND COLUMN | 1 |
Shinsuke Kawai | JP | Osaka | 2013-02-21 / 20130045378 - THIN-FILM TYPE LIGHT-ABSORBING FILM | 1 |
Katsuyuki Kawai | JP | Toyota-Shi | 2010-05-13 / 20100116248 - CONTROLLER AND CONTROL METHOD FOR INTERNAL COMBUSTION ENGINE | 2 |
Katsunori Kawai | JP | Osaka-Shi | 2008-10-09 / 20080249478 - Indwelling needle assembly and protector | 1 |
Akiko Kawai | JP | Sendai-Shi | 2009-01-01 / 20090005543 - PROTEIN-REFOLDING MATERIAL | 1 |
Hideyasu Kawai | JP | Toyota-Shi | 2009-07-02 / 20090169974 - Conductive Carbon Carrier for Fuel Cell, Electrode Catalyst for Fuel Cell and Solid Polymer Fuel Cell Comprising Same | 1 |
Jun Kawai | JP | Anjo-Shi | 2011-08-25 / 20110207321 - SEMICONDUCTOR DEVICE MANUFACTURIING METHOD | 1 |
Takashi Kawai | JP | Shiga | 2009-01-29 / 20090025286 - METHOD FOR CULTIVATING HON-SHIMEJI MUSHROOM ON FUNGAL BED | 1 |
Koji Kawai | JP | Hamamatsu-Shi | 2015-10-15 / 20150294853 - TARGET FOR ULTRAVIOLET LIGHT GENERATION, ELECTRON BEAM-EXCITED ULTRAVIOLET LIGHT SOURCE, AND PRODUCTION METHOD FOR TARGET FOR ULTRAVIOLET LIGHT GENERATION | 5 |
Takashi Kawai | JP | Chiba | 2015-07-30 / 20150215521 - AUTO FOCUS CONTROL OF IMAGE CAPTURING APPARATUS | 5 |
Hideki Kawai | JP | Hyogo | 2011-08-11 / 20110195638 - METHOD FOR PRODUCING GLASS SUBSTRATE AND METHOD FOR PRODUCING MAGNETIC RECORDING MEDIUM | 12 |
Mina Kawai | JP | Nagoya-Shi | 2015-09-10 / 20150253879 - Data Processing Device | 5 |
Hiroki Kawai | JP | Abiko-Shi | 2014-12-04 / 20140356015 - IMAGE HEATING APPARATUS | 1 |
Hiroki Kawai | JP | Tokyo | 2015-10-22 / 20150300992 - METHOD FOR MEASURING HEIGHT OF LACK OF PENETRATION AND ULTRASONIC FLAW DETECTOR | 3 |
Takashi Kawai | JP | Tokyo | 2009-07-09 / 20090173420 - PNEUMATIC TIRE FOR TWO-WHEELED VEHICLE | 2 |
Sunao Kawai | JP | Nagoya-Shi | 2014-09-18 / 20140268233 - Communication Apparatus | 7 |
Takashi Kawai | JP | Susono-Shi | 2014-02-27 / 20140053813 - CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 4 |
Takashi Kawai | JP | Shizuoka-Ken | 2009-12-24 / 20090314267 - CONTROLLER OF INTERNAL COMBUSTION ENGINE | 2 |
Tsuyoshi Kawai | JP | Hyogo | 2008-11-13 / 20080279441 - Cell-Image Analysis Method, Cell-Image Analysis Program, Cell-Image Analysis Apparatus, Screening Method, and Screening Apparatus | 1 |
Tsuyoshi Kawai | JP | Nara | 2012-03-15 / 20120063289 - METAL COMPLEX AND USE THEREOF | 3 |
Yoshikazu Kawai | JP | Osaka | 2015-12-17 / 20150361185 - FORMYL GROUP-CONTAINING POROUS SUPPORT, ADSORBENT USING SAME, METHOD FOR PRODUCING SAME, AND METHOD FOR PRODUCING THE ADSORBENT | 4 |
Tsuyoshi Kawai | JP | Ikoma-Shi | 2012-07-26 / 20120190123 - STRUCTURAL ANALYSIS DEVICE AND STRUCTURAL ANALYSIS METHOD | 5 |
Eri Kawai | JP | Toyohashi-Shi | 2014-11-27 / 20140349129 - METHACRYLIC ACID ESTER POLYMER, METHOD FOR PRODUCING SAME, ACTIVE ENERGY RAY-CURABLE COMPOSITION, AND OPTICAL RECORDING MEDIUM | 1 |
Atsushi Kawai | JP | Kanagawa | 2009-04-23 / 20090101405 - Electrical-Wire Support Apparatus | 1 |
Narumi Kawai | JP | Nagoya-Shi | 2013-11-07 / 20130293614 - INK-JET PRINTER | 8 |
Hiroshi Kawai | JP | Tokyo | 2012-01-05 / 20120001445 - VEHICLE INTERIOR MEMBER | 1 |
Yuta Kawai | JP | Nagoya-Shi | 2011-05-12 / 20110110799 - LIQUID PUMP | 1 |
Takashi Kawai | JP | Nagoya | 2013-07-25 / 20130190984 - LANE DEPARTURE CONTROL SYSTEM | 1 |
Junya Kawai | JP | Nagoya-Shi | 2015-04-02 / 20150092202 - Recorded Matter Producing Apparatus | 13 |
Takashi Kawai | JP | Yamagata-Shi | 2010-03-04 / 20100052525 - ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD OF MANUFACTURING THE SAME | 2 |
Shunsuke Kawai | JP | Osaka | 2013-03-21 / 20130069526 - PLASMA DISPLAY PANEL | 3 |
Noriji Kawai | JP | Gamagori | 2015-06-04 / 20150150448 - OPHTHALMIC MEASUREMENT APPARATUS AND OPHTHALMIC MEASUREMENT PROGRAM | 1 |
Tetsuo Kawai | JP | Osaka | / - | 1 |
Takashi Kawai | JP | Kodaira-Shi | 2010-03-18 / 20100065180 - PNEUMATIC TIRE | 1 |
Takashi Kawai | JP | Komaki-Shi | 2010-06-10 / 20100140113 - GAS SENSOR CONTROL APPARATUS AND METHOD | 1 |
Kiyohumi Kawai | JP | Osaka | 2009-03-12 / 20090065987 - Molten metal ladle | 1 |
Masanori Kawai | JP | Osaka | 2008-08-28 / 20080205645 - Digital broadcast reception apparatus | 1 |
Tomoji Kawai | JP | Osaka | 2016-05-19 / 20160138101 - METHOD AND DEVICE FOR IDENTIFYING NUCLEOTIDE, AND METHOD AND DEVICE FOR DETERMINING NUCLEOTIDE SEQUENCE OF POLYNUCLEOTIDE | 11 |
Takashi Kawai | JP | Osaka | 2010-06-24 / 20100158996 - ESTER COMPOUND AND MEDICAL USE THEREOF | 1 |
Takanao Kawai | JP | Osaka | 2015-08-27 / 20150244889 - Image Forming Apparatus and Method for Displaying Application Screen of Image Forming Apparatus That Ensures Display of Application Screen on Operation Panel with Different Resolution | 11 |
Takashi Kawai | JP | Gotemba-Shi | 2015-10-29 / 20150307080 - CONTROL SYSTEM OF HYBRID VEHICLE | 15 |
Takashi Kawai | JP | Fukui | 2013-09-12 / 20130233046 - INERTIAL FORCE SENSOR | 7 |
Takashi Kawai | US | 2016-01-07 / 20160001764 - VEHICLE DRIVE DEVICE | 1 | |
Ken Kawai | JP | Osaka | 2015-12-17 / 20150364193 - VARIABLE RESISTANCE NONVOLATILE MEMORY DEVICE | 20 |
Takashi Kawai | JP | Yamagata | 2011-02-24 / 20110045732 - METHOD AND DEVICE FOR MANUFACTURING ORGANIC EL LIGHT-EMITTING PANEL | 1 |
Hiroshi Kawai | JP | Nagoya-Shi | 2013-12-12 / 20130328325 - VEHICLE DOOR LOCK DEVICE | 1 |
Toshitake Kawai | JP | Wako-Shi | 2014-11-20 / 20140343799 - DRIVING SUPPORT DEVICE AND DRIVING SUPPORT METHOD | 1 |
Takashi Kawai | JP | Otsu-Shi | 2010-06-10 / 20100139157 - FUNGAL BED CULTIVATION METHOD OF HON-SHIMEJI MUSHROOM | 3 |
Yasuhiro Kawai | JP | Fujisawa-Shi | 2015-08-13 / 20150226627 - Torque Detection Apparatus, Electric Power Steering System and Vehicle | 1 |
Hironao Kawai | JP | Kakegawa-Shi | 2010-02-11 / 20100035752 - Honeycomb Structure For Slurry Coating | 1 |
Masaaki Kawai | JP | Kiyosu-City | 2012-01-05 / 20120000358 - STRUCTURE PROVIDED WITH ZEOLITE SEPARATION MEMBRANE, METHOD FOR PRODUCING SAME, METHOD FOR SEPARATING MIXED FLUIDS AND DEVICE FOR SEPARATING MIXED FLUIDS | 1 |
Takashi Kawai | JP | Yonezawa-Shi | 2011-02-10 / 20110031476 - ORGANIC ELECTROLUMINESCENCE ELEMENT | 1 |
Takashi Kawai | JP | Honjo-Shi | 2011-04-07 / 20110080273 - Sensation Presenting System and Sensation Presenting Device | 1 |
Eiji Kawai | JP | Tokyo | 2015-11-12 / 20150326947 - Electronic Information Content Distribution Processing System, Information Distribution Apparatus, Information Processing Apparatus, and Electronic Information Content Distribution Processing Method | 4 |
Keisuke Kawai | JP | Odawara-Shi | 2011-06-16 / 20110144885 - CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 11 |
Eiji Kawai | JP | Kanagawa | 2010-02-18 / 20100039902 - WATCH INFORMATION CONTENT DISTRIBUTION PROCESSING SYSTEM, INFORMATION DISTRIBUTION APPARATUS, INFORMATION DISTRIBUTION SYSTEM, HAND HELD TERMINAL DEVICE, INFORMATION RECORDING MEDIUM, AND INFORMATION PROCESSING METHOD. | 1 |
Yuki Kawai | JP | Yokohama-Shi | 2014-10-02 / 20140295447 - PRIMER SET, METHOD FOR AMPLIFYING TARGET NUCLEIC ACID SEQUENCE USING SAME, AND METHOD FOR DETECTING MUTATED NUCLEIC ACID USING SAME | 2 |
Mieko Kawai | JP | Kanagawa-Ken | 2009-06-04 / 20090139787 - Secondary Battery Electrode, and Secondary Battery Using the Same | 1 |
Kenji Kawai | JP | Koga-Shi | 2011-01-20 / 20110014477 - COMPOSITION FOR COATING PLASTIC SUBSTRATE, COATING FILM FORMED THEREFROM, AND FORMED BODY | 4 |
Shusuke Kawai | JP | Fujisawa-Shi | 2014-10-09 / 20140300419 - BIAS CIRCUIT AND AMPLIFIER | 2 |
Toshihiro Kawai | JP | Toyota-Shi | / - | 1 |
Hirokazu Kawai | JP | Toyota-Shi | 2010-12-09 / 20100308834 - APPARATUS FOR DETECTING A STATE OF SECONDARY BATTERY | 2 |
Hidetomo Kawai | JP | Shizuoka-Shi | 2015-01-22 / 20150020957 - TRANSPARENT PRESSURE-SENSITIVE ADHESIVE PRODUCT FOR OPTICAL USE, TRANSPARENT PRESSURE-SENSITIVE ADHESIVE LAMINATE FOR OPTICAL USE AND MANUFACTURING METHOD THEREOF | 2 |
Kenji Kawai | JP | Minamitsuru-Gun | 2013-05-16 / 20130119817 - ROTOR OF PERMANENT MAGNET SYNCHRONOUS MOTOR, MOTOR AND MACHINE TOOL | 1 |
Koichi Kawai | JP | Tokyo | 2013-02-07 / 20130033899 - REFLECTING BASE MATERIAL, BACKLIGHT UNIT, AND METHOD FOR MANUFACTURING REFLECTING BASE MATERIAL | 1 |
Yoshinobu Kawai | JP | Tajimi-Shi | 2008-11-06 / 20080272157 - Sliding Nozzle Device and Pouring Device | 1 |
Takatoshi Kawai | JP | Ibaraki | 2013-08-01 / 20130196987 - MACROCYCLIC COMPOUNDS USEFUL AS PHARMACEUTICALS | 2 |
Takatoshi Kawai | JP | Shizuoka | 2012-07-19 / 20120184263 - RADIO TRANSMISSION APPARATUS AND RADIO TRANSMISSION METHOD | 2 |
Hirotaka Kawai | JP | Tokyo | 2013-05-16 / 20130120824 - REFLECTION TYPE VARIABLE OPTICAL ATTENUATOR | 1 |
Takehiro Kawai | JP | Kyoto | 2010-08-26 / 20100214073 - TAG COMMUNICATION DEVICE AND TAG COMMUNICATION METHOD | 5 |
Hiromasa Kawai | JP | Kyoto | 2009-05-14 / 20090121489 - Energy converter, flag type energy converter | 1 |
Mikio Kawai | JP | Yokosuka-Shi | 2010-06-10 / 20100140554 - COMPOSITE POSITIVE ELECTRODE MATERIAL FOR LITHIUM ION BATTERY AND BATTERY USING THE SAME | 1 |
Takashi Kawai | JP | Yokohama-Shi | 2012-11-29 / 20120301042 - IMAGE PROCESSING APPARATUS AND PROGRAM | 2 |
Osamu Kawai | JP | Kawasaki | 2012-03-29 / 20120079610 - CONTENT MANAGEMENT PROGRAM, METHOD AND DEVICE | 1 |
Mitsuhiro Kawai | JP | Osaka | 2014-02-27 / 20140055220 - ELECTROMAGNET DEVICE | 1 |
Erina Kawai | JP | Kyoto | 2009-07-09 / 20090172858 - GARMENT | 1 |
Norio Kawai | JP | Kyoto | 2011-02-17 / 20110039966 - POLYURETHANE FOAM AND POLISHING PAD | 1 |
Shinji Kawai | JP | Saitama | 2009-12-31 / 20090325864 - NOVEL PROTEIN AND PROCESS FOR PREPARING THE SAME | 1 |
Takuji Kawai | JP | Kyoto | 2010-05-13 / 20100117675 - LIQUID CRYSTAL DISPLAY DEVICE AND ANALYSIS DEVICE INCLUDING THE SAME | 1 |
Shigeyuki Kawai | JP | Kanagawa-Ken | 2010-01-07 / 20100004996 - Information Processing Server, and Information Processing Method | 1 |
Mikio Kawai | JP | Kanagawa-Ken | 2009-06-04 / 20090139787 - Secondary Battery Electrode, and Secondary Battery Using the Same | 2 |
Hiroshi Kawai | JP | Shiga | 2015-10-08 / 20150288342 - MICROWAVE PROCESSING DEVICE | 3 |
Wakahiro Kawai | JP | Konan-City | 2014-11-06 / 20140330549 - SIMULATION DEVICE, SIMULATION METHOD, AND RECORDING MEDIUM | 1 |
Shigehiro Kawai | JP | Kanagawa | 2016-03-17 / 20160080721 - TRANSMITTING APPARATUS, STEREO IMAGE DATA TRANSMITTING METHOD, RECEIVING APPARATUS, AND STEREO IMAGE DATA RECEIVING METHOD | 5 |
Chihiro Kawai | JP | Hyogo | 2008-11-06 / 20080274018 - Surface Light-Emitting Device, Filtering Device Using Same, and Optically Assisted Ceramic Filter | 1 |
Nobuaki Kawai | JP | Tokyo | 2012-06-21 / 20120155518 - WIRELESS COMMUNICATION APPARATUS AND WIRELESS COMMUNICATION METHOD | 1 |
Takashi Kawai | JP | Gotenba-Shi, Shizuoka-Ken | 2016-01-07 / 20160001764 - VEHICLE DRIVE DEVICE | 2 |
Yoshiaki Kawai | JP | Kanagawa | 2016-04-21 / 20160112602 - ABNORMAL NOISE OPERATION CONTROL DEVICE, IMAGE FORMING APPARATUS, ABNORMAL NOISE OPERATION CONTROL METHOD, AND NON-TRANSITORY RECORDING MEDIUM | 3 |
Masaaki Kawai | JP | Kobe-Shi | 2013-06-06 / 20130143236 - METHOD FOR DETERMINING SENSITIVITY OF TUMOR CELLS TO DASATINIB AND COMPUTER PROGRAM | 2 |
Yuji Kawai | JP | Yokohama-Shi | 2016-03-03 / 20160063036 - COMMUNICATION APPARATUS CAPABLE OF COMMUNICATING WITH EXTERNAL APPARATUS IN WHICH CONTENTS ARE RECORDED, AND RECEIVING METADATA OF CONTENTS | 1 |
Takeshi Kawai | JP | Kanagawa | 2014-04-10 / 20140099141 - CLEANING MEMBER, CHARGING DEVICE, ASSEMBLY, AND IMAGE FORMING APPARATUS | 10 |
Ryosuke Kawai | JP | Tokyo | 2015-05-28 / 20150146736 - MULTI-TENANT SYSTEM, SWITCH, CONTROLLER AND PACKET TRANSFERRING METHOD | 3 |
Yoshiko Kawai | JP | Matsumoto-Shi | 2014-07-17 / 20140199714 - METHOD FOR DETECTING CANCER CELLS METASTASIZING INTO SENTINEL LYMPH NODE | 2 |
Ryuichiro Kawai | JP | Kanagawa | 2011-04-21 / 20110093635 - Communication centralized control system and communication centralized control method | 1 |
Wakahiro Kawai | JP | Kyoto | 2013-12-12 / 20130331959 - CONTROL DEVICE, CONTROL METHOD, PROGRAM, AND RECORDING MEDIUM | 1 |
Ryousei Kawai | JP | Hitachinaka | 2015-08-20 / 20150236369 - PRISMATIC SECONDARY BATTERY | 1 |
Koji Kawai | JP | Yasu-Shi | 2008-10-09 / 20080249684 - OVERTURN PREVENTION CONTROL DEVICE FOR TWO-WHEEL VEHICLE | 1 |
Yukinobu Kawai | JP | Aichi | 2009-12-03 / 20090295984 - Image pickup apparatus | 1 |
Koji Kawai | JP | Sodegaura-Shi | 2009-02-12 / 20090043050 - PROPYLENE COPOLYMER, POLYPROPYLENE COMPOSITION AND USES THEREOF, TRANSITION METAL COMPOUND AND OLEFIN POLYMERIZATION CATALYST | 4 |
Yoshinao Kawai | JP | Kanagawa | 2015-08-27 / 20150242353 - DATA TRANSFER APPARATUS, HOST APPARATUS, DATA TRANSFER SYSTEM, AND COMMUNICATION MODE SETTING METHOD | 3 |
Takeshi Kawai | JP | Yokosuka-Shi | 2015-09-24 / 20150268421 - OPTICAL SIGNAL PROCESSING DEVICE | 2 |
Koji Kawai | JP | Kanagawa | 2009-07-09 / 20090176818 - Antitussive Agent | 3 |
Tomoyuki Kawai | JP | Saitama | 2015-08-27 / 20150244925 - IMAGE PROCESSING DEVICE, IMAGING DEVICE, IMAGE PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 8 |
Koji Kawai | JP | Hitachi | 2009-09-24 / 20090238506 - JOURNAL BEARING DEVICE | 1 |
Seiji Kawai | JP | Kusatsu-Shi | 2014-11-06 / 20140330445 - INTERMEDIATE APPARATUS | 2 |
Kiyotaka Kawai | JP | Kyoto | 2015-03-26 / 20150086759 - RESIN PANEL AND FORMING METHOD | 1 |
Koji Kawai | JP | Chiba | 2009-10-08 / 20090253876 - Fluorene Derivative, Transition Metal Compound, Catalyst for Olefin Polymerization, and Process for Producing Olefin Polymer | 2 |
Masahiro Kawai | JP | Shizuoka | 2015-10-22 / 20150300435 - CLUTCH UNIT | 9 |
Koji Kawai | JP | Shizuoka-Ken | 2009-12-31 / 20090323095 - IMAGE FORMING APPARATUS AND METHOD | 3 |
Koji Kawai | JP | Ichihara-Shi | 2010-07-29 / 20100190941 - TRANSITION METAL COMPOUND, CATALYST FOR OLEFIN POLYMERIZATION, AND PROCESS FOR PRODUCING OLEFIN POLYMER | 1 |
Hidetsugu Kawai | JP | Inuyama-Shi | 2014-03-06 / 20140067109 - WORKPIECE-PROCESSING SYSTEM | 4 |
Yasuhiro Kawai | JP | Kanagawa | 2010-04-08 / 20100084215 - TORQUE DETECTOR, METHOD OF PRODUCING SAME AND ELECTRIC POWER STEERING DEVICE | 1 |
Koji Kawai | JP | Ichinomiya-Shi | 2010-09-30 / 20100245434 - RECORDING APPARATUS | 3 |
Takamasa Kawai | JP | Aichi | 2013-07-18 / 20130181442 - THREADED JOINT FOR PIPE | 1 |
Shinji Kawai | FR | Paris | 2008-12-25 / 20080318856 - MONOMER PROTEIN WITH BONE MORPHOGENETIC ACTIVITY AND MEDICINAL AGENT CONTAINING THE SAME FOR PREVENTING AND TREATING DISEASES OF CARTILAGE AND BONE | 1 |
Yoshihiko Kawai | JP | Tokyo | 2016-05-19 / 20160135548 - BUCKLE AND SEAT BELT APPARATUS INCLUDING THE SAME | 9 |
Kiyotaka Kawai | JP | Chiba | 2011-02-10 / 20110034723 - OILY BASE FOR A COSMETIC AND A COSMETIC COMPRISING THE SAME | 3 |
Yasuhiro Kawai | JP | Toyota-Shi | 2014-11-13 / 20140335981 - PULLEY MECHANISM OF VEHICULAR BELT-TYPE CONTINUOUSLY VARIABLE TRANSMISSION | 2 |
Takatomo Kawai | JP | Yokohama-Shi | 2014-09-25 / 20140287775 - MOBILE INFORMATION TERMINAL, CONTROL METHOD THEREOF, AND STORAGE MEDIUM | 1 |
Hiroshi Kawai | JP | Hakusan-Shi | 2012-11-22 / 20120293043 - TRANSDUCER FOR ULTRASONIC MOTOR | 1 |
Eri Kawai | JP | Aichi | 2013-09-19 / 20130245179 - ANTIFOULING PAINT COMPOSITION AND ANTIFOULING PAINT | 1 |
Tomoaki Kawai | JP | Kanagawa | 2012-04-12 / 20120086820 - METHOD OF GENERATING MOVING PICTURE INFORMATION | 5 |
Miyuki Kawai | JP | Nara | 2009-01-22 / 20090021117 - VIBRATION DETECTING SENSOR AND PRESSURE SENSITIVE SWITCH USING CABLE-SHAPED PIEZOELECTRIC ELEMENT | 2 |
Hisaji Kawai | JP | Osaka | 2015-07-02 / 20150189104 - IMAGE READING APPARATUS, IMAGE FORMING APPARATUS, IMAGE READING METHOD AND RECORDING MEDIUM THAT ENSURE SIMPLIFIED DETECTION OF NOISE MIXED IN IMAGE SIGNAL | 2 |
Hiroyuki Kawai | JP | Okayama-Shi | 2015-10-15 / 20150294801 - SEPARATOR FOR ELECTRIC DOUBLE LAYER CAPACITORS, AND ELECTRIC DOUBLE LAYER CAPACITOR | 5 |
Murato Kawai | JP | Yokohama-Shi | 2010-07-22 / 20100184275 - Semiconductor device and method for manufacturing the same | 1 |
Toshiharu Kawai | JP | Mishima-Shi | 2014-07-24 / 20140205322 - COUPLING PART, PHOTOSENSITIVE DRUM, PROCESS CARTRIDGE AND ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS | 6 |
Masato Kawai | JP | Yokohama-Shi | 2012-01-19 / 20120011887 - METHOD OF PURIFYING AIR | 7 |
Yoshifumi Kawai | JP | Yokohama-Shi | 2009-02-05 / 20090033971 - IMAGE PROCESSING APPARATUS AND ASSOCIATED METHOD AND COMPUTER READABLE STORAGE MEDIUM FOR EXTRACTING AN EMBEDDED MARKING IMAGE | 1 |
Kenji Kawai | JP | Tokyo | 2014-12-25 / 20140376553 - FRAME SEARCH PROCESSING APPARATUS AND METHOD | 5 |
Akitoshi Kawai | JP | Yokohama-Shi | 2009-06-11 / 20090147247 - Defect detecting apparatus and defect detecting method | 1 |
Tomoaki Kawai | JP | Yokohama-Shi | 2015-12-17 / 20150363636 - IMAGE RECOGNITION SYSTEM, IMAGE RECOGNITION APPARATUS, IMAGE RECOGNITION METHOD, AND COMPUTER PROGRAM | 2 |
Tatsundo Kawai | JP | Yokohama-Shi | 2010-04-15 / 20100090584 - IMAGE DISPLAY DEVICE | 1 |
Masayoshi Kawai | JP | Yokohama-Shi | 2010-11-11 / 20100281938 - REFLECTION MEMBER FOR SHOT PEENING AND SHOT PEENING METHOD HAVING THE SAME | 1 |
Toshimasa Kawai | JP | Yokohama-Shi | 2015-02-26 / 20150054445 - ELECTRIC ENDOSCOPE | 9 |
Yoshiki Kawai | JP | Kyoto | 2014-10-30 / 20140319936 - MOTOR AND METHOD OF MANUFACTURING MOTOR | 2 |
Yoshinori Kawai | JP | Yokohama-Shi | 2011-03-03 / 20110050032 - MOTOR | 1 |
Koichi Kawai | JP | Yokohama-Shi | 2013-10-03 / 20130262754 - SEMICONDUCTOR INTEGRATED CIRCUIT ADAPTED TO OUTPUT PASS/FAIL RESULTS OF INTERNAL OPERATIONS | 8 |
Yohei Kawai | JP | Yokohama-Shi | 2008-10-02 / 20080241474 - PROCESS FOR PRODUCING DISPERSION OF HOLLOW FINE SIO2 PARTICLES, COATING COMPOSITION AND SUBSTRATE WITH ANTIREFLECTION COATING FILM | 1 |
Kunihiro Kawai | JP | Yokohama-Shi | 2013-01-03 / 20130002374 - VARIABLE RESONATOR, VARIABLE BANDWIDTH FILTER, AND ELECTRIC CIRCUIT DEVICE | 7 |
Hidemasa Kawai | JP | Yoshida-Gun | 2010-01-28 / 20100018041 - HOLDING JIG FOR ELECTRONIC PARTS | 1 |
Kenji Kawai | JP | Kariya-Shi | / - | 1 |
Junya Kawai | JP | Nagoya-Shi | 2015-04-02 / 20150092202 - Recorded Matter Producing Apparatus | 13 |
Ryousei Kawai | JP | Kodaira | 2010-09-09 / 20100227474 - FABRICATION METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 1 |
Yosuke Kawai | JP | Kanagawa | 2012-11-01 / 20120273972 - SEMICONDUCTOR DEVICE | 1 |
Satoshi Kawai | JP | Osaka | 2012-03-29 / 20120073696 - HOSE | 1 |
Hikaru Kawai | JP | Saitama | 2011-07-21 / 20110176909 - VEHICLE HYDRAULIC CONTROL UNIT | 1 |
Takao Kawai | JP | Tokyo | 2016-05-19 / 20160140217 - TEXT MATCHING DEVICE AND METHOD, AND TEXT CLASSIFICATION DEVICE AND METHOD | 13 |
Yumiko Kawai | JP | Kanagawa | 2014-04-24 / 20140112914 - CYTOTOXICITY-INDUCING THERAPEUTIC AGENT | 1 |
Masaharu Kawai | JP | Kanagawa | 2015-04-02 / 20150091973 - INK FOR INKJET RECORDING, INK SET, IMAGE FORMING METHOD AND MAINTENANCE METHOD | 1 |
Motohiro Kawai | JP | Komaki-Shi | 2009-03-26 / 20090079115 - Vibration damping device | 1 |
Norifumi Kawai | JP | Minato-Ku | 2013-10-24 / 20130281199 - GAME MACHINE AND CONTROL METHOD THEREOF | 4 |
Yoichi Kawai | JP | Kitasaku-Gun | 2013-10-24 / 20130280090 - FAN DEVICE | 3 |
Makoto Kawai | JP | Chiba | 2009-04-30 / 20090112479 - PATHWAY DISPLAY METHOD, INFORMATION PROCESSING DEVICE, AND PATHWAY DISPLAY PROGRAM PRODUCT | 1 |
Hiroshige Kawai | JP | Kyoto | 2016-01-07 / 20160000855 - OXIDIZED PROTEIN HYDROLASE ACTIVITY ENHANCING AGENT | 3 |
Kiyoyuki Kawai | JP | Tokyo | 2015-04-02 / 20150093586 - HIGH-TOUGHNESS COATING FILM AND SLIDING MEMBER | 1 |
Sumio Kawai | JP | Tokyo | 2015-08-27 / 20150244907 - IMAGING APPARATUS AND OPTICAL SYSTEM USED FOR IMAGING APPARATUS | 18 |
Kazuyoshi Kawai | JP | Kanagawa | 2016-04-21 / 20160112034 - CLOCK CORRECTION CIRCUIT AND CLOCK CORRECTION METHOD | 3 |
Kenji Kawai | JP | Wako-Shi | 2013-11-28 / 20130313861 - SADDLE-RIDE TYPE VEHICLE | 1 |
Yoichi Kawai | JP | Aichi | 2013-08-01 / 20130193901 - METHOD OF CONTROLLING LINEAR MOTOR | 1 |
Yoichi Kawai | JP | Niwa-Gun | 2016-04-28 / 20160116900 - NUMERICAL CONTROL DEVICE AND METHOD | 4 |
Naoko Kawai | JP | Kyoto | 2015-04-02 / 20150093900 - CHEMICAL MECHANICAL POLISHING COMPOSITION FOR POLISHING SILICON WAFERS AND RELATED METHODS | 1 |
Shinji Kawai | JP | Iwata-Shi | 2015-02-05 / 20150036385 - SPREAD ILLUMINATING APPARATUS | 2 |
Hiroki Kawai | JP | Matsumoto | 2015-05-07 / 20150127159 - FORCE DETECTING DEVICE, ROBOT, ELECTRONIC COMPONENT CONVEYING APPARATUS | 7 |
Hiroshi Kawai | JP | Minato-Ku | 2013-11-28 / 20130316892 - METHOD FOR PRODUCING POLYMER PARTICLES, AND POLYMER PARTICLES | 3 |
Junya Kawai | JP | Yokohama-Shi | 2015-04-02 / 20150094436 - COPOLYMER, ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC ELECTRICAL DEVICE, AND PHOTOVOLTAIC MODULE | 1 |
Kenji Kawai | JP | Ohtsu-Shi | 2012-07-12 / 20120176573 - VIEWING-ANGLE-ENHANCING FILM FOR LIQUID CRYSTAL DISPLAY DEVICE, PROTECTIVE FILM WITH VIEWING-ANGLE-ENHANCING-FUNCTION, AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Kenichi Kawai | JP | Kawasaki | 2009-06-25 / 20090161267 - FERROMAGNETIC TUNNEL JUNCTION DEVICE, MAGNETIC HEAD, AND MAGNETIC STORAGE DEVICE | 2 |
Shinji Kawai | JP | Kitasaku-Gun | 2013-05-30 / 20130135898 - PLANAR ILLUMINATION DEVICE AND METHOD OF PRODUCING SAME | 2 |
Tomoya Kawai | JP | Kanagawa | 2013-09-19 / 20130240825 - NONVOLATILE VARIABLE RESISTANCE ELEMENT AND METHOD OF MANUFACTURING THE NONVOLATILE VARIABLE RESISTANCE ELEMENT | 1 |
Toshiyasu Kawai | JP | Chiba | 2009-04-09 / 20090091012 - THERMOPLASTIC RESIN COMPOSITION FOR SEMICONDUCTOR, ADHESION FILM, LEAD FRAME, AND SEMICONDUCTOR DEVICE USING THE SAME, AND METHOD OF PRODUCING SEMICONDUCTOR DEVICE | 1 |
Toshiyasu Kawai | JP | Chiba-Shi | 2009-02-26 / 20090053498 - ADHESIVE FILM FOR SEMICONDUCTOR USE, METAL SHEET LAMINATED WITH ADHESIVE FILM, WIRING CIRCUIT LAMINATED WITH ADHESIVE FILM, AND SEMICONDUCTOR DEVICE USING SAME, AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE | 1 |
Satoru Kawai | JP | Ogaki-Shi | 2015-07-30 / 20150216050 - PRINTED WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 12 |
Hiroki Kawai | JP | Anjo-Shi | 2014-09-18 / 20140278098 - Display Control Device, Display Control Method, and Tangible Non-Transitory Computer-Readable Storage Medium | 1 |
Kashiko Kawai | JP | Kanagawa | 2015-03-19 / 20150079405 - GAS BARRIER MATERIAL AND GAS BARRIER LAMINATE | 7 |
Kei Kawai | US | Mountain View | 2014-10-02 / 20140297575 - NAVIGATING THROUGH GEOLOCATED IMAGERY SPANNING SPACE AND TIME | 2 |
Hiroyuki Kawai | JP | Kawasaki | 2014-09-18 / 20140268521 - ELECTRONIC DEVICE | 1 |
Hirohito Kawai | JP | Toyota-Shi | 2012-11-08 / 20120280804 - ELECTRIC POWER INFORMATION PROVISION APPARATUS | 1 |
Minoru Kawai | JP | Kanagawa | 2011-07-14 / 20110171403 - POLYTETRAFLUOROETHYLENE RESINS THAT CAN BE PROCESSED BY SHAPING, SHAPED PRODUCTS THEREOF, AND PROCESSES FOR PRODUCING THE RESINS AND SHAPED PRODUCTS | 1 |
Yohei Kawai | JP | Chiyoda-Ku | 2013-10-24 / 20130279007 - ARTICLE HAVING LOW REFLECTION FILM | 4 |
Tomofumi Kawai | JP | Kanagawa | 2015-12-10 / 20150354850 - AIR CONDITIONER AND CONTROL CIRCUIT | 5 |
Satoru Kawai | JP | Ogaki-Shi | 2015-07-30 / 20150216050 - PRINTED WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 12 |
Morihisa Kawai | JP | Kawasaki | 2014-01-02 / 20140006932 - WEB PAGE UPDATE NOTIFICATION DEVICE AND WEB PAGE UPDATE NOTIFICATION METHOD | 3 |
Satoru Kawai | JP | Ibi-Gun | 2012-01-12 / 20120005888 - PLATING APPARATUS, PLATING METHOD AND MULTILAYER PRINTED CIRCUIT BOARD | 4 |
Hideki Kawai | JP | Hamamatsu-Shi | 2011-01-06 / 20110003979 - NEAR INFRARED HIGH EMISSION RARE-EARTH COMPLEX | 1 |
Yasuji Kawai | JP | Tokyo | 2011-07-14 / 20110169863 - TRANSMISSION TERMINAL, DISPLAY APPARATUS, IMAGE DISPLAY TRANSMISSION SYSTEM PROVIDED WITH THE TRANSMISSION TERMINAL AND THE DISPLAY APPARATUS, AND DATA TRANSFER METHOD IMPLEMENTED IN THE SYSTEM | 1 |
Wakahiro Kawai | JP | Konan-Shi, Shiga | 2012-02-09 / 20120030941 - ELECTRONIC COMPONENT MOUNTING DEVICE AND METHOD FOR PRODUCING THE SAME | 1 |
Hiromitsu Kawai | US | 2015-09-10 / 20150252849 - NEEDLE ROLLER AND CAGE ASSEMBLY | 1 | |
Tsuyoshi Kawai | JP | Tochigi | 2015-12-17 / 20150361244 - POLYHYDROXY CURABLE FLUOROELASTOMER COMPOSITION | 5 |
Tomoji Kawai | JP | Osaka | 2016-05-19 / 20160138101 - METHOD AND DEVICE FOR IDENTIFYING NUCLEOTIDE, AND METHOD AND DEVICE FOR DETERMINING NUCLEOTIDE SEQUENCE OF POLYNUCLEOTIDE | 11 |
Tomoyuki Kawai | JP | Saitama-Shi | 2015-12-31 / 20150381883 - IMAGE PROCESSING DEVICE, IMAGING DEVICE, PROGRAM, AND IMAGE PROCESSING METHOD | 35 |
Seiji Kawai | JP | Aichi-Gun | 2011-09-01 / 20110210839 - Visible in-vehicle laser beam projector providing variable projection region as function of vehicle speed | 5 |
Seiji Kawai | JP | Shiga | 2011-12-15 / 20110307099 - DEVICE MANAGEMENT SYSTEM | 7 |
Hiroji Kawai | JP | Tochigi | 2013-05-23 / 20130126942 - SEMICONDUCTOR DEVICE | 2 |
Hideki Kawai | JP | Kobe-Shi | 2013-09-05 / 20130231236 - Glass Substrate For Information Recording Medium | 4 |
Yasuhiro Kawai | JP | Tokyo | 2015-04-09 / 20150100175 - POWER DEMAND ADJUSTMENT SYSTEM, POWER DEMAND ADJUSTMENT METHOD, AND POWER ADJUSTMENT APPARATUS | 1 |
Sumio Kawai | JP | Hachioji-Shi | 2015-05-21 / 20150138387 - OPERATION APPARATUS, DISPLAY DEVICE, AND IMAGING APPARATUS | 21 |
Hidetoshi Kawai | US | 2015-09-17 / 20150259631 - ENGINE CLEANING COMPOSITION | 1 | |
Eri Kawai | JP | Yokohama | 2014-09-11 / 20140256299 - COMMUNICATION SYSTEM AND SERVER | 9 |
Hiroki Kawai | JP | Shizuoka | 2013-05-30 / 20130135887 - VEHICLE LAMP | 1 |
Toshiyuki Kawai | JP | Toyota-Shi | 2016-03-17 / 20160079629 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Hideki Kawai | JP | Aichi | 2014-12-04 / 20140359292 - ELECTRONIC KEY REGISTRATION METHOD AND ELECTRONIC KEY REGISTRATION SYSTEM | 4 |
Yoshihito Kawai | JP | Kanagawa | 2009-01-15 / 20090016263 - WIRELESS BASE STATION AND TERMINAL EQUIPMENT | 1 |
Yasuhiro Kawai | JP | Hagagun, Tochigi | 2016-05-19 / 20160136751 - SEAM WELDING METHOD AND VEHICLE BODY | 1 |
Hirotaka Kawai | JP | Hamamatsu-Shi | 2011-06-09 / 20110133963 - Successive aproximation A/D Converter | 9 |
Kenji Kawai | JP | Nagano | 2015-09-10 / 20150257275 - WIRING SUBSTRATE AND METHOD OF MAKING WIRING SUBSTRATE | 2 |
Akihito Kawai | JP | Ota-Ku | 2011-10-20 / 20110256667 - STACKED WAFER MANUFACTURING METHOD | 3 |
Akira Kawai | JP | Mie | 2016-02-25 / 20160056442 - BATTERY PACK | 2 |
Akira Kawai | JP | Sagamihara-Shi | 2010-03-04 / 20100051543 - FINE CHANNEL DEVICE AND A CHEMICALLY OPERATING METHOD FOR FLUID USING THE DEVICE | 2 |
Akira Kawai | JP | Hikone | 2011-02-24 / 20110043166 - Charging Circuit | 1 |
Mitsuo Kawai | JP | Toyohashi-Shi | 2012-08-09 / 20120199221 - RAW MATERIAL LOADING APPARATUS | 1 |
Akira Kawai | JP | Shiga | 2013-06-20 / 20130154578 - CHARGE CIRCUIT, AND BATTERY-CHARGER ASSEMBLAGE WITH THE CHARGE CIRCUIT | 3 |
Kenji Kawai | JP | Yamanashi | 2016-05-19 / 20160141931 - ROTOR COMPONENT MEMBER, ROTATING AXIS, ROTOR, MOTOR, AND MACHINE TOOL | 5 |
Akira Kawai | JP | Shunan-Shi | 2008-09-18 / 20080223720 - MICROCHANNEL STRUCTURE AND FINE-PARTICLE PRODUCTION METHOD USING THE SAME | 1 |
Takashi Kawai | JP | Toyota-Shi | 2015-05-21 / 20150142235 - VIBRATION DAMPING CONTROL APPARATUS | 1 |
Kentaro Kawai | JP | Tokyo | 2013-06-27 / 20130164848 - CELL CULTURE CONTAINER AND CELL CULTURE METHOD USING THE CONTAINER | 1 |
Akira Kawai | JP | Kanagawa-Ken | 2008-10-09 / 20080246172 - FINE CHANNEL DEVICE, FINE PARTICLE PRODUCING METHOD AND SOLVENT EXTRACTION METHOD | 1 |
Akio Kawai | JP | Kawasaki-Shi | 2015-12-24 / 20150369580 - SEMICONDUCTOR INTEGRATED CIRCUIT AND POSITION DETECTOR | 1 |
Naoyuki Kawai | JP | Tokyo | 2012-12-06 / 20120309874 - AROMATIC POLYCARBONATE RESIN COMPOSITION AND MOLDED ARTICLES FOR OPTICAL USE WHICH ARE MADE USING SAME | 1 |
Koji Kawai | JP | Nagaokakyo-Shi | 2012-01-12 / 20120010783 - MOVEMENT DIRECTION CONTROL APPARATUS AND COMPUTER PROGRAM | 2 |
Shintaro Kawai | JP | Hiroshima-Ken | 2008-12-18 / 20080311571 - Nucleic Acid Fragments for Detecting Nucleic Acid and Method for Detecting Nucleic Acid | 1 |
Kochi Kawai | JP | Kyoto | 2015-11-12 / 20150323996 - INFORMATION PROCESSING APPARATUS, STORAGE MEDIUM HAVING STORED THEREIN INFORMATION PROCESSING PROGRAM, INFORMATION PROCESSING SYSTEM, AND INFORMATION PROCESSING METHOD | 2 |
Tsutomu Kawai | JP | Kawasaki | 2016-05-19 / 20160139912 - SYSTEM, METHOD, AND COMPUTER-READABLE MEDIUM | 5 |
Hideki Kawai | JP | Tokyo | 2013-03-28 / 20130080215 - INTELLECTUAL PRODUCTIVITY MEASUREMENT DEVICE, INTELLECTUAL PRODUCTIVITY MEASUREMENT METHOD, AND RECORDING MEDIUM | 7 |
Hidetoshi Kawai | JP | Toyota-Shi | 2015-09-17 / 20150259631 - ENGINE CLEANING COMPOSITION | 1 |
Kenji Kawai | JP | Yokosuka-Shi | 2012-11-22 / 20120294311 - PACKET TRANSFER PROCESSING DEVICE, PACKET TRANSFER PROCESSING METHOD, AND PACKET TRANSFER PROCESSING PROGRAM | 1 |
Yuki Kawai | JP | Kanagawa | 2009-02-12 / 20090042197 - METHOD FOR DETECTING AND AMPLIFYING NUCLEIC ACID | 1 |
Masahiro Kawai | JP | Iwata-Shi | 2013-12-12 / 20130327609 - CLUTCH UNIT | 3 |
Jyunya Kawai | JP | Yokohama-Shi | 2014-08-28 / 20140243492 - METHOD FOR PRODUCING CONDENSED POLYCYCLIC AROMATIC COMPOUND, AND CONJUGATED POLYMER | 2 |
Naotoshi Kawai | JP | Toyokawa-Shi | 2015-02-26 / 20150055964 - IMAGE FORMING APPARATUS AND IMAGE NOISE PREDICTION METHOD | 7 |
Toru Kawai | JP | Tokyo | 2015-09-17 / 20150260083 - TWO-STROKE ENGINE | 2 |
Kenji Kawai | JP | Aichi | 2009-03-12 / 20090068487 - Heat-Sealable Multilayer Polypropylene Resin Film and Packaging Material | 1 |
Toshimasa Kawai | JP | Yokohama-Shi | 2015-02-26 / 20150054445 - ELECTRIC ENDOSCOPE | 9 |
Makoto Kawai | JP | Annaka-Shi | 2016-03-10 / 20160071761 - METHOD FOR PRODUCING HYBRID SUBSTRATE, AND HYBRID SUBSTRATE | 13 |
Shinichi Kawai | JP | Kawasaki | 2012-04-26 / 20120099624 - COMMUNICATION DEVICE AND METHOD OF REDUCING HARMONICS TRANSMITTED | 1 |
Seiichirou Kawai | JP | Kokubunji-Shi | 2014-08-07 / 20140216623 - TIRE | 2 |
Kunihiro Kawai | JP | Kanagawa | 2013-03-07 / 20130057363 - VARIABLE RESONATOR, TUNABLE BANDWIDTH FILTER, AND ELECTRIC CIRCUIT DEVICE | 2 |
Hironari Kawai | JP | Hamamatsu-Shi | 2009-03-05 / 20090060227 - Audio signal output device | 3 |
Shigeto Kawai | JP | Shizuoka | 2010-06-17 / 20100150927 - CELL DEATH INDUCER | 2 |
Yasuhiro Kawai | JP | Abiko-Shi | 2015-10-08 / 20150286182 - IMAGE FORMING APPARATUS AND ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER | 5 |
Yozo Kawai | JP | Kyoto | 2015-07-09 / 20150192975 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING PROGRAM, AND METHOD OF CONTROLLING OPERATION MODE | 1 |
Shuhei Kawai | JP | Ora-Gun | 2010-08-05 / 20100194364 - Switching Power-Supply Control Circuit | 1 |
Nobuharu Kawai | JP | Okazaki-Shi, Aichi-Ken | 2016-02-11 / 20160039414 - VEHICLE CONTROLLER | 1 |
Satoru Kawai | JP | Ogaki | 2015-02-26 / 20150053470 - PRINTED WIRING BOARD | 1 |
Takanori Kawai | JP | Toyota-Shi | 2015-02-19 / 20150050831 - ELECTRIC WIRE CONNECTING STRUCTURE AND ELECTRICAL JUNCTION BOX | 2 |
Takanori Kawai | JP | Tokyo | 2013-01-03 / 20130003902 - DIGITAL BROADCAST RECEIVER | 1 |
Takanori Kawai | JP | Aichi | 2013-11-14 / 20130303032 - PROTECTOR-ATTACHED CONNECTOR | 2 |
Takanori Kawai | JP | Miyoshi-Shi | 2013-12-19 / 20130335889 - ELECTRICAL JUNCTION BOX | 3 |
Stephen Kawai | CA | Montreal | 2011-05-19 / 20110118249 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 4 |
Yukitoshi Kawai | JP | Okayama | 2009-08-20 / 20090207620 - LIGHTING APPARATUS | 2 |
Yoichi Kawai | JP | Tokyo | 2011-10-27 / 20110262271 - AXIAL FAN | 1 |
Makoto Kawai | JP | Shizuoka-Ken | 2009-12-31 / 20090321253 - ELECTRODE MOUNTING STRUCTURE OF SURFACE TREATMENT APPARATUS | 1 |
Hiroshi Kawai | JP | Kurashiki | 2016-02-25 / 20160053036 - RESIN COMPOSITION CONTAINING ETHYLENE-VINYL ALCOHOL COPOLYMER | 3 |
Kiyotaka Kawai | JP | Narita-Shi | 2012-09-27 / 20120244100 - DAMAGED HAIR IMPROVING AGENT AND PROCESS FOR IMPROVING DAMAGED HAIR USING THE SAME | 3 |
Stephen Kawai | CA | Laval | 2014-10-02 / 20140296228 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 5 |
Noriaki Kawai | JP | Tokyo | 2013-03-28 / 20130077341 - DISPLAY APPARATUS, BACKLIGHT DEVICE, AND LIGHT GUIDE DEVICE | 2 |
Makoto Kawai | JP | Gunma | 2012-05-17 / 20120118354 - METHOD FOR MANUFACTURING SINGLE CRYSTAL SILICON SOLAR CELL AND SINGLE CRYSTAL SILICON SOLAR CELL | 30 |
Nobuyuki Kawai | JP | Aichi | 2015-07-16 / 20150196244 - EMOTION IDENTIFICATION DEVICE, EMOTION IDENTIFICATION METHOD, AND EMOTION IDENTIFICATION PROGRAM | 1 |
Takashi Kawai | JP | Kitasaku-Gun | 2012-01-19 / 20120014152 - METHOD FOR CONTROLLING SWITCHING POWER UNIT | 1 |
Koji Kawai | JP | Anjo-Shi | 2012-01-19 / 20120013204 - ELECTRIC MOTOR | 1 |
Stephen Kawai | CA | Cote St-Luc | 2010-11-18 / 20100292227 - INHIBITORS OF HUMAN IMMUNODEFICIENCY VIRUS REPLICATION | 1 |
Shinnosuke Kawai | JP | Osaka | 2014-03-27 / 20140082946 - SCISSORS | 2 |
Wataru Kawai | JP | Yokohama | 2010-10-28 / 20100274758 - DATA PROCESSING METHOD, COMPUTER, AND DATA PROCESSING PROGRAM | 2 |
Ryosuke Kawai | JP | Yokohama | 2013-06-27 / 20130161682 - SEMICONDUCTOR LIGHT-EMITTING ELEMENT | 1 |
Ryo Kawai | JP | Yokohama | 2015-05-21 / 20150135715 - Cooling System for Gas Turbine | 2 |
Kenji Kawai | JP | Kobe-Shi | 2011-12-01 / 20110294452 - ANTENNA AMPLIFIER DEVICE AND ANTENNA DEVICE PROVIDED IN MOBILE OBJECT | 2 |
Yasufumi Kawai | JP | Osaka | 2016-04-21 / 20160112040 - DRIVE DEVICE | 8 |
Reimi Kawaida | JP | Tokyo | 2015-05-07 / 20150125454 - ANTI-FGFR2 ANTIBODY | 2 |
Yoshiaki Kawaida | JP | Tokyo | 2011-03-03 / 20110052885 - WATER SOLUBLE AZO COMPOUND OR SALT THEREOF, INK COMPOSITION AND COLORED BODY | 9 |
Sora Kawaida | JP | Saitama | 2013-11-14 / 20130299254 - INVERTED PENDULUM TYPE VEHICLE | 1 |
Shinji Kawaida | JP | Tokyo | 2015-12-03 / 20150343727 - Green Tire Support Device And Method Of Removing Drum From Green Tire | 1 |
Hiroshi Kawaida | JP | Tokyo | 2010-03-25 / 20100072150 - CIGARETTE DISPLAY SYSTEM, FRAME UNIT, TRAY UNIT, MAGAZINE UNIT, AND SLIDER UNIT | 2 |
Yoshiaki Kawaida | JP | Hamamatsu-Shi | 2015-07-30 / 20150212248 - Light Guide Body, Relay Apparatus, and Speaker Apparatus | 3 |
Jun Kawaji | JP | Tokyo | 2016-03-17 / 20160079634 - ALL-SOLID-STATE BATTERY AND METHOD FOR PRODUCING THE SAME, AND METHOD FOR RESTORING CAPACITY OF THE SAME | 5 |
Takuhiro Kawaji | JP | Hadano | 2013-04-25 / 20130103934 - COMPUTER SYSTEM AND METHOD FOR TAKING OVER MODULE THEREIN | 1 |
Hiroyuki Kawaji | JP | Konan-Shi | 2009-03-12 / 20090066192 - ULTRASONIC TRANSDUCER AND METHOD OF PRODUCING THE SAME | 1 |
Masahiro Kawaji | JP | Osaka | 2016-03-31 / 20160092710 - INFORMATION ACQUIRING METHOD AND INFORMATION PROVISION APPARATUS BOTH OF WHICH ARE BASED ON AUGMENTED REALITY | 1 |
Tatsuya Kawaji | JP | Koshi-Shi | 2011-09-29 / 20110233187 - HEATING APPARATUS, HEATING METHOD, AND COMPUTER READABLE STORAGE MEDIUM | 1 |
Jun Kawaji | JP | Hitachi | 2011-05-26 / 20110123897 - MEMBRANE-ELECTRODE ASSEMBLY AND FUEL CELL USING THE SAME | 5 |
Naoki Kawaji | JP | Ogaki-Shi | 2011-03-10 / 20110057351 - METHODS OF PRODUCING SEALING POLYESTER FILM FOR PHOTOVOLTAIC CELL MODULE | 1 |
Hideki Kawaji | US | Bedford | 2011-12-22 / 20110312371 - Mis-function Protection Method for Mobile Phones from RF Noise Interference | 1 |
Keigo Kawaji | US | Chicago | 2015-10-01 / 20150276909 - MRI METHODS AND APPARATUS FOR FLEXIBLE VISUALIZATION OF ANY SUBSET OF AN ENLARGED TEMPORAL WINDOW | 1 |
Masaya Kawaji | JP | Toyota-Shi | 2012-02-23 / 20120046910 - EXHAUST GAS SENSOR ABNORMALITY DIAGNOSTIC DEVICE | 1 |
Naoki Kawaji | FR | Saint-Maurice-De-Beynost | 2014-01-23 / 20140020749 - MULTILAYER WHITE POLYESTER FILM METHOD FOR MANUFACTURING SAID FILM AND USE OF THIS FILM AS PART OF A BACK SHEET FOR PHOTOVOLTAIC CELLS | 1 |
Naoki Kawaji | JP | Gifu-Ken | 2009-10-01 / 20090242026 - SEAL FILM FOR SOLAR CELL MODULE AND SOLAR CELL MODULE UTILIZING THE SAME | 2 |
Takeshi Kawaji | JP | Nirasaki City | 2009-10-08 / 20090251163 - ALIGNMENT METHOD, TIP POSITION DETECTING DEVICE AND PROBE APPARATUS | 3 |
Yasushi Kawaji | JP | Gunma | 2010-09-23 / 20100238015 - DOOR MIRROR | 1 |
Satoshi Kawaji | JP | Kanagawa | 2010-08-19 / 20100210296 - Radio Base Station and Transmission Control Method | 2 |
Manabu Kawaji | JP | Kariya-Shi | 2014-02-13 / 20140042861 - ROTOR YOKE AND MOTOR APPLYING ROTOR YOKE | 1 |
Jun Kawaji | JP | Hitachinaka | 2013-04-04 / 20130084512 - FUEL BATTERY SYSTEM | 5 |
Masaya Kawaji | JP | Aichi-Ken | 2009-04-02 / 20090089011 - Exhaust Gas Sensor Abnormality Diagnostic Device | 1 |
Satoshi Kawaji | JP | Yokohama-Shi | 2011-06-23 / 20110154398 - PROGRAM GUIDE DISPLAY APPARATUS | 3 |
Toshiki Kawaji | JP | Chiba-Shi | 2011-06-23 / 20110150723 - EMBEDDING CASSETTE | 2 |
Shinichi Kawaji | JP | Kai-Shi | 2012-03-29 / 20120075350 - STORAGE MEDIUM STORING INFORMATION PROCESSING PROGRAM, INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND INFORMATION PROCESSING METHOD | 1 |
Tomio Kawaji | JP | Mishima-Shi | 2010-02-04 / 20100028576 - PROCESS FOR PRODUCING POLYESTER | 1 |
Yukihiro Kawaji | JP | Chiyoda-Ku | 2013-02-28 / 20130052550 - FUEL CELL SYSTEM | 1 |
Manabu Kawaji | JP | Chiryu-Shi | 2013-08-01 / 20130195696 - ELECTRIC PUMP | 1 |
Kohei Kawaji | JP | Tokyo | 2013-03-07 / 20130057720 - ELECTRONIC DEVICE | 1 |
Yasushi Kawaji | JP | Ota-Shi | 2014-01-16 / 20140016341 - TURN LAMP FOR DOOR MIRROR | 2 |
Noaki Kawaji | JP | Gifu-Ken | 2009-05-21 / 20090127741 - Process for producing biaxially oriented polyester film | 1 |
Kensuke Kawajiri | JP | Saitama-Shi | 2015-12-24 / 20150370208 - IMAGE FORMING APPARATUS, CONTROL METHOD, AND PROGRAM | 1 |
Sho Kawajiri | JP | Nasushiobara-Shi | 2014-10-09 / 20140300362 - MAGNETIC RESONANCE IMAGING DEVICE | 5 |
Chiaki Kawajiri | JP | Anjo-City | 2011-07-21 / 20110174704 - FUEL FILTER DEVICE | 5 |
Kiyonari Kawajiri | JP | Chiyoda-Ku | 2010-11-25 / 20100295489 - MOTOR DRIVE CONTROL APPARATUS | 1 |
Kousuke Kawajiri | JP | Kariya-Shi | 2016-02-11 / 20160043412 - GAS CHANNEL FORMING MEMBER FOR FUEL CELLS, AND FUEL CELL | 7 |
Makoto Kawajiri | JP | Kyoto | 2011-11-24 / 20110284727 - CCD CHARGE TRANSFER DRIVE DEVICE | 2 |
Naoka Kawajiri | JP | Kariya-Shi | 2010-06-03 / 20100133017 - LOAD DETECTION DEVICE FOR VEHICLE SEAT | 5 |
Kousuke Kawajiri | JP | Okazaki-Shi | 2016-03-03 / 20160064766 - FUEL CELL STACK | 2 |
Sho Kawajiri | JP | Nasushiobara | 2015-11-05 / 20150316629 - MAGNETIC RESONANCE IMAGING APPARATUS AND GRADIENT MAGNETIC FIELD POWER SUPPLY | 1 |
Yasunobu Kawajiri | JP | Kanagawa | 2014-03-13 / 20140071211 - LIQUID CARTRIDGE AND IMAGE FORMING APPARATUS WITH SAME | 1 |
Kousuke Kawajiri | JP | Kariya-Shi, Aichi-Ken | 2014-01-16 / 20140017582 - FUEL BATTERY | 1 |
Yoshiki Kawajiri | JP | Hyogo | 2010-06-17 / 20100149875 - Nonvolatile Semiconductor Memory Device | 3 |
Takao Kawajiri | JP | Kushiro-Shi | 2012-10-11 / 20120255874 - IONIZED WATER PRODUCTION METHOD AND PRODUCTION DEVICE | 1 |
Ryo Kawajiri | JP | Nomi-Shi | 2012-11-29 / 20120301731 - METHOD FOR FORMING CRYSTALLINE COBALT SILICIDE FILM | 1 |
Hideyuki Kawajiri | JP | Yokohama-Shi | 2014-10-16 / 20140308119 - HYDRAULIC MACHINERY | 1 |
Keiji Kawajiri | JP | Hokkaido | 2015-03-26 / 20150086780 - HEAT CONDUCTING SHEET | 1 |
Kensuke Kawajiri | JP | Kawasaki-Shi | 2012-02-09 / 20120033256 - INFORMATION PROCESSING APPARATUS, JOB PROCESSING SYSTEM, JOB TRANSMISSION PATH CONTROL METHOD, AND STORAGE MEDIUM STORING CONTROL PROGRAM THEREFOR | 6 |
Masahiro Kawajiri | JP | Shiojiri-Shi | 2015-06-25 / 20150174933 - Winding Device and Print Winding System | 1 |
Hiroyuki Kawajiri | JP | Kanagawa | 2013-10-24 / 20130283024 - DATA PROCESSOR AND CONTROL SYSTEM | 2 |
Hironobu Kawajiri | JP | Tochigi | 2012-11-08 / 20120283363 - COMPOSITE MATERIAL | 1 |
Akihiro Kawajiri | JP | Chiryu-Shi | 2015-07-23 / 20150207050 - SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF | 3 |
Kazuhiko Kawajiri | JP | Tokyo | 2014-07-03 / 20140183286 - FUEL INJECTION VALVE | 5 |
Yoshiki Kawajiri | JP | Amagasaki-Shi | 2009-10-01 / 20090244972 - Nonvolatile Semiconductor Memory Device and Usage Method Thereof | 1 |
Ryoma Kawajiri | JP | Tokyo | 2014-12-25 / 20140379297 - ACCOMPANIMENT DETERMINATION APPARATUS, ACCOMPANIMENT DETERMINATION METHOD, AND COMPUTER READABLE STORAGE MEDIUM | 1 |
Kenji Kawajiri | JP | Saitama | 2013-04-11 / 20130088138 - LAMP | 1 |
Ryo Kawajiri | JP | Ishikawa | 2013-08-29 / 20130224889 - CHARGED PARTICLE BEAM APPARATUS, THIN FILM FORMING METHOD, DEFECT CORRECTION METHOD AND DEVICE FORMING METHOD | 4 |
Yuko Kawajiri | JP | Atsugi-Shi | 2014-07-10 / 20140193114 - OPTICAL MODULE | 1 |
Tetsuya Kawajiri | JP | Handa-City | 2014-09-18 / 20140272421 - MEMBER FOR SEMICONDUCTOR MANUFACTURING APPARATUSES | 2 |
Hiroyuki Kawajiri | JP | Kawasaki-Shi | 2015-09-03 / 20150248362 - DATA PROCESSOR AND CONTROL SYSTEM | 2 |
Yoshiki Kawajiri | JP | Musashino | 2008-11-13 / 20080279011 - DATA PROCESSING APPARATUS | 1 |
Tetsuya Kawajiri | JP | Handa-Shi | 2008-10-30 / 20080266745 - ELECTROSTATIC CHUCK WITH HEATER | 1 |
Hiroyuki Kawajiri | JP | Tokyo | 2012-10-11 / 20120260014 - DATA PROCESSOR AND CONTROL SYSTEM | 4 |
Kensuke Kawajiri | JP | Yokohama-Shi | 2015-09-24 / 20150268594 - IMAGE FORMING SYSTEM, CONTROL METHOD, AND PROGRAM | 6 |
Yuko Kawajiri | JP | Yokohama | 2016-03-03 / 20160060776 - HYDROGEN PRODUCTION SYSTEM AND METHOD FOR PRODUCING HYDROGEN | 2 |
Hideyuku Kawajiri | JP | Yokohama-Shi | 2012-03-15 / 20120063889 - FLUID MACHINE | 1 |
Shotaro Kawakami | JP | Kumagaya-Shi | 2009-08-13 / 20090200514 - DIFLUOROBENZENE DERIVATIVE AND NEMATIC LIQUID CRYSTAL COMPOSITION USING THE SAME | 2 |
Soshi Kawakami | JP | Kawasaki-Shi | 2015-11-12 / 20150321677 - RAILROAD VEHICLE AND PLUG DOOR FOR RAILROAD VEHICLE | 2 |
Yuta Kawakami | JP | Osaka | 2015-12-03 / 20150349448 - CONNECTOR | 1 |
Kenta Kawakami | JP | Utsunomiya-Shi | 2016-02-18 / 20160046297 - DRIVING EVALUATION SYSTEM, ELECTRONIC DEVICE, DRIVING EVALUATION METHOD, AND PROGRAM | 3 |
Yoshio Kawakami | JP | Saitama | 2010-12-16 / 20100317265 - CHAMFERING APPARATUS FOR CHAMFERING GLASS SUBSTRATES | 4 |
Takao Kawakami | JP | Saitama | 2010-09-02 / 20100221744 - METHOD FOR PREDICTION OF POSTOPERATIVE PROGNOSIS AND DIAGNOSIS KIT | 1 |
Shotaro Kawakami | JP | Saitama | 2016-03-17 / 20160075947 - NEMATIC LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY ELEMENT USING SAME | 4 |
Kenta Kawakami | JP | Saitama | 2012-11-01 / 20120275777 - FOCAL PLANE SHUTTER FOR CAMERAS | 3 |
Tsuyoshi Kawakami | JP | Tokyo | 2015-10-01 / 20150279931 - SEMICONDUCTOR DEVICE | 15 |
Yuki Kawakami | IT | Pomezia | 2015-06-04 / 20150151590 - PNEUMATIC TIRE | 4 |
Haruo Kawakami | JP | Kanagawa | 2015-07-23 / 20150207062 - SPIN VALVE ELEMENT | 13 |
Hiroaki Kawakami | JP | Tokyo | 2014-05-15 / 20140130441 - CONNECTING FITTING, FRAME PROVIDED WITH SAME, AND BUILDING USING FRAME | 2 |
Hiroki Kawakami | JP | Fuji-Shi, Shizuoka | 2015-11-12 / 20150323395 - TEMPERATURE ESTIMATION CALCULATION DEVICE FOR FRICTIONAL ENGAGEMENT ELEMENT | 1 |
Yuki Kawakami | IT | Rome | 2014-05-15 / 20140130951 - TIRE | 1 |
Takahiro Kawakami | JP | Hiroshima | 2010-08-19 / 20100208901 - MUSIC PLAYING APPARATUS, MUSIC PLAYING METHOD, MUSIC PLAYING PROGRAM, AND INTEGRATED CIRCUIT | 1 |
Hiroto Kawakami | JP | Kanagawa-Ken | 2009-04-16 / 20090097867 - OPTICAL RECEIVER USING MACH-ZEHNDER INTERFEROMETER | 1 |
Megumi Kawakami | JP | Kokubunji | 2012-09-20 / 20120235250 - SEMICONDUCTOR DEVICE AND A METHOD OF MANUFACTURING THE SAME | 3 |
Yuki Kawakami | JP | Inzai-Shi | 2014-10-16 / 20140309393 - METHOD FOR MANUFACTURING A COMPONENT | 1 |
Yoshiteru Kawakami | JP | Tokyo | 2014-03-27 / 20140086657 - IMAGE FORMING APPARATUS | 4 |
Shotaro Kawakami | JP | Kita-Adachi-Gun | 2016-05-05 / 20160122650 - POLYMERIZABLE COMPOUND-CONTAINING LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY ELEMENT USING SAME | 12 |
Masashi Kawakami | JP | Tokyo | 2015-10-08 / 20150286758 - CIRCUIT SIMULATION DEVICE, CIRCUIT SIMULATION METHOD, AND PROGRAM | 4 |
Yuki Kawakami | JP | Kita-Ku, Tokyo | 2016-01-28 / 20160023518 - PNEUMATIC TIRE | 1 |
Yuki Kawakami | JP | Kodaira-Shi | 2013-11-21 / 20130306210 - PNEUMATIC TIRE | 1 |
Takayuki Kawakami | JP | Hyogo | 2016-02-25 / 20160052096 - POSITIONING DEVICE | 11 |
Tomo Kawakami | JP | Takasago | 2011-03-10 / 20110056180 - GAS TURBINE CONTROL DEVICE | 1 |
Yasutaka Kawakami | JP | Kawaguchi-Shi | 2010-01-21 / 20100011895 - GEARS AND GEARING APPARATUS | 1 |
Roland K. Kawakami | US | Riverside | 2011-04-21 / 20110089415 - EPITAXIAL GROWTH OF SINGLE CRYSTALLINE MGO ON GERMANIUM | 1 |
Shinichiro Kawakami | US | Watervliet | 2013-02-14 / 20130040246 - MULTIPLE CHEMICAL TREATMENT PROCESS FOR REDUCING PATTERN DEFECT | 2 |
Koji Kawakami | US | Rockville | 2010-04-29 / 20100104507 - USE OF STERICALLY STABILIZED CATIONIC LIPOSOMES TO EFFICIENTLY DELIVER CPG OLIGONUCLEOTIDES IN VIVO | 1 |
Mark Kawakami | US | Burbank | 2010-04-22 / 20100100204 - FANTASY SPORTS CONFIDENCE SCORES | 3 |
Joel Kawakami | US | Honolulu | 2009-12-24 / 20090318438 - Heterocyclic compounds and their use as anticancer agents | 2 |
Takeshi Kawakami | US | Gardena | 2009-10-01 / 20090246338 - METHOD FOR PICKLING GINGER FOR SHIPMENT | 1 |
Koji Kawakami | US | Gaithersberg | 2009-04-09 / 20090092543 - Methods of treating and preventing inflammatory bowel disease involving il-13 and nkt cells | 1 |
Sachiko Kawakami | JP | Atsugi | 2016-04-28 / 20160118605 - Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 92 |
Tohru Kawakami | JP | Sendai-Shi | 2015-07-30 / 20150211709 - ILLUMINATION DEVICE | 7 |
Fumiki Kawakami | JP | Tokyo | 2016-05-12 / 20160134296 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND DATA PROCESSING SYSTEM | 1 |
Youichi Kawakami | JP | Osaka-Shi | 2011-06-02 / 20110131234 - INFORMATION PROCESS SYSTEM, AND PROGRAM | 1 |
Ryoichi Kawakami | JP | Tokyo | 2015-08-06 / 20150219332 - HEAT EXCHANGER TUBE VIBRATION SUPPRESSION DEVICE AND STEAM GENERATOR | 4 |
Takayuki Kawakami | JP | Matsumoto-Shi | 2015-07-09 / 20150191017 - LIQUID EJECTING APPARATUS | 14 |
Takahiro Kawakami | JP | Isehara | 2014-08-07 / 20140217387 - Electronic Appliance and Light-Emitting Device | 14 |
Katsuji Kawakami | JP | Fukuyama-Shi | 2009-06-11 / 20090149014 - METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE | 1 |
Yoshihiro Kawakami | JP | Moriya | 2008-08-28 / 20080206644 - LITHIUM MANGANESE COMPOSITE OXIDE | 1 |
Yoshihiro Kawakami | JP | Itami-Shi | 2010-02-04 / 20100028045 - Cleaning device, process cartridge, and image forming apparatus | 1 |
Yoshihiro Kawakami | JP | Ehime | 2010-02-25 / 20100047691 - LITHIUM SECONDARY BATTERY | 5 |
Yoshihiro Kawakami | JP | Niihama-Shi | 2012-11-29 / 20120301390 - METHOD FOR MANUFACTURING A LITHIUM COMPLEX METAL OXIDE | 3 |
Mitsuru Kawakami | JP | Kanagawa | 2011-06-02 / 20110128334 - LIQUID CONTAINING TANK, LIQUID-JET HEAD UNIT, AND IMAGE FORMING APPARATUS | 1 |
Osamu Kawakami | JP | Tokyo | 2014-12-11 / 20140364027 - POLYSILOXANE-MODIFIED POLYHYDROXY POLYURETHANE RESIN, METHOD FOR PRODUCING SAME, HEAT-SENSITIVE RECORDING MATERIAL USING THE RESIN, IMITATION LEATHER, THERMOPLASTIC POLYOLEFIN RESIN SKIN MATERIAL, MATERIAL FOR WEATHER STRIP, AND WEATHER STRIP | 6 |
Yo Kawakami | JP | Kariya-Shi | 2009-12-31 / 20090322272 - MOTOR CONTROL DEVICE | 1 |
Akira Kawakami | JP | Kanagawa-Ken | 2014-06-12 / 20140162149 - SOLID OXIDE FUEL CELL | 1 |
Tomoyuki Kawakami | JP | Tokyo | 2012-05-17 / 20120120694 - POWER CONDITIONER FOR PHOTOVOLTAIC POWER GENERATION | 2 |
Takeshi Kawakami | JP | Osaka | 2015-12-31 / 20150382453 - WIRED CIRCUIT BOARD AND PRODUCING METHOD THEREOF | 2 |
Toshiaki Kawakami | US | Del Mar | 2015-02-05 / 20150037330 - HISTAMINE-RELEASING FACTOR (HRF), HRF-RECEPTOR AND METHODS OF MODULATING INFLAMMATION | 2 |
Yuko Kawakami | US | Del Mar | 2015-02-05 / 20150037330 - HISTAMINE-RELEASING FACTOR (HRF), HRF-RECEPTOR AND METHODS OF MODULATING INFLAMMATION | 2 |
Yukiya Kawakami | JP | Kanagawa | 2009-09-17 / 20090233187 - Designing method of photo-mask and method of manufacturing semiconductor device using the photo-mask | 1 |
Shinya Kawakami | JP | Kanagawa | 2009-12-10 / 20090302884 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND COUNTERMEASURE METHOD AGAINST NBTI DEGRADATION | 1 |
Koji Kawakami | JP | Osaka | 2011-05-26 / 20110120072 - LAWN MOWER | 1 |
Akihiro Kawakami | JP | Kanagawa | 2012-08-23 / 20120213557 - DEVELOPING DEVICE, IMAGE FORMING APPARATUS, AND DEVELOPING METHOD | 1 |
Takaaki Kawakami | US | 2012-08-23 / 20120212890 - COVER AND ELECTRONIC DEVICE | 1 | |
Takaaki Kawakami | JP | Kanagawa | 2013-08-01 / 20130194459 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND CAMERA MODULE | 10 |
Shotaro Kawakami | JP | Kita-Adachi-Gun | 2016-05-05 / 20160122650 - POLYMERIZABLE COMPOUND-CONTAINING LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY ELEMENT USING SAME | 12 |
Kenichi Kawakami | JP | Kanagawa | 2012-05-24 / 20120126894 - DIFFERENTIAL AMPLIFIER | 5 |
Soichiro Kawakami | JP | Sagamihara-Shi | 2010-12-23 / 20100323241 - ELECTRODE STRUCTURE FOR LITHIUM SECONDARY BATTERY AND SECONDARY BATTERY HAVING SUCH ELECTRODE STRUCTURE | 3 |
Soichiro Kawakami | JP | Nara | 2010-12-23 / 20100323098 - ELECTRODE MATERIAL FOR RECHARGEABLE LITHIUM BATTERY, ELECTRODE STRUCTURAL BODY COMPRISING SAID ELECTRODE MATERIAL, RECHARGEABLE LITHIUM BATTERY HAVING SAID ELECTRODE STRUCTURAL BODY, PROCESS FOR THE PRODUCTION OF SAID ELECTRODE STRUCTURAL BODY, AND PROCESS FOR THE PRODUCTION OF SAID RECHARGEABLE LITHIUM BATTERY | 5 |
Soichiro Kawakami | JP | Machida-Shi | 2012-12-20 / 20120321949 - METHOD OF PRODUCING LITHIUM ION-STORING/RELEASING MATERIAL, LITHIUM ION-STORING/RELEASING MATERIAL, AND ELECTRODE STRUCTURE AND ENERGY STORAGE DEVICE USING THE MATERIAL | 5 |
Shimpei Kawakami | JP | Chuo-Ku | 2014-09-04 / 20140249151 - BICYCLIC HETEROCYCLIC COMPOUND | 1 |
Takanori Kawakami | JP | Kanagawa | 2013-01-24 / 20130021695 - BASE DESIGN OF MAGNETIC DISK DRIVE | 1 |
Eigo Kawakami | JP | Utsunomiya-Shi | 2014-06-05 / 20140151936 - PATTERN TRANSFERRING APPARATUS AND PATTERN TRANSFERRING METHOD | 12 |
Hideshi Kawakami | JP | Hiroshima | 2014-07-03 / 20140186836 - DIAGNOSIS MARKER, DIAGNOSIS METHOD AND THERAPEUTIC AGENT FOR AMYOTROPHIC LATERAL SCLEROSIS, AND ANIMAL MODEL AND CELL MODEL DEVELOPING AMYOTROPHIC LATERAL SCLEROSIS | 2 |
Masashi Kawakami | JP | Yokohama-Shi | 2015-12-17 / 20150365644 - IMAGE CAPTURING APPARATUS AND METHOD FOR CONTROLLING THE SAME | 2 |
Tsukasa Kawakami | JP | Kanagawa | 2008-08-28 / 20080206570 - Resin Layer Formation Method, Resin Layer Formation Device, and Disk Manufacturing Method | 1 |
Kenta Kawakami | JP | Wako-Shi | 2009-07-23 / 20090187313 - VEHICLE DRIVING ASSISTANCE APPARATUS | 1 |
Sachiko Kawakami | JP | Kanagawa | 2013-05-09 / 20130112961 - Organic Semiconductor Material and Light-Emitting Element, Light-Emitting Device, Lighting System, and Electronic Device Using the Same | 8 |
Ryusuke Kawakami | JP | Tokyo | 2015-12-03 / 20150348781 - LASER ANNEALING METHOD AND DEVICE | 12 |
Ryusuke Kawakami | JP | Yokohama | 2012-07-19 / 20120184055 - Making Method of Sample for Evaluation of Laser Irradiation Position and Making Apparatus Thereof and Evaluation Method of Stability of Laser Irradiation Position and Evaluation Apparatus Thereof | 5 |
Kazuto Kawakami | JP | Futtsu-Shi | 2011-02-10 / 20110032537 - Stainless steel, titanium, or titanium alloy solid polymer fuel cell separator and its method of production and method of evaluation of warp and twist of separator | 1 |
Kazuto Kawakami | JP | Chiba | 2009-12-10 / 20090304545 - LEAD-FREE SOLDER ALLOY, SOLDER BALL AND ELECTRONIC MEMBER, AND LEAD-FREE SOLDER ALLOY, SOLDER BALL AND ELECTRONIC MEMBER FOR AUTOMOBILE-MOUNTED ELECTRONIC MEMBER | 1 |
Soichi Kawakami | JP | Kanagawa | 2009-05-28 / 20090134185 - Pouch for Refill of Contents | 1 |
Hidehiko Kawakami | JP | Nagoya-City | 2011-09-01 / 20110214087 - DISPLAY APPARATUS AND METHOD OF CONTROLLING SAME | 4 |
Shinpei Kawakami | JP | Yokohama-Shi | 2012-07-19 / 20120183614 - POLYPHENOL COMPOUND ABSORPTION PROMOTER AND UTILIZATION OF SAME | 1 |
Tomo Kawakami | JP | Minato-Ku | 2012-07-19 / 20120180490 - FUEL NOZZLE, GAS TURBINE COMBUSTOR WITH THE SAME, AND GAS TURBINE WITH THE SAME | 1 |
Yasushi Kawakami | JP | Chino-Shi | 2015-08-06 / 20150222863 - PROJECTOR | 6 |
Yasushi Kawakami | JP | Arida-Shi | 2011-07-21 / 20110174042 - LINEAR MATERIAL AND STATOR STRUCTURE | 4 |
Hidefumi Kawakami | JP | Kyoto | 2009-07-09 / 20090175720 - AXIAL FAN AND FRAME THEREOF | 1 |
Tomohisa Kawakami | JP | Kyoto-Shi | 2016-03-03 / 20160059128 - INFORMATION PROCESSING TERMINAL, NON-TRANSITORY STORAGE MEDIUM ENCODED WITH COMPUTER READABLE INFORMATION PROCESSING PROGRAM, INFORMATION PROCESSING TERMINAL SYSTEM, AND INFORMATION PROCESSING METHOD | 1 |
Soshi Kawakami | JP | Nagoya-Shi | 2014-05-01 / 20140117738 - VEHICLE SEAT | 2 |
Yoshihito Kawakami | JP | Kanagawa | 2012-07-12 / 20120176062 - LED MATRIX DRIVER GHOST IMAGE PREVENTION APPARATUS AND METHOD | 3 |
Takaho Kawakami | JP | Shizuoka | 2011-05-19 / 20110118066 - SHEAVE POSITIONING DEVICE | 1 |
Keiji Kawakami | JP | Kanagawa | 2009-10-01 / 20090242235 - FASTENING DEVICE FOR A LINE | 1 |
Masayuki Kawakami | JP | Kanagawa | 2016-03-24 / 20160087495 - ROTARY ELECTRIC MACHINE USING PERMANENT MAGNET | 7 |
Naohisa Kawakami | JP | Inuyama | 2014-08-21 / 20140233875 - SLIDING BEARING | 2 |
Hajime Kawakami | JP | Susono-Shi | 2014-02-13 / 20140041628 - INTERNAL COMBUSTION ENGINE CONTROL APPARATUS | 5 |
Seiji Kawakami | JP | Susono-Shi | 2010-01-07 / 20100004822 - STEERING SUPPORT DEVICE | 6 |
Yoshiaki Kawakami | JP | Nagoya-Shi | 2015-02-26 / 20150052928 - COOLING SYSTEM AND VEHICLE THAT INCLUDES COOLING SYSTEM | 20 |
Souichi Kawakami | JP | Kanagawa | 2011-05-19 / 20110116730 - ZIPPERED POUCH WITH SPOUT | 1 |
Haruo Kawakami | JP | Miura City | 2010-11-18 / 20100289525 - LOGIC CIRCUIT | 1 |
Takushi Kawakami | JP | Tochigi | 2009-11-05 / 20090272341 - COOLING STRUCTURE FOR WORKING VEHICLE | 1 |
Kazuto Kawakami | JP | Tokyo | 2015-10-01 / 20150279531 - NON-ORIENTED ELECTRICAL STEEL SHEET AND MANUFACTURING METHOD THEREOF | 4 |
Kazuyuki Kawakami | JP | Hyogo | 2016-03-31 / 20160094069 - BATTERY PACK AND FLASHLIGHT EQUIPPED WITH THE SAME | 2 |
Souichi Kawakami | JP | Yokohama | 2009-03-05 / 20090060398 - POUCH CONTAINER | 1 |
Yoshimichi Kawakami | JP | Kanagawa | 2010-08-19 / 20100206052 - CONTROL METHOD AND DEVICE OF FILLED WATER VOLUME IN FLUID SPACE | 1 |
Hiro Kawakami | JP | Kanagawa | 2010-10-07 / 20100254252 - RECORDING MEDIUM, RECORDING APPARATUS AND RECORDING METHOD | 2 |
Remi Kawakami | JP | Chiyoda-Ku | 2014-06-26 / 20140178619 - ADHESIVE LAYER-EQUIPPED TRANSPARENT PLATE, DISPLAY DEVICE AND PROCESSES FOR THEIR PRODUCTION | 4 |
Yutaka Kawakami | JP | Kanagawa | 2011-11-24 / 20110287043 - MELANOMA ANTIGENS AND THEIR USE IN DIAGNOSTIC AND THERAPEUTIC METHODS | 6 |
Yukie Kawakami | JP | Ehime | 2014-10-30 / 20140322107 - METHOD FOR RECOVERING RARE EARTH ELEMENT | 3 |
Shimpei Kawakami | JP | Tokyo | 2015-02-12 / 20150045402 - HETEROCYCLIC ACETAMIDE COMPOUND | 2 |
Sachiko Kawakami | JP | Isehara | 2016-03-24 / 20160087209 - SPIROFLUORENE DERIVATIVE, MATERIAL FOR LIGHT-EMITTING ELEMENT, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE | 43 |
Fumiki Kawakami | JP | Akishima | 2008-12-11 / 20080303548 - SEMICONDUCTOR DEVICE | 1 |
Eiji Kawakami | JP | Kanagawa | 2015-10-01 / 20150277253 - INORGANIC PARTICLE, ELECTROSTATIC CHARGE IMAGE DEVELOPING TONER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, DEVELOPER CARTRIDGE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 7 |
Satoko Kawakami | JP | Kanagawa-Ken | 2013-08-15 / 20130207692 - SEMICONDUCTOR INTEGRATED CIRCUIT | 1 |
Ken Kawakami | JP | Kanagawa | 2011-03-17 / 20110066836 - OPERATING SYSTEM BOOTING METHOD, COMPUTER, AND COMPUTER PROGRAM PRODUCT | 2 |
Hiroyuki Kawakami | JP | Ichihara-Shi | 2014-01-30 / 20140031579 - METHOD OF PRODUCING NORBORNANEDICARBOXYLIC ACID ESTER | 4 |
Takaaki Kawakami | JP | Kanagawa | 2013-08-01 / 20130194459 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND CAMERA MODULE | 10 |
Kazuhiro Kawakami | JP | Kanagawa | 2015-09-10 / 20150254034 - PRINTING DEVICE, PRINTING METHOD, COMPUTER PROGRAM PRODUCT, AND RECORDING MEDIUM | 2 |
Yusuke Kawakami | JP | Unnan-Shi | / - | 1 |
Yusuke Kawakami | JP | Kagawa | 2013-06-27 / 20130165882 - BODILY FLUID ABSORBENT ARTICLE | 9 |
Ryoichi Kawakami | JP | Kobe-Shi | 2010-01-28 / 20100018687 - TUBE SUPPORT PLATE OF STEAM GENERATOR | 1 |
Mikio Kawakami | JP | Shiga | 2009-11-26 / 20090289098 - Chip Mounting Apparatus and Chip Mounting Method | 1 |
Tetsuya Kawakami | JP | Kanagawa | 2011-03-17 / 20110065380 - RADIO NODE APPARATUS, MULTI-HOP RADIO SYSTEM, AND MULTI-HOP RADIO SYSTEM CONSTRUCTING METHOD | 8 |
Daisuke Kawakami | JP | Kanagawa | 2015-04-30 / 20150117340 - COMMUNICATION DEVICE, COMMUNICATION CONTROL METHOD, AND PROGRAM | 15 |
Keiko Kawakami | JP | Futtsu-Shi | 2010-10-28 / 20100272957 - INORGANIC--ORGANIC HYBRID-FILM-COATED STAINLESS-STEEL FOIL | 1 |
Takehiko Kawakami | JP | Saitama | 2010-09-02 / 20100219228 - REFLOW APPARATUS | 1 |
Zenji Kawakami | JP | Ibaraki | 2011-05-12 / 20110111426 - METHOD OF BIOASSAYING YOKUKANSAN | 2 |
Zenji Kawakami | JP | Inashiki-Gun | 2011-02-03 / 20110027821 - METHOD OF BIOASSAYING YOKUKANSAN | 1 |
Tomonori Kawakami | JP | Shizuoka | 2009-06-04 / 20090142402 - MICROPARTICLES, MICROPARTICLE DISPERSION AND METHOD AND APPARATUS FOR PRODUCING THE SAME | 1 |
Tomonori Kawakami | JP | Hamamatsu-Shi | 2010-10-07 / 20100254888 - CARBON NANO TUBE PROCESSING METHOD, PROCESSING APPARATUS, AND CARBON NANO TUBE DISPERSION LIQUID, CARBON NANO TUBE POWDER | 5 |
Hiroyuki Kawakami | JP | Tokyo | 2015-06-25 / 20150180859 - LOGIN REQUESTING DEVICE AND METHOD FOR REQUESTING LOGIN TO SERVER AND STORAGE MEDIUM STORING A PROGRAM USED THEREFOR | 4 |
Toshiyuki Kawakami | JP | Nara | 2012-09-13 / 20120230357 - GAN LASER ELEMENT | 1 |
Toshiyuki Kawakami | JP | Hiroshima | 2008-12-11 / 20080304528 - Nitride semiconductor laser device and fabrication method thereof | 2 |
Toshiyuki Kawakami | JP | Nara-Shi | 2014-01-09 / 20140010252 - GaN-BASED LASER DEVICE | 2 |
Toshiyuki Kawakami | JP | Osaka-Shi | 2013-02-14 / 20130038938 - OPTICAL COMPONENT AND OPTICAL MODULE | 2 |
Toshiyuki Kawakami | JP | Mihara-Shi | 2009-08-13 / 20090200573 - Light emitting element and manufacturing method thereof | 2 |
Hirokatsu Kawakami | JP | Suita-Shi | 2012-06-07 / 20120142876 - POLYMER COMPOSITION FOR CEMENT DISPERSANT AND METHOD FOR PRODUCING SAME | 1 |
Hiroo Kawakami | JP | Hyogo | 2012-09-13 / 20120231143 - VIRUS-INACTIVATING AGENT | 2 |
Shoutarou Kawakami | JP | Saitama | 2013-08-15 / 20130208213 - LIQUID CRYSTAL DISPLAY PANEL AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Hiroaki Kawakami | JP | Yokohama-Shi | 2015-06-25 / 20150177634 - TONER AND TWO-COMPONENT DEVELOPER | 4 |
Yusuke Kawakami | JP | Kanonji-Shi | 2015-07-23 / 20150202095 - WEARING ARTICLE | 8 |
Hiroshi Kawakami | JP | Yokosuka-Shi | 2012-05-31 / 20120135749 - POSITION INFORMATION ANALYSIS DEVICE AND POSITION INFORMATION ANALYSIS METHOD | 1 |
Katsuya Kawakami | JP | Mito | 2011-08-18 / 20110198512 - CHARGED CORPUSCULAR BEAM APPARATUS | 5 |
Akiko Kawakami | JP | Sapporo-Shi | 2010-09-23 / 20100240553 - PRIMER SET AND PROBE FOR DETECTION OF HUMAN PAPILLOMAVIRUS | 1 |
Sachiko Kawakami | JP | Kanagawa | 2013-05-09 / 20130112961 - Organic Semiconductor Material and Light-Emitting Element, Light-Emitting Device, Lighting System, and Electronic Device Using the Same | 8 |
Hikari Kawakami | JP | Kagawa-Ken | 2011-02-17 / 20110040275 - PACKED BREAST MILK ABSORBENT PAD AND METHOD FOR PACKING | 2 |
Yoshihiro Kawakami | JP | Hyogo | 2013-01-03 / 20130004192 - FIXING DEVICE WITH MECHANISM CAPABLE OF DETECTING PRESSURE EXERTED BETWEEN OPPOSED COMPONENTS AND IMAGE FORMING APPARATUS INCORPORATING SAME | 13 |
Shouji Kawakami | JP | Toyota-Shi | 2011-09-08 / 20110214255 - ASSIST GRIP | 2 |
Soichiro Kawakami | KR | Daejeon | 2015-09-24 / 20150270536 - NEGATIVE ELECTRODE ACTIVE MATERIAL FOR SECONDARY BATTERY, CONDUCTIVE COMPOSITION FOR SECONDARY BATTERY, NEGATIVE ELECTRODE MATERIAL COMPRISING SAME, NEGATIVE ELECTRODE STRUCTURE AND SECONDARY BATTERY COMPRISING SAME, AND METHOD FOR MANUFACTURING SAME | 2 |
Naoaki Kawakami | JP | Kobe-Shi | 2015-07-23 / 20150203129 - RAILCAR | 5 |
Ai Kawakami | JP | Ashigarakami-Gun | 2014-12-25 / 20140378662 - METHOD FOR PRODUCING TISSUE REPAIR MATERIAL | 1 |
Satoru Kawakami | JP | Nirasaki-Shi | 2009-07-02 / 20090169344 - Substrate processing apparatus and substrate processing method | 1 |
Satoru Kawakami | JP | Amagasaki-Shi | 2010-02-25 / 20100043712 - SUBSTRATE PROCESSING APPARATUS | 1 |
Satoru Kawakami | JP | Miyagi | 2015-08-20 / 20150232993 - SUBSTRATE PROCESSING APPARATUS | 5 |
Satoru Kawakami | JP | Ritto-Shi | 2014-09-11 / 20140255767 - ENERGY STORAGE DEVICE | 2 |
Kazuki Kawakami | JP | Gunma-Ken | 2009-12-17 / 20090312313 - COMPOUND HAVING TGFBETA INHIBITORY ACTIVITY AND PHARMACEUTICAL COMPOSITION COMPRISING THE SAME | 1 |
Eiichiro Kawakami | JP | Chiryu-Shi | 2011-02-10 / 20110031913 - CONTROL DEVICE FOR CONTROLLING TRAVEL MOTOR OF VEHICLE | 2 |
Fumiki Kawakami | JP | Kanagawa | 2015-06-11 / 20150162927 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND DATA PROCESSING SYSTEM | 3 |
Takaho Kawakami | JP | Susono-Shi, Shizuoka-Ken | 2016-05-12 / 20160131230 - BELT-DRIVEN CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Kazuhisa Kawakami | JP | Yokohama-Shi | 2015-01-22 / 20150022958 - PART ENGAGING STRUCTURE AND APPARATUS HAVING THE SAME | 9 |
Eiichiro Kawakami | JP | Chiryu-City | 2012-12-06 / 20120306415 - CONVERTER CONTROL DEVICE FOR VEHICLE | 3 |
Tohru Kawakami | JP | Miyagi | 2011-12-22 / 20110310330 - THIN BACKLIGHT SYSTEM AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 6 |
Akiyasu Kawakami | JP | Hamamatsu-Shi | 2013-03-07 / 20130056405 - SPOUT FAUCET | 1 |
Takenobu Kawakami | JP | Toyohashi-Shi | 2012-12-27 / 20120326551 - STATOR, MOTOR, METHOD FOR MANUFACTURING CONDUCTOR AND METHOD FOR MANUFACTURING THE STATOR | 1 |
Kazuhisa Kawakami | JP | Matsumoto-Shi | 2009-10-29 / 20090267284 - TRANSPORT DEVICE AND IMAGE RECORDING APPARATUS | 3 |
Yasuyuki Kawakami | JP | Tokyo | 2014-11-13 / 20140333194 - LIGHT SOURCE DEVICE AND FILAMENT | 9 |
Hisanori Kawakami | JP | Matsumoto-Shi | 2009-08-13 / 20090201442 - DISPLAY DEVICE AND ELECTRONIC APPARATUS | 1 |
Sayuri Kawakami | JP | Matsumoto-Shi | 2009-12-03 / 20090295854 - FLUID EJECTING APPARATUS | 4 |
Tomoya Kawakami | US | Juneau | 2011-08-04 / 20110186578 - MULTIPURPOSE ELASTIC LOOP GASKET | 1 |
Shotaro Kawakami | JP | Kitaadachi-Gun | 2015-09-17 / 20150259601 - POLYMERIZABLE COMPOUND-CONTAINING LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 7 |
Haruo Kawakami | JP | Kawakami | 2011-08-04 / 20110188297 - MAGNETIC MEMORY ELEMENT, DRIVING METHOD FOR SAME, AND NONVOLATILE STORAGE DEVICE | 1 |
Satoshi Kawakami | JP | Osaka | 2016-05-12 / 20160134669 - Information Sharing System, Recording Medium, and Information Sharing Method That Ensures Set and Cancel of Information Sharing by User | 19 |
Shigeru Kawakami | JP | Kyoto-Shi | 2014-09-11 / 20140255317 - PREPARATION COMPRISING HEXOSE-6-PHOSPHATE-MODIFIED CHOLESTEROL DERIVATIVE | 1 |
Koji Kawakami | JP | Kyoto-Shi | 2013-10-17 / 20130274201 - SELECTIVE ANTICANCER CHIMERIC PEPTIDE | 4 |
Fumio Kawakami | JP | Kyoto-Shi | 2015-02-12 / 20150046798 - INFORMATION PROCESSING APPARATUS, PROGRAMMABLE DISPLAY APPARATUS, DATA PROCESSING METHOD, AND PROGRAM | 4 |
Takahiro Kawakami | JP | Atsugi | 2016-05-05 / 20160126541 - SECONDARY BATTERY AND MANUFACTURING METHOD OF THE SAME | 39 |
Shuuhei Kawakami | JP | Yokohama-Shi | 2009-03-26 / 20090083440 - DOCUMENT MANAGEMENT SERVER AND CONTROL METHOD OF DOCUMENT MANAGEMENT SERVER | 2 |
Kohji Kawakami | JP | Yokohama-Shi | 2009-09-03 / 20090222929 - METHOD, PROGRAM, AND SERVER FOR BACKUP AND RESTORE | 1 |
Kazuhisa Kawakami | JP | Yokohama-Shi | 2015-01-22 / 20150022958 - PART ENGAGING STRUCTURE AND APPARATUS HAVING THE SAME | 9 |
Tsukasa Kawakami | JP | Yokohama-Shi | 2012-03-29 / 20120076947 - RESIN LAYER FORMATION METHOD, RESIN LAYER FORMATION DEVICE, DISK AND DISK MANUFACTURING METHOD | 2 |
Yusuke Kawakami | JP | Kagawa | 2013-06-27 / 20130165882 - BODILY FLUID ABSORBENT ARTICLE | 9 |
Takashi Kawakami | JP | Osaka | 2013-08-22 / 20130213578 - SHEET BONDING APPARATUS | 1 |
Takayoshi Kawakami | US | Tustin | 2016-03-17 / 20160073787 - Systems and Methods for Fluid Delivery in Seat Systems | 1 |
Akira Kawakami | JP | Chiyoda-Ku | 2015-01-22 / 20150024871 - TITANIUM ALLOY FOR GOLF CLUB FACE | 2 |
Akira Kawakami | JP | Nikko-City | 2010-10-28 / 20100270063 - ULTRATHIN COPPER FOIL WITH CARRIER AND PRINTED CIRCUIT BOARD USING SAME | 1 |
Akira Kawakami | JP | Kamakura-Shi | 2014-10-16 / 20140308601 - METHOD FOR PRODUCING CERIUM-BASED COMPOSITE OXIDE, SOLID OXIDE FUEL CELL, AND FUEL CELL SYSTEM | 3 |
Akira Kawakami | JP | Kitakyushu-Shi | 2014-03-27 / 20140087288 - SOLID OXIDE FUEL CELL | 2 |
Akira Kawakami | JP | Fukuoka | 2013-07-18 / 20130183594 - SOLID OXIDE FUEL BATTERY CELL | 2 |
Hiroshi Kawakami | JP | Funabashi-Shi | 2011-04-28 / 20110094410 - REGENERATION APPARATUS AND REGENERATION METHOD FOR ELECTROLESS PLATING | 1 |
Hiroshi Kawakami | JP | Kawagoe-Shi | 2011-02-17 / 20110039287 - METHOD FOR QUANTIFICATION OF PEPTIDE AND PROTEIN | 6 |
Hiroshi Kawakami | JP | Fujinomiya-Shi | 2011-01-06 / 20110001785 - Image forming system | 5 |
Hiroshi Kawakami | JP | Niimi-Shi | 2013-05-02 / 20130109461 - GAMING MACHINE | 4 |
Hiroshi Kawakami | JP | Kanagawa | 2014-06-19 / 20140170345 - BARRIER LAMINATE AND NOVEL POLYMER COMPOUND | 19 |
Hiroshi Kawakami | JP | Yokohama-Shi | 2013-07-25 / 20130189077 - STEAM TURBINE AND BLADE FOR STEAM TURBINE | 3 |
Hiroshi Kawakami | JP | Ashigarakami-Gun | 2015-12-03 / 20150345014 - BARRIER LAMINATE AND GAS BARRIER FILM | 4 |
Hiroshi Kawakami | JP | Tsutiura-Shi | 2009-10-29 / 20090266702 - METHOD AND APPARATUS FOR ENRICHMENT OF HEAVY OXYGEN ISOTOPES | 1 |
Hiroshi Kawakami | JP | Chigasaki-Shi | 2009-10-22 / 20090260453 - ELECTROMAGNETIC FLOWMETER | 2 |
Hiroshi Kawakami | JP | Kanagawa-Ken | 2009-09-03 / 20090220337 - STEAM TURBINE | 2 |
Hiroshi Kawakami | JP | Shizuoka | 2009-03-12 / 20090068415 - INK COMPOSITION, IMAGE-FORMING METHOD AND RECORDED MEDIUM | 1 |
Hiroshi Kawakami | JP | Tsuchiura-Shi | 2009-02-05 / 20090035212 - Method for Concentrating Oxygen Isotope or Isotopes | 1 |
Hiroshi Kawakami | JP | Takatsuki | 2008-08-28 / 20080207618 - 6- (Heterocyclyl-substituted Benzyl) -4-Oxoquinoline Compound and Use Thereof as HIV Integrase Inhibitor | 1 |
Hiroshi Kawakami | JP | Kawagawa-Ken | 2008-08-21 / 20080199310 - Axial flow turbine | 1 |
Daisuke Kawakami | JP | Kanagawa | 2015-04-30 / 20150117340 - COMMUNICATION DEVICE, COMMUNICATION CONTROL METHOD, AND PROGRAM | 15 |
Fukushi Kawakami | JP | Hamamatsu-Shi | 2015-03-19 / 20150078568 - MICROPHONE DEVICE, MICROPHONE UNIT, MICROPHONE STRUCTURE, AND ELECTRONIC EQUIPMENT USING THESE | 2 |
Fukushi Kawakami | JP | Hamamatsu-City | 2015-02-26 / 20150055790 - ACOUSTIC SYSTEM | 1 |
Katsuji Kawakami | JP | Osaka-Shi | 2013-03-14 / 20130065331 - MOUNTING METHOD FOR SEMICONDUCTOR LIGHT EMITTER | 1 |
Tsukasa Kawakami | JP | Osaka | 2015-03-26 / 20150083185 - SOLAR CELL MODULE AND METHOD FOR MANUFACTURING SAME | 2 |
Hiroyuki Kawakami | JP | Chiba | 2012-05-17 / 20120123146 - METHOD FOR PRODUCING ESTER COMPOUND | 4 |
Akira Kawakami | JP | Tokyo | 2015-10-15 / 20150292650 - ALPHA & BETA TYPE TITANIUM ALLOY SHEET FOR WELDED PIPE, MANUFACTURING METHOD THEREOF, AND ALPHA & BETA TYPE TITANIUM ALLOY WELDED PIPE PRODUCT | 10 |
Daisuke Kawakami | JP | Yokohama-Shi | 2015-02-12 / 20150044554 - NONAQUEOUS ELECTROLYTIC SOLUTION AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Daisuke Kawakami | JP | Matsumoto-Shi | 2015-03-26 / 20150084641 - CONTROL DEVICE AND TEST METHOD | 4 |
Daisuke Kawakami | JP | Ehime | 2011-02-17 / 20110038788 - CARBON-FIBER PRECURSOR FIBER, CARBON FIBER, AND PROCESSES FOR PRODUCING THESE | 3 |
Daisuke Kawakami | JP | Anjo-City | 2014-04-24 / 20140114599 - IN-VEHICLE ELECTRONIC CONTROL UNIT | 5 |
Hiroyuki Kawakami | JP | Hitachi | 2012-07-19 / 20120182547 - OPTICAL DEFECT INSPECTION APPARATUS | 5 |
Takahiro Kawakami | JP | Kiryu-Shi | 2015-03-05 / 20150059512 - STEERING DEVICE | 1 |
Masato Kawakami | JP | Tsukuba-Shi | 2013-03-14 / 20130062035 - SUBSTRATE COOLING DEVICE, SUBSTRATE COOLING METHOD AND HEAT TREATMENT APPARATUS | 1 |
Masato Kawakami | JP | Misato-Shi | 2015-10-01 / 20150273854 - LIQUID SUPPLY APPARATUS AND LIQUID EJECTION APPARATUS | 4 |
Masato Kawakami | JP | Yamanashi | 2011-08-18 / 20110201208 - PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS | 4 |
Hiroshi Kawakami | JP | Takatsuki-Shi | 2013-07-04 / 20130172344 - 4-OXOQUINOLINE COMPOUND AND USE THEREOF AS HIV INTEGRASE INHIBITOR | 1 |
Hiroyuki Kawakami | JP | Takatsuki-Shi | 2012-04-19 / 20120093299 - ANALYZING APPARATUS | 1 |
Takayuki Kawakami | JP | Itami-Shi | 2013-02-21 / 20130042443 - CLAMP DEVICE | 2 |
Yoshio Kawakami | JP | Osaka | 2014-03-20 / 20140078171 - REPRODUCTION DEVICE | 16 |
Rikuo Kawakami | JP | Numazu-Shi | 2013-10-17 / 20130272738 - IMAGE FORMING APPARATUS | 4 |
Hideo Kawakami | JP | Osaka | 2016-05-12 / 20160128882 - ARMREST LOCKING MECHANISM AND INTEGRATED BED HAVING SAME | 23 |
Akihiro Kawakami | JP | Tokyo | 2015-05-21 / 20150139671 - DEVELOPER CONVEYANCE DEVICE AND IMAGE FORMING APPARATUS | 4 |
Susumu Kawakami | JP | Nagoya-Shi | 2009-01-15 / 20090018271 - Coating Composition and Article Coated With Same | 1 |
Gou Kawakami | JP | Tokyo | 2015-12-03 / 20150348115 - CONTENT OUTPUT APPARATUS, CONTENT OUTPUT METHOD, COMMUNICATION TERMINAL, AND COMPUTER-READABLE MEDIUM | 2 |
Yoshiaki Kawakami | JP | Nagoya-Shi | 2015-02-26 / 20150052928 - COOLING SYSTEM AND VEHICLE THAT INCLUDES COOLING SYSTEM | 20 |
Takashi Kawakami | JP | Atsugi-Shi | 2015-12-10 / 20150358529 - IMAGE PROCESSING DEVICE, ITS CONTROL METHOD, AND STORAGE MEDIUM | 1 |
Yasuaki Kawakami | JP | Aichi | 2010-10-14 / 20100260577 - MOUNTING STRUCTURE | 1 |
Tadashi Kawakami | JP | Tokyo | 2013-12-12 / 20130327110 - METHOD FOR STRAIGHTENING | 3 |
Takashi Kawakami | JP | Kanagawa-Ken | 2008-09-04 / 20080210562 - Plating method and plating apparatus | 1 |
Takashi Kawakami | JP | Yokohama-Shi | 2008-10-30 / 20080268660 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Takashi Kawakami | JP | Nagano | 2008-12-25 / 20080314623 - Printed Circuit Board and Method for Manufacturing the Same | 1 |
Takashi Kawakami | JP | Kanagawa | 2009-05-07 / 20090118491 - STABLE SALT OF 3'-PHOSPHOADENOSINE 5'-PHOSPHOSULFATE | 1 |
Takashi Kawakami | JP | Saitama | 2010-01-21 / 20100015065 - Compositions for food, process for producing the same, and functional foods and drinks containing the same | 1 |
Takashi Kawakami | JP | Kyoto | 2010-04-08 / 20100084095 - ADHESIVE TRANSFER DEVICE | 2 |
Takashi Kawakami | JP | Tokyo | 2015-10-22 / 20150299258 - Peptide for Inhibiting Vascular Endothelial Growth Factor Receptor | 13 |
Takashi Kawakami | JP | Ehime | 2010-11-04 / 20100280425 - MUSCLE FORCE ASSISTING DEVICE AND ITS OPERATING METHOD | 5 |
Motohiro Kawakami | JP | Ehime | 2009-01-15 / 20090019464 - Disk apparatus | 1 |
Tatsuya Kawakami | JP | Osaka | 2015-12-03 / 20150344099 - BICYCLE OPERATING APPARATUS | 15 |
Hiroshi Kawakami | JP | Minami-Ashigara-Shi | 2015-12-10 / 20150357599 - BARRIER LAMINATE AND GAS BARRIER FILM | 1 |
Shigenobu Kawakami | JP | Tokyo | 2015-04-23 / 20150107940 - ELEVATOR AND ELEVATOR REFURBISHING METHOD | 4 |
Toshihiro Kawakami | JP | Kawasaki | 2013-08-08 / 20130205162 - REDUNDANT COMPUTER CONTROL METHOD AND DEVICE | 2 |
Takaaki Kawakami | JP | Kawasaki | 2014-09-11 / 20140258540 - MANAGEMENT SYSTEM AND METHOD | 3 |
Shinichiro Kawakami | JP | Kawasaki | 2012-05-03 / 20120104535 - PHOTODETECTOR | 1 |
Kentaro Kawakami | JP | Kawasaki | 2015-12-17 / 20150363353 - COMMUNICATION SYSTEM AND ELECTRONIC CIRCUIT | 3 |
Yoichi Kawakami | JP | Hamamatsu-Shi | 2013-03-07 / 20130056405 - SPOUT FAUCET | 2 |
Yoichi Kawakami | JP | Kusatsu-Shi | 2010-06-17 / 20100148120 - LIGHT-EMITTING APPARATUS, PHOSPHORESCENT PORTION, AND METHOD OF PRODUCING THE SAME | 3 |
Yoshinori Kawakami | JP | Osaka | 2009-02-12 / 20090038839 - SHIELDING FILM, SHIELDED PRINTED CIRCUIT BOARD, SHIELDED FLEXIBLE PRINTED CIRCUIT BOARD, METHOD OF MANUFACTURING SHIELDING FILM, AND METHOD OF MANUFACTURING SHIELDED PRINTED CIRCUIT BOARD | 1 |
Satoshi Kawakami | JP | Tokyo | 2013-10-31 / 20130287621 - Method for Recovering Valuable Material from Lithium-Ion Secondary Battery, and Recovered Material Containing Valuable Material | 2 |
Jun-Ichi Kawakami | JP | Osaka | 2014-06-19 / 20140171655 - DIPHOSPHINE LIGAND AND TRANSITION METAL COMPLEX USING THE SAME | 2 |
Takao Kawakami | JP | Osaka | 2009-02-05 / 20090037779 - EXTERNAL DEVICE ACCESS APPARATUS | 1 |
Kazuki Kawakami | JP | Osaka | 2015-12-24 / 20150367687 - PNEUMATIC TIRE | 3 |
Tetsuya Kawakami | JP | Osaka | 2009-09-03 / 20090219832 - FAST CONFIGURATION OF A DEFAULT ROUTER FOR A MOBILE NODE IN A MOBILE COMMUNICATION SYSTEM | 1 |
Shinji Kawakami | JP | Osaka | 2012-06-21 / 20120156524 - MAGNETIC RECORDING MEDIUM | 5 |
Tadashi Kawakami | JP | Osaka | 2011-12-01 / 20110293168 - METHOD FOR MOUNTING TRANSPARENT COMPONENT | 2 |
Teruaki Kawakami | JP | Osaka | 2012-01-19 / 20120011976 - TUBE CUTTING APPARATUS AND TUBE CUTTING METHOD | 2 |
Youichi Kawakami | JP | Osaka | 2012-05-10 / 20120117088 - MEDICAL INFORMATION SYSTEM AND PROGRAM FOR SAME | 2 |
Shinichi Kawakami | JP | Osaka | 2010-10-21 / 20100265315 - THREE-DIMENSIONAL IMAGE COMBINING APPARATUS | 1 |
Toshiyuki Kawakami | JP | Osaka | 2013-01-24 / 20130022071 - NITRIDE SEMICONDUCTOR LASER DEVICE AND WAFER | 3 |
Yoichi Kawakami | JP | Kyoto-Shi | 2013-03-28 / 20130075697 - ULTRAVIOLET IRRADIATION APPARATUS | 2 |
Yoichi Kawakami | JP | Shiga | 2012-05-17 / 20120124706 - SCANNING PROBE MICROSCOPE AND METHOD FOR DETECTING PROXIMITY OF PROBES THEREOF | 1 |
Hideo Kawakami | JP | Osaka | 2016-05-12 / 20160128882 - ARMREST LOCKING MECHANISM AND INTEGRATED BED HAVING SAME | 23 |
Hiroyuki Kawakami | JP | Kanagawa | 2014-09-25 / 20140289671 - INFORMATION TERMINAL, INFORMATION PRESENTATION METHOD FOR AN INFORMATION TERMINAL, AND INFORMATION PRESENTATION PROGRAM | 4 |
Naohisa Kawakami | JP | Aichi | 2014-02-06 / 20140037236 - SLIDE BEARING | 1 |
Teruaki Kawakami | JP | Chiyoda-Ku | 2015-04-23 / 20150107557 - CONTROL DEVICE AND CONTROL METHOD FOR INTERNAL COMBUSTION ENGINE | 1 |
Itaru Kawakami | JP | Kanagawa | 2015-11-05 / 20150318933 - COMMUNICATION TERMINAL, COMMUNICATION DEVICE, COMMUNICATION METHOD, PROGRAM, AND COMMUNICATION SYSTEM | 26 |
Hideki Kawakami | JP | Matsumoto-Shi | 2012-12-27 / 20120331493 - OPTICAL DISC PROCESSING DEVICE AND OPTICAL DRIVE INSTALLATION DEVICE | 2 |
Gou Kawakami | JP | Fussa-Shi | 2016-05-05 / 20160125770 - Display Apparatus And Computer Readable Medium | 2 |
Hiromichi Kawakami | JP | Echizen-Shi | 2009-12-31 / 20090321121 - CERAMIC MULTILAYER SUBSTRATE AND ITS MANUFACTURING METHOD | 1 |
Hiromichi Kawakami | JP | Nagaokakyo-Shi | 2011-04-21 / 20110091686 - LOW TEMPERATURE CO-FIRED CERAMIC MATERIAL, LOW TEMPERATURE CO-FIRED CERAMIC BODY, AND MULTILAYER CERAMIC SUBSTRATE | 1 |
Naoya Kawakami | JP | Fukuoka-Shi | 2009-12-10 / 20090305385 - Novel Cultured Silkworm Cells Capable of Highly Efficient Baculovirus Production and Protein Production | 1 |
Takayuki Kawakami | JP | Matsumoto-Shi | 2015-07-09 / 20150191017 - LIQUID EJECTING APPARATUS | 14 |
Takayuki Kawakami | JP | Toyota-Shi | 2009-07-02 / 20090170616 - Automatic transmission | 1 |
Takayuki Kawakami | JP | Hyogo | 2016-02-25 / 20160052096 - POSITIONING DEVICE | 11 |
Hiroyuki Kawakami | JP | Chiba-Ken | / - | 1 |
Hiroyuki Kawakami | JP | Tsukuba-Shi | 2014-11-13 / 20140332984 - ADHESIVE COMPOSITION, PROCESS FOR PRODUCING THE SAME, ADHESIVE FILM USING THE SAME, SUBSTRATE FOR MOUNTING SEMICONDUCTOR AND SEMICONDUCTOR DEVICE | 5 |
Takashi Kawakami | JP | Sakai-Shi | 2011-08-25 / 20110206884 - Sheet adhesive method, sheet adhesive apparatus, laminated products and transcription process products | 1 |
Teruki Kawakami | JP | Kanagawa | 2013-03-28 / 20130078396 - THERMAL TRANSFER SHEET | 1 |
Jun-Ichi Kawakami | JP | Yamatokoriyama-Shi | 2012-03-29 / 20120077985 - PROCESS FOR PRODUCING FUSED IMIDAZOLE COMPOUND, REFORMATSKY REAGENT IN STABLE FORM, AND PROCESS FOR PRODUCING THE SAME | 2 |
Ryusuke Kawakami | JP | Tokyo | 2015-12-03 / 20150348781 - LASER ANNEALING METHOD AND DEVICE | 12 |
Kimiyuki Kawakami | JP | Kanagawa | 2015-06-11 / 20150160590 - HEATING DEVICE, FIXING DEVICE, AND IMAGE FORMING APPARATUS | 2 |
Naohiko Kawakami | JP | Kashiwara-Shi | 2015-05-07 / 20150126766 - PROCESS FOR PREPARING 4-HYDROXYBUTYL ACRYLATE | 1 |
Daiju Kawakami | JP | Moka-Shi | 2012-02-23 / 20120043696 - METHOD OF INJECTION MOLDING | 1 |
Yoshimi Kawakami | JP | Hyogo-Ken | 2011-09-01 / 20110212993 - MEDICAMENT FOR TREATING GLAUCOMA COMPRISING AS AN ACTIVE INGREDIENT CYCLODEXTRIN-CLATHRATE COMPOUND OF CILOSTAZOL | 1 |
Tatsuro Kawakami | JP | Yokohama | 2015-02-05 / 20150039900 - PROGRAM EXECUTION METHOD AND DECRYPTION APPARATUS | 2 |
Yasuhiro Kawakami | JP | Kyoto-Shi | 2015-05-14 / 20150129896 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Tomoyuki Kawakami | JP | Hyogo | 2012-10-11 / 20120256602 - METHOD AND AN APPARATUS FOR CONTROLLING THE SWITCHES OF A BOOST CONVERTER COMPOSED OF PLURAL BRIDGE DEVICES | 2 |
Masako Kawakami | JP | Shinjuku-Ku | 2013-06-27 / 20130164444 - MANUFACTURING METHOD FOR SURFACE-MODIFIED TITANIUM PARTICLES, DISPERSION OF TITANIUM PARTICLES, AND RESIN HAVING TITANIUM PARTICLES DISPERSED THEREIN | 1 |
Yusuke Kawakami | JP | Tokyo | 2011-06-23 / 20110153734 - SIMULATION SYSTEM | 1 |
Masato Kawakami | JP | Joetsu-Shi | 2016-04-14 / 20160102111 - CYCLIC AMINOORGANOXYSILANE COMPOUND AND ITS PRODUCTION METHOD | 2 |
Shojiro Kawakami | JP | Miyagi | 2010-10-07 / 20100253827 - OPTICAL MODULE AND IMAGING DEVICE | 1 |
Haruko Kawakami | JP | Mishima-Shi | 2011-05-12 / 20110110556 - IMAGE PROCESSING METHOD | 3 |
Tetsuji Kawakami | JP | Hyogo-Ken | 2010-12-30 / 20100326466 - METHOD FOR REGENERATING GAS TURBINE BLADE AND GAS TURBINE BLADE REGENERATING APPARATUS | 1 |
Atsushi Kawakami | JP | Matsumoto | 2014-02-13 / 20140044990 - DECORATIVE ARTICLE AND TIMEPIECE | 4 |
Atsushi Kawakami | JP | Kanagawa-Ken | 2010-12-02 / 20100304551 - PROTECTIVE FILM AGENT FOR LASER DICING AND WAFER PROCESSING METHOD USING THE PROTECTIVE FILM AGENT | 1 |
Atsushi Kawakami | JP | Suwa | 2009-09-17 / 20090233123 - METHOD OF MANUFACTURING A DECORATIVE ARTICLE, A DECORATIVE ARTICLE, AND A TIMEPIECE | 1 |
Atsushi Kawakami | JP | Takasago-Shi | 2014-09-25 / 20140288222 - CURABLE COMPOSITION AND CURED PRODUCT THEREOF | 1 |
Atsushi Kawakami | JP | Osaka | 2015-06-04 / 20150153729 - WORKPIECE PROCESSING APPARATUS AND WORKPIECE TRANSFER SYSTEM | 1 |
Hirokatsu Kawakami | JP | Osaka | 2015-06-04 / 20150152007 - POLYCARBOXYLIC COPOLYMER, CEMENT DISPERSION AGENT, CEMENT ADMIXTURE, AND CEMENT COMPOSITION | 1 |
Takashi Kawakami | JP | Tokyo | 2015-10-22 / 20150299258 - Peptide for Inhibiting Vascular Endothelial Growth Factor Receptor | 13 |
Hiroshi Kawakami | JP | Saitama | 2014-05-22 / 20140140972 - ANTI-FATTY LIVER AGENT | 13 |
Takahiro Kawakami | JP | Isehara | 2014-08-07 / 20140217387 - Electronic Appliance and Light-Emitting Device | 14 |
Takanori Kawakami | JP | Tokyo | 2014-07-31 / 20140212813 - RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYMER AND POLYMERIZABLE COMPOUND | 12 |
Shigeaki Kawakami | JP | Chino-Shi | 2009-12-17 / 20090307899 - METHOD AND APPARATUS FOR MOUNTING CONDUCTIVE BALLS | 1 |
Shimpei Kawakami | JP | Tsukuba | 2013-01-03 / 20130005770 - ORGANIC COMPOUNDS | 2 |
Shimpei Kawakami | JP | Ibaraki | 2009-07-30 / 20090192148 - Organic Compounds | 2 |
Junichi Kawakami | JP | Tokyo | 2015-11-12 / 20150325381 - FLAME-RETARDANT ELECTROLYTIC CAPACITOR | 4 |
Hiroo Kawakami | JP | Utsunomiya-Shi | 2010-12-23 / 20100320038 - OPPOSED-PISTON CALIPER BODY | 1 |
Hiroshi Kawakami | JP | Osaka | 2015-06-25 / 20150174117 - 4-OXOQUINOLINE COMPOUND AND USE THEREOF AS PHARMACEUTICAL AGENT | 1 |
Taku Kawakami | JP | Tokyo | 2013-04-25 / 20130101412 - VACUUM PUMP | 1 |
Kenji Kawakami | JP | Tokyo | 2016-04-21 / 20160112223 - SIGNAL PROCESSING DEVICE | 8 |
Toshiaki Kawakami | JP | Wako-Shi, Saitama | 2013-11-28 / 20130317680 - UNMANNED AUTONOMOUS OPERATING SYSTEM | 2 |
Daiju Kawakami | JP | Tokyo | 2010-08-12 / 20100201017 - METHOD AND APPARATUS FOR PRODUCING A MOLDED ARTICLE WITH A LAMINATED STRUCTURE | 1 |
Toshiaki Kawakami | JP | Wako-Shi | 2016-04-21 / 20160109241 - CONTROL, APPARATUS FOR AUTONOMOUSLY NAVIGATING UTILITY VEHICLE | 6 |
Akira Kawakami | JP | Tokyo | 2015-10-15 / 20150292650 - ALPHA & BETA TYPE TITANIUM ALLOY SHEET FOR WELDED PIPE, MANUFACTURING METHOD THEREOF, AND ALPHA & BETA TYPE TITANIUM ALLOY WELDED PIPE PRODUCT | 10 |
Hajime Kawakami | JP | Tokyo | 2012-01-26 / 20120020693 - CLEANING APPARATUS AND IMAGE FORMING APPARATUS | 2 |
Takahiro Kawakami | JP | Anjo-Shi | 2015-11-19 / 20150328763 - ELECTRIC TOOL | 7 |
Munehito Kawakami | JP | Tokyo | 2009-10-22 / 20090260745 - Method of Manufacturing Resin Pipe | 2 |
Katsuhiko Kawakami | JP | Tokyo | 2016-04-21 / 20160107206 - CLEANING METHOD OF OIL TANK AND CLEANING DEVICE OF SAME | 2 |
Masayuki Kawakami | JP | Tokyo | 2009-03-19 / 20090076067 - PHARMACEUTICAL COMPOSITION COMPRISING AZARHODACYANINE COMPOUND AS ACTIVE INGREDIENT | 1 |
Naoki Kawakami | JP | Tokyo | 2008-10-09 / 20080245955 - Optical Tactile Sensor | 1 |
Daisuke Kawakami | JP | Tokyo | 2013-11-21 / 20130311849 - SEMICONDUCTOR DEVICE, ELECTRONIC DEVICE, ELECTRONIC SYSTEM, AND METHOD OF CONTROLLING ELECTRONIC DEVICE | 2 |
Tsuyoshi Kawakami | JP | Tokyo | 2015-10-01 / 20150279931 - SEMICONDUCTOR DEVICE | 15 |
Manabu Kawakami | JP | Tokyo | 2014-07-17 / 20140198429 - MAIN CIRCUIT SWITCHGEAR | 3 |
Remi Kawakami | JP | Tokyo | 2011-01-27 / 20110018909 - IMAGE DISPLAY WITH FUNCTION FOR TRANSMITTING LIGHT FROM SUBJECT TO BE OBSERVED | 1 |
Etsuro Kawakami | JP | Tokyo | 2011-01-27 / 20110019289 - ZOOM LENS AND CAMERA WITH ZOOM LENS | 4 |
Yutaka Kawakami | JP | Tokyo | 2013-05-16 / 20130122029 - CANCER VACCINE | 4 |
Munenori Kawakami | JP | Tokyo | 2010-11-18 / 20100291970 - MOBILE COMMUNICATION TERMINAL AND METHOD FOR NAVIGATING SERIES OF OPERATIONS OF THE MOBILE COMMUNICATION TERMINAL | 1 |
Seiichi Kawakami | JP | Tokyo | 2010-10-07 / 20100253577 - Position measuring method and mobile communication terminal | 2 |
Hideaki Kawakami | JP | Chiba-City | 2012-10-25 / 20120267628 - Liquid Crystal Display Device | 7 |
Kazuya Kawakami | JP | Tokyo | 2010-10-07 / 20100253577 - Position measuring method and mobile communication terminal | 1 |
Hiroyoshi Kawakami | JP | Tokyo | 2012-10-25 / 20120270976 - ROOM TEMPERATURE CURABLE ORGANOPOLYSILOXANE COMPOSITION AND GAS SEPARATION MEMBRANE | 2 |
Katsuhiro Kawakami | JP | Tokyo | 2009-06-04 / 20090143353 - ANTIFUNGAL BICYCLIC HETERO RING COMPOUNDS | 1 |
Hideaki Kawakami | JP | Chiba-Shi | 2014-03-13 / 20140070207 - ELECTRODE FOR OXIDE SEMICONDUCTOR, METHOD OF FORMING THE SAME, AND OXIDE SEMICONDUCTOR DEVICE PROVIDED WITH THE ELECTRODE | 3 |
Masakatsu Kawakami | JP | Tokyo | 2011-11-17 / 20110281919 - AGENT FOR TREATING OR PREVENTING DIGESTIVE ULCER | 3 |
Yasuyuki Kawakami | JP | Tokyo | 2014-11-13 / 20140333194 - LIGHT SOURCE DEVICE AND FILAMENT | 9 |
Makoto Kawakami | JP | Osaka | 2015-04-09 / 20150097560 - MAGNETIC SENSOR DEVICE | 4 |
Nobuo Kawakami | JP | Tokyo | 2013-01-10 / 20130014139 - IMAGE DISPLAY SYSTEM, IMAGE DISPLAY METHOD, IMAGE DISPLAY CONTROL PROGRAM AND TRANSMISSION PROGRAM FOR MOTION INFORMATION | 7 |
Takanori Kawakami | JP | Tokyo | 2014-07-31 / 20140212813 - RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYMER AND POLYMERIZABLE COMPOUND | 12 |
Sota Kawakami | JP | Tokyo | 2010-12-16 / 20100314035 - METHOD FOR PRODUCING VERTICAL ALIGNMENT LIQUID CRYSTAL DISPLAY | 2 |
Kenji Kawakami | JP | Tokyo | 2016-04-21 / 20160112223 - SIGNAL PROCESSING DEVICE | 8 |
Kazuyoshi Kawakami | JP | Tokyo | 2010-11-18 / 20100291354 - Laminate for Laser Marking | 1 |
Hiroshi Kawakami | JP | Kanagawa | 2014-06-19 / 20140170345 - BARRIER LAMINATE AND NOVEL POLYMER COMPOUND | 19 |
Hironori Kawakami | JP | Tokyo | 2012-06-07 / 20120138904 - ORGANIC ELECTROLUMINESCENCE DISPLAY AND PRODUCTION METHOD THEREOF | 6 |
Takahide Kawakami | JP | Tokyo | 2009-01-01 / 20090002174 - Wireless tag, wireless tag communication system, wireless tag access device, method of detecting corresponding wireless tags and computer readable medium | 1 |
Takeshi Kawakami | JP | Tokyo | 2013-04-25 / 20130102628 - CRYSTAL OF FUSED PYRIDINE COMPOUND SALT | 2 |
Michiaki Kawakami | JP | Tokyo | 2008-12-04 / 20080300334 - Thermoplastic Resin Foam | 1 |
Tetsuma Kawakami | JP | Okayama-Shi | 2014-08-07 / 20140216285 - FLEXOGRAPHIC PRINTING ORIGINAL PLATE AND WATER-DEVELOPABLE LAMINATE OF PHOTOSENSITIVE RESIN | 3 |
Tetsuma Kawakami | JP | Okayama | 2012-05-10 / 20120111211 - PHOTOSENSITIVE LETTERPRESS PRINTING ORIGINAL PLATE | 2 |
Norihiro Kawakami | JP | Oita | 2008-10-16 / 20080251918 - Wire Bonds Having Pressure-Absorbing Balls | 1 |
Norihiro Kawakami | JP | Beppu | 2009-07-30 / 20090189299 - METHOD OF FORMING A PROBE PAD LAYOUT/DESIGN, AND RELATED DEVICE | 1 |
Norihiro Kawakami | JP | Oita-Ken | 2010-06-10 / 20100140327 - Spot Heat Wirebonding | 1 |
Tsuyoshi Kawakami | JP | Kanagawa | 2013-10-10 / 20130265109 - POWER AMPLIFICATION CIRCUIT HAVING TRANSFORMER | 1 |
Yusuke Kawakami | JP | Kanonji-Shi | 2015-07-23 / 20150202095 - WEARING ARTICLE | 8 |
Eigo Kawakami | JP | Utsunomiya | 2008-10-16 / 20080254626 - PROCESSING APPARATUS | 1 |
Hideki Kawakami | JP | Hata-Machi | 2011-10-20 / 20110255383 - MEDIUM TRANSPORTING MECHANISM AND MEDIUM PROCESSING APPARATUS HAVING THE SAME | 1 |
Hideki Kawakami | JP | Nagano-Ken | 2014-11-27 / 20140348626 - MEDIUM TRANSPORTING UNIT AND MEDIUM PROCESSING APPARATUS | 6 |
Hideki Kawakami | JP | Tokyo | 2015-07-30 / 20150210136 - SHOCK ABSORBER | 1 |
Tameo Kawakami | JP | Hyogo | 2009-04-23 / 20090102080 - GAS GENERATING AGENT, PROCESS FOR PRODUCTION THEREOF, AND GAS GENERATORS FOR AIR BAGS | 1 |
Yasunori Kawakami | JP | Hyogo | 2011-12-29 / 20110321076 - VIEWING TERMINAL DEVICE, SERVER DEVICE, AND PARTICIPATING PROGRAM SHARING SYSTEM | 2 |
Keizo Kawakami | JP | Hyogo | 2014-03-06 / 20140063851 - DISPLAY DEVICE | 2 |
Kentaro Kawakami | JP | Hyogo | 2009-01-22 / 20090024866 - DIGITAL VLSI CIRCUIT AND IMAGE PROCESSING DEVICE INTO WHICH THE SAME IS ASSEMBLED | 1 |
Shigeki Kawakami | JP | Hyogo | 2014-01-09 / 20140011030 - FIBERS FOR REINFORCING CURED ARTICLE AND CURED ARTICLE USING SAME | 2 |
Manabu Kawakami | JP | Hyogo | 2010-03-04 / 20100050625 - Axle Driving Apparatus for a Zero Turn Radius Vehicle | 2 |
Satoru Kawakami | JP | Hyogo | 2010-03-18 / 20100068375 - EVAPORATING APPARATUS AND METHOD FOR OPERATING THE SAME | 1 |
Ryoichi Kawakami | JP | Hyogo | 2010-08-26 / 20100212605 - STEAM GENERATOR | 1 |
Nobuyuki Kawakami | JP | Hyogo | 2011-10-13 / 20110248272 - ORGANIC EL DISPLAY DEVICE REFLECTIVE ANODE AND METHOD FOR MANUFACTURING THE SAME | 6 |
Yosuke Kawakami | JP | Tokyo | 2015-11-26 / 20150339850 - MULTI-VIEW DRAWING APPARATUS OF THREE-DIMENSIONAL OBJECTS, AND METHOD | 3 |
Takahiro Kawakami | JP | Atsugi-Shi | 2014-02-27 / 20140057165 - POSITIVE ELECTRODE FOR SECONDARY BATTERY, SECONDARY BATTERY, AND METHOD FOR FABRICATING POSITIVE ELECTRODE FOR SECONDARY BATTERY | 1 |
Katsushi Kawakami | JP | Ichikawa | 2009-03-26 / 20090079195 - WIND POWER GENERATOR | 1 |
Yoshihito Kawakami | JP | Osaka | 2015-08-13 / 20150229160 - POWER FAILURE PREVENTION SYSTEM AND CIRCUITS | 1 |
Haruki Kawakami | JP | Kawasaki | 2016-04-21 / 20160112227 - TRANSMITTING DEVICE, RECEIVING DEVICE, AND TRANSMITTING AND RECEIVING SYSTEM | 1 |
Hisao Kawakami | JP | Hitachi | 2010-09-30 / 20100243611 - VACUUM INSULATING SWITCH GEAR | 1 |
Hiroki Kawakami | JP | Fuji-Shi | 2013-06-20 / 20130158761 - LINE PRESSURE CONTROL APPARATUS AND METHOD FOR VEHICLE | 5 |
Hiroki Kawakami | JP | Tokyo | 2013-09-26 / 20130250118 - IMAGE PROCESSING APPARATUS FOR CORRECTING TRAJECTORY OF MOVING OBJECT IN IMAGE | 1 |
Hiroki Kawakami | JP | Okazaki-Shi | 2015-10-22 / 20150305174 - GROMMET AND WIRE HARNESS | 2 |
Hiroki Kawakami | JP | Utsunomiya-Shi | 2015-10-01 / 20150273801 - SKIN-COVERED FOAMED MOLDED ARTICLE AND ENERGY ABSORBING MEMBER | 2 |
Norihiko Kawakami | JP | Kawasaki | 2012-09-20 / 20120239879 - STORAGE SYSTEM FOR MANAGING A LOG OF ACCESS | 2 |
Norihiko Kawakami | JP | Hachioji | 2009-10-29 / 20090271638 - Storage system with an encryption function | 3 |
Norihiko Kawakami | JP | Sagamihara | 2013-11-21 / 20130311739 - STORAGE APPARATUS, STORAGE SYSTEM, AND DATA MIGRATION METHOD | 7 |
Tomoko Kawakami | JP | Kanagawa | 2013-06-20 / 20130154681 - SUBSTRATE INSPECTION JIG AND SUBSTRATE INSPECTION METHOD | 1 |
Ayumu Kawakami | JP | Tokyo | 2015-08-20 / 20150237186 - HANDS-FREE DEVICE | 1 |
Naomi Kawakami | JP | Atsugi | 2012-07-12 / 20120175620 - Light-Emitting Device and Method of Manufacturing the Same, and Method of Operating Manufacturing Apparatus | 2 |
Hiroshi Kawakami | JP | Shizuoka-Ken | 2010-09-30 / 20100245514 - INKJET RECORDING METHOD | 9 |
Kanji Kawakami | JP | Osaka | 2015-08-27 / 20150243942 - NON-AQUEOUS ELECTROLYTE BATTERY | 1 |
Satoru Kawakami | JP | Kanagawa | 2014-01-23 / 20140024326 - RECEIVING APPARATUS AND RECEIVING METHOD | 1 |
Junzo Kawakami | JP | Osaka-Shi | 2010-08-05 / 20100195322 - LIGHT EMITTING DEVICE, ILLUMINATING APPARATUS AND CLEAN ROOM EQUIPPED WITH ILLUMINATING APPARATUS | 1 |
Hiroshi Kawakami | JP | Toyota-Shi | 2016-04-28 / 20160114828 - STEERING DEVICE | 2 |
Naoaki Kawakami | JP | Kyoto | 2014-01-16 / 20140018510 - MEDICAL ADHESIVE | 1 |
Takahiro Kawakami | JP | Atsugi | 2016-05-05 / 20160126541 - SECONDARY BATTERY AND MANUFACTURING METHOD OF THE SAME | 39 |
Shinichiro Kawakami | JP | Tokyo | 2013-11-21 / 20130309615 - PROCESS SEQUENCE FOR REDUCING PATTERN ROUGHNESS AND DEFORMITY | 1 |
Fumihiro Kawakami | JP | Okazaki-Shi | 2014-01-30 / 20140029884 - WAVE WASHER, ROTARY SHAFT SUPPORTING DEVICE INCLUDING THE WAVE WASHER, AND ELECTRIC MOTOR INCLUDING THE ROTARY SHAFT SUPPORTING DEVICE | 2 |
Koei Kawakami | JP | Fukuoka | 2011-11-10 / 20110274927 - INORGANIC FIBER STRUCTURE AND PROCESS FOR PRODUCING SAME | 1 |
Tomoaki Kawakami | JP | Chiba-Ken | 2010-02-25 / 20100044901 - Porous vinylidene fluoride resin membrane for water treatment and process for producing the same | 3 |
Tomoaki Kawakami | JP | Utsunomiya-Shi | 2015-10-29 / 20150312470 - IMAGE PICKUP APPARATUS, IMAGE PICKUP SYSTEM, IMAGE PICKUP METHOD, AND RECORDING MEDIUM | 11 |
Takahiro Kawakami | JP | Osaka | 2013-11-28 / 20130316997 - ANTIBACTERIAL RESIN COMPOSITION | 3 |
Tomoaki Kawakami | JP | Utsunomiya-Shi | 2015-10-29 / 20150312470 - IMAGE PICKUP APPARATUS, IMAGE PICKUP SYSTEM, IMAGE PICKUP METHOD, AND RECORDING MEDIUM | 11 |
Yasuaki Kawakami | JP | Anjo-Shi | 2011-12-08 / 20110296764 - Trim attachment structure | 1 |
Yusuke Kawakami | JP | Yokohama | 2015-11-05 / 20150318077 - COMPOSITION, LAMINATE, METHOD OF MANUFACTURING LAMINATE, TRANSISTOR, AND METHOD OF MANUFACTURING TRANSISTOR | 2 |
Yuta Kawakami | JP | Yao-Shi | 2014-03-20 / 20140080351 - CONNECTOR | 3 |
Takahiro Kawakami | JP | Niigata | 2011-06-30 / 20110157593 - SPR SENSOR | 2 |
Takahiro Kawakami | JP | Kanagawa | 2009-09-17 / 20090230847 - Light-Emitting Element, Light-Emitting Device, and Electronic Appliance | 2 |
Kinichi Kawakami | JP | Tokyo | 2015-10-08 / 20150285293 - ANTI-LOOSENING NUT | 2 |
Shuuhei Kawakami | JP | Higashiyamato-Shi | 2015-11-12 / 20150324150 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING SYSTEM, CONTROL METHOD, AND STORAGE MEDIUM | 6 |
Takaho Kawakami | JP | Susono-Shi | 2015-12-03 / 20150345619 - VEHICLE DRIVE DEVICE | 5 |
Hirokatsu Kawakami | JP | Izumiotsu-Shi | 2009-05-21 / 20090131560 - ULTRARAPID HARDENING CEMENT COMPOSITION AND DISPERSANT FOR ULTRARAPID HARDENING CEMENT COMPOSITION | 1 |
Tsuyoshi Kawakami | US | 2015-10-01 / 20150279931 - SEMICONDUCTOR DEVICE | 1 | |
Taketo Kawakami | JP | Utsunomiya-Shi | 2011-11-17 / 20110279116 - MAGNETIC RESONANCE DIAGNOSTIC APPARATUS | 1 |
Takahiro Kawakami | JP | Niigata-Shi | 2015-10-15 / 20150293181 - SECONDARY BATTERY TESTER | 1 |
Hiroyuki Kawakami | JP | Wakayama-Shi | 2013-12-19 / 20130333593 - METHOD FOR PRODUCING CURED ARTICLE FROM HYDRAULIC COMPOSITION | 1 |
Satoshi Kawakami | JP | Osaka | 2016-05-12 / 20160134669 - Information Sharing System, Recording Medium, and Information Sharing Method That Ensures Set and Cancel of Information Sharing by User | 19 |
Junichi Kawakami | JP | Hyogo | 2011-10-06 / 20110245575 - PARAFFIN PURIFICATION METHOD AND APPARATUS | 1 |
Yasuhiro Kawakatsu | JP | Kariya-City | 2013-11-14 / 20130304356 - CYLINDER-BY-CYLINDER AIR-FUEL RATIO CONTROLLER FOR INTERNAL COMBUSTION ENGINE | 1 |
Shimpei Kawakatsu | JP | Kanagawa | 2013-08-22 / 20130217393 - MOBILE COMMUNICATION METHOD, RADIO ACCESS NETWORK APPARATUS AND MOBILE STATION | 1 |
Kazushi Kawakatsu | JP | Kyoto | 2014-09-18 / 20140261641 - MOUNT SUPPORT STRUCTURE FOR SOLAR CELL MODULE | 2 |
Yuta Kawakatsu | JP | Ohtsu-Shi | 2014-04-10 / 20140100591 - METHOD FOR THE MANUFACTURE OF NERVE REGENERATION-INDUCING TUBE | 4 |
Yasuhiro Kawakatsu | JP | Karyia-City | 2012-10-11 / 20120255532 - CONTROLLER FOR INTERNAL COMBUSTION ENGINE | 1 |
Noritaka Kawakatsu | JP | Sakura-Shi | 2009-01-15 / 20090019406 - VERIFICATION APPARATUS AND VERIFICATION METHOD | 1 |
Hirokazu Kawakatsu | JP | Fuchu-Shi | 2009-06-11 / 20090148134 - Broadcast receiving apparatus and broadcast receiving method | 2 |
Hitoshi Kawakatsu | JP | Kakogawa-Shi | 2016-03-17 / 20160075305 - OFF-ROAD VEHICLE | 1 |
Yoshiaki Kawakatsu | JP | Fukuoka | 2014-02-06 / 20140040905 - TASK EXECUTION CONTROLLER, TASK EXECUTION CONTROL SYSTEM, AND TASK EXECUTION CONTROL METHOD | 3 |
Takahiro Kawakatsu | JP | Shinjuku-Ku | 2012-07-05 / 20120168370 - METHOD OF IMPROVING REJECTION OF PERMEABLE MEMBRANE AND PERMEABLE MEMBRANE | 1 |
Hideki Kawakatsu | JP | Setagaya-Ku | 2009-09-03 / 20090219506 - HETERODYNE LASER DOPPLER PROBE AND MEASUREMENT SYSTEM USING THE SAME | 1 |
Mizuki Kawakatsu | JP | Fukutsu-Shi | 2009-09-17 / 20090230038 - APPARATUS FOR PRODUCING PHOTOCATALYTIC REACTION WATER | 1 |
Akira Kawakatsu | JP | Yokohama-Shi | 2009-10-29 / 20090267480 - HIGH-PRESSURE DISCHARGE LAMP AND LIGHTING EQUIPMENT | 1 |
Takahiro Kawakatsu | JP | Saitama-Shi | 2009-10-29 / 20090266764 - Agent and Process for Increasing Rejection of Nanofiltration Membrane or Reverse Osmosis Membrane, Nanofiltration Membrane or Reverse Osmosis Membrane, Process for Water Treatment and Apparatus for Water Treatment | 2 |
Yuta Kawakatsu | JP | Yokkaichi-Shi | 2014-04-24 / 20140114260 - MEDICAL TUBE | 1 |
Shinpei Kawakatsu | JP | Kanagawa | 2013-10-24 / 20130281011 - COMMUNICATION DEVICE, COMMUNICATION SYSTEM, AND RESTRICTING METHOD | 4 |
Taiji Kawakatsu | JP | Ibaraki | / - | 1 |
Masahiro Kawakatsu | JP | Komaki-Shi | 2015-02-19 / 20150048066 - GLOW PLUG WITH PRESSURE SENSOR | 2 |
Noriyasu Kawakatsu | JP | Osaka | 2010-03-18 / 20100064723 - Refrigeration system | 5 |
Shimpei Kawakatsu | JP | Yokohama-Shi | 2013-10-03 / 20130259000 - MOBILE COMMUNICATION METHOD, RADIO ACCESS NETWORK APPARATUS AND MOBILE STATION | 4 |
Hideki Kawakatsu | JP | Tokyo | 2011-03-03 / 20110055983 - DYNAMIC MODE AFM APPARATUS | 8 |
Yuta Kawakatsu | JP | Osaka-Shi | 2015-07-09 / 20150190551 - PELLET-SHAPED COMPOSITION FOR MEDICAL USE, AND MOLDED PRODUCT | 1 |
Jun Kawakatsu | JP | Suntou-Gun | 2013-06-20 / 20130156459 - POWER SUPPLY AND IMAGE FORMING APPARATUS EQUIPPED WITH POWER SUPPLY | 2 |
Takahiro Kawakatsu | JP | Nakano-Ku, Tokyo | 2015-12-31 / 20150376034 - MULTI-STAGE REVERSE OSMOSIS MEMBRANE SYSTEM AND OPERATION METHOD THEREOF | 2 |
Jun Kawakatsu | JP | Yokohama-Shi | 2014-07-31 / 20140212163 - POWER SOURCE APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Takahiro Kawakatsu | JP | Nakano-Ku | 2015-02-12 / 20150045276 - AGENT AND METHOD FOR CLEANING PERMEABLE MEMBRANES | 2 |
Takahiro Kawakatsu | JP | Tokyo | 2013-12-05 / 20130324678 - METHOD FOR IMPROVING REJECTION OF PERMEABLE MEMBRANE, TREATMENT AGENT FOR IMPROVING REJECTION, AND PERMEABLE MEMBRANE | 5 |
Tsutomu Kawakatsu | JP | Tochigi-Ken | 2010-10-21 / 20100263466 - METHOD FOR ADJUSTING MESHING POSITION OF HYPOID GEAR | 3 |
Yuta Kawakatsu | JP | Shiga | 2010-10-07 / 20100255060 - NERVE REGENERATION-INDUCING TUBE | 2 |
Yasuhiro Kawakatsu | JP | Kawasaki | 2010-07-08 / 20100172585 - IMAGE SYNTHESIZING APPARATUS AND METHOD OF SYNTHESIZING IMAGES | 3 |
Yasuhiro Kawakatsu | JP | Kanagawa | 2008-09-18 / 20080224196 - SEMICONDUCTOR DEVICE AND MANUFACTURING PROCESS FOR THE SAME | 1 |
Yasuhiro Kawakatsu | JP | Kariya-Shi | 2012-02-23 / 20120043205 - GAS SENSOR CONTROL APPARATUS CONTROLLING OUTPUT CHARACTERISTIC OF GAS SENSOR | 1 |
Shuji Kawakatsu | JP | Kyoto-Shi | 2013-05-16 / 20130124893 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 3 |
Tsutomu Kawakatsu | JP | Utsunomiya-Shi | 2012-10-04 / 20120252589 - CONSTANT VELOCITY JOINT | 1 |
Shimpei Kawakatsu | JP | Tokyo | 2013-07-04 / 20130170438 - PAGING METHOD, CORE NETWORK APPARATUS, RADIO ACCESS NETWORK APPARATUS AND GATEWAY APPARATUS | 2 |
Akio Kawakawi | JP | Numazu-Shi | 2015-01-15 / 20150013153 - HIGH FREQUENCY CABLE, HIGH FREQUENCY COIL AND METHOD FOR MANUFACTURING HIGH FREQUENCY CABLE | 1 |
Horoshi Kawakawi | JP | Kawagoe-Shi | 2010-08-19 / 20100209412 - OSTEOGENESIS PROMOTER | 1 |
Keiichi Kawakawi | JP | Tokushima | 2011-05-05 / 20110100861 - PLASTIC AMPULE AND COLORED PLASTIC CONTAINER | 1 |
Hiroyuki Kawaki | JP | Aichi | 2012-05-10 / 20120111103 - DETECTING APPARATUS | 1 |
Hiroyuki Kawaki | JP | Toyota-Shi | 2013-10-03 / 20130255075 - METHOD FOR PRODUCING LITHIUM SECONDARY CELL | 3 |
Shunsuke Kawaki | JP | Tsukuba-Shi | 2014-03-20 / 20140079910 - CARBON FILM LAMINATE, METHOD OF MANUFACTURING SAID LAMINATE, AND LUBRICANT USING SAID LAMINATE | 1 |
Koji Kawaki | JP | Hitachinaka | 2008-10-02 / 20080239292 - Apparatus and method for inspecting defects | 1 |
Yohei Kawaki | JP | Toyota-Shi | 2008-08-21 / 20080197022 - GAS SENSOR CONTROL APPARATUS DESIGNED TO ENSURE ACCURACY OF MEASUREMENT IN GAS SENSOR | 2 |
Koji Kawakita | JP | Yokohama-Shi | 2015-04-16 / 20150102806 - ELECTRONIC INDUCTION TYPE SENSOR PANEL AND METHOD FOR REDUCING INDICATION ERROR | 1 |
Tetsuo Kawakita | JP | Kyoto | 2008-10-02 / 20080239634 - Flat Panel Display Device | 1 |
Toshio Kawakita | JP | Osaka | 2010-01-07 / 20100002338 - SERVO CONTROLLING METHOD, RECORDING/REPRODUCING APPARATUS, MAGNETIC TAPE, AND MAGNETIC TAPE CARTRIDGE | 4 |
Masahiro Kawakita | JP | Tokyo | 2015-09-24 / 20150271522 - METHOD, PROGRAM AND APPARATUS FOR REDUCING DATA SIZE OF A PLURALITY OF IMAGES CONTAINING MUTUALLY SIMILAR INFORMATION, AND DATA STRUCTURE REPRESENTING A PLURALITY OF IMAGES CONTAINING MUTUALLY SIMILAR INFORMATION | 2 |
Yasuhiro Kawakita | JP | Tokyo | 2014-03-27 / 20140090079 - BUSINESS DATA BROWSING SYSTEM MANAGING THE RETENTION PERIOD OF BUSINESS DATA | 2 |
Eisuke Kawakita | JP | Kiyose-Shi | 2010-10-07 / 20100254951 - Nerve Regeneration Promoting Agent | 1 |
Masahiro Kawakita | JP | Yokohama-Shi | 2008-10-02 / 20080244490 - Sequence-pair creating apparatus and sequence-pair creating method | 1 |
Hidetaka Kawakita | JP | Saga-City | 2012-08-30 / 20120219479 - ADSORBENT AND METHOD OF COLLECTING PRECIOUS METAL USING THE SAME | 2 |
Masanori Kawakita | JP | Fukuoka-Shi | 2013-01-24 / 20130022249 - RAPID DISCRIMINATION APPARATUS, METHOD OF SPEEDING UP THE SAME, AND PROGRAM OF THE SAME | 1 |
Yoichiro Kawakita | JP | Kyoto-Shi | 2011-09-29 / 20110238429 - TRACE INFORMATION ACQUISITION SYSTEM AND METHOD FOR ACQUIRING TRACE INFORMATION | 1 |
Kenichi Kawakita | JP | Kanagawa | 2010-01-21 / 20100013529 - Reset signal generating circuit | 1 |
Takayuki Kawakita | JP | Tokyo | 2009-12-10 / 20090301860 - GAS EXCITATION APPARATUS HAVING SUSPENDED ELECTRODE AND GAS EXCITATION METHOD | 2 |
Jun Kawakita | JP | Tokyo | 2009-10-08 / 20090254756 - Data communication method | 1 |
Masaki Kawakita | JP | Tokyo | 2016-02-18 / 20160049799 - WIRELESS POWER SUPPLY SYSTEM | 2 |
Noriyasu Kawakita | JP | Hikone-Shi | 2013-06-06 / 20130139871 - SOLAR CELL MODULE AND METHOD OF MANUFACTURING SOLAR CELL MODULE | 1 |
Shinya Kawakita | JP | Tokyo | 2011-03-10 / 20110058342 - Semiconductor Device | 2 |
Akihiro Kawakita | JP | Hyogo | 2016-02-25 / 20160056469 - POSITIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, METHOD FOR MANUFACTURING POSITIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 4 |
Daisuke Kawakita | JP | Tokyo | 2014-10-16 / 20140310452 - SEMICONDUCTOR DEVICE AND PROCESSOR SYSTEM INCLUDING THE SAME | 1 |
Kyoichi Kawakita | JP | Tokyo | 2013-03-21 / 20130070290 - PRINTING APPARATUS, INFORMATION PROCESSING APPARATUS, PRINTING SYSTEM, AND COMPUTER READABLE MEDIUM | 1 |
Yuko Kawakita | JP | Nagoya | 2013-06-13 / 20130147808 - COMPUTER-READABLE RECORDING MEDIUM STORING INFORMATION DISPLAY PROGRAM, INFORMATION DISPLAY METHOD, AND INFORMATION PROCESSING APPARATUS | 1 |
Koji Kawakita | JP | Atsugi-Shi | 2010-02-25 / 20100045999 - OPTICAL PHASE-MODULATION EVALUATING DEVICE | 1 |
Shinya Kawakita | JP | Minato-Ku | 2008-12-04 / 20080297355 - Container with Electronic Tag and RFID System Using the Container with Electronic Tag | 1 |
Tetsuya Kawakita | JP | Tokyo | 2016-03-10 / 20160067204 - THERAPEUTIC OR PROPHYLACTIC AGENT FOR CORNEAL EPITHELIUM DISORDERS AND/OR CONJUNCTIVAL EPITHELIUM DISORDERS | 2 |
Atsushi Kawakita | JP | Miyoshi-Shi | 2016-04-28 / 20160114424 - LASER SURFACE TREATMENT METHOD AND LASER SURFACE TREATMENT APPARATUS WITH RADIATING THE SURFACE TO BE TREATED ALONG AN ACUTE ANGLE | 10 |
Akihiro Kawakita | JP | Toki-Shi | 2014-12-18 / 20140367010 - TIRE AND TIRE FORMING MOLD | 1 |
Toshio Kawakita | JP | Hyogo | 2008-08-28 / 20080207586 - Novel crystal form of pyrrolidylthiocarbapenem derivative | 1 |
Tetsuro Kawakita | JP | Miyazaki | 2009-04-16 / 20090098788 - PLASMA DISPLAY PANEL AND MANUFACTURING METHOD THEREOF | 1 |
Yoichi Kawakita | JP | Aichi-Ken | 2015-03-19 / 20150076943 - TERMINAL FOR STATOR | 4 |
Yasumasa Kawakita | JP | Tokyo | 2013-09-26 / 20130250993 - VERTICAL CAVITY SURFACE EMITTING LASER ELEMENT, VERTICAL CAVITY SURFACE EMITTING LASER ARRAY ELEMENT, VERTICAL CAVITY SURFACE EMITTING LASER DEVICE, LIGHT SOURCE DEVICE, AND OPTICAL MODULE | 11 |
Junichi Kawakita | JP | Hadano-Shi | 2009-04-09 / 20090090324 - Sealed Lash Adjuster and Method for Adjusting Amount of Liquid Sealed in Sealed Lash Adjuster | 1 |
Taisei Kawakita | JP | Fujinomiya-Shi | 2012-11-22 / 20120296409 - STENT DELIVERY SYSTEM | 1 |
Mika Kawakita | JP | Obu-City | 2012-01-05 / 20120000363 - Air filter device | 1 |
Masaya Kawakita | JP | Kyoto | 2013-06-27 / 20130165179 - WIRELESS TERMINAL DEVICE AND COMMUNICATION CONTROL METHOD | 2 |
Hiromichi Kawakita | JP | Yokkaichi-City | 2013-07-11 / 20130175069 - METHOD OF MANUFACTURING WATERPROOF INTERMEDIATE SPLICED PORTION OF WIRES AND WATERPROOF INTERMEDIATE UNIT OF WIRES | 3 |
Masao Kawakita | JP | Tokyo | / - | 2 |
Nario Kawakita | JP | Kyoto | 2009-01-15 / 20090015987 - CAPACITOR AND METHOD OF PRODUCING THE SAME | 1 |
Koji Kawakita | JP | Nara | 2015-12-17 / 20150364661 - ELECTRONIC PART PACKAGE | 16 |
Mika Kawakita | JP | Aichi | 2010-11-25 / 20100294132 - ASYMMETRIC MEMBRANE AND AIR-CONDITIONING SYSTEM USING THE SAME | 1 |
Shinichiro Kawakita | JP | Oobu-Shi | 2008-10-30 / 20080264043 - EXHAUST EMISSION CONTROL DEVICE | 2 |
Yoshihiro Kawakita | JP | Gunma | 2015-02-12 / 20150040797 - INK COMPOSITION FOR WATER-BASED BALLPOINT PEN | 1 |
Yoshihiro Kawakita | JP | Osaka | 2009-05-07 / 20090114338 - INTERMEDIATE MATERIAL FOR MANUFACTURING CIRCUIT BOARD AND METHOD FOR MANUFACTURING CIRCUIT BOARD USING SUCH INTERMEDIATE MATERIAL | 2 |
Mamiko Kawakita | JP | Ibaraki | 2014-05-22 / 20140137991 - HIGH-TEMPERATURE SHAPE MEMORY ALLOY AND METHOD FOR PRODUCING THE SAME | 1 |
Keizo Kawakita | JP | Tokyo | 2015-07-30 / 20150214224 - MOS TRANSISTOR, SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING THE SAME | 6 |
Hiroyuki Kawakita | JP | Tokyo | 2014-03-27 / 20140089985 - TERMINAL COOPERATION SYSTEM, RECEIVER, AND RECEIVING METHOD | 1 |
Shigeki Kawakita | JP | Shizuoka | 2011-02-10 / 20110030642 - INLET VALVE, INTERNAL COMBUSTION ENGINE AND TRANSPORTATION APPARATUS INCLUDING THE SAME | 1 |
Tetsuo Kawakita | JP | Kyotanabe-Shi | 2009-10-08 / 20090253228 - ORGANIC THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME, AND ACTIVE MATRIX DISPLAY AND RADIO RECOGNITION TAG USING THE SAME | 1 |
Hijiri Kawakita | JP | Kawasaki | 2010-02-25 / 20100045424 - Entry and exit control apparatus and entry and exit control method | 1 |
Toshio Kawakita | JP | Amagasaki-Shi | 2015-01-29 / 20150031664 - NOVEL CRYSTAL FORM OF PYRROLIDYLTHIOCARBAPENEM DERIVATIVE | 2 |
Masaya Kawakita | JP | Yokohama-Shi | 2012-10-04 / 20120252380 - Portable Radio Terminal and Communication Control Method | 1 |
Katsuya Kawakita | JP | Osaka | 2015-05-14 / 20150131985 - LENS HOOD AND IMAGING APPARATUS | 1 |
Keisuke Kawakita | JP | Osaka-Shi | 2013-11-28 / 20130316637 - Packaged Engine Working Machine | 5 |
Hiroyuki Kawakita | JP | Setagaya-Ku | 2014-03-27 / 20140090019 - INTEGRATED BROADCASTING COMMUNICATIONS RECEIVER, RESOURCE ACCESS CONTROLLING PROGRAM, AND INTEGRATED BROADCASTING COMMUNICATIONS SYSTEM | 1 |
Mitsuru Kawakita | JP | Yokkaichi-Shi | 2010-03-25 / 20100071840 - Laminate having chromatic color and metallic luster, and process for producing the same | 1 |
Masahiro Kawakita | JP | Koganei-Shi | 2016-03-03 / 20160065958 - METHOD FOR ENCODING A PLURALITY OF INPUT IMAGES, AND STORAGE MEDIUM HAVING PROGRAM STORED THEREON AND APPARATUS | 2 |
Atsushi Kawakita | JP | Miyoshi-Shi, Aichi-Ken | 2016-02-25 / 20160052091 - WELDED PORTION INSPECTION APPARATUS AND INSPECTION METHOD THEREOF, WITH INSPECTION IN DIFFERENT ZONES OF THE MOLTEN POOL | 1 |
Tomoki Kawakita | JP | Himeji-Shi | / - | 1 |
Masayuki Kawakita | JP | Kuwana-Shi | 2014-01-09 / 20140007657 - STATUS MONITORING SYSTEM AND STATUS MONITORING METHOD FOR ROLLING DEVICE | 1 |
Tomoya Kawakita | JP | Miyagi | 2015-10-22 / 20150302021 - STORAGE SYSTEM | 1 |
Koji Kawakita | JP | Wako-Shi | 2013-01-10 / 20130013238 - METHOD OF DETECTING BATTERY CAPACITY OF SECONDARY BATTERY | 1 |
Akihiro Kawakita | JP | Kodaira-Shi | 2012-09-13 / 20120227882 - PNEUMATIC TIRE | 1 |
Kazuyoshi Kawakita | JP | Tokyo | 2010-12-30 / 20100327707 - FLEXURAL-MODE TUNING-FORK TYPE QUARTZ CRYSTAL RESONATOR | 1 |
Kunihiko Kawakita | JP | Iga-Shi | 2014-05-22 / 20140137428 - HEAT TREATMENT APPARATUS AND METHOD OF OBTAINING TONER | 5 |
Masaru Kawakita | JP | Tokyo | 2012-01-05 / 20120005759 - IMAGE DISPLAY DEVICE, IMAGE DISPLAY METHOD, AND RECORDING MEDIUM | 3 |
Youichi Kawakita | JP | Tsukuba-Shi | 2010-08-26 / 20100216788 - FUSED HETEROCYCLIC COMPOUND | 3 |
Yoshihiro Kawakita | JP | Yokohama-Shi | 2013-04-11 / 20130087070 - WATER-BASED INK COMPOSITION FOR BALLPOINT PEN | 1 |
Yasumasa Kawakita | JP | Chiba | 2012-10-04 / 20120251039 - LASER DEVICE, METHOD OF MANUFACTURING THE SAME, LASER DEVICE ARRAY, LIGHT SOURCE AND LIGHT MODULE | 1 |
Koji Kawakita | JP | Nara | 2015-12-17 / 20150364661 - ELECTRONIC PART PACKAGE | 16 |
Chiharu Kawakita | JP | Nagasaki | 2011-07-14 / 20110168078 - DEVICE FOR REDUCING FRICTIONAL RESISTANCE OF SHIP BODY | 2 |
Youichi Kawakita | JP | Osaka-Shi | 2008-10-30 / 20080269238 - Thiazolopyrimidine Derivative | 1 |
Jin Kawakita | JP | Tsukuba-Shi | 2014-06-12 / 20140162061 - PROCESS FOR PRECIPITATION OF CONDUCTING POLYMER/METAL COMPOSITES, AND CONDUCTING POLYMER/METAL COMPOSITES | 2 |
Yasumasa Kawakita | JP | Tokyo | 2013-09-26 / 20130250993 - VERTICAL CAVITY SURFACE EMITTING LASER ELEMENT, VERTICAL CAVITY SURFACE EMITTING LASER ARRAY ELEMENT, VERTICAL CAVITY SURFACE EMITTING LASER DEVICE, LIGHT SOURCE DEVICE, AND OPTICAL MODULE | 11 |
Masaru Kawakita | JP | Minato-Ku | 2012-03-22 / 20120072492 - BROWSING INFORMATION GATHERING SYSTEM, BROWSING INFORMATION GATHERING METHOD, SERVER, AND RECORDING MEDIUM | 1 |
Toshio Kawakita | JP | Ibaraki-Shi | 2009-11-12 / 20090279197 - SERVO SIGNAL RECORDING APPARATUS | 1 |
Akihiro Kawakita | JP | Abiko-Shi | 2015-07-02 / 20150183595 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Chiharu Kawakita | JP | Tokyo | 2013-06-06 / 20130139746 - AIR LUBRICATION SYSTEM OF SHIP | 7 |
Atsushi Kawakita | JP | Miyoshi-Shi | 2016-04-28 / 20160114424 - LASER SURFACE TREATMENT METHOD AND LASER SURFACE TREATMENT APPARATUS WITH RADIATING THE SURFACE TO BE TREATED ALONG AN ACUTE ANGLE | 10 |
Jin Kawakita | JP | Ibaraki | 2015-10-01 / 20150282310 - ADHESIVE BODY BETWEEN CONDUCTIVE POLYMER-METAL COMPLEX AND SUBSTRATE AND METHOD FOR FORMING THE SAME, CONDUCTIVE POLYMER-METAL COMPLEX DISPERSION LIQUID, METHOD FOR MANUFACTURING THE SAME AND METHOD FOR APPLYING THE SAME, AND METHOD FOR FILLING HOLE USING CONDUCTIVE MATERIAL | 5 |
Kazumitsu Kawakita | JP | Yamaguchi | 2010-12-02 / 20100305285 - SOLID TITANIUM CATALYST COMPONENT, OLEFIN POLYMERIZATION CATALYST, AND OLEFIN POLYMERIZATION PROCESS | 2 |
Hideyuki Kawakita | JP | Kawasaki-Shi | 2010-12-23 / 20100324877 - DEVICE PROPERTY OUTPUT APPARATUS AND COMPUTER READABLE MEDIUM COMPRISING PROGRAM CODE FOR OUTPUTTING DEVICE PROPERTY | 1 |
Masaya Kawakita | JP | Kanagawa | 2013-05-02 / 20130107779 - WIRELESS TERMINAL DEVICE, COMMUNICATION CONTROL METHOD, AND RECORDING MEDIUM | 4 |
Noriyasu Kawakita | JP | Higashiomi-Shi | 2012-08-23 / 20120211049 - SOLAR CELL ELEMENT AND SOLAR CELL MODULE | 1 |
Koji Kawakita | JP | Ohbu-Shi | 2010-03-18 / 20100065031 - CONTROL DEVICE FOR ENGINE | 9 |
Hiroshi Kawakita | JP | Chino-Shi | 2011-12-15 / 20110304850 - PARTICLE CONCENTRATION MEASURING DEVICE | 1 |
Yuhei Kawakoya | JP | Musashino-Shi | 2016-05-05 / 20160127396 - INFORMATION PROCESSING APPARATUS AND IDENTIFYING METHOD | 2 |
Yuki Kawakubo | JP | Kiyosu-Shi | 2013-11-21 / 20130306533 - LIQUID WASTE TREATMENT DEVICE AND LIQUID WASTE TREATMENT METHOD | 1 |
Ken Kawakubo | JP | Ora-Gun | 2010-12-02 / 20100300135 - REFRIGERATING APPARATUS | 2 |
Mamoru Kawakubo | JP | Tokyo | 2016-02-04 / 20160036279 - STATOR OF ELECTRIC MOTOR, ELECTRIC MOTOR, AIR CONDITIONER, AND METHOD OF MANUFACTURING STATOR OF ELECTRIC MOTOR | 10 |
Tomoki Kawakubo | JP | Tokyo | 2014-09-11 / 20140255175 - CENTRIFUGAL COMPRESSOR AND MANUFACTURING METHOD THEREFOR | 1 |
Shinsuke Kawakubo | JP | Saitama | 2011-03-17 / 20110065538 - CHAIN TENSIONER FOR INTERNAL COMBUSTION ENGINE | 3 |
Yosuke Kawakubo | JP | Odawara | 2009-01-22 / 20090021227 - POWER-SUPPLY DEVICE, IC CIRCUIT, AND INFORMATION PROCESSING APPARATUS, AND SOFT-START CONTROL METHOD | 2 |
Toshihiro Kawakubo | JP | Nagano | 2014-10-02 / 20140292646 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 1 |
Tomohiro Kawakubo | JP | Kokubunji | 2012-12-27 / 20120327724 - SEMICONDUCTOR MEMORY WITH REDUNDANT WORD LINES, SYSTEM, AND METHOD OF MANUFACTURING SEMICONDUCTOR MEMORY | 1 |
Takashi Kawakubo | JP | Yokohama-Shi | 2010-03-18 / 20100064804 - MULTIAXIAL ACCELERATION SENSOR AND ANGULAR VELOCITY SENSOR | 3 |
Masaaki Kawakubo | JP | Chita City | 2011-05-19 / 20110114228 - Aluminum alloy extruded product exhibiting excellent surface properties, method of manufacturing the same, heat exchanger multi-port tube, and method of manufacturing heat exchanger including the multi-port tube | 1 |
Yuji Kawakubo | JP | Kitakyushu-Shi | 2012-05-31 / 20120133113 - TRANSFER AND LOCOMOTION APPARATUS | 1 |
Kenji Kawakubo | JP | Tokyo | 2016-04-21 / 20160109328 - Torque Command Generation Device | 1 |
Kazuki Kawakubo | JP | Otsu-Shi | 2010-03-18 / 20100065983 - METHOD OF COMPRESSION-MOLDING LIGHT-EMITTING ELEMENTS | 2 |
Hiroshi Kawakubo | JP | Kanagawa | 2012-01-26 / 20120021598 - METHOD FOR FABRICATING SEMICONDUCTOR DEVICE | 1 |
Kazuki Kawakubo | JP | Kyoto | 2009-04-09 / 20090091048 - Method of Sealing and Molding an Optical Device With Resin | 1 |
Tomohiro Kawakubo | JP | Yokohama-Shi | 2013-12-12 / 20130332761 - SEMICONDUCTOR MEMORY DEVICE, AND METHOD OF CONTROLLING THE SAME | 9 |
Masaaki Kawakubo | JP | Oobu-City | 2009-04-09 / 20090090579 - Silencer for refrigeration cycle system | 1 |
Takashi Kawakubo | JP | Kanagawa | 2015-12-03 / 20150343783 - METHOD OF MANUFACTURING AN INKJET HEAD | 7 |
Atsushi Kawakubo | JP | Aichi-Ken | 2010-09-02 / 20100220892 - DRIVER IMAGING APPARATUS AND DRIVER IMAGING METHOD | 1 |
Mamoru Kawakubo | JP | Tokyo | 2016-02-04 / 20160036279 - STATOR OF ELECTRIC MOTOR, ELECTRIC MOTOR, AIR CONDITIONER, AND METHOD OF MANUFACTURING STATOR OF ELECTRIC MOTOR | 10 |
Kiyoshi Kawakubo | JP | Kanuma-Shi | 2016-01-07 / 20160000523 - DENTAL HANDPIECE NOZZLE | 5 |
Tomoko Kawakubo | JP | Tokyo | 2016-03-03 / 20160065633 - TERMINAL APPARATUS, SCREEN SHARING METHOD, PROGRAM, AND SCREEN SHARING SYSTEM | 2 |
Takuji Kawakubo | JP | Shiga | 2014-04-10 / 20140098223 - SIZE MEASUREMENT APPARATUS AND SIZE MEASUREMENT METHOD | 1 |
Tetsuya Kawakubo | JP | Saga | 2009-04-09 / 20090089990 - METHOD FOR MANUFACTURING SOLID ELECTROLYTIC CAPACITOR | 1 |
Noriko Kawakubo | JP | Kochi-Shi | 2013-10-31 / 20130285983 - PORTABLE TERMINAL DEVICE AND METHOD FOR RELEASING KEYLOCK FUNCTION OF PORTABLE TERMINAL DEVICE | 1 |
Naoki Kawakubo | JP | Yokohama-Shi | 2014-05-01 / 20140119719 - LIGHT-QUANTITY CONTROL APPARATUS AND OPTICAL APPARATUS | 1 |
Masaharu Kawakubo | JP | Kumagaya-Shi | 2009-06-18 / 20090153817 - Correction method and exposure apparatus | 1 |
Atsushi Kawakubo | JP | Toyota-Shi | 2015-10-08 / 20150283912 - CHARGING MANAGEMENT BASED ON DEMAND RESPONSE EVENTS | 7 |
Tomohiro Kawakubo | JP | Kawasaki | 2010-12-02 / 20100302879 - SEMICONDUCTOR MEMORY DEVICE AND METHOD OF CONTROLLING THE SAME | 7 |
Osamu Kawakubo | JP | Saitama | 2010-07-15 / 20100177622 - OPTICAL DISK REPRODUCING DEVICE AND OPTICAL DISK REPRODUCING METHOD | 2 |
Hiroshi Kawakubo | JP | Yokohama-Shi | 2015-09-24 / 20150270137 - SEMICONDUCTOR DEVICE | 3 |
Kazuki Kawakubo | JP | Kyoto-Shi | 2009-10-15 / 20090258189 - OPTICAL ELECTRONIC COMPONENT | 2 |
Akitake Kawakubo | JP | Nara-Shi | 2015-01-22 / 20150020618 - RACK BUSH | 4 |
Tomoyo Kawakubo | JP | Fukuoka | 2010-02-25 / 20100047227 - NOVEL ANTICANCER CATHEPSIN FORMULATIONS AND ANTICANCER AGENT FOR USE IN COMBINED ANTICANCER THERAPY | 1 |
Ken Kawakubo | JP | Gunma-Ken | 2011-06-30 / 20110154840 - REFRIGERATING APPARATUS | 2 |
Takashi Kawakubo | JP | Yokohama Kanagawa | 2016-02-25 / 20160052272 - INKJET HEAD HAVING HIGH MECHANICAL STRENGTH AND METHOD OF MANUFACTURING THE SAME | 1 |
Masataka Kawakubo | JP | Tokyo | 2014-01-09 / 20140008996 - BUILDING AND CONSTRUCTION METHOD FOR THE SAME | 1 |
Hiroyuki Kawakubo | JP | Toyota-Shi | 2009-11-05 / 20090272107 - Exhaust Gas Purification Apparatus for Internal Combustion Engine | 1 |
Satoru Kawakubo | JP | Chiba | 2015-03-19 / 20150077783 - IMAGE FORMING APPARATUS, INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND PROGRAM | 5 |
Hidekazu Kawakubo | JP | Settsu-Shi | 2015-04-09 / 20150099106 - POLYCARBONATE RESIN COMPOSITION WITH SUPERIOR FLUIDITY AND MOLDING THEREOF | 2 |
Daisuke Kawakubo | JP | Kanagawa | 2012-11-22 / 20120293026 - DRIVE DEVICE AND CONVEYANCE DEVICE | 1 |
Masaharu Kawakubo | JP | Saitama | 2008-10-23 / 20080259297 - Exposure Method and Lithography System | 1 |
Yasuo Kawakubo | JP | Tokyo | 2015-10-15 / 20150293388 - LIQUID-CRYSTAL DISPLAY DEVICE AND ELECTRONIC APPARATUS | 1 |
Yukio Kawakubo | JP | Hitachi | 2008-12-11 / 20080302968 - CHARGED PARTICLE DETECTOR AND DETECTING APPARATUS UTILIZING THE SAME | 1 |
Satoshi Kawakubo | JP | Tokyo | 2011-11-10 / 20110274937 - LEAD-FREE SOLDER ALLOY, FATIGUE RESISTANT SOLDERING MATERIALS CONTAINING THE SOLDER ALLOY, AND JOINED PRODUCTS USING THE SOLDERING MATERIALS | 1 |
Tomohiro Kawakubo | JP | Yokohama-Shi | 2013-12-12 / 20130332761 - SEMICONDUCTOR MEMORY DEVICE, AND METHOD OF CONTROLLING THE SAME | 9 |
Takashi Kawakubo | JP | Kanagawa-Ken | 2012-09-27 / 20120241877 - ACOUSTIC SEMICONDUCTOR DEVICE | 9 |
Tetsuya Kawakubo | JP | Takeo-Shi | 2011-09-29 / 20110235238 - ELECTROLYTIC CAPACITOR AND METHOD OF MANUFACTURING THE SAME | 3 |
Hiromu Kawakubo | JP | Tokyo | 2011-03-17 / 20110065961 - PROCESS FOR PRODUCTION OF PHOSPHINE DERIVATIVE FROM PHOSPHINE OXIDE DERIVATIVE | 1 |
Yuki Kawakubo | JP | Tokyo | 2011-04-07 / 20110082677 - STIMULATING TASK PRESENTATION DEVICE AND STIMULATING TASK PRESENTATION METHOD FOR LIVING BODY OPTICAL MEASUREMENT APPARATUS | 1 |
Katsue Kawakyu | JP | Kawasaki Kanagawa | 2016-03-17 / 20160079980 - BUFFER CIRCUIT | 2 |
Katsue Kawakyu | JP | Kanagawa-Ken | 2010-09-23 / 20100237964 - HIGH FREQUENCY FILTER | 3 |
Shunsuke Kawal | JP | Osaka | 2010-03-11 / 20100060625 - PLASMA DISPLAY DEVICE, AND PLASMA DISPLAY PANEL DRIVING METHOD | 1 |
Hiroshige Kawal | JP | Kyoto-Shi | 2010-01-14 / 20100009016 - DEHYDROEPIANDROSTERONE PRODUCTION PROMOTER AND USE THEREOF | 1 |
Eric D. Kawal | US | Macomb | 2009-07-02 / 20090167037 - FASCIA ENERGY ABSORBER, BUMPER SYSTEM AND PROCESS | 1 |
Maria R. Kawal | US | Seattle | 2012-06-21 / 20120154510 - Smart Camera for Virtual Conferences | 1 |
Sanjay Rangnathrao Kawale | IN | Pathri | 2014-09-18 / 20140275260 - Preparation Of Stable Pharmaceutical Dosage Forms | 1 |
Manas M. Kawale | US | Houston | 2014-06-05 / 20140157129 - METHODS AND SYSTEMS FOR GESTURE-BASED PETROTECHNICAL APPLICATION CONTROL | 1 |
Jaya Kawale | IN | Bangalore | 2011-08-11 / 20110196860 - METHOD AND APPARATUS FOR RATING USER GENERATED CONTENT IN SEARCH RESULTS | 3 |
Pramod Mangaldas Kawale | IN | Jalgaon Maharashtra | 2013-09-26 / 20130253061 - METHOD OF DROXIDOPA SYNTHESIS | 1 |
Jaya Kawale | US | Minneapolis | 2011-09-08 / 20110218045 - PREDICTING GAME PLAYER MONETIZATION BASED ON PLAYER LOGS | 1 |
Jaikumar N. Kawale | IN | Pune | 2010-05-13 / 20100119061 - GENERATING SECURE PRIVATE KEYS FOR USE IN A PUBLIC KEY COMMUNICATIONS ENVIRONMENT | 1 |
Andrzrj Kawalec | PL | Krasnobrod | 2015-10-15 / 20150291891 - A METHOD OF OBTAINING PARAFFINIC HYDROCARBONS FROM NATURAL FAT | 1 |
Jill S. Kawalec | US | Medina | 2015-06-25 / 20150173679 - THERMOCHROMIC FABRICS UTILIZING CHOLESTERIC LIQUID CRYSTAL MATERIAL | 1 |
Adrian Kawalec | PL | Lubsza | 2016-02-25 / 20160053500 - BASEBOARD | 1 |
Michal Kawalec | PL | Cueszyn | 2011-11-10 / 20110275729 - PROCESS FOR CONTROLLED DEGRADATION OF POLYHYDROXYALKANOATES AND PRODUCTS OBTAINABLE THEREFROM | 1 |
Amit Nishikant Kawalkar | IN | Bangalore | 2015-12-31 / 20150375853 - THRUST MANAGEMENT AND INTERFACE FOR AIRCRAFT TAXI OPERATIONS | 16 |
Eli Kawam | US | Phoenix | 2016-02-25 / 20160056626 - Method And System For Ground Plane Isolation | 2 |
Elisa Anne Kawam | US | Tempe | 2008-12-11 / 20080306700 - Photvoltaic solar array health monitor | 1 |
Elias Anthony Kawam | US | Phoenix | 2008-12-11 / 20080306700 - Photvoltaic solar array health monitor | 1 |
Yoshitatsu Kawama | JP | Chiyoda-Ku | 2012-05-03 / 20120103087 - FLOW RATE DETECTION DEVICE | 1 |
Hirohito Kawama | JP | Tokyo | 2013-03-21 / 20130068256 - Longitudinal Solid-Liquid Countercurrent Contact Method, Method of Washing Solid Particles, Method of Manufacturing Poly(Arylene Sulfide) and Apparatus | 3 |
Hirohito Kawama | JP | Fukushima | 2009-06-18 / 20090156780 - Poly (Arylene Sulfide) and Production Process Thereof | 1 |
Osamu Kawamae | JP | Yokohama | 2011-11-03 / 20110271154 - Method of Recording/Reproducing Digital Data and Apparatus for Same | 10 |
Osamu Kawamae | JP | Kawasaki | 2012-11-01 / 20120275281 - DATA RECORDING AND REPRODUCING APPARATUS AND DATA LIBRARY DEVICE | 10 |
Osamu Kawamae | JP | Kawasaki | 2012-11-01 / 20120275281 - DATA RECORDING AND REPRODUCING APPARATUS AND DATA LIBRARY DEVICE | 10 |
Osamu Kawamae | JP | Yokohama-Shi | 2012-05-31 / 20120134498 - REPRODUCING APPARATUS, RECORDING APPARATUS AND DISPLAY APPARATUS | 2 |
Hiroshi Kawamata | JP | Chiba | 2013-06-20 / 20130158380 - BIOLOGICAL INFORMATION DETECTION DEVICE | 2 |
Shinya Kawamata | JP | Mishima-Shi | 2015-09-17 / 20150258942 - VEHICLE SOUND COLLECTION STRUCTURE, AND SOUND COLLECTION DEVICE | 13 |
Atsushi Kawamata | JP | Chigasaki-Shi | 2012-05-03 / 20120105792 - LIQUID CRYSTAL COMPOUND AND PROCESS FOR PRODUCTION THEREOF, LIQUID CRYSTAL COMPOSITION, AND LIQUID CRYSTAL ELECTROOPTICAL ELEMENT | 1 |
Shinya Kawamata | JP | Gotemba-Shi | 2013-10-17 / 20130271597 - DISTANCE MEASURING APPARATUS AND DISTANCE MEASURING METHOD | 9 |
Ikuko Kawamata | JP | Atsugi | 2015-12-10 / 20150355680 - Electronic Book | 24 |
Yuji Kawamata | JP | Tochigi | 2010-12-09 / 20100307823 - INDIUM-CONTAINING LEAD-FREE SOLDER FOR VEHICLE-MOUNTED ELECTRONIC CIRCUITS | 3 |
Masayuki Kawamata | JP | Sendai-Shi | 2013-03-14 / 20130063205 - PROTECTION RELAY DEVICE AND PROTECTION RELAY METHOD | 1 |
Hideyuki Kawamata | JP | Sagamihara-Shi | 2013-03-14 / 20130062907 - VEHICLE REAR OUTLET STRUCTURE | 1 |
Norihiko Kawamata | US | Beverly Hills | 2015-07-09 / 20150191521 - DOMINANT NEGATIVE TRANSCRIPTION FACTOR FOR ANTAGONIZING ONCOGENIC TRANSCRIPTION FACTORS VIA MULTIMERIZATION | 1 |
Akihiro Kawamata | JP | Shizuoka | 2012-11-29 / 20120297754 - EXHAUST HEAT RECOVERY APPARATUS | 1 |
Yasuji Kawamata | JP | Tochigi | 2010-11-25 / 20100295296 - METHOD OF MANUFACTURING FLANGED PIPE | 3 |
Jun Kawamata | JP | Tokushima-Shi | 2015-11-05 / 20150316233 - WAVELENGTH CONVERTING DEVICE | 1 |
Naoyuki Kawamata | JP | Kodaira-Shi | 2014-04-10 / 20140100328 - METHOD FOR PRODUCING INORGANIC SUBSTANCE POWDER HIGHLY-ORIENTED THIN FILM SHEET | 1 |
Fumio Kawamata | JP | Tochigi | 2008-12-25 / 20080314348 - VALVE OPERATING SYSTEM AND ENGINE INTAKE CONTROL SYSTEM | 2 |
Toru Kawamata | JP | Tochigi | 2009-06-25 / 20090158772 - EVAPORATOR | 1 |
Masaaki Kawamata | JP | Kanagawa | 2009-05-07 / 20090115713 - COLOR LIQUID CRYSTAL DISPLAY DEVICE ASSEMBLY | 2 |
Shinichi Kawamata | JP | Kanagawa | 2011-08-25 / 20110206430 - CLEANING DEVICE, IMAGE FORMING APPARATUS, AND LUBRICANT | 2 |
Ikuko Kawamata | JP | Kanagawa | 2009-06-04 / 20090140231 - Semiconductor device and method of manufacturing the same | 1 |
Hiroshi Kawamata | JP | Kanagawa | 2009-05-21 / 20090128960 - Thin plate formation method, thin plate and suspension correction apparatus, and correction method | 2 |
Ryohta Kawamata | JP | Kanagawa | 2011-11-17 / 20110279847 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING SYSTEM, AND COMPUTER PROGRAM PRODUCT | 1 |
Kenji Kawamata | JP | Tokyo | 2012-02-23 / 20120043453 - OPEN-CIRCUIT VOLTAGE CONTROL SYSTEM | 1 |
Ken Kawamata | JP | Tachikawa-Shi | 2008-11-20 / 20080285119 - Optical filter, method of manufacturing optical filter, optical system, and imaging apparatus | 2 |
Shigeru Kawamata | JP | Hitachinaka | 2014-01-02 / 20140001355 - CHARGED PARTICLE BEAM DEVICE AND IMAGE DISPLAY METHOD | 6 |
Yukihiro Kawamata | JP | Ibaraki | 2008-12-04 / 20080301263 - Method of Delivering Difference Map Data | 1 |
Kenji Kawamata | JP | Utsunomiya-Shi | 2008-12-04 / 20080299500 - EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD | 1 |
Masatsugu Kawamata | JP | Utsunomiya-Shi | 2009-01-01 / 20090003520 - MAMMOGRAPHY APPARATUS | 1 |
Junya Kawamata | JP | Kanagawa | 2008-12-04 / 20080298136 - ENHANCED ERASING OPERATION FOR NON-VOLATILE MEMORY | 1 |
Junya Kawamata | JP | Kawasaki-Shi | 2009-01-08 / 20090010076 - SEMICONDUCTOR DEVICE AND CONTROLLING METHOD FOR THE SAME | 2 |
Akira Kawamata | JP | Akita | 2009-01-29 / 20090030270 - Coronary artery bypass grafting device | 1 |
Yu Kawamata | JP | Tochigi-Ken | 2009-02-12 / 20090042084 - Separator for fuel cell and method for manufacturing the same | 1 |
Shinichi Kawamata | JP | Ebina-Shi | 2014-05-08 / 20140126927 - DEVELOPER, IMAGE-FORMING APPARATUS, AND METHOD FOR FORMING IMAGE | 3 |
Yoshio Kawamata | JP | Yokohama-Shi | 2009-05-28 / 20090134022 - METHOD AND APPARATUS FOR PRODUCING PHOTOCATALYST | 1 |
Yoshio Kawamata | JP | Kanagawa | 2010-08-19 / 20100210447 - PHOTOCATALYST ELEMENT, METHOD AND DEVICE FOR PREPARING THE SAME | 2 |
Shin Kawamata | JP | Kobe-Shi, Hyogo | 2015-12-10 / 20150353884 - METHOD OF SUBCULTURING PLURIPOTENT STEM CELLS | 1 |
Nobuhiro Kawamata | JP | Ishioka | 2009-06-25 / 20090160432 - PROBE CARD ASSEMBLY WITH AN INTERCHANGEABLE PROBE INSERT | 1 |
Noriyuki Kawamata | JP | Saitama | 2012-03-22 / 20120067320 - INTERNAL COMBUSTION ENGINE WITH VARIABLE FLOW RATE OIL PUMP APPARATUS, AND MOTORCYCLE INCORPORATING SAME | 3 |
Yoshihiro Kawamata | JP | Aichi-Ken | 2009-09-24 / 20090235639 - ROCKET NOZZLE AND CONTROL METHOD FOR COMBUSTION GAS FLOW IN ROCKET ENGINE | 1 |
Shin Kawamata | JP | Kobe-Shi | 2016-02-11 / 20160040123 - CELL SORTING METHOD | 2 |
Mototsugu Kawamata | JP | Mie | 2016-03-31 / 20160095239 - SHELL STRUCTURE OF ELECTRICAL DEVICE AND TERMINAL OF LOAD CONTROL SYSTEM HAVING THE SAME | 2 |
Kenichi Kawamata | JP | Ota-Shi | 2009-10-29 / 20090266801 - METHOD OF LASER WELDING METAL PLATED PLATES | 1 |
Yasuji Kawamata | JP | Oyama-Shi | 2014-10-02 / 20140292007 - SHOCK ABSORBING MEMBER | 4 |
Shigeru Kawamata | JP | Hitachiinaka | 2009-12-31 / 20090322973 - CHARGED PARTICLE BEAM APPARATUS | 1 |
Hiroshi Kawamata | JP | Aikoh-Gun | 2012-10-04 / 20120251754 - METHOD OF MANUFACTURING HEAD SUSPENSION, APPARATUS FOR MANUFACTURING HEAD SUSPENSION, AND HEAD SUSPENSION | 2 |
Kunimasa Kawamata | JP | Saitama | 2010-02-11 / 20100034553 - AIR GUIDE MECHANISM AND IMAGE FORMING APPARATUS | 2 |
Akihiro Kawamata | JP | Hamamatsu-Shi | 2010-05-20 / 20100122682 - EXHAUST COMPONENT COVER | 2 |
Mototsugu Kawamata | JP | Tsu-Shi | 2010-07-22 / 20100182262 - Monitoring and control device | 1 |
Yoshio Kawamata | JP | Kanagawa-Ken | 2010-09-16 / 20100230273 - FILM FORMING APPARATUS AND FILM FORMING METHOD | 1 |
Shigeaki Kawamata | JP | Kawasaki | 2010-09-30 / 20100246487 - RADIO BASE STATION APPARATUS AND SYNCHRONIZATION METHOD THEREOF | 1 |
Shoichi Kawamata | JP | Hitachi | 2011-07-07 / 20110163641 - PERMANENT-MAGNET SYNCHRONOUS MOTOR | 2 |
Shinya Kawamata | JP | Shizuoka-Ken | 2011-05-19 / 20110118939 - DRIVING ASSISTANCE APPARATUS AND DRIVING ASSISTANCE METHOD | 2 |
Ken Kawamata | JP | Tokyo-To | 2008-12-04 / 20080296511 - Apparatus for fluorescence observation | 1 |
Ikuko Kawamata | JP | Isehara | 2012-11-29 / 20120298990 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 7 |
Shouichi Kawamata | JP | Hitachi-Shi | 2011-03-17 / 20110062813 - Permanent Magnet Electric Rotating Machine and Electromotive Vehicle Using Permanent Magnet Electric Rotating Machine | 7 |
Ryoko Kawamata | JP | Ehime | 2011-03-24 / 20110070634 - BIOSENSOR | 4 |
Naoko Kawamata | JP | Saitama | 2011-03-24 / 20110069034 - POSITION DETECTION APPARATUS, SENSOR, AND POSITION DETECTION METHOD | 1 |
Yukihiro Kawamata | JP | Hitachi | 2013-10-03 / 20130261921 - DRIVING SUPPORT DEVICE | 8 |
Hiroshi Kawamata | JP | Inagi | 2010-06-03 / 20100134293 - COMMUNICATION APPARATUS, COMMUNICATION SYSTEM, AND POWER CONTROL METHOD | 1 |
Naoki Kawamata | JP | Utsunomiya-Shi | 2010-07-22 / 20100185340 - CONTROLLER AND MACHINING APPARATUS | 2 |
Tsuneo Kawamata | JP | Oume | 2011-10-06 / 20110241778 - PEAKING CIRCUIT, METHOD FOR ADJUSTING PEAKING CIRCUIT, DIFFERENTIAL AMPLIFIER INSTALLING PEAKING CIRCUIT, LASER DIODE DRIVING CIRCUIT INSTALLING PEAKING CIRCUIT, AND DATA PROCESSING UNIT INSTALLING PEAKING CIRCUIT | 1 |
Shoichi Kawamata | JP | Hitachi-Shi | 2011-07-07 / 20110163618 - Rotating Electrical Machine | 1 |
Shinji Kawamata | JP | Hitachinaka | 2014-03-27 / 20140082882 - PORTABLE CLEANER PROVIDED WITH EXHAUST REGULATING UNIT | 1 |
Iwao Kawamata | JP | Tokyo | 2011-08-25 / 20110204287 - VOLTAGE NONLINEAR RESISTOR, LIGHTNING ARRESTER EQUIPPED WITH VOLTAGE NONLINEAR RESISTOR, AND PROCESS FOR PRODUCING VOLTAGE NONLINEAR RESISTOR | 1 |
Noriyuki Kawamata | JP | Wako-Shi | 2013-10-03 / 20130255643 - ENGINE WITH VARIABLE FLOW RATE OIL PUMP | 4 |
Kohei Kawamata | JP | Fukuroi-Shi | 2014-03-13 / 20140070647 - MULTI-PHASE COIL TERMINAL STRUCTURE AND MOTOR INCLUDING SAME | 1 |
Shigeaki Kawamata | JP | Sagamihara | 2016-04-07 / 20160099716 - CONTROL DEVICE FOR CLOCK GENERATION CIRCUIT, CONTROL METHOD FOR CLOCK GENERATION CIRCUIT, AND CLOCK GENERATION CIRCUIT | 3 |
Yutaka Kawamata | JP | Tokyo | 2013-04-18 / 20130093815 - INK JET RECORDING APPARATUS AND DISCHARGE RECOVERY METHOD | 1 |
Toru Kawamata | JP | Saitama | 2014-01-23 / 20140020865 - HEAT EXCHANGER UNIT | 1 |
Masaya Kawamata | JP | Yamanashi | 2013-09-12 / 20130237053 - FILM FORMING METHOD AND FILM FORMING APPARATUS | 1 |
Tomonori Kawamata | JP | Tokyo | 2015-09-17 / 20150259616 - METHOD FOR MANUFACTURING SOLID FUEL AND SOLID FUEL | 1 |
Masaki Kawamata | JP | Tokyo | 2012-10-25 / 20120272349 - METHOD FOR CONSTRUCTING CHIMERIC RAT USING RAT EMBRYONIC STEM CELLS | 1 |
Masahiro Kawamata | JP | Wako-Shi | 2012-08-09 / 20120199408 - SADDLE-RIDE TYPE VEHICLE | 1 |
Chieko Kawamata | JP | Mishima-Shi | 2014-01-16 / 20140017965 - LIQUID CRYSTAL POLYESTER FIBERS AND METHOD FOR PRODUCING SAME | 1 |
Kaoru Kawamata | JP | Hadano | 2013-10-31 / 20130290651 - COMPUTER SYSTEM AND COMPUTER SYSTEM INFORMATION STORAGE METHOD | 1 |
Kazuo Kawamata | JP | Tokyo | 2012-07-26 / 20120186522 - VAPOR DEPOSITION APPARATUS FOR OPTICAL LENS | 2 |
Yutaka Kawamata | JP | Koganei-Shi | 2012-02-02 / 20120026230 - INKJET PRINTING APPARATUS | 3 |
Yoshihiro Kawamata | JP | Tokyo | 2015-12-10 / 20150354593 - TURBINE NOZZLE AND MANUFACTURING METHOD THEREOF | 3 |
Takashi Kawamata | JP | Hitachinaka | 2012-07-19 / 20120184192 - DUST COLLECTION ADAPTER AND POWER TOOL INCLUDING DUST COLLECTION ADAPTER | 2 |
Atsushi Kawamata | JP | Osaka | 2015-10-01 / 20150272101 - DUAL-BEARING REEL | 2 |
Masaya Kawamata | JP | Kurokawa | 2013-01-17 / 20130014895 - SUBSTRATE PROCESSING APPARATUS | 1 |
Shinya Kawamata | JP | Mishima-Shi | 2015-09-17 / 20150258942 - VEHICLE SOUND COLLECTION STRUCTURE, AND SOUND COLLECTION DEVICE | 13 |
Masashi Kawamata | JP | Hitachi | 2013-04-18 / 20130093409 - OPTICAL CURRENT TRANSFORMER FOR GAS-INSULATED APPARATUS | 2 |
Masaya Kawamata | JP | Miyagi | 2016-04-07 / 20160099161 - PLASMA ETCHING METHOD AND PLASMA ETCHING APPARATUS | 4 |
Masaya Kawamata | JP | Kurokawa-Gun | 2015-08-27 / 20150243524 - METHOD OF PROCESSING TARGET OBJECT AND PLASMA PROCESSING APPARATUS | 1 |
Shinya Kawamata | JP | Miyoshi-Shi | 2014-07-31 / 20140214321 - CHARGING INFORMATION-PROVIDING APPARATUS | 1 |
Takenori Kawamata | JP | Tokyo | 2014-12-04 / 20140354652 - CHARACTER DRAWING DEVICE AND CHARACTER DRAWING METHOD | 5 |
Shinya Kawamata | JP | Gotenba-Shi | 2014-05-15 / 20140132407 - VEHICLE INFORMATION TRANSMITTING APPARATUS | 4 |
Katsuyuki Kawamata | JP | Kusatsu-Shi | 2014-09-25 / 20140289571 - SYNCHRONOUS SERIAL INTERFACE CIRCUIT AND MOTION CONTROL FUNCTION MODULE | 1 |
Jun Kawamata | JP | Hyogo | 2014-10-09 / 20140302367 - BATTERY INCLUDING SPIRAL ELECTRODE ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Takashi Kawamata | JP | Ibaraki-Ken | 2014-10-23 / 20140313706 - ELECTRIC DEVICE OUTPUTTING LIGHT, WIND, HEAT OR SOUND | 1 |
Yukinao Kawamata | JP | Tokyo | 2014-09-25 / 20140283702 - LITHOGRAPHIC PRINTING PLATE SUPPORT AND NEGATIVE PHOTOSENSITIVE LITHOGRAPHIC PRINTING PLATE | 1 |
Yukihiro Kawamata | JP | Hitachi-Shi | 2011-10-06 / 20110244881 - Location Estimation System | 2 |
Shinya Kawamata | JP | Susono-Shi | 2016-05-19 / 20160140847 - ALERTING APPARATUS | 1 |
Yukihiro Kawamata | JP | Hitachi | 2013-10-03 / 20130261921 - DRIVING SUPPORT DEVICE | 8 |
Masatoshi Kawamata | JP | Tokyo | 2012-04-05 / 20120081907 - LIGHT SOURCE MODULE | 1 |
Shigeyuki Kawamata | JP | Tokyo | 2011-02-03 / 20110026859 - BAG CONTAINER | 2 |
Tomoyuki Kawamata | JP | Tokyo | 2012-01-19 / 20120012270 - SHOE PRESS BELT | 3 |
Wataru Kawamata | JP | Kanagawa | 2014-07-03 / 20140189581 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 3 |
Yoshiyuki Kawamata | JP | Isesaki-Shi | 2013-05-02 / 20130106791 - INPUT DEVICE USING TOUCH PANEL AND INPUT METHOD THEREOF | 1 |
Shinya Kawamata | JP | Gotemba-Shi | 2013-10-17 / 20130271597 - DISTANCE MEASURING APPARATUS AND DISTANCE MEASURING METHOD | 9 |
Toru Kawamata | JP | Saitama-Shi | 2012-04-12 / 20120085124 - JET PUMP AND AIR CONDITIONER | 1 |
Takenori Kawamata | JP | Chiyoda-Ku | 2014-12-04 / 20140354651 - GRAPHICS DATA PROCESSING APPARATUS AND GRAPHICS DATA PROCESSING SYSTEM | 1 |
Yukihiro Kawamata | JP | Tokyo | 2015-04-23 / 20150112537 - NAVIGATION SYSTEM FOR AUTONOMOUS DUMP TRUCKS | 3 |
Noriyuki Kawamata | JP | Nagoya-Shi | 2016-02-11 / 20160039224 - Ink-Jet Recording Apparatus | 43 |
Masashi Kawamata | JP | Tokyo | 2014-12-11 / 20140360984 - GAS INSULATED SWITCHGEAR | 1 |
Yosuke Kawamata | JP | Tokyo | 2010-01-21 / 20100013509 - PROBER AND SEMICONDUCTOR WAFER TESTING METHOD USING THE SAME | 2 |
Yuji Kawamata | JP | Tokyo | 2011-08-25 / 20110204121 - LEAD-FREE SOLDER ALLOY HAVING REDUCED SHRINKAGE CAVITIES | 3 |
Takao Kawamata | JP | Nishishirakawa | 2014-06-12 / 20140162456 - METHOD FOR POLISHING SILICON WAFER AND POLISHING AGENT | 1 |
Hiroshi Kawamata | JP | Chiba-Shi | 2012-12-27 / 20120327750 - SOLAR CELL ELECTRONIC DEVICE | 1 |
Takahiro Kawamata | JP | Nagano | 2015-08-06 / 20150219171 - CALIPER FOR DISC BRAKES | 1 |
Tsunehisa Kawamata | JP | Tokyo | 2013-08-29 / 20130222389 - INFORMATION PROCESSING DEVICE | 3 |
Yukihiro Kawamata | JP | Tsuchiura | 2015-10-29 / 20150307024 - VEHICLE PERIPHERAL OBSTACLE NOTIFICATION SYSTEM | 1 |
Jun Kawamata | JP | Fukuoka-Ken | 2012-05-17 / 20120117722 - SANITARY WASHING APPARATUS | 1 |
Masaki Kawamata | JP | Mito | 2012-04-19 / 20120092493 - MONITORING SYSTEM | 1 |
Katsuhiko Kawamata | JP | Ehime | 2011-08-04 / 20110189058 - DEVICE FOR GENERATING STERILIZING GAS,CATALYST CARTRIDGE APPLIED TO DEVICE FOR GENERATING STERILIZING GAS AND DEVICE FOR PROCESSING FOR STERILIZATION | 1 |
Shinya Kawamata | JP | Shizuoka | 2012-01-26 / 20120022716 - MOVEMENT TRAJECTORY GENERATOR | 1 |
Kunimasa Kawamata | JP | Kanagawa | 2012-04-19 / 20120092703 - IMAGE FORMING APPARATUS | 1 |
Hiroyuki Kawamata | JP | Tokyo | 2015-07-23 / 20150202128 - ORAL COMPOSITION | 1 |
Daisuke Kawamata | JP | Kanagawa | 2015-08-06 / 20150221095 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND PROGRAM | 4 |
Shinji Kawamata | JP | Kanagawa | 2009-12-24 / 20090317511 - PROCESS FOR PRODUCING FLAVOR ENHANCER FOR BEER AND BEER-LIKE BEVERAGE AND BEVERAGE OF A ROAST MATERIAL | 1 |
Shinya Kawamata | JP | Gotenba-Shi Shizuoka | 2015-01-29 / 20150032290 - MOVEMENT TRAJECTORY GENERATOR | 1 |
Yasuo Kawamatsu | JP | Kyoto | 2016-03-17 / 20160079099 - SUBSTRATE TREATING APPARATUS | 8 |
Koji Kawamatsu | JP | Aichi | 2010-02-11 / 20100031575 - WINDOW REGULATOR AND JIG | 2 |
Tatsuya Kawamatsu | JP | Kiyosu-Shi | 2015-10-22 / 20150304438 - CONTENT DISTRIBUTION SYSTEM, PROGRAM, AND CONTENT DISTRIBUTION METHOD | 2 |
Yasuo Kawamatsu | JP | Shimogyo-Ku | 2012-06-21 / 20120156380 - SUBSTRATE TREATING APPARATUS | 4 |
Yasuo Kawamatsu | JP | Kyoto | 2016-03-17 / 20160079099 - SUBSTRATE TREATING APPARATUS | 8 |
Yasuo Kawamatsu | JP | Kyoto-Shi | 2012-10-04 / 20120249990 - SUBSTRATE PROCESSING APPARATUS | 1 |
Yui Kawami | JP | Osaka | 2014-10-23 / 20140313604 - LENS BARREL | 1 |
Kaoru Kawami | JP | Hiratsuka-Shi | 2013-10-31 / 20130287975 - Secondary Sealing Material Composition for Multi-Layered Glass and Multi-Layered Glass Using the Same | 1 |
Yoshimasa Kawami | JP | Kamio-Cho | 2012-07-05 / 20120168296 - BIOCOKES PRODUCING METHOD AND APPARATUS | 1 |
Hiroshi Kawami | JP | Kanagawa | 2010-05-06 / 20100110843 - REPRODUCING APPARATUS AND PROGRAM | 1 |
Shin Kawami | JP | Kanagawa | 2011-11-24 / 20110284889 - ORGANIC EL PANEL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Masayuki Kawami | JP | Minato-Ku | 2012-06-28 / 20120163966 - RADIAL TURBINE | 1 |
Yoshimasa Kawami | JP | Kanagawa | 2010-08-19 / 20100205860 - BIOCOKE PRODUCING APPARATUS, METHOD OF CONTROLLING THE SAME AND PROCESS FOR PRODUCTION THEREOF | 3 |
Masayuki Kawami | JP | Tokyo | 2013-12-19 / 20130336768 - MULTI-PRESSURE RADIAL TURBINE SYSTEM | 3 |
Koh Kawami | JP | Okayama | 2011-06-02 / 20110130576 - PROCESS FOR PRODUCING (Z)-1-PHENYL-1-(N,N-DIETHYLAMINOCARBONYL)-2-PHTHALIMIDOMETHYLCYCLOPROPANE | 2 |
Shin Kawami | JP | Saitama | 2009-07-23 / 20090184625 - ORGANIC ELECTROLUMINESCENCE DEVICE | 2 |
Taketsugu Kawamichi | JP | Kawasaki | 2010-02-04 / 20100031213 - DESIGN INFORMATION GENERATING APPARATUS | 1 |
Mieko Kawamichi | JP | Kyoto | 2015-08-27 / 20150237846 - RACCOON TRAPPING MODULE AND RACCOON TRAPPING SYSTEM | 1 |
Makoto Kawamichi | JP | Tokyo | 2010-11-18 / 20100290778 - COMMUNICATION APPARATUS, APPARATUS ACTIVATION CONTROL METHOD, COMMUNICATION CONTROL METHOD, AND COMMUNICATION CONTROL PROGRAM | 2 |
Genichiro Kawamichi | JP | Ibaraki | 2015-12-03 / 20150346659 - RECORDING MEDIUM HEATING DEVICE, PRETREATMENT LIQUID COATING/DRYING APPARATUS, AND PRINTING SYSTEM | 11 |
Genichiro Kawamichi | JP | Ibaraki | 2015-12-03 / 20150346659 - RECORDING MEDIUM HEATING DEVICE, PRETREATMENT LIQUID COATING/DRYING APPARATUS, AND PRINTING SYSTEM | 11 |
Genichiroh Kawamichi | JP | Ibaraki | 2015-06-25 / 20150174921 - RECORDING MEDIUM HEATING APPARATUS AND SYSTEM INCLUDING THE RECORDING MEDIUM HEATING APPARATUS | 2 |
Takehide Kawamichi | JP | Tokyo-To | 2010-12-23 / 20100324249 - POLYMER COMPLEX HAVING CHANNELS AND METHOD FOR CHEMICALLY MODIFYING INNER SURFACES OF CHANNELS OF POLYMER COMPLEX | 1 |
Eiji Kawaminami | JP | Tokyo | 2013-09-05 / 20130231320 - SUBSTITUTED AMIDE COMPOUND | 4 |
Hiroshi Kawaminami | JP | Fukui | 2012-07-05 / 20120171708 - MODIFIED FLAVIN ADENINE DINUCLEOTIDE-DEPENDENT GLUCOSE DEHYDROGENASE | 1 |
Mitsuhiro Kawaminami | JP | Okazaki-Shi | 2011-06-09 / 20110132706 - VIBRATION DAMPING DEVICE | 1 |
Eiji Kawaminami | JP | Chuo-Ku | 2010-07-08 / 20100173946 - BENZIMIDAZOLYLIDENE PROPANE-1,3-DIONE DERIVATIVE OR SALT THEREOF | 1 |
Shuichi Kawaminami | JP | Kanagawa | 2009-12-24 / 20090317682 - Oxide single crystal and method for production thereof, and single crystal wafer | 1 |
Hiroshi Kawaminami | JP | Tsuruga-Shi | 2015-01-29 / 20150031059 - NOVEL GLUCOSE DEHYDROGENASE | 6 |
Takashi Kawamori | JP | Shiojiri-Shi | 2016-03-17 / 20160080227 - Wireless Communication Configuration Method, Wireless Communication System, and Recording Device | 6 |
Hideo Kawamori | JP | Hiroshima | 2010-02-04 / 20100025437 - TRIGGER TYPE HEAD CAP FOR AN AEROSOL SPRAYER | 1 |
Takashi Kawamori | JP | Ibaraki | 2013-03-14 / 20130062787 - PHOTOSENSITIVE ADHESIVE COMPOSITION, AND OBTAINED USING THE SAME, ADHESIVE FILM, ADHESIVE SHEET, SEMICONDUCTOR WAFER WITH ADHESIVE LAYER, SEMICONDUCTOR DEVICE AND ELECTRONIC PART | 11 |
Masahito Kawamori | JP | Kanagawa | 2011-03-10 / 20110061098 - AUTHENTICATION APPARATUS, AUTHENTICATION METHOD, AND AUTHENTICATION PROGRAM IMPLEMENTING THE METHOD | 1 |
Takashi Kawamori | JP | Ueda-Shi | 2009-11-12 / 20090279394 - DISC PROCESSING DEVICE AND CONTROL METHOD FOR DISC PROCESSING DEVICE | 1 |
Atsushi Kawamori | JP | Fukushima | 2009-10-15 / 20090257028 - PROJECTION TYPE DISPLAY DEVICE | 1 |
Hiromitsu Kawamori | JP | Toyota-Shi | 2012-11-01 / 20120274137 - FUEL CELL SYSTEM AND CONTROL METHOD THEREFOR | 1 |
Ryota Kawamori | JP | Kanonji-Shi, Kagawa | 2015-12-17 / 20150359687 - ABSORBENT ARTICLE | 1 |
Yoshiteru Kawamori | JP | Uozu | 2016-03-10 / 20160067721 - STRAIGHTENING DEVICE AND FLUID NOZZLE | 3 |
Keita Kawamori | JP | Tokyo | 2014-09-25 / 20140286147 - PLASMON GENERATOR HAVING FLARE SHAPED SECTION | 13 |
Ryota Kawamori | JP | Kanonji-Shi | 2011-07-14 / 20110172630 - ABSORPTIVE ARTICLE | 1 |
Takashi Kawamori | JP | Tsukuba-Shi | 2016-03-31 / 20160093584 - ADHESIVE COMPOSITION, ELECTRONIC-COMPONENT-MOUNTED SUBSTRATE AND | 13 |
Keita Kawamori | JP | Tokyo | 2014-09-25 / 20140286147 - PLASMON GENERATOR HAVING FLARE SHAPED SECTION | 13 |
Katsuhiko Kawamori | JP | Nagareyama | / - | 1 |
Takashi Kawamori | JP | Ibaraki | 2013-03-14 / 20130062787 - PHOTOSENSITIVE ADHESIVE COMPOSITION, AND OBTAINED USING THE SAME, ADHESIVE FILM, ADHESIVE SHEET, SEMICONDUCTOR WAFER WITH ADHESIVE LAYER, SEMICONDUCTOR DEVICE AND ELECTRONIC PART | 11 |
Takashi Kawamori | JP | Tsukuba-Shi | 2016-03-31 / 20160093584 - ADHESIVE COMPOSITION, ELECTRONIC-COMPONENT-MOUNTED SUBSTRATE AND | 13 |
Shinsuke Kawamori | JP | Higashine-Shi | 2015-05-07 / 20150123515 - CRYSTAL UNIT | 1 |
Ikuo Kawamoto | JP | Osaka | 2011-09-15 / 20110222262 - METHOD OF PRODUCING A LAMINATED OPTICAL FILM | 9 |
Mitsutoshi Kawamoto | JP | Otsu-Shi | 2010-04-29 / 20100103587 - SEMICONDUCTOR CERAMIC POWDER, SEMICONDUCTOR CERAMIC, AND MONOLITHIC SEMICONDUCTOR CERAMIC CAPACITOR | 2 |
Kazuya Kawamoto | JP | Kanagawa | 2009-07-30 / 20090193183 - NONVOLATILE MEMORY SYSTEM, AND DATA READ/WRITE METHOD FOR NONVOLATILE MEMORY SYSTEM | 1 |
Kenji Kawamoto | JP | Hiroshima-Shi | 2013-04-04 / 20130082062 - TANK FOR CONSTRUCTION MACHINE | 2 |
Kazuya Kawamoto | JP | Sagamihara-Shi | 2012-07-12 / 20120179865 - NONVOLATILE MEMORY SYSTEM, AND DATA READ/WRITE METHOD FOR NONVOLATILE MEMORY SYSTEM | 9 |
Masaru Kawamoto | JP | Kanagawa | 2012-10-18 / 20120263497 - GEAR DRIVE APPARATUS, DRIVING DEVICE INCLUDING GEAR DRIVE APPARATUS, AND IMAGE FORMING DEVICE INCLUDING GEAR DRIVE APPARATUS | 1 |
Masuo Kawamoto | JP | Osaka-Shi | 2015-12-31 / 20150375950 - SHEET FEEDER AND IMAGE FORMING APPARATUS | 5 |
Masuo Kawamoto | JP | Okazaki-Shi | 2009-04-09 / 20090092483 - Turbocharger with variable nozzle mechanism | 1 |
Junya Kawamoto | JP | Tsuchiura-Shi | 2015-03-05 / 20150060183 - Work Machine | 6 |
Noriaki Kawamoto | JP | Kyoto-Shi | 2013-11-21 / 20130309877 - SILICON CARBIDE SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SAME | 3 |
Toru Kawamoto | JP | Ibaraki | 2012-03-15 / 20120065089 - Method For Detecting And Distinguishing Intrahepatic Cholangiocarcinoma | 1 |
Junichiro Kawamoto | JP | Yokohama-Shi | 2008-10-16 / 20080253432 - NOISE POWER ESTIMATION APPARATUS, NOISE POWER ESTIMATION METHOD AND SIGNAL DETECTION APPARATUS | 2 |
Hideo Kawamoto | JP | Yamanashi | 2013-04-18 / 20130096502 - INJECTION NEEDLE ASSEMBLY AND DRUG INJECTION DEVICE | 1 |
Robert Steven Kawamoto | US | San Diego | 2015-04-23 / 20150108986 - CLOSED LOOP DYNAMIC CAPACITANCE MEASUREMENT | 1 |
Nobuki Kawamoto | JP | Okazaki-Shi | 2016-05-19 / 20160138521 - CYLINDER BLOCK | 2 |
Hiroyki Kawamoto | JP | Kanagawa | 2012-03-15 / 20120062918 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 1 |
Makoto Kawamoto | JP | Matsumoto-Shi | 2015-06-04 / 20150151943 - STACKER AND RECORDING APPARATUS | 9 |
Kazuya Kawamoto | JP | Sagamihara-Shi | 2012-07-12 / 20120179865 - NONVOLATILE MEMORY SYSTEM, AND DATA READ/WRITE METHOD FOR NONVOLATILE MEMORY SYSTEM | 9 |
Tsutomu Kawamoto | JP | Shizuoka | 2013-09-05 / 20130232370 - INFORMATION PROCESSOR AND A BATTERY MANAGEMENT METHOD FOR INFORMATION PROCESSORS | 3 |
Manabu Kawamoto | JP | Shinjuku-Ku | 2013-10-24 / 20130280260 - OSTEOCLASTOGENESIS INHIBITOR CONTAINING ANTI-VDAC ANTIBODY | 1 |
Takahiro Kawamoto | JP | Suita-Shi | 2013-03-21 / 20130072712 - ALPHA-SUBSTITUTED ACRYLATE ESTERS, COMPOSITION CONTAINING THEREOF, AND METHOD FOR PRODUCING THOSE | 1 |
Yoshitaka Kawamoto | JP | Suwa-Gun | 2013-03-21 / 20130072633 - Flexible Tubing Material and Method of Forming the Material | 1 |
Toshinori Kawamoto | JP | Hiroshima | 2014-12-18 / 20140370174 - METHOD FOR MANUFACTURING RETORT RICE, AND RETORT RICE | 2 |
Tomohiro Kawamoto | JP | Osaka-Shi | 2012-03-29 / 20120077856 - PHARMACEUTICAL PRODUCT | 1 |
Shinya Kawamoto | JP | Tokyo | 2013-10-24 / 20130278065 - BATTERY SYSTEM | 1 |
Takuya Kawamoto | JP | Hiroshima | 2012-04-05 / 20120080098 - Cutting liquid supply device for machine tool | 1 |
Hideo Kawamoto | JP | Nakakoma-Gun | 2012-04-05 / 20120083749 - NEEDLE TUBE, MEDICAL INSTRUMENT AND METHOD FOR MANUFACTURING MEDICAL INSTRUMENT | 1 |
Kenryo Kawamoto | JP | Kawasaki-Shi | 2013-04-25 / 20130101724 - ESSENTIAL OIL-CONTAINING BEVERAGES | 1 |
Yusuke Kawamoto | JP | Nagoya-Shi | 2013-04-25 / 20130099181 - CONDUCTIVE PASTE COMPOSITION FOR SOLAR CELL | 1 |
Takayuki Kawamoto | JP | Tokyo | 2013-12-26 / 20130344443 - LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURE OF PRODUCT | 2 |
Naoshi Kawamoto | JP | Saitama-Shi | 2016-05-05 / 20160122508 - POLYOLEFIN RESIN PACKAGING MATERIAL | 15 |
Hironori Kawamoto | JP | Sunto-Gun | 2011-09-08 / 20110218272 - THERMOSETTING RESIN COMPOSITION AND PREPREG USING THE SAME | 1 |
Shunichiro Kawamoto | JP | Shizuoka-Ken | 2013-09-12 / 20130238376 - JOB SUPPORTING APPARATUS, PORTABLE TERMINAL AND JOB SUPPORTING METHOD | 2 |
Shinya Kawamoto | JP | Yokohama-Shi | 2012-06-28 / 20120161701 - POWER CONTROL SYSTEM | 2 |
Keiko Kawamoto | JP | Osaka | 2013-05-02 / 20130108627 - HUMANIZED PCRV ANTIBODY HAVING ANTI-PSEUDOMONAL ACTIVITY | 2 |
John Kawamoto | US | Seattle | 2011-06-09 / 20110137925 - SYSTEMS AND METHODS FOR IDENTIFICATION AND REPORTING OF AD DELIVERY HIERARCHY | 3 |
Takeshi Kawamoto | JP | Tokyo | 2016-05-05 / 20160126592 - NONAQUEOUS ELECTROLYTE SOLUTION FOR SECONDARY BATTERY AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 2 |
Mitsutoshi Kawamoto | JP | Nagaokakyo-Shi | 2014-07-31 / 20140210048 - LAMINATE TYPE SEMICONDUCTOR CERAMIC CAPACITOR WITH VARISTOR FUNCTIONALITY AND METHOD FOR MANUFACTURING THE SAME | 4 |
Takuji Kawamoto | JP | Aichi | 2012-08-16 / 20120209806 - CONTENT SEARCH DEVICE, CONTENT SEARCH METHOD, PROGRAM | 2 |
Sachiko Kawamoto | JP | Gifu | 2010-12-02 / 20100303855 - METHOD OF REDUCING ADVERSE EFFECTS OF THERAPEUTIC AGENTS FOR DYSLIPIDEMIA | 2 |
Masaki Kawamoto | JP | Aichi-Ken | 2012-04-26 / 20120096968 - TRANSMISSION | 1 |
Makoto Kawamoto | JP | Kanagawa | 2012-01-26 / 20120021030 - Liquid Composition Containing Fusiform Particles for Cosmetic Use | 4 |
Makoto Kawamoto | JP | Kawasaki | 2009-07-23 / 20090186055 - MAKEUP COMPOSITIONS FOR KERATINOUS SUBSTANCES | 1 |
Makoto Kawamoto | JP | Matsumoto-Shi | 2015-06-04 / 20150151943 - STACKER AND RECORDING APPARATUS | 9 |
Satoshi Kawamoto | JP | Kyoto-Shi | 2012-12-06 / 20120306860 - IMAGE GENERATION SYSTEM, IMAGE GENERATION METHOD, AND INFORMATION STORAGE MEDIUM | 1 |
Michiko Kawamoto | JP | Ryugasaki-Shi | 2013-06-06 / 20130143229 - REAGENT FOR ASSAYING ANTI-TREPONEMA PALLIDUM ANTIBODY | 1 |
Hideki Kawamoto | JP | Hyogo | 2012-12-13 / 20120316306 - FLOW IMPROVER FOR OILS AND FATS | 3 |
Makoto Kawamoto | JP | Hiroshima | 2012-11-29 / 20120297685 - PLATFORM SCREEN DOOR DEVICE | 1 |
Tetsuya Kawamoto | JP | Osaka | 2015-02-26 / 20150055282 - INFORMATION TERMINAL PROVIDED WITH STRUCTURE WHICH PREVENTS ERRONEOUS OPERATION OF ELECTROSTATIC INPUTTING DEVICE | 8 |
Ippei Kawamoto | JP | Kariya-City | 2013-03-14 / 20130063850 - OVERCURRENT PROTECTION CIRCUIT | 1 |
Andy T. Kawamoto | US | Sandy | 2016-04-28 / 20160113847 - RESIN REINFORCED ZINC POLYCARBOXYLATE TEMPORARY CEMENT COMPOSITIONS AND RELATED KITS AND METHODS | 5 |
Yoshimichi Kawamoto | JP | Shioya-Gun | 2011-02-24 / 20110046841 - VEHICLE BODY FLOW SUPPRESSION DEVICE | 3 |
Yoshimichi Kawamoto | JP | Wako | 2010-04-08 / 20100087988 - ELECTRIC POWER STEERING SYSTEM AND METHOD FOR CONTROLLING THE SAME | 1 |
Richard Masaru Kawamoto | US | Lebanon | 2012-11-08 / 20120282627 - CRYSTAL OF HYPOXIA INDUCIBLE FACTOR 1 ALPHA PROLYL HYDROXYLASE | 2 |
Richard M. Kawamoto | US | Divide | 2010-12-16 / 20100314423 - GUN HOLSTER | 1 |
Steven A. Kawamoto | US | Ann Arbor | 2010-12-16 / 20100314423 - GUN HOLSTER | 1 |
Richard Masaru Kawamoto | US | Divide | 2015-04-30 / 20150119425 - PROLYL HYDROXYLASE INHIBITORS AND METHODS OF USE | 7 |
Takashi Kawamoto | JP | Kodaira | 2013-01-03 / 20130002360 - SEMICONDUCTOR INTEGRATED CIRCUIT | 10 |
Junya Kawamoto | JP | Ibaraki | 2016-03-03 / 20160060840 - CONSTRUCTION EQUIPMENT | 2 |
Tomokazu Kawamoto | JP | Kawasaki | 2012-11-22 / 20120295429 - SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Youji Kawamoto | JP | Tokyo | 2014-04-03 / 20140095715 - COMMUNICATION NETWORK SYSTEM, GATEWAY, DATA COMMUNICATION METHOD AND PROGRAM PROVIDING MEDIUM | 2 |
Junichiro Kawamoto | JP | Tokyo | 2010-09-16 / 20100235710 - SIGNAL TRANSMISSION METHOD AND TRANSMITTER IN RADIO MULTIPLEX TRANSMISSION SYSTEM | 22 |
Satoshi Kawamoto | JP | Okayama | 2013-06-20 / 20130157067 - PLASMA-RESISTANT MEMBER AND METHOD FOR REGENERATING SAME | 2 |
Junya Kawamoto | JP | Moriyama-Shi | 2015-07-30 / 20150211207 - Construction Machine | 5 |
Makoto Kawamoto | JP | Seto-Shi | 2015-11-19 / 20150329153 - VEHICULAR UNDERCOVER AND METHOD OF MANUFACTURING THE SAME | 1 |
Glenn Kawamoto | US | Austin | 2016-03-10 / 20160071381 - Shaker apparatus and related methods of transmitting vibrational energy to recipients | 1 |
Mitsumi Kawamoto | JP | Osaka | 2012-05-17 / 20120121734 - COMPOSITIONS CONTAINING SUCRALOSE AND APPLICATION THEREOF | 2 |
Tomohiro Kawamoto | JP | Kagoshima | 2011-07-28 / 20110180623 - Multi-Layer Piezoelectric Element, Injection Device, and Fuel Injection System | 5 |
Tomohiro Kawamoto | JP | Osaka-Shi, Osaka | 2009-06-18 / 20090156582 - Pyrazole Compound | 1 |
Tomohiro Kawamoto | JP | Osaka | 2009-03-05 / 20090062355 - Pharmaceutical Product | 1 |
Tomohiro Kawamoto | JP | Abiko-Shi | 2009-01-15 / 20090015892 - OPTICAL SCANNING APPARATUS, CONTROL METHOD THEREOF, AND IMAGE FORMING APPARATUS | 2 |
Takayoshi Kawamoto | JP | Okazaki-Shi | 2012-05-10 / 20120116657 - VEHICLE CONTROL UNIT | 1 |
Noboru Kawamoto | JP | Nagasaki | 2012-05-17 / 20120117816 - WATER-CONTAINING SOLID FUEL DRYING APPARATUS AND DRYING METHOD | 1 |
Yohei Kawamoto | JP | Tokyo | 2015-10-01 / 20150278547 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND RECORDING MEDIUM | 24 |
Hiromi Kawamoto | JP | Yaita-Shi | 2010-10-28 / 20100271028 - MAGNETIC RESONANCE IMAGING APPARATUS | 2 |
Tohru Kawamoto | JP | Ibaraki | 2011-11-03 / 20110268963 - METHOD OF PRODUCING STRUCTURAL MEMBER HAVING PRUSSIAN BLUE-TYPE METAL COMPLEX NANOPARTICLES, STRUCTURAL MEMBER OBTAINED BY THE METHOD, SUBSTRATE, ELECTROCHROMIC DEVICE, RECTIFYING DEVICE, AND PHOTO RESPONDING DEVICE, USING THE STRUCTURAL MEMBER | 3 |
Tohru Kawamoto | JP | Tsukuba-Shi | 2012-03-29 / 20120077037 - METAL COMPLEX NANOPARTICLES AND METHOD FOR PRODUCING THE SAME | 2 |
Michiko Kawamoto | JP | Tokyo | 2015-07-30 / 20150212075 - LATEX AGGLUTINATION INHIBITION IMMUNOASSAY | 1 |
Yoshiro Kawamoto | JP | Ishikawa | 2012-11-15 / 20120287482 - IMAGE READING DEVICE, IMAGE PROCESSING METHOD AND COMPUTER READABLE MEDIUM | 1 |
Tomohiro Kawamoto | JP | Kirishima-Shi | 2013-07-18 / 20130183187 - Method for Manufacturing Ceramic Member, and Ceramic Member, Gas Sensor Device, Fuel Cell Device, Multi-Layer Piezoelectric Device, Injection Apparatus and Fuel Injection System | 3 |
Yukihiro Kawamoto | JP | Shinagawa-Ku | 2012-11-15 / 20120285015 - RETAINER ASSEMBLING APPARATUS AND RETAINER ASSEMBLING METHOD | 1 |
Shingo Kawamoto | JP | Ichinomiya-Shi | 2013-10-17 / 20130272584 - MONITORING APPARATUS, METHOD, AND PROGRAM | 2 |
Tomohiro Kawamoto | JP | Tokyo | 2015-04-30 / 20150116435 - LIGHT EMISSION APPARATUS, OPTICAL SCANNING APPARATUS HAVING LIGHT EMISSION APPARATUS, AND IMAGE FORMING APPARATUS | 2 |
Michiko Kawamoto | JP | Ibaraki | 2011-02-03 / 20110027912 - PURIFIED SERUM ALBUMIN, AND IMMUNOLOGICAL MEASUREMENT METHOD | 2 |
Masaki Kawamoto | JP | Hokkaido | 2013-10-10 / 20130267965 - LIGATOR AND LIGATION METHOD | 1 |
Naoki Kawamoto | JP | Tokyo | 2013-09-05 / 20130229629 - MULTI-SCREEN DISPLAY DEVICE | 3 |
Teppei Kawamoto | JP | Anjo-City | 2015-02-05 / 20150035487 - EQUALIZATION DEVICE FOR ASSEMBLED BATTERY | 4 |
Tatsushi Kawamoto | JP | Hadano-Shi | 2009-02-19 / 20090045531 - Liquid substance supply device for vaporizing system, vaporizer, vaporization performance appraisal method | 2 |
Tatsushi Kawamoto | JP | Aichi | 2010-09-30 / 20100249362 - APPARATUS AND METHOD BOTH RELATING TO POLYMER SYNTHESIS | 1 |
Takayoshi Kawamoto | JP | Tokyo | 2012-11-08 / 20120283937 - VEHICLE START CONTROL APPARATUS | 1 |
Masayuki Kawamoto | JP | Amagasaki-Shi | 2016-02-11 / 20160042004 - INFORMATION PROCESSING DEVICE, NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM AND INFORMATION PROCESSING METHOD | 12 |
Koji Kawamoto | JP | Miyoshi-Shi | 2015-12-10 / 20150357675 - SULFIDE SOLID ELECTROLYTE MATERIAL, LITHIUM SOLID-STATE BATTERY, AND METHOD FOR PRODUCING SULFIDE SOLID ELECTROLYTE MATERIAL | 18 |
Teruko Kawamoto | JP | Kagawa | 2013-07-11 / 20130174652 - STATE QUANTITY DISTRIBUTION MEASURING APPARATUS AND METHOD OF MEASURING STATE QUANTITY DISTRIBUTION OF SAMPLE | 1 |
Arata Kawamoto | JP | Toyota-Shi | 2014-12-11 / 20140361863 - BLADE-TYPE FUSE | 1 |
Yoshifumi Kawamoto | JP | Tsukui-Gun | 2008-10-02 / 20080243293 - Fabrication system and fabrication method | 1 |
Yuji Kawamoto | JP | Kariya-City | 2008-10-30 / 20080264079 - Operation unit for vehicle air conditioner and vehicle air-conditioning control apparatus using the same | 1 |
Yoshinobu Kawamoto | JP | Atsugi-Shi | 2015-08-06 / 20150219214 - IDLE STOP CONTROL DEVICE FOR VEHICLE EQUIPPED WITH AUTOMATED MANUAL TRANSMISSION | 7 |
Yoshinobu Kawamoto | JP | Uda-Shi | 2009-02-12 / 20090039502 - HEATSINK AND SEMICONDUCTOR DEVICE WITH HEATSINK | 2 |
Yasushi Kawamoto | JP | Yokohama-Shi | 2010-08-05 / 20100196665 - Anti-Reflective Film and Production Method Thereof, and Stamper for Producing Anti-Reflective Film and Production Method Thereof | 3 |
Yasunori Kawamoto | JP | Toyota-City | 2009-03-12 / 20090065137 - Method of manufacturing rotation detector having encapsulated biasing magnet and magnetic sensor | 1 |
Toshihiko Kawamoto | JP | Saitama | 2011-02-10 / 20110032051 - DUPLEXER | 1 |
Toshihiko Kawamoto | JP | Sayama-Shi | 2010-09-09 / 20100225418 - Low band side filter of duplexer, high band side filter of duplexer, and duplexer | 2 |
Takuya Kawamoto | JP | Fukuyama-Shi | 2010-04-29 / 20100103415 - MIST MEASURING APPARATUS | 1 |
Takumi Kawamoto | JP | Hyogo-Pref | 2009-12-24 / 20090315756 - Vessel monitoring system | 1 |
Takeshi Kawamoto | JP | Yokohama | 2010-06-10 / 20100142541 - COMMUNICATION SYSTEM | 1 |
Takeshi Kawamoto | JP | Hiroshima | 2009-09-17 / 20090232773 - Method for Distinguishing Mesenchymal Stem Cell Using Molecular Marker and Use Thereof | 1 |
Takayuki Kawamoto | JP | Nara | 2014-06-26 / 20140176049 - CHARGING DEVICE | 2 |
Takahiro Kawamoto | JP | Yokohama-Shi | 2016-04-21 / 20160109824 - DEVELOPING DEVICE, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 4 |
Tadashi Kawamoto | JP | Aichi-Ken | 2010-12-23 / 20100323279 - FUEL CELL SIMULATOR AND FUEL CELL | 1 |
Susumu Kawamoto | JP | Chiba-Shi | 2009-10-15 / 20090258366 - pCryptoRNAi | 1 |
Shinya Kawamoto | JP | Yokohama | 2013-02-07 / 20130033111 - SOLAR POWER GENERATION SYSTEM | 3 |
Kenta Kawamoto | JP | Tokyo | 2016-03-17 / 20160075022 - DISPLAY CONTROL DEVICE, DISPLAY CONTROL METHOD, COMPUTER PROGRAM PRODUCT, AND COMMUNICATION SYSTEM | 31 |
Shinji Kawamoto | JP | Ashigarakami-Gun | 2009-02-05 / 20090035119 - HANDLING MECHANISM OF TRAYS WITH WHICH ELECTRONIC PARTS ARE FED AND INSPECTION DEVICE OF THE ELECTRONIC PARTS USING THE MECHANISM | 1 |
Shinichi Kawamoto | JP | Hachioji | 2011-04-07 / 20110083135 - VIRTUAL COMPUTER SYSTEMS AND COMPUTER VIRTUALIZATION PROGRAMS | 1 |
Shinichi Kawamoto | JP | Tsukuba | 2010-04-15 / 20100092946 - Genetic methods for speciating Campylobacter | 2 |
Shigeru Kawamoto | JP | Hitachi | 2009-04-16 / 20090099976 - METHOD AND SYSTEM FOR DETERMINING OPTIMAL PORTFOLIO | 1 |
Seiji Kawamoto | JP | Higashi-Hiroshima-Shi | 2010-08-05 / 20100196384 - ANTI-HISTONE H1 MONOCLONAL ANTIBODY AND HYBRIDOMA FOR THE PRODUCTION THEREOF | 1 |
Seiji Kawamoto | JP | Hiroshima-Ken | 2008-11-20 / 20080287352 - Anti-Histone H1 Monoclonal Antibody and Hybridoma for the Production Thereof | 1 |
Seiji Kawamoto | JP | Tottori | 2008-10-09 / 20080247439 - Semiconductor Laser Device and Method for Fabrication Thereof | 1 |
Keisuke Kawamoto | JP | Tokyo | 2015-08-06 / 20150222829 - IMAGING APPARATUS | 1 |
Satoshi Kawamoto | JP | Chiba | 2011-05-05 / 20110105710 - OPTICAL RESIN, OPTICAL RESIN COMPOSITION, OPTICAL FILM, AND FILM | 2 |
Satoru Kawamoto | JP | Aichi-Ken | 2011-02-03 / 20110026287 - METHOD AND APPARATUS FOR ADDRESS ALLOTTING AND VERIFICATION IN A SEMICONDUCTOR DEVICE | 1 |
Mineo Kawamoto | JP | Kitakanbara-Gun | 2011-04-21 / 20110090657 - PRINTED WIRING BOARD WITH BUILT-IN SEMICONDUCTOR ELEMENT, AND PROCESS FOR PRODUCING THE SAME | 1 |
Satoru Kawamoto | JP | Owarasahi-City | 2009-06-11 / 20090150635 - COMMAND CONTROL FOR SYNCHRONOUS MEMORY DEVICE | 1 |
Satoru Kawamoto | JP | Kasugai | 2009-03-19 / 20090073793 - SEMICONDUCTOR MEMORY DEVICE AND REFRESH METHOD FOR THE SAME | 2 |
Satoru Kawamoto | JP | Kasugai-Shi | 2008-12-25 / 20080316787 - METHOD AND APPARATUS FOR ADDRESS ALLOTTING AND VERIFICATION IN A SEMICONDUCTOR DEVICE | 1 |
Satoru Kawamoto | JP | Azumino | 2008-12-18 / 20080311817 - DISPLAY DEVICE HAVING PAIR OF GLASS SUBSTRATES AND METHOD FOR CUTTING IT | 1 |
Noriaki Kawamoto | JP | Kyoto-Fu | 2009-02-26 / 20090050898 - Silicon carbide semiconductor device and method for producing the same | 2 |
Noriaki Kawamoto | JP | Kyoto | 2013-01-10 / 20130009256 - SEMICONDUCTOR DEVICE | 3 |
Yoji Kawamoto | JP | Tokyo | 2015-03-26 / 20150087225 - Information Processing Apparatus and Method, Information Processing System, and Transmission Medium | 13 |
Nobuhiro Kawamoto | JP | Shioya-Gun | 2011-05-12 / 20110109071 - VEHICLE AIRBAG AND METHOD OF MANUFACTURING THE SAME | 1 |
Naoya Kawamoto | JP | Toyota-Shi | 2011-08-04 / 20110185716 - COOLING ADAPTER | 2 |
Mitsuru Kawamoto | JP | Tokorozawa-Shi | 2011-05-12 / 20110108434 - METHOD OF PRODUCING METAL ZIRCONIUM | 1 |
Minoru Kawamoto | JP | Hikone-Shi | 2010-09-16 / 20100234196 - EXERCISE ASSISTING APPARATUS | 2 |
Minoru Kawamoto | JP | Hikone | 2010-04-15 / 20100093495 - EXERCISE ASSIST DEVICE | 1 |
Masayuki Kawamoto | JP | Kashima-Shi | 2012-10-11 / 20120255700 - CONTINUOUS CASTING MOLD AND CONTINUOUS CASTING METHOD OF ROUD BILLET | 4 |
Masayuki Kawamoto | JP | Ibaraki | 2010-02-11 / 20100032129 - METHOD OF CONTINUOUS CASTING OF SMALL CROSS SECTION BILLET | 1 |
Masayuki Kawamoto | JP | Aichi | 2015-08-13 / 20150228192 - ON-DEMAND VEHICLE OPERATION MANAGEMENT DEVICE, ON-DEMAND VEHICLE OPERATION MANAGEMENT METHOD, AND ON-DEMAND VEHICLE OPERATION MANAGEMENT SYSTEM | 2 |
Yasukazu Kawamoto | JP | Tsuyama-Shi | 2014-06-26 / 20140178676 - SURFACE ORNAMENT COMPOSITION FOR PART OF FISHING TACKLE OR BICYCLE | 1 |
Masashi Kawamoto | JP | Hiroshima | 2013-06-20 / 20130158419 - APPARATUS FOR EVALUATING VASCULAR ENDOTHELIAL FUNCTION | 4 |
Masashi Kawamoto | JP | Fukuoka | 2009-10-08 / 20090250354 - Pre-Treatment Method for Plating and Instrument for Waterworks of Lead-Contained Copper Alloy | 1 |
Masaru Kawamoto | JP | Ibaraki | 2009-07-23 / 20090184454 - SHEET POST PROCESS DEVICE WITH STAPLER MECHANISM | 1 |
Masao Kawamoto | JP | Okayama | 2009-12-24 / 20090318049 - CONDUCTIVE SHEATH-CORE CONJUGATE FIBER AND PROCESS FOR PRODUCING THE SAME | 4 |
Masao Kawamoto | JP | Kurashiki-Shi | 2016-05-19 / 20160138198 - Normal pressure dyeable polyester fiber and method for producing the same | 3 |
Masanori Kawamoto | JP | Tochigi | 2009-08-27 / 20090212761 - DC-DC CONVERTER AND VOLTAGE DETECTOR USING SAME | 1 |
Masaki Kawamoto | JP | Okazaki-Shi | 2014-03-27 / 20140083218 - TRANSMISSION | 3 |
Masaki Kawamoto | JP | Nishio-Shi | 2009-10-29 / 20090266189 - CLUTCH CONTROL SYSTEM | 3 |
Masahiro Kawamoto | JP | Shizuoka-Ken | 2010-03-25 / 20100072311 - FLUID SPRAY NOZZLE, PULVERIZER AND METHOD OF PREPARING TONER | 2 |
Ken Kawamoto | US | Cambridge | 2015-08-13 / 20150225438 - SUPRAMETALLOGELS AND USES THEREOF | 1 |
Masahiro Kawamoto | JP | Sunto-Gun | 2011-06-30 / 20110159423 - METHOD FOR PRODUCING RESINOUS PARTICLES | 3 |
Kouichi Kawamoto | JP | Kyoto-Shi | 2011-11-17 / 20110281644 - STORAGE MEDIUM HAVING IMAGE DISPLAY PROGRAM STORED THEREIN, IMAGE DISPLAY APPARATUS, IMAGE DISPLAY SYSTEM, AND IMAGE DISPLAY METHOD | 4 |
Koji Kawamoto | JP | Miyoshi-Shi | 2015-12-10 / 20150357675 - SULFIDE SOLID ELECTROLYTE MATERIAL, LITHIUM SOLID-STATE BATTERY, AND METHOD FOR PRODUCING SULFIDE SOLID ELECTROLYTE MATERIAL | 18 |
Koji Kawamoto | JP | Aichi-Ken | 2012-04-19 / 20120094185 - SOLID ELECTROLYTE MATERIAL, ELECTRODE ELEMENT THAT INCLUDES SOLID ELECTROLYTE MATERIAL, ALL-SOLID BATTERY THAT INCLUDES SOLID ELECTROLYTE MATERIAL, AND MANUFACTURING METHOD FOR SOLID ELECTROLYTE MATERIAL | 5 |
Koji Kawamoto | JP | Kitakyushu-Shi | 2010-03-04 / 20100051268 - OIL EXTRACTION SYSTEM AND OIL EXTRACTION SUPERVISORY SYSTEM | 2 |
Koichi Kawamoto | JP | Kyoto | 2011-12-01 / 20110294582 - HANDHELD INFORMATION PROCESSING APPARATUS, STORAGE MEDIUM AND INFORMATION PROCESSING METHOD | 3 |
Kiyoshi Kawamoto | JP | Yokohama | 2011-07-07 / 20110164524 - RADIO ACCESS POINT TESTING APPARATUS | 4 |
Keiko Kawamoto | JP | Obihiro-Shi | 2011-01-06 / 20110002950 - PIG EDEMA DISEASE VACCINE | 1 |
Kazuhiro Kawamoto | JP | Ikeda-Shi | 2008-08-28 / 20080203981 - SEMICONDUCTOR DEVICE STRUCTURE AND SEMICONDUCTOR DEVICE INCORPORATING SAME | 1 |
Takashi Kawamoto | JP | Haibara-Gun | 2016-01-28 / 20160026088 - METHOD FOR MANUFACTURING ORGANIC PROCESSING FLUID FOR PATTERNING OF CHEMICAL AMPLIFICATION TYPE RESIST FILM, ORGANIC PROCESSING FLUID FOR PATTERNING OF CHEMICAL AMPLIFICATION TYPE RESIST FILM, PATTERN FORMING METHOD, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE | 2 |
Kazuhiko Kawamoto | JP | Kitakyushu-Shi | 2010-09-30 / 20100245587 - Automatic tracking method and surveying device | 1 |
Junichi Kawamoto | JP | Fuchu-Shi | 2015-03-05 / 20150066160 - CONTROL PROGRAM MANAGEMENT DEVICE, INFORMATION PROCESSING DEVICE, AND CONTROL PROGRAM PROCESSING METHOD | 3 |
Hisashi Kawamoto | JP | Atsugi-Shi | 2013-01-24 / 20130022381 - FUSER AND IMAGE-FORMING APPARATUS | 2 |
Hironori Kawamoto | JP | Saitama Pref | 2010-06-03 / 20100133344 - Compact Bar Code Scanner Assembly | 1 |
Hironori Kawamoto | JP | Shizuoka | 2011-05-12 / 20110111663 - EPOXY RESIN COMPOSITION AND PREPREG USING THE SAME | 1 |
Hideo Kawamoto | JP | Toyokawa | 2009-08-13 / 20090201109 - CIRCUIT BREAKER | 1 |
Daisuke Kawamoto | JP | Saitama | 2011-02-24 / 20110047396 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND PROGRAM | 1 |
Atsushi Kawamoto | JP | Aichi-Ken | 2010-09-16 / 20100235063 - VEHICLE CONTROLLER AND VEHICLE PROVIDED WITH THE CONTROLLER | 1 |
Atsushi Kawamoto | JP | Toyota-Shi | 2015-08-27 / 20150239006 - ELECTROSTATIC PAINTING APPARATUS AND ELECTROSTATIC METHOD | 3 |
Ariumi Kawamoto | JP | Naruto-Shi | 2014-06-19 / 20140171571 - MAGNESIUM SULFATE-BASED DESICCANT AND METHOD FOR PRODUCING SAME | 4 |
Akira Kawamoto | JP | Kyoto | 2008-10-30 / 20080266158 - Analog-to-digital converter | 1 |
Akiko Kawamoto | JP | Yokohama-Shi | 2010-01-28 / 20100021040 - PATTERN EVALUATION APPARATUS AND PATTERN EVALUATION METHOD | 1 |
Chiaki Kawamoto | JP | Shizuoka | 2009-03-19 / 20090075208 - PLATE MAKING METHOD OF LITHOGRAPHIC PRINTING PLATE PRECURSOR | 1 |
Shinichi Kawamoto | JP | Tokyo | 2016-01-07 / 20160004749 - SEARCH SYSTEM AND SEARCH METHOD | 9 |
Yutaka Kawamoto | JP | Yokohama-Shi | 2013-05-16 / 20130120126 - VEHICLE DRIVING ASSISTANCE DEVICE | 1 |
Atsuhiro Kawamoto | JP | Hyogo | 2015-07-30 / 20150209888 - WELDING DEVICE | 27 |
Masaya Kawamoto | JP | Tokyo | 2014-01-30 / 20140033275 - COMPUTER SYSTEM, CONTROLLER, AND METHOD OF CONTROLLING NETWORK ACCESS POLICY | 2 |
Tatsuro Kawamoto | JP | Kyoto | 2013-10-03 / 20130257184 - ROTOR UNIT, ROTATING ELECTRICAL MACHINE, AND METHOD FOR MANUFACTURING ROTOR UNIT | 1 |
Kengo Kawamoto | US | Irvine | 2014-11-13 / 20140334835 - COLOR IMAGE FORMING APPARATUS | 3 |
Hiroshi Kawamoto | JP | Toshima-Ku | 2013-05-30 / 20130137865 - HETEROARYL-PYRAZOLE DERIVATIVE | 2 |
Shiori Kawamoto | JP | Ehime | 2011-12-29 / 20110319525 - EPOXY RESIN COMPOSITION, PREPREG, CARBON FIBER REINFORCED COMPOSITE MATERIAL, AND HOUSING FOR ELECTRONIC OR ELECTRICAL COMPONENT | 1 |
Keisuke Kawamoto | JP | Hitachinaka | 2012-06-21 / 20120154645 - IMAGE SIGNAL PROCESSING APPARATUS AND IMAGE SIGNAL PROCESSING METHOD | 1 |
Minoru Kawamoto | JP | Shiga-Ken | 2012-06-21 / 20120157897 - MASSAGE MACHINE | 2 |
Yoshito Kawamoto | JP | Tokyo | 2016-01-28 / 20160024060 - BENZOTHIOPHENE DERIVATIVE | 1 |
Tomohiro Kawamoto | JP | Saitama | 2012-06-28 / 20120166048 - INVERTED PENDULUM TYPE MOVING BODY | 2 |
Youji Kawamoto | JP | Hikone-Shi | 2011-02-24 / 20110046524 - PASSIVE EXERCISE APPARATUS | 1 |
Hajime Kawamoto | JP | Osaka-Shi | 2013-10-03 / 20130260221 - ELECTRIC STORAGE DEVICE | 2 |
Takeshi Kawamoto | JP | Yao-Shi | 2013-06-20 / 20130153352 - TORQUE CONVERTER | 1 |
Takashi Kawamoto | JP | Kodaira | 2013-01-03 / 20130002360 - SEMICONDUCTOR INTEGRATED CIRCUIT | 10 |
Takashi Kawamoto | JP | Chiyoda-Ku | 2013-05-09 / 20130113534 - CLOCK DATA RECOVERY CIRCUIT AND TRANSCEIVER SEMICONDUCTOR INTEGRATED CIRCUIT CONTAINING THE SAME | 1 |
Hajime Kawamoto | JP | Osaka | 2012-07-26 / 20120189908 - ELECTRIC STORAGE DEVICE | 3 |
Koji Kawamoto | JP | Nishikamo-Gun | 2014-06-05 / 20140150961 - METHOD FOR MANUFACTURING SOLID BATTERY | 4 |
Kaoru Kawamoto | JP | Osaka | 2014-10-09 / 20140303792 - SYSTEM AND METHOD FOR DERIVING STORAGE TANK OPERATION PLAN | 1 |
Takashi Kawamoto | JP | Mie | 2014-12-11 / 20140364014 - POWER PLUG | 5 |
Eiji Kawamoto | JP | Osaka | 2013-10-03 / 20130257221 - ELASTIC WAVE DEVICE AND ELECTRONIC DEVICE USING THE SAME | 7 |
Takeshi Kawamoto | JP | Osaka | 2011-02-10 / 20110031083 - LOCKUP DEVICE AND FLUID TYPE POWER TRANSMITTING DEVICE | 1 |
Shuichi Kawamoto | JP | Osaka | 2010-11-25 / 20100296044 - LENS FIXING METHOD FOR RIMLESS EYEGLASSES, AND RIMLESS EYEGLASSES USING THE SAME | 1 |
Atsuhiro Kawamoto | JP | Osaka | 2009-11-26 / 20090289044 - ARC WELDING CONTROL METHOD AND ARC WELDING APPARATUS | 1 |
Yasutaka Kawamoto | JP | Osaka | 2012-01-12 / 20120011381 - INFORMATION TRANSMISSION DEVICE, SYSTEM, AND METHOD | 4 |
Masuo Kawamoto | JP | Osaka | 2015-03-19 / 20150076316 - SHEET PROCESS DEVICE | 2 |
Ikuo Kawamoto | JP | Ibaraki-Shi | 2010-09-09 / 20100225854 - LIQUID CRYSTAL PANEL AND LIQUID CRYSTAL DISPLAY APPARATUS USING THE PANEL | 4 |
Tetsuya Kawamoto | JP | Osaka | 2015-02-26 / 20150055282 - INFORMATION TERMINAL PROVIDED WITH STRUCTURE WHICH PREVENTS ERRONEOUS OPERATION OF ELECTROSTATIC INPUTTING DEVICE | 8 |
Shiori Kawamoto | JP | Iyo-Gun | 2013-11-28 / 20130316169 - PREPREG AND FIBER REINFORCED COMPOSITE MATERIAL | 1 |
Takashi Kawamoto | JP | Mie City | 2012-07-26 / 20120190225 - PLUG RECEPTACLE | 3 |
Takashi Kawamoto | JP | Kokubunji | 2009-06-18 / 20090153204 - PHASE LOCKED LOOP CIRCUIT AND SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE USING THE SAME | 3 |
Tatsuyoshi Kawamoto | JP | Suzuka City | 2012-07-12 / 20120175550 - AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING AND CHEMICAL MECHANICAL POLISHING METHOD USING SAME | 1 |
Kazunari Kawamoto | JP | Otsu-Shi | 2014-11-20 / 20140339582 - RESIN SHEET LAMINATE, METHOD FOR MANUFACTURING THE SAME AND METHOD FOR MANUFACTURING LED CHIP WITH PHOSPHOR-CONTAINING RESIN SHEET | 4 |
Atsunobu Kawamoto | JP | Chiyoda-Ku | 2013-05-02 / 20130106499 - SEMICONDUCTOR DEVICE WITH POWER ELEMENT AND CIRCUIT ELEMENT FORMED WITHIN THE SAME SEMICONDUCTOR SUBSTRATE | 1 |
Ryuichi Kawamoto | JP | Hamamatsu-Shi | 2013-06-27 / 20130163786 - MIXING SIGNAL PROCESSING APPARATUS AND MIXING SIGNAL PROCESSING INTEGRATED CIRCUIT | 3 |
Hisashi Kawamoto | JP | Chiba | 2012-07-05 / 20120170096 - DIAPHRAGM DEVICE | 16 |
Hideo Kawamoto | JP | Tokyo | 2014-05-08 / 20140129509 - Prediction Apparatus and Prediction Method | 4 |
Koji Kawamoto | JP | Susono-Shi | 2012-09-13 / 20120231348 - LITHIUM ION CONDUCTING MATERIAL AND LITHIUM BATTERY | 3 |
Hiroaki Kawamoto | JP | Fujisawa-Shi | 2012-11-01 / 20120276384 - NI-BASE ALLOY WELD METAL AND NI-BASE ALLOY COVERED ELECTRODE | 3 |
Eiji Kawamoto | JP | Tokyo-To | 2011-07-14 / 20110170306 - PROJECTOR-TYPE HEADLIGHT AND CONFIGURATION STRUCTURE OF RESIN PROJECTOR LENS THEREOF | 1 |
Yuichi Kawamoto | JP | Akashi | 2011-06-30 / 20110156433 - PICK-UP STYLE UTILITY VEHICLE WITH EXPANDABLE CARGO BED | 1 |
Kosuke Kawamoto | JP | Shiga | 2015-11-19 / 20150329418 - REINFORCED GLASS SUBSTRATE AND METHOD FOR PRODUCING SAME | 12 |
Kouki Kawamoto | US | 2015-09-17 / 20150258976 - CONTROL DEVICE FOR HYBRID VEHICLE | 1 | |
Hiroshi Kawamoto | JP | Ashigarakami-Gun | 2012-08-09 / 20120201962 - COMPOSITION, COMPOUND AND FILM FORMING METHOD | 1 |
Kouki Kawamoto | JP | Nisshin-Shi | 2015-09-17 / 20150258976 - CONTROL DEVICE FOR HYBRID VEHICLE | 1 |
Takuma Kawamoto | JP | Wakayama-Shi | 2012-08-16 / 20120205016 - STEEL TUBE FOR AIRBAGS AND A PROCESS FOR MANUFACTURING SAME | 1 |
Kensaku Kawamoto | JP | Kyoto | 2014-01-16 / 20140015886 - METHOD OF INK APPLICATION ON SUBSTRATE | 1 |
Yuka Kawamoto | JP | Kanagawa | 2016-03-10 / 20160070187 - ELECTROSTATIC CHARGE IMAGE DEVELOPING TONER, ELECTROSTATIC CHARGE IMAGE DEVELOPER, AND TONER CARTRIDGE | 4 |
Satomi Kawamoto | JP | Niigata | 2009-11-26 / 20090288767 - ELECTRONIC COMPONENT MOUNTING METHOD | 1 |
Kouichi Kawamoto | JP | Kyoto | 2016-02-04 / 20160030842 - Game Apparatus, Storage Medium, Game Controlling Method and Game System | 26 |
Yohei Kawamoto | JP | Kanagawa | 2008-10-30 / 20080267635 - QUANTUM CRYPTOGRAPHY COMMUNICATION APPARATUS AND COMMUNICATION TERMINAL | 1 |
Yasunori Kawamoto | JP | Kanagawa | 2009-04-02 / 20090086367 - Disk drive device, manufacturing method thereof, and method for setting heater power value for a heater for adjusting a clearance | 3 |
Hiroyuki Kawamoto | JP | Kanagawa | 2014-10-30 / 20140320872 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 18 |
Tadafumi Kawamoto | JP | Kanagawa | 2010-04-15 / 20100089516 - METHOD OF PRESERVATION OF THIN SECTION SPECIMEN | 1 |
Junichiro Kawamoto | JP | Kanagawa | 2009-02-19 / 20090046588 - CHANNEL ESTIMATING APPARATUS, CDMA RECEIVING APPARATUS, AND CHANNEL ESTIMATING METHOD | 1 |
Koushi Kawamoto | JP | Kanagawa | 2009-04-02 / 20090085932 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING SYSTEM, IMAGE PROCESSING METHOD, AND COMPUTER-READABLE MEDIUM | 2 |
Fumio Kawamoto | JP | Kanagawa | 2009-10-01 / 20090243145 - Method for producing cellulose acylate film | 1 |
Hiroyuki Kawamoto | JP | Kanagawa | 2014-10-30 / 20140320872 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING METHOD, AND IMAGE PROCESSING PROGRAM | 18 |
Yoshinobu Kawamoto | JP | Kanagawa | 2015-12-17 / 20150362026 - STARTING CLUTCH CONTROL DEVICE FOR AUTOMATIC TRANSMISSION | 2 |
Yoshifumi Kawamoto | JP | Kanagawa | 2010-05-27 / 20100131093 - FABRICATION SYSTEM AND FABRICATION METHOD | 1 |
Daisuke Kawamoto | JP | Tokyo | 2010-01-14 / 20100007310 - BATTERY PACK, INFORMATION PROCESSING APPARATUS, CHARGE CONTROL SYSTEM, CHARGE CONTROL METHOD BY BATTERY PACK, AND CHARGE CONTROL METHOD BY CHARGE CONTROL SYSTEM | 1 |
Manabu Kawamoto | JP | Tokyo | 2016-05-05 / 20160122492 - PROPYLENE BASED RESIN COMPOSITION AND USE THEREOF | 12 |
Motoji Kawamoto | JP | Tokyo | 2014-01-16 / 20140016954 - IMAGE FORMING APPARATUS | 4 |
Norio Kawamoto | JP | Tokyo | 2009-10-29 / 20090271482 - Message Character String Output System, Control Method Thereof, and Information Storage Medium | 2 |
Tatsuyoshi Kawamoto | JP | Tokyo | 2009-10-29 / 20090269683 - RADIATION-SENSITIVE RESIN COMPOSITION AND COLOR FILTERS | 1 |
Yuichiro Kawamoto | JP | Tokyo | 2014-05-22 / 20140142084 - NITROGEN-CONTAINING AROMATIC HETEROCYCLIC COMPOUND | 4 |
Keisuke Kawamoto | JP | Sakai | 2013-11-21 / 20130310216 - VEHICLE DRIVE DEVICE | 1 |
Kiyoaki Kawamoto | JP | Tokyo | 2009-12-03 / 20090297239 - CLEANING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Shinichi Kawamoto | JP | Tokyo | 2016-01-07 / 20160004749 - SEARCH SYSTEM AND SEARCH METHOD | 9 |
Shoichi Kawamoto | JP | Tokyo | 2010-06-24 / 20100161162 - CONTROL APPARATUS FOR ELECTRIC VEHICLE | 1 |
Shintaro Kawamoto | JP | Kanagawa | 2014-05-08 / 20140129619 - INFORMATION PROCESSING SYSTEM, PORTABLE INFORMATION PROCESSING APPARATUS, AND INFORMATION PROCESSING METHOD | 1 |
Kenta Kawamoto | JP | Tokyo | 2016-03-17 / 20160075022 - DISPLAY CONTROL DEVICE, DISPLAY CONTROL METHOD, COMPUTER PROGRAM PRODUCT, AND COMMUNICATION SYSTEM | 31 |
Hirokazu Kawamoto | JP | Tokyo | 2013-01-17 / 20130016386 - INFORMATION PROCESSING APPARATUS, DEVICE SETTING METHOD, AND COMPUTER-READABLE MEMORY MEDIUM STORING DEVICE DRIVER PROGRAM THEREIN | 3 |
Masako Kawamoto | JP | Tokyo | 2011-04-07 / 20110080640 - WIRE-GRID POLARIZER AND PROCESS FOR PRODUCING THE SAME | 2 |
Yukihiro Kawamoto | JP | Tokyo | 2011-04-21 / 20110088235 - SNAP RING ATTACHMENT AND SNAP RING ATTACHING TOOL | 1 |
Syoichi Kawamoto | JP | Tokyo | 2010-05-27 / 20100128502 - ELECTRIC POWER CONVERSION APPARATUS | 1 |
Ryuji Kawamoto | JP | Tokyo | 2009-01-15 / 20090015957 - Color filter and photomask to be employed for the manufacture of color filter | 1 |
Yasuhiro Kawamoto | JP | Tachikawa-Shi | 2011-12-01 / 20110296236 - Information Processing Apparatus | 1 |
Kouichi Kawamoto | JP | Kyoto | 2016-02-04 / 20160030842 - Game Apparatus, Storage Medium, Game Controlling Method and Game System | 26 |
Takuya Kawamoto | JP | Tokyo | 2015-12-31 / 20150379865 - ELECTRONIC DEVICE | 1 |
Atsuhiro Kawamoto | JP | Hyogo | 2015-07-30 / 20150209888 - WELDING DEVICE | 27 |
Yasutaka Kawamoto | JP | Hyogo | 2010-09-09 / 20100225471 - Information providing server, server system, and method | 1 |
Isao Kawamoto | JP | Hyogo | 2011-07-21 / 20110176372 - MEMORY INTERFACE | 3 |
Kazuhiko Kawamoto | JP | Osaka | 2012-12-27 / 20120331096 - TELECOMMUNICATIONS TERMINAL, BROADCAST RECEIVING TERMINAL AND COMPUTER PROGRAM | 1 |
Manabu Kawamoto | JP | Tokyo | 2016-05-05 / 20160122492 - PROPYLENE BASED RESIN COMPOSITION AND USE THEREOF | 12 |
Koji Kawamoto | JP | Toyota-Shi | 2016-01-28 / 20160028109 - SULFIDE SOLID ELECTROLYTE MATERIAL AND LITHIUM SOLID STATE BATTERY | 2 |
Yoichiro Kawamoto | JP | Nagoya-Shi | 2008-09-18 / 20080226483 - Compressor | 1 |
Yoichiro Kawamoto | JP | Nagoya-City | 2009-03-19 / 20090071188 - Oil separator and refrigerant compressor having the same | 1 |
Hiroshi Kawamoto | JP | Tsukuba-Shi | 2011-06-30 / 20110160208 - DIARYL-SUBSTITUTED FIVE-MEMBERED HETEROCYCLE DERIVATIVE | 1 |
Galen H. Kawamoto | US | Corvallis | 2011-06-30 / 20110159648 - METHODS OF FOMRING ARRAY OF NANOSCOPIC MOSFET TRANSISTORS | 1 |
Takashi Kawamoto | JP | Aichi | 2012-09-20 / 20120237295 - JOINTING STRUCTURE IN VEHICLE TRAVELLING PATH JOINTS AND THE LIKE HAVING EXPANSION FUNCTION AND METHOD OF MOUNTING ELASTIC MEMBER THEREIN | 1 |
Pete Kawamoto | US | Centenniol | 2013-12-26 / 20130343537 - FRAME INJECTED DSL VIA FACE FED PROTECTOR MODULE | 1 |
Tetsuo Kawamoto | JP | Nagano-Ken | 2011-11-24 / 20110285730 - Controlling Display Updates For Electro-Optic Displays | 1 |
Yasutaka Kawamoto | JP | Tokyo | 2016-04-28 / 20160119888 - WIRELESS COMMUNICATION DEVICE, NON-TRANSITORY COMPUTER READABLE MEDIUM, AND WIRELESS COMMUNICATION SYSTEM | 4 |
Yusaku Kawamoto | JP | Daito-Shi | / - | 1 |
Naoshi Kawamoto | JP | Saitama | 2013-10-10 / 20130264529 - NEAR-INFRARED ABSORBING SYNTHETIC RESIN COMPOSITION | 10 |
Hiroko Kawamoto | JP | Yonago-Shi | 2009-02-19 / 20090045059 - Method and apparatus for measuring membrane potential of red blood cells using electrophoretic analysis | 1 |
Yutaka Kawamoto | JP | Kanagawa | 2015-12-31 / 20150377351 - SHIFT CONTROL SYSTEM FOR AUTOMATIC TRANSMISSION | 1 |
Yasutaka Kawamoto | JP | Kyogo | 2012-10-04 / 20120250671 - INFORMATION COMMUNICATION APPARATUS AND PROGRAM STORAGE MEDIUM | 1 |
Kazuyuki Kawamoto | JP | Hyogo | 2009-03-05 / 20090059665 - Semiconductor Memory | 1 |
Yasunobu Kawamoto | JP | Obihiro-Shi | 2011-06-09 / 20110131877 - Continuous assemblage of pots for raising and transplanting seedlings and method of manufacturing the same | 1 |
Masahiro Kawamoto | JP | Shizuoka | 2013-10-10 / 20130264406 - MEDIA STIRRER MILL AND METHOD OF PREPARING DISPERSION ELEMENT | 4 |
Masayuki Kawamoto | JP | Amagasaki-Shi | 2016-02-11 / 20160042004 - INFORMATION PROCESSING DEVICE, NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM AND INFORMATION PROCESSING METHOD | 12 |
Mutsumi Kawamoto | JP | Nagoya | 2015-12-31 / 20150381021 - Manufacturing Method and Manufacturing Device of Stator | 1 |
Takashi Kawamoto | JP | Osaka | 2011-11-17 / 20110277268 - DEVICE FOR REMOVING ADHERED SUBSTANCES | 1 |
Kazuyoshi Kawamoto | JP | Osaka | 2011-06-09 / 20110132678 - Work Vehicle with Engine, Air Cleaner, and Battery | 1 |
Atsunobu Kawamoto | JP | Tokyo | 2012-12-06 / 20120307532 - SEMICONDUCTOR DEVICE | 3 |
Naoshi Kawamoto | JP | Saitama | 2013-10-10 / 20130264529 - NEAR-INFRARED ABSORBING SYNTHETIC RESIN COMPOSITION | 10 |
Naoshi Kawamoto | JP | Saitama-Shi | 2016-05-05 / 20160122508 - POLYOLEFIN RESIN PACKAGING MATERIAL | 15 |
Takayuki Kawamoto | JP | Osaka | 2014-09-18 / 20140270864 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 2 |
Tetsuya Kawamoto | JP | Tokyo | 2016-04-07 / 20160095280 - AIR BLOWER | 1 |
Nobuhiro Kawamoto | JP | Saitama | 2012-02-16 / 20120038182 - PASSENGER PROTECTION DEVICE FOR VEHICLE | 1 |
Yoshitake Kawamoto | JP | Aichi | 2012-02-16 / 20120041588 - SYSTEM AND A METHOD FOR REMOTE ASSISTANCE IN A FOUNDRY | 1 |
Daisuke Kawamoto | JP | Nagano | 2014-10-02 / 20140292646 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 4 |
Hiroshi Kawamoto | JP | Minami-Ashigara-Shi | 2008-11-06 / 20080274920 - LUBRICANT COMPOSITION, MECHANICAL ELEMENT, AND METHOD FOR PRODUCING TRIAZINE DERIVATIVES | 1 |
Takuma Kawamoto | JP | Osaka | 2013-04-11 / 20130086965 - PROCESS FOR MANUFACTURING A STEEL TUBE FOR AIR BAGS | 1 |
Hiroshi Kawamoto | JP | Kamakura-Shi | 2008-12-25 / 20080318424 - Photoresist residue remover composition and semiconductor circuit element production process employing the same | 1 |
Michio Kawamoto | JP | Tokyo | 2014-03-20 / 20140077120 - Magnetically Enhanced Resin | 1 |
Hiroshi Kawamoto | JP | Kanagawa | 2015-09-03 / 20150246560 - RECORDING MATERIAL DISCHARGE DEVICE AND RECORDING MATERIAL PROCESSING DEVICE USING THE SAME | 4 |
Hiroshi Kawamoto | JP | Yokohama-Shi | 2011-09-22 / 20110231944 - B CELL-DERIVED IPS CELLS AND APPLICATION THEREOF | 4 |
Shinji Kawamoto | JP | Kanagawa | 2016-03-10 / 20160071065 - INFORMATION PROCESSING DEVICE, NON-TRANSITORY COMPUTER READABLE MEDIUM, AND INFORMATION PROCESSING METHOD | 2 |
Hiroshi Kawamoto | JP | Ibaraki | 2011-12-29 / 20110319396 - BENZODIAZEPIN-2-ON DERIVATIVES | 4 |
Hiroshi Kawamoto | JP | Kamakura | 2014-08-28 / 20140238452 - APPARATUS AND METHOD FOR CLEANING SEMICONDUCTOR SUBSTRATE | 4 |
Yasushi Kawamoto | JP | Tokyo | 2012-08-09 / 20120199203 - GLASS SHEET FOR CU-IN-GA-SE SOLAR CELLS, AND SOLAR CELLS USING SAME | 2 |
Masayuki Kawamoto | JP | Chiyoda-Ku | 2013-04-04 / 20130082960 - IMAGE DISPLAY APPARATU, DISPLAY CONTROL METHOD, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM ENCODED WITH DISPLAY CONTROL PROGRAM | 1 |
Shinobu Kawamoto | JP | Machida-Shi | 2009-08-13 / 20090202556 - ANTI-CLAUDIN-4 ANTIBODY | 1 |
Kimio Kawamoto | JP | Tokyo | 2012-02-23 / 20120045936 - ACTIVE CONNECTOR | 1 |
Yoshikazu Kawamoto | JP | Inagi | 2011-09-01 / 20110210172 - MAGNETIC CARD READER APPARATUS | 1 |
Kosuke Kawamoto | JP | Shiga | 2015-11-19 / 20150329418 - REINFORCED GLASS SUBSTRATE AND METHOD FOR PRODUCING SAME | 12 |
Takatomo Kawamukai | JP | Gunma | 2011-03-24 / 20110067423 - Defrost timer for refrigerator and refrigerator | 1 |
Makoto Kawamukai | JP | Shimane | 2009-05-21 / 20090130727 - METHOD OF EXPRESSING LONG-CHAIN PRENYL DIPHOSPHATE SYNTHASE | 1 |
Emiko Kawamukai | JP | Osaka | 2011-12-01 / 20110293741 - ACIDIC OXIDANT-CONTAINING COMPOSITION HAVING ALUMINUM CORROSION-SUPPRESSING EFFECT AND USE THEREOF | 1 |
Hirotaka Kawamukai | JP | Tsuchiura-Shi | 2012-04-05 / 20120079810 - Exhaust Assembly for Construction Machine | 1 |
Takashi Kawamukai | JP | Tokyo | 2013-10-17 / 20130269898 - DEVICE FOR PRODUCING FIBROUS SHEET | 5 |
Emiko Kawamukai | JP | Kashiwara-Shi | 2014-01-30 / 20140030357 - ACIDIC OXIDANT-CONTAINING COMPOSITION HAVING ALUMINUM CORROSION-SUPPRESSING EFFECT AND USE THEREOF | 1 |
Etsuko Kawamukai | JP | Tokyo | 2015-02-05 / 20150034925 - ORGANIC LIGHT EMITTING DIODE, MANUFACTURING METHOD FOR ORGANIC LIGHT EMITTING DIODE, IMAGE DISPLAY DEVICE, AND ILLUMINATION DEVICE | 3 |
Ryohhei Kawamuki | JP | Osaka-Shi | 2015-10-01 / 20150276605 - FLUORESCENCE DETECTION DEVICE | 2 |
Ryohhei Kawamuki | JP | Nara | 2010-09-30 / 20100246034 - Lens Unit and Lens Driving Apparatus | 1 |
Ryohei Kawamuki | JP | Tenri-Shi | 2010-01-07 / 20100001175 - IMAGING DEVICE | 1 |
Yoshihiro Kawamura | JP | Akiruno-Shi | 2009-11-12 / 20090280361 - POWER SUPPLY SYSTEM AND METHOD OF CONTROLLING THE SAME | 1 |
Michihiko Kawamura | JP | Oita | 2014-01-30 / 20140030891 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Yoshihiro Kawamura | JP | Shizuoka | 2015-10-08 / 20150285851 - INSULATION DETECTING DEVICE | 4 |
Hideo Kawamura | JP | Chiyoda-Ku | 2015-12-10 / 20150358576 - MONITORING SYSTEM AND MONITORING CAMERA | 2 |
Yoshihiro Kawamura | JP | Shizuoka-Ken | 2013-01-03 / 20130002018 - HYBRID CIRCUIT | 3 |
Nao Kawamura | JP | Aichi | 2009-01-29 / 20090027623 - Optical apparatus and projection display system | 1 |
Yoshihiro Kawamura | JP | Tokyo | 2014-09-25 / 20140289628 - NOTIFICATION CONTROL APPARATUS FOR IDENTIFYING PREDETERMINED FRAME IN MOVING IMAGE | 4 |
Kazuhiro Kawamura | JP | Akita-Shi | 2014-06-26 / 20140179677 - THERAPEUTIC AGENT FOR ECTOPIC PREGNANCY | 1 |
Kazuhiro Kawamura | JP | Anjo-Shi | 2013-01-24 / 20130019437 - ASSIST GRIP | 3 |
Yuuki Kawamura | JP | Osaka | 2014-10-23 / 20140313733 - LIGHT SOURCE DEVICE AND DISPLAY DEVICE | 8 |
Masanobu Kawamura | JP | Kanagawa | 2013-10-24 / 20130283024 - DATA PROCESSOR AND CONTROL SYSTEM | 1 |
Daisuke Kawamura | JP | Chiba-Ken | 2009-05-07 / 20090115978 - METHOD FOR TREATING SUBSTRATE, METHOD FOR CONVEYING SUBSTRATE, AND APPARATUS FOR CONVEYING SUBSTRATE | 1 |
Atsunori Kawamura | JP | Kiyosu-Shi | 2008-11-27 / 20080289261 - POLISHING COMPOSITION AND POLISHING METHOD | 1 |
Ryo Kawamura | JP | Osaka | 2015-12-31 / 20150378250 - LIGHT PROJECTION APPARATUS AND ILLUMINATION APPARATUS USING SAME | 8 |
Toshimi Kawamura | JP | Shizuoka | 2012-05-17 / 20120118259 - Lubricating Oil Composition | 2 |
Kenji Kawamura | JP | Kamakura | 2014-10-02 / 20140296471 - DIOL COMPOSITION AND POLYESTER | 2 |
Hiroshi Kawamura | JP | Iwata-Shi | 2012-08-02 / 20120192636 - WHEEL BEARING WITH SENSOR | 15 |
Kazushige Kawamura | JP | Kariya-Shi | 2015-05-14 / 20150130229 - SUNROOF DEVICE | 2 |
Sakae Kawamura | JP | Chiba | 2015-10-29 / 20150307467 - NOVEL NORBORNENE DERIVATIVE | 1 |
Kazushige Kawamura | JP | Yokohama-Shi | 2015-10-22 / 20150298056 - DESULFURIZATION METHOD AND DEVICE FOR SULFURIC ACID PRODUCTION DEVICE | 3 |
Sakiko Kawamura | JP | Tokyo | 2015-09-17 / 20150258066 - METHOD FOR PRODUCING FINE POWDER AND THE FINE POWDER PRODUCED BY THE SAME | 2 |
Yukihiro Kawamura | JP | Shiga | 2016-05-05 / 20160126642 - Terminal, crimp terminal, wire harness, and method for manufacturing crimp terminal | 18 |
Megumi Kawamura | JP | Kanagawa | 2016-02-11 / 20160043601 - PERMANENT-MAGNET AC POWER GENERATOR | 1 |
Toshiki Kawamura | JP | Niiza-Shi | 2012-10-11 / 20120258383 - FUEL CELL METAL SEPARATOR AND NOBLE METAL COATING METHOD THEREFOR | 2 |
Daisuke Kawamura | JP | Kanagawa | 2011-05-05 / 20110102755 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND EXPOSURE APPARATUS | 2 |
Akane Kawamura | GB | Abingdon | 2010-12-16 / 20100317690 - TREATMENT OF PROTEIN FOLDING DISORDERS | 1 |
Takayuki Kawamura | JP | Mie | 2015-04-16 / 20150105304 - GREASE COMPOSITION,GREASE-PACKED BEARING, UNIVERSAL JOINT FOR PROPELLER SHAFT, LUBRICATING OIL COMPOSITION, AND OIL-IMPREGNATED SINTERED BEARING | 9 |
Hideo Kawamura | JP | Kanagawa | 2016-02-11 / 20160043601 - PERMANENT-MAGNET AC POWER GENERATOR | 2 |
Tetsuya Kawamura | JP | Tokyo | 2012-06-14 / 20120147175 - METHOD FOR PRODUCING COMPOSITE MATERIAL COMPONENTS, DEVICE FOR PRODUCING COMPOSITE MATERIAL COMPONENTS, AND INSPECTION DEVICE | 2 |
Koji Kawamura | JP | Aichi-Ken | 2013-08-08 / 20130200596 - AIRBAG APPARATUS | 1 |
Kazushige Kawamura | JP | Kanagawa | 2016-05-05 / 20160127590 - SHEET CONVEYANCE DEVICE | 3 |
Tetsu Kawamura | JP | Naha-Shi | 2014-02-20 / 20140052479 - ESTIMATING INSURANCE RISKS AND COSTS | 1 |
Takuya Kawamura | JP | Kawasaki-Shi | 2013-03-28 / 20130077878 - IMAGE RELAY APPARATUS AND IMAGE RELAY METHOD | 3 |
Hiromi Kawamura | JP | Hiroshima | 2009-12-24 / 20090315667 - Portable terminal | 3 |
Joji Kawamura | JP | Kitaadachi-Gun | 2016-01-28 / 20160024382 - LIQUID CRYSTAL COMPOSITION, LIQUID CRYSTAL DISPLAY ELEMENT AND LIQUID CRYSTAL DISPLAY | 3 |
Kazuya Kawamura | JP | Kawasaki-Shi | 2008-12-04 / 20080296745 - SEMICONDUCTOR DEVICE HAVING SEMICONDUCTOR CHIP AND ANTENNA | 1 |
Kiyomi Kawamura | JP | Osaka | 2015-12-24 / 20150372572 - METHOD FOR MANUFACTURING LAMINATED IRON CORE | 12 |
Kenji Kawamura | JP | Amagasaki-Shi | 2012-04-19 / 20120091999 - INSULATED PROBE PIN AND METHOD FOR FABRICATING THE SAME | 1 |
Daiki Kawamura | JP | Saitama-Shi | 2011-12-15 / 20110304923 - ZOOM LENS AND IMAGING APPARATUS | 3 |
Yohsuke Kawamura | JP | Kanagawa | 2013-06-27 / 20130162757 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 1 |
Takashi Kawamura | JP | Yamatokooriyama-Shi | 2013-12-05 / 20130323390 - WATER ACTIVITY REDUCING AGENT, FOOD PRODUCT INCLUDING THE AGENT, AND WATER ACTIVITY REDUCING METHOD | 1 |
Youichi Kawamura | JP | Tosu-Shi | 2011-11-03 / 20110269794 - External Preparation for Athlete's Foot Treatment | 3 |
Nobuo Kawamura | JP | Atsugi | 2013-06-20 / 20130159303 - COMPUTER SYSTEM, DATA RETRIEVAL METHOD AND DATABASE MANAGEMENT COMPUTER | 15 |
Morinobu Kawamura | JP | Wako-Shi | 2014-04-03 / 20140093200 - SEALING DEVICE FOR AXLE BEARING | 2 |
Hisayuki Kawamura | JP | Sodegaura-Shi | 2010-11-04 / 20100277063 - FLUORENE-BASED DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE EMPLOYING THE SAME | 7 |
Kazushige Kawamura | JP | Tokyo | 2009-12-24 / 20090316225 - Image reader and image forming apparatus including the image reader | 2 |
Nobuhiro Kawamura | JP | Kawasaki-Shi | 2009-07-16 / 20090180140 - INFORMATION PROCESSING APPARATUS, PRINT SETTING METHOD, AND STORAGE MEDIUM | 1 |
Kohta Kawamura | JP | Yokohama | 2015-01-29 / 20150030438 - Axial Compressor | 1 |
Kazushige Kawamura | JP | Sagamihara-Shi | 2009-06-25 / 20090162082 - Image forming apparatus | 3 |
Masafumi Kawamura | JP | Tokyo-To | 2009-04-16 / 20090099263 - Organ-adhesion preventing agent and process for preventing adhesion using thereof | 1 |
Hisayuki Kawamura | JP | Chiba-Ken | 2012-06-21 / 20120153815 - ORGANIC ELECTROLUMINESCENCE DEVICE | 3 |
Hisayuki Kawamura | JP | Chiba | 2014-03-20 / 20140077200 - AROMATIC AMINE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME | 11 |
Takako Kawamura | JP | Tokyo | 2013-10-24 / 20130280650 - TONER FOR ELECTROSTATIC IMAGE DEVELOPMENT | 1 |
Yusuke Kawamura | JP | Tokyo | 2016-01-07 / 20160002062 - PROCESS FOR PRODUCING HEAT-RESISTANT ALUMINUM HYDROXIDE | 1 |
Daisuke Kawamura | JP | Aichi | 2014-12-04 / 20140359292 - ELECTRONIC KEY REGISTRATION METHOD AND ELECTRONIC KEY REGISTRATION SYSTEM | 15 |
Kohtaro Kawamura | JP | Tokyo | 2014-12-04 / 20140352820 - VACUUM PUMP WITH ABATEMENT FUNCTION | 5 |
Koji Kawamura | JP | Yokohama-Shi | 2014-12-25 / 20140375368 - SIGNAL PROCESSING APPARATUS AND SIGNAL PROCESSING METHOD | 2 |
Fumio Kawamura | JP | Osaka | 2014-01-30 / 20140030549 - GROUP III ELEMENT NITRIDE CRYSTAL PRODUCING METHOD AND GROUP-III ELEMENT NITRIDE CRYSTAL | 15 |
Akiko Kawamura | JP | Yokohama-Shi | 2015-03-05 / 20150065593 - POLYURETHANE FOAM FOR SEAT PAD | 1 |
Saiki Kawamura | JP | Kawasaki | 2011-06-02 / 20110131255 - WIRELESS BASE STATION DEVICE AND OPERATION INFORMATION COLLECTION METHOD FOR THE SAME | 1 |
Daichi Kawamura | JP | Mito | 2013-04-25 / 20130101251 - Optical Module and Multilayer Substrate | 1 |
Norihiro Kawamura | JP | Hokkaido | 2015-03-26 / 20150086780 - HEAT CONDUCTING SHEET | 4 |
Norihiro Kawamura | JP | Nara-Ken | 2010-08-12 / 20100204213 - ARYLSULFONAMIDE DERIVATIVES FOR USE AS CCR3 ANTAGONISTS IN THE TREATMENT OF INFLAMMATORY AND IMMUNOLOGICAL DISORDERS | 1 |
Daigo Kawamura | JP | Toyota-Shi | 2011-08-18 / 20110197856 - FUEL DELIVERY PIPE WITH DAMPER FUNCTION | 1 |
Takahiro Kawamura | JP | Kanagawa | 2016-03-31 / 20160093030 - RADIOGRAPHIC IMAGE PROCESSING DEVICE, RADIOGRAPHIC IMAGE PROCESSING METHOD, AND RECORDING MEDIUM | 9 |
Yukihiro Kawamura | JP | Inukami-Gun | 2015-11-26 / 20150340772 - CRIMP TERMINAL, METHOD OF MANUFACTURING CRIMP TERMINAL, ELECTRICAL WIRE CONNECTION STRUCTURE, AND METHOD OF MANUFACTURING ELECTRICAL WIRE CONNECTION STRUCTURE | 4 |
Shunichi Kawamura | JP | Osaka-Shi | 2015-07-30 / 20150211762 - HEAT SOURCE SYSTEM CONTROL DEVICE | 1 |
Naoto Kawamura | JP | Kawasaki-Shi | 2012-07-19 / 20120182585 - IMAGE PROCESSING APPARATUS AND METHOD THEREOF | 8 |
Hiroe Kawamura | JP | Inabe | 2013-08-01 / 20130196482 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Tomoto Kawamura | JP | Yokohama | 2014-04-10 / 20140098349 - LIGHT SOURCE UNIT AND PROJECTION DISPLAY SYSTEM USING SAME | 13 |
Motoshi Kawamura | JP | Kitakatsuragi-Gun | 2015-04-16 / 20150104126 - CONICAL ROLLER BEARING | 2 |
Motoi Kawamura | JP | Kyoto | 2011-03-10 / 20110057280 - COLOR IMAGING DEVICE AND COLOR IMAGING DEVICE FABRICATING METHOD | 1 |
Tatsurou Kawamura | JP | Kyoto | 2016-03-17 / 20160073938 - SENSOR CHIP, DETECTION METHOD, AND DETECTION APPARATUS | 12 |
Mitsuya Kawamura | JP | Wako-Shi | 2015-03-05 / 20150061334 - SEAT SUPPORT STRUCTURE FOR SADDLE-RIDING TYPE VEHICLE HAVING RIDER'S SEAT AND SEPARATE PILLION SEAT | 4 |
Tomomi Kawamura | JP | Ibaraki | 2011-08-11 / 20110195239 - FILM HAVING LOW REFRACTIVE INDEX FILM AND METHOD FOR PRODUCING THE SAME, ANTI-RELECTION FILM AND METHOD FOR PRODUCING THE SAME, COATING LIQUID SET FOR LOW REFRACTIVE INDEX FILM, SUBSTRATE HAVING MICROPARTICLE-LAMINATED THIN FILM AND METHOD FOR PRODUCING THE SAME, AND OPTICAL MEMBER | 1 |
Yoshiyuki Kawamura | JP | Fukuoka | 2012-02-02 / 20120028158 - SOLID OXIDE FUEL CELL | 7 |
Ban Kawamura | JP | Tokyo | 2015-07-16 / 20150201145 - COMMUNICATION SYSTEM, TRANSMISSION APPARATUS, TRANSMISSION METHOD, RECEPTION APPARATUS AND RECEPTION METHOD | 8 |
Tomoaki Kawamura | JP | Yokohama | 2008-10-16 / 20080252753 - Image-capturing apparatus | 1 |
Youichi Kawamura | JP | Tsukubamirai-Shi | 2009-06-04 / 20090140196 - FLUID PRESSURE DEVICE AND MANUFACTURING METHOD FOR FLUID PRESSURE DEVICE | 3 |
Kunimasa Kawamura | JP | Mishima-Shi | 2014-03-13 / 20140072350 - DEVELOPING MEMBERINCLUDING ELASTIC MEMBER CONTAINING CURED PRODUCT OF ADDITION -CURING SILICONE RUBBER MIXTURE. PROCESSING CARTRIDGE INCLUDING THE DEVELOPING MEMBER, AND ELECTROPHOTOGRAPHIC APPARATUS INCLUDING THE DEVELOPING MEMBER | 8 |
Munetoshi Kawamura | JP | Kochi-Shi, Kochi | 2015-12-10 / 20150351446 - PRESERVATION TREATMENT APPARATUS FOR MATERIAL CONTAINING OXIDE | 1 |
Mikio Kawamura | JP | Tokyo | 2014-04-24 / 20140109793 - METHOD OF SUPPLYING Zn-Al ALLOY TO MOLTEN ZINC POT, METHOD OF ADJUSTING CONCENTRATION OF Al IN MOLTEN ZINC BATH, AND APPARATUS FOR SUPPLYING Zn-Al ALLOY TO MOLTEN ZINC POT | 2 |
Teruo Kawamura | JP | Yokosuka-Shi | 2014-01-16 / 20140016599 - BASE STATION APPARATUS AND METHOD FOR IMPROVING CHANNEL ESTIMATION ACCURACY IN UPLINK | 10 |
Fumio Kawamura | JP | Suita-City | 2010-01-21 / 20100012020 - METHOD FOR MANUFACTURING NITRIDE SINGLE CRYSTAL | 8 |
Keiko Kawamura | JP | Kanagawa | 2014-12-25 / 20140374791 - SEMICONDUCTOR DEVICE | 1 |
Yasunori Kawamura | JP | Kyoto | 2012-09-27 / 20120244724 - ION IMPLANTATION METHOD | 2 |
Yukihiro Kawamura | JP | Lnukami-Gun | 2014-12-25 / 20140374155 - METHOD OF MANUFACTURING ELECTRICAL WIRE CONNECTING STRUCTURE AND ELECTRICAL WIRE CONNECTING STRUCTURE | 1 |
Shinji Kawamura | JP | Saitama | 2012-05-17 / 20120124571 - ONLINE UPDATE METHOD FOR VEHICLE-MOUNTED DEVICE | 1 |
Shoichi Kawamura | JP | Yokohama | 2011-06-30 / 20110157960 - Nonvolatile Memory Devices and Related Methods and Systems | 4 |
Akira Kawamura | JP | Kawasaki | 2010-12-16 / 20100318389 - BUSINESS FLOW PROCESSING METHOD AND APPARATUS | 2 |
Akira Kawamura | JP | Yokohama-Shi | 2011-02-24 / 20110044308 - WIRELESS COMMUNICATION TERMINAL AND WIRELESS COMMUNICATION METHOD | 2 |
Tateki Kawamura | JP | Inazawa-Shi | 2013-08-22 / 20130214112 - SEAT SLIDE APPARATUS FOR VEHICLE | 3 |
Akira Kawamura | JP | Kanagawa | 2013-04-18 / 20130094386 - COMMUNICATION TERMINAL DEVICE AND COMMUNICATION METHOD | 5 |
Nahoko Kawamura | JP | Kobe-Shi | 2012-11-22 / 20120296459 - AUDIO APPARATUS | 3 |
Akira Kawamura | JP | Chiba | 2009-08-20 / 20090209003 - MUTANT PCNA | 1 |
Mizuho Kawamura | JP | Shizuoka | 2010-03-18 / 20100068738 - Method of Immunoassaying A Component to be Measured | 1 |
Akira Kawamura | JP | Saitama | 2009-08-13 / 20090199551 - EXHAUST MANIFOLD | 1 |
Shuhei Kawamura | JP | Shiga | 2009-11-12 / 20090278593 - Semiconductor circuit board and semiconductor circuit | 2 |
Koichi Kawamura | JP | Kanagawa-Ken | 2011-01-13 / 20110008704 - FUEL CELL | 11 |
Norifumi Kawamura | JP | Kyoto | 2011-02-03 / 20110025197 - ORGANIC EL DEVICE | 1 |
Tomoto Kawamura | JP | Yokohama | 2014-04-10 / 20140098349 - LIGHT SOURCE UNIT AND PROJECTION DISPLAY SYSTEM USING SAME | 13 |
Shin-Ichi Kawamura | JP | Osaka-Shi | 2012-12-20 / 20120321512 - HEAT EXCHANGER AND HEAT-EXCHANGER-INTEGRATED OXYGENATOR | 2 |
Yoshiyuki Kawamura | JP | Kobe | 2009-02-05 / 20090037219 - Measurement results managing method, system, and apparatus | 1 |
Ayumu Kawamura | JP | Tokyo | 2015-10-29 / 20150312480 - IMAGE PICKUP APPARATUS HAVING WIRELESS TRANSMITTER-RECEIVER UNIT | 4 |
Hiromu Kawamura | JP | Takarazuka-Shi | 2011-07-28 / 20110182567 - STROBE DEVICE | 1 |
Daisuke Kawamura | JP | Yokohama-Shi | 2014-08-21 / 20140232032 - LITHOGRAPHY ORIGINAL CHECKING DEVICE, LITHOGRAPHY ORIGINAL CHECKING METHOD, AND PATTERN DATA CREATING METHOD | 8 |
Atsushi Kawamura | JP | Yokohama | 2015-11-05 / 20150317097 - DE-DUPLICATION IN FLASH MEMORY MODULE | 10 |
Mihoko Kawamura | JP | Ibaraki | 2008-09-04 / 20080213269 - Preventive and/or Treating Agent for Cancer | 1 |
Masanobu Kawamura | JP | Yokohama-Shi | 2015-12-17 / 20150365176 - PROCESS TO ASSEMBLE OPTICAL RECEIVER MODULE | 4 |
Shoji Kawamura | JP | Osaka | 2013-12-26 / 20130343469 - 3D VIDEO DECODING APPARATUS AND 3D VIDEO DECODING METHOD | 4 |
Kohei Kawamura | JP | Osaka | 2016-03-31 / 20160088888 - FIBER FOR ARTIFICIAL HAIR AND HAIR ORNAMENT PRODUCT INCLUDING SAME | 2 |
Akie Kawamura | JP | Osaka | 2016-04-14 / 20160102045 - METHOD FOR SYNTHESIZING OPTICALLY ACTIVE a-AMINO ACID USING CHIRAL METAL COMPLEX COMPRISING AXIALLY CHIRAL N-(2-ACYLARYL)-2-[5,7-DIHYDRO-6H-DIBENZO[c,e]AZEPIN-6-YL] ACETAMIDE COMPOUND AND AMINO ACID | 2 |
Kenichiro Kawamura | JP | Kyoto | 2016-03-17 / 20160076537 - VALVE AND FLUID CONTROL APPARATUS | 1 |
Noriko Kawamura | JP | Saitama | 2013-05-09 / 20130113965 - IMAGING APPARATUS AND IMAGING METHOD | 3 |
Yoshihito Kawamura | JP | Kumamoto-Shi | 2015-01-22 / 20150023836 - HIGH STRENGTH AND HIGH TOUGHNESS METAL AND METHOD OF PRODUCING THE SAME | 4 |
Kazunari Kawamura | JP | Akishima-Shi | 2013-06-27 / 20130166847 - INFORMATION PROCESSING APPARATUS AND CACHE CONTROL METHOD | 3 |
Tom Kawamura | US | Plano | 2016-04-07 / 20160099581 - BATTERY CHARGER WITH USER INTERFACE | 4 |
Masayuki Kawamura | JP | Yokkaichi-City | 2014-12-18 / 20140367157 - SHIELD SHELL AND SHIELD CONNECTOR | 7 |
Masayuki Kawamura | JP | Mie | 2015-11-05 / 20150318654 - MANUFACTURING METHOD OF WIRE WITH TERMINAL AND WIRE WITH TERMINAL | 1 |
Hideki Kawamura | JP | Yokohama-Shi | 2011-10-20 / 20110255043 - REFLECTIVE LIQUID-CRYSTAL DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF | 1 |
Atsushi Kawamura | JP | Tokyo | 2015-12-31 / 20150378816 - STORAGE APPARATUS, STORAGE SYSTEM, AND STORAGE APPARATUS CONTROL METHOD | 8 |
Masahiro Kawamura | JP | Tokyo | 2014-10-30 / 20140322058 - SCREW COMPRESSOR | 2 |
Hiroshi Kawamura | JP | Yokohama-Shi | 2012-11-15 / 20120288240 - OPTICAL TRANSCEIVER WITH FINGER TIGHTLY FASTENED TO HOUSING | 1 |
Yasunori Kawamura | JP | Osaka | 2011-10-06 / 20110243613 - IMAGE FORMING APPARATUS | 1 |
Takuya Kawamura | JP | Kanagawa-Ken | 2013-09-26 / 20130249921 - SERVER, SCREEN TRANSFER SYSTEM, AND SCREEN TRANSFER METHOD | 4 |
Yoshihisa Kawamura | JP | Kanagawa-Ken | 2012-09-27 / 20120242002 - TEMPLATE, SURFACE PROCESSING METHOD OF TEMPLATE, SURFACE PROCESSING APPARATUS OF TEMPLATE, AND PATTERN FORMATION METHOD | 8 |
Yasushi Kawamura | JP | Tokyo | 2011-08-11 / 20110195880 - GREASE COMPOSITION | 1 |
Chikara Kawamura | JP | Hiroshima | 2012-05-17 / 20120119546 - FRAME STRUCTURE FOR VEHICLE | 2 |
Kunimasa Kawamura | JP | Suntou-Gun | 2012-08-02 / 20120195649 - REGENERATED ELASTIC ROLLER MANUFACTURING PROCESS, REGENERATED ELASTIC ROLLER, ELECTROPOHOTOGRAPHIC PROCESS CARTRIDGE, AND ELECTROPOHOTOGRAPHIC IMAGE FORMING APPARATUS | 3 |
Kenshin Kawamura | JP | Osaka | 2010-12-23 / 20100320308 - WINDER | 1 |
Akane Kawamura | GB | Oxford | 2011-09-29 / 20110237538 - TREATMENT OF LYSOSOMAL STORAGE DISORDERS AND OTHER PROTEOSTATIC DISEASES | 2 |
Ryo Kawamura | JP | Osaka | 2015-12-31 / 20150378250 - LIGHT PROJECTION APPARATUS AND ILLUMINATION APPARATUS USING SAME | 8 |
Takeshi Kawamura | JP | Mishima-Shi | 2013-12-26 / 20130343788 - IMAGE FORMING APPARATUS | 9 |
Rikuo Kawamura | JP | Kyoto | 2015-02-26 / 20150053851 - OPTICAL ENCODER LIGHT SHIELDING PLATE, PRODUCING METHOD THEROF, AND OPTICAL ENCODER PROVIDED THEREWITH | 1 |
Joji Kawamura | JP | Kita-Adachi-Gun | 2016-05-05 / 20160122646 - LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY ELEMENT USING SAME | 18 |
Kazunori Kawamura | JP | Ibaraki-Shi | 2016-01-07 / 20160005912 - CIGS FILM PRODUCTION METHOD, AND CIGS SOLAR CELL PRODUCTION METHOD USING THE CIGS FILM PRODUCTION METHOD | 11 |
Takahiro Kawamura | JP | Tokyo | 2015-03-05 / 20150066931 - INFORMATION PROCESSING APPARATUS AND METHOD | 8 |
Yusuke Kawamura | JP | Sunto-Gun Shizuoka-Ken | 2014-12-18 / 20140366857 - SECONDARY AIR SUPPLY DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Akihide Kawamura | JP | Ebina-Shi | 2009-05-21 / 20090129820 - DEVELOPMENT APPARATUS AND IMAGE FORMING APPARATUS | 4 |
Shoichiro Kawamura | JP | Osaka | 2012-03-08 / 20120055729 - Work Vehicle having Engine and Belt-Type Continuously Variable Transmission Device | 3 |
Kohta Kawamura | JP | Tokyo | 2014-06-26 / 20140178176 - Axial Compressor and Operation Method of the Same | 1 |
Daisuke Kawamura | JP | Matsudo-Shi | 2010-04-01 / 20100081091 - METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Toru Kawamura | JP | Osaka | 2008-11-20 / 20080287438 - Agent for preventing or treating neuropathy | 1 |
Daisuke Kawamura | JP | Tokyo | 2014-02-06 / 20140040831 - DISPLAY CONTROL DEVICE, DISPLAY CONTROL METHOD, AND PROGRAM | 5 |
Kensuke Kawamura | JP | Nara | 2009-01-08 / 20090009859 - Circular Dichroism Fluorescent Microscope | 1 |
Yasutaka Kawamura | JP | Atsugi-Shi | 2011-09-22 / 20110230285 - CONTROL DEVICE AND CONTROL METHOD FOR AUTOMATIC TRANSMISSION | 1 |
Shin-Ichi Kawamura | JP | Kawasaki-Shi | 2010-10-14 / 20100260515 - ELECTROPHOTOGRAPHIC IMAGE FORMING APPARATUS AND PROCESS CARTRIDGE | 1 |
Taro Kawamura | JP | Ibaraki-Ken | 2011-06-23 / 20110146340 - Method of recovering carbon dioxide from gas and apparatus therefor | 1 |
Misuzu Kawamura | JP | Osaka | 2010-08-19 / 20100208881 - COMMUNICATION TERMINAL | 1 |
Hideaki Kawamura | JP | Kanagawa | 2014-04-17 / 20140104651 - PRINTING APPARATUS AND CONTROL METHOD THEREOF, AND PRINTING SYSTEM | 2 |
Chie Kawamura | JP | Takasaki-Shi | 2013-12-26 / 20130343983 - LITHIUM-TITANIUM COMPLEX OXIDE AND MANUFACTURING METHOD THEREOF, AND BATTERY ELECTRODE USING SAME | 7 |
Takeya Kawamura | JP | Tokyo | 2012-05-10 / 20120116642 - TRAVELING ASSISTANT SYSTEM FOR VEHICLES WITHOUT CONTACT WIRE | 1 |
Kazue Kawamura | JP | Kawasaki-Shi | 2010-05-06 / 20100112647 - METHOD FOR PRODUCING AN ACIDIC SUBSTANCE HAVING A CARBOXYL GROUP | 2 |
Keita Kawamura | JP | Kanagawa | 2012-11-15 / 20120290769 - FLASH MEMORY DEVICE, MEMORY CONTROL DEVICE, MEMORY CONTROL METHOD, AND STORAGE SYSTEM | 3 |
Munenori Kawamura | JP | Toshima-Ku | 2013-11-14 / 20130298897 - SOLAR HEAT COLLECTING DEVICE | 1 |
Hidetaka Kawamura | JP | Yokohama-Shi | 2015-10-22 / 20150299487 - INK, INK CARTRIDGE, AND IMAGE-RECORDING METHOD | 5 |
Teruo Kawamura | JP | Tokyo | 2015-06-25 / 20150181591 - MOBILE TERMINAL APPARATUS AND METHOD OF TRANSMITTING AN UPLINK CONTROL INFORMATION SIGNAL | 14 |
Shinichi Kawamura | JP | Tokyo | 2014-06-26 / 20140181508 - COMMUNICATION DEVICE AND COMPUTER PROGRAM PRODUCT | 2 |
Kaori Kawamura | JP | Sakura-Shi | 2013-04-18 / 20130095320 - COMPOSITE OF ORGANIC COMPOUND AND COPPER NANOPARTICLES, COMPOSITE OF ORGANIC COMPOUND AND COPPER(I) OXIDE NANOPARTICLES, AND METHODS FOR PRODUCING THE COMPOSITES | 2 |
Takashi Kawamura | JP | Saitama-Shi | 2011-07-28 / 20110180725 - FLUOROMETRIC APPARATUS, FLUOROMETRIC METHOD, CONTAINER FOR FLUOROMETRY, AND METHOD OF MANUFACTURING CONTAINER FOR FLUOROMETRY | 1 |
Katsuyuki Kawamura | JP | Tokyo | 2012-03-15 / 20120062772 - IMAGING SYSTEMS WITH COLUMN RANDOMIZING CIRCUITS | 1 |
Kohei Kawamura | JP | Yamanashi | 2010-02-11 / 20100032844 - INTERLAYER INSULATING FILM, WIRING STRUCTURE AND ELECTRONIC DEVICE AND METHODS OF MANUFACTURING THE SAME | 3 |
Kenji Kawamura | JP | Tokyo | 2015-01-29 / 20150027594 - THIN STEEL SHEET AND PROCESS FOR PRODUCING THE SAME | 5 |
Hiroyuki Kawamura | JP | Yamanashi | 2013-05-30 / 20130134919 - MOTOR CONTROL APPARATUS FOR SYNCHRONOUSLY CONTROLLING MASTER AXIS AND SLAVE AXIS | 3 |
Motoshi Kawamura | JP | Nara | 2011-06-02 / 20110129175 - CLUTCH RELEASE BEARING | 1 |
Mao Kawamura | JP | Chiyoda-Ku | 2013-12-26 / 20130342166 - VEHICLE CHARGE SYSTEM AND VEHICLE CHARGE METHOD | 1 |
Daisuke Kawamura | JP | Hokkaido | 2013-07-25 / 20130189231 - COMPOSITION FOR TREATMENT OF CARTILAGE DISEASE | 3 |
Takayuki Kawamura | JP | Osaka | 2010-12-23 / 20100321783 - METHOD OF MAKING ANTIREFLECTIVE ROUGHENED SURFACE AND LENS BARREL WITH ROUGHENED SURFACE MADE BY THE METHOD | 1 |
Shigeru Kawamura | JP | Yamanashi | 2011-03-10 / 20110058157 - METHOD OF HELPING PARTICLE DETECTION, METHOD OF PARTICLE DETECTION,APPARATUS FOR HELPING PARTICLE DETECTION,AND SYSTEM FOR PARTICLE DETECTION | 5 |
Shinichi Kawamura | JP | Kawasaki-Shi | 2011-05-12 / 20110111335 - ELECTROPHOTOGRAPHIC PHOTOCONDUCTOR, IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND PROCESS CARTRIDGE | 1 |
Hideaki Kawamura | JP | Tokyo | 2015-12-24 / 20150366754 - MEDICAL CONTAINER | 13 |
Takeshi Kawamura | JP | Kanagawa | 2016-03-17 / 20160079294 - IMAGE PICKUP DEVICE AND METHOD OF MANUFACTURING THE SAME | 5 |
Kenji Kawamura | JP | Yokohama | 2009-11-26 / 20090289730 - DIGITAL PHASE DETECTOR AND PHASE-LOCKED LOOP | 1 |
Kiyotaka Kawamura | JP | Yamaguchi | 2015-11-05 / 20150315086 - COLORED TRANSLUCENT ZIRCONIA SINTERED BODY AND ITS USE | 2 |
Masanobu Kawamura | JP | Kawasaki-Shi | 2015-09-03 / 20150248362 - DATA PROCESSOR AND CONTROL SYSTEM | 2 |
Hideaki Kawamura | JP | Tokyo | 2015-12-24 / 20150366754 - MEDICAL CONTAINER | 13 |
Maki Kawamura | JP | Osaka | 2010-04-22 / 20100098756 - ORAL DISINTEGRATING TABLET | 1 |
Kunimune Kawamura | JP | Tokyo | 2011-07-28 / 20110180054 - Two-Stroke Internal Combustion Engine and Its Scavenging Method | 1 |
Masafumi Kawamura | JP | Tokyo | 2011-07-28 / 20110184401 - CRYOTHERAPY PLANNING DEVICE AND CRYOTHERAPY DEVICE | 1 |
Kiyomi Kawamura | JP | Fukui | 2010-09-02 / 20100218365 - MANUFACTURING METHOD OF RARE EARTH-IRON RING MAGNET WITH CONTINUOUS ORIENTATION CONTROLLED ANISOTROPY | 1 |
Joji Kawamura | JP | Saitama | 2016-01-28 / 20160024383 - LIQUID CRYSTAL COMPOSITION, AND LIQUID CRYSTAL DISPLAY ELEMENT USING THE SAME | 6 |
Daisuke Kawamura | JP | Aichi | 2014-12-04 / 20140359292 - ELECTRONIC KEY REGISTRATION METHOD AND ELECTRONIC KEY REGISTRATION SYSTEM | 15 |
Yoshihisa Kawamura | JP | Kanagawa-Ken | 2012-09-27 / 20120242002 - TEMPLATE, SURFACE PROCESSING METHOD OF TEMPLATE, SURFACE PROCESSING APPARATUS OF TEMPLATE, AND PATTERN FORMATION METHOD | 8 |
Kazumori Kawamura | JP | Chiba-Shi, Chiba | 2016-01-07 / 20160001278 - CATALYST FOR OLEFIN MULTIMERIZATION AND METHOD FOR PRODUCING OLEFIN MULTIMER IN PRESENCE OF CATALYST FOR OLEFIN MULTIMERIZATION | 2 |
Chikara Kawamura | JP | Hiratsuka | 2012-10-18 / 20120264874 - DISPERSION AND AQUEOUS COATING COMPOSITION COMPRISING THE DISPERSION | 1 |
Nobuo Kawamura | JP | Sakura-Shi | 2009-02-05 / 20090035963 - Semiconductor device socket | 1 |
Nobuo Kawamura | JP | Fukaya-Shi | 2008-10-09 / 20080247785 - PATTERN FORMING APPARATUS AND PATTERN FORMING METHOD | 1 |
Nobuo Kawamura | JP | Kumagaya-Shi | 2014-04-10 / 20140098546 - LIGHTING DEVICE | 7 |
Kazumori Kawamura | JP | Chiba-Shi | 2011-04-07 / 20110082325 - TRANSITION METAL COMPLEX COMPOUNDS, OLEFIN OLIGOMERIZATION CATALYSTS INCLUDING THE COMPOUNDS, AND PROCESSES FOR PRODUCING OLEFIN OLIGOMERS USING THE CATALYSTS | 1 |
Kohei Kawamura | US | Hillsboro | 2010-10-21 / 20100264115 - PLACING BED STRUCTURE, TREATING APPARATUS USING THE STRUCTURE, AND METHOD FOR USING THE APPARATUS | 6 |
Takaki Kawamura | JP | Tokyo | 2016-02-25 / 20160054669 - IMAGE FORMATION METHOD, TONER SET, AND WHITE TONER | 14 |
Shunji Kawamura | JP | Yokohama-Shi | 2013-12-26 / 20130346723 - METHOD AND APPARATUS TO PROTECT DATA INTEGRITY | 1 |
Hideaki Kawamura | JP | Kanagawa-Ken | 2012-06-14 / 20120151392 - SYSTEM CONTROL METHOD AND SYSTEM CONTROL APPARATUS | 2 |
Yoshifumi Kawamura | JP | Kanagawa | 2012-04-05 / 20120084482 - SEMICONDUCTOR DATA PROCESSING DEVICE AND DATA PROCESSING SYSTEM | 2 |
Tetsuya Kawamura | JP | Mobora | 2013-01-03 / 20130002734 - DRIVING METHOD FOR DISPLAY DEVICE | 1 |
Yasuhiro Kawamura | JP | Saitama | 2013-01-03 / 20130000572 - PISTON COOLING DEVICE | 1 |
Akiko Kawamura | JP | Ise-Shi | 2009-04-23 / 20090104332 - Method for Manufacturing a Snack, a Snack, and Food Like a Snack | 2 |
Midori Kawamura | JP | Kyoto-Shi | 2008-10-23 / 20080257202 - Rust-Removing/Preventing Agent, and Rust-Removing Method Using the Same | 1 |
Osamu Kawamura | JP | Osaka | 2011-11-24 / 20110289302 - DATA PROCESSING DEVICE AND METHOD | 3 |
Atsuo Kawamura | JP | Yokohama-Shi | 2012-11-22 / 20120295174 - FUEL CELL SYSTEM INCLUDING SOFT SWITCHING BOOST CONVERTER | 1 |
Tomoko Kawamura | JP | Ibaraki | 2010-10-14 / 20100258336 - POSITIVE PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR FORMING PATTERN, ELECTRONIC COMPONENT | 1 |
Takahiro Kawamura | JP | Ashigarakami-Gun | 2016-05-19 / 20160140721 - RADIOGRAPHIC IMAGE ANALYSIS DEVICE AND METHOD, AND RECORDING MEDIUM HAVING PROGRAM RECORDED THEREIN | 9 |
Masaki Kawamura | JP | Osaka | 2011-01-13 / 20110009389 - IMIDAZOLE DERIVATIVE, THEIR PRODUCTION AND USE | 2 |
Koichi Kawamura | JP | Kanagawa | 2011-04-21 / 20110088934 - METAL PATTERN FORMING METHOD, METAL PATTERN OBTAINED BY THE SAME, PRINTED WIRING BOARD, CONDUCTIVE FILM FORMING METHOD, AND CONDUCTIVE FILM OBTAINED BY THE SAME | 13 |
Kenji Kawamura | JP | Iwakuni-Shi | 2014-09-25 / 20140283483 - Gas Charging Method and Gas Charging Apparatus For a Bag Equipped With Gas Compartment Portion | 7 |
Munetoshi Kawamura | JP | Kochi-Shi | 2013-08-08 / 20130199236 - FOOD PRESERVING METHOD AND ITS DEVICE | 2 |
Masashi Kawamura | JP | Yokohama-Shi | 2016-03-10 / 20160070189 - COMPOUND HAVING AZO SKELETON STRUCTURE, PIGMENT-DISPERSING AGENT, PIGMENT COMPOSITION, PIGMENT DISPERSION, AND TONER | 19 |
Yuuki Kawamura | JP | Osaka | 2014-10-23 / 20140313733 - LIGHT SOURCE DEVICE AND DISPLAY DEVICE | 8 |
Go Kawamura | JP | Toyohashi-Shi | 2015-07-09 / 20150190840 - DEVICE AND PROCESS FOR PRODUCING COMPOSITE PARTICLES | 3 |
Takehiko Kawamura | JP | Osaka | 2013-11-14 / 20130299850 - ACTIVE MATRIX SUBSTRATE, DISPLAY DEVICE, METHOD FOR INSPECTING THE ACTIVE MATRIX SUBSTRATE, AND METHOD FOR INSPECTING THE DISPLAY DEVICE | 4 |
Sadao Kawamura | JP | Shiga | 2015-06-11 / 20150158181 - ROBOT CONTROL APPARATUS, ROBOT CONTROL METHOD, PROGRAM, RECORDING MEDIUM AND ROBOT SYSTEM | 1 |
Junichi Kawamura | JP | Osaka | 2012-06-28 / 20120163619 - WIRELESS POWER TRANSMISSION AUDIO SYSTEM AND DEVICE ON TRANSMITTING END AND LOUDSPEAKER FOR USE IN SUCH A SYSTEM | 3 |
Nobuo Kawamura | JP | Tokyo | 2015-05-28 / 20150149439 - ANALYSIS SYSTEM, COMPUTER SYSTEM, AND ANALYSIS METHOD | 4 |
Takashi Kawamura | JP | Kanagawa | 2016-05-05 / 20160126610 - TRANSMISSION-LINE CONVERSION STRUCTURE FOR MILLIMETER-WAVE BAND | 10 |
Takuma Kawamura | JP | Komae-Shi | 2009-04-23 / 20090102928 - MONITORING APPARATUS | 1 |
Yoichiro Kawamura | JP | Ibi-Gun | 2013-01-10 / 20130008701 - MULTILAYER PRINTED WIRING BOARD | 3 |
Hiroyuki Kawamura | JP | Minamitsuru-Gun | 2013-01-10 / 20130009576 - MOTOR CONTROLLER HAVING ENERGY STORAGE UNIT | 10 |
Akiyoshi Kawamura | US | Livermore | 2015-10-01 / 20150278078 - Method and computer program for identifying performance tuning opportunities in parallel programs | 1 |
Yoichiro Kawamura | JP | Gifu | 2011-09-08 / 20110214915 - PRINTED WIRING BOARD | 4 |
Misa Kawamura | JP | Ebina-Shi | 2012-09-13 / 20120229661 - PHOTOGRAPHY LENS, PHOTOGRAPHING APPARATUS, PHOTOGRAPHING SYSTEM, IMAGE CAPTURING APPARATUS, AND PERSONAL APPARATUS | 1 |
Yoko Kawamura | JP | Gifu-Shi | 2011-05-12 / 20110112402 - IMAGE PROCESSING APPARATUS, IMAGE PROCESSING PROGRAM, STORAGE MEDIUM AND ULTRA-SONOGRAPH | 3 |
Yoko Kawamura | JP | Gifu | 2010-05-13 / 20100121192 - METHOD FOR IMAGING BLOOD VESSEL, SYSTEM FOR IMAGING BLOOD VESSEL AND PROGRAM FOR IMAGING BLOOD VESSEL | 1 |
Yoichiro Kawamura | JP | Ogaki-Shi | 2010-09-09 / 20100224397 - WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 4 |
Chikara Kawamura | JP | Hiratsuka-Shi | 2010-07-22 / 20100184885 - DISPERSION AND AQUEOUS COATING COMPOSITION COMPRISING THE DISPERSION | 1 |
Morinobu Kawamura | JP | Wako-Shi, Saitama | 2015-10-22 / 20150299608 - GREASE COMPOSITION FOR HUB UNIT BEARING | 1 |
Yasumasa Kawamura | JP | Kusatsu-Shi | 2012-03-15 / 20120064187 - APPARATUS FOR CUTTING AND EJECTING NOODLES, AND NOODLE SCRAPER | 1 |
Kenji Kawamura | JP | Kamakura-Shi | 2016-02-25 / 20160052845 - PROCESS OF PRODUCING 1,4-BUTANEDIOL | 6 |
Hiroshi Kawamura | JP | Kyoto-Shi | 2015-12-10 / 20150355016 - MEASURING INSTRUMENT USING LIGHT BEAM | 2 |
Chikara Kawamura | JP | Hiroshima-Shi | 2014-12-04 / 20140354009 - VEHICLE FRAME STRUCTURE | 1 |
Natsuki Kawamura | JP | Narita-Shi | 2008-08-21 / 20080196980 - Elevator System | 1 |
Kazuteru Kawamura | JP | Tokyo | 2016-03-17 / 20160077318 - Zoom Lens and Image Pickup Apparatus Using the Same | 14 |
Tadakazu Kawamura | JP | Hyogo | 2011-10-06 / 20110241516 - Incandescence lamp | 1 |
Kohei Kawamura | JP | Kawasaki-Shi | 2009-10-29 / 20090268076 - IMAGE PROCESSING APPARATUS, CONTROL METHOD FOR THE SAME, AND STORAGE MEDIUM | 1 |
Takaki Kawamura | JP | Tokyo | 2016-02-25 / 20160054669 - IMAGE FORMATION METHOD, TONER SET, AND WHITE TONER | 14 |
Atsushi Kawamura | JP | Hyogo | 2015-12-24 / 20150372304 - POSITIVE ELECTRODE FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Yumiko Kawamura | JP | Kanagawa | 2011-12-01 / 20110291976 - TOUCH PANEL DEVICE, DISPLAY DEVICE EQUIPPED WITH TOUCH PANEL DEVICE, AND CONTROL METHOD OF TOUCH PANEL DEVICE | 1 |
Takehiro Kawamura | JP | Saitama | 2014-06-19 / 20140168727 - IMAGE SENSOR UNIT, IMAGE READING APPARATUS, AND IMAGE FORMING APPARATUS | 1 |
Yasumasa Kawamura | JP | Osaka | 2011-06-16 / 20110138636 - APPARATUS FOR CUTTING AND EJECTING NOODLES, AND NOODLE SCRAPER | 1 |
Yoshihiro Kawamura | JP | Osaka | 2013-11-28 / 20130317202 - TEST AGENT FOR VISCERAL OBESITY AND USE THEREOF | 3 |
Kenji Kawamura | JP | Chiyoda-Ku | 2014-12-11 / 20140363695 - HIGH STRENGTH HOT-DIP GALVANIZED STEEL SHEET HAVING EXCELLENT DEEP DRAWABILITY AND METHOD FOR MANUFACTURING THE SAME | 2 |
Shunji Kawamura | JP | Yokohama | 2013-04-25 / 20130103895 - FLASH MEMORY STORAGE SYSTEM | 27 |
Hiroko Kawamura | JP | Aichi | 2011-08-25 / 20110206305 - SINTERED BEARING | 1 |
Hideki Kawamura | JP | Makinohara-Shi | 2015-09-10 / 20150255900 - ELECTRICAL JUNCTION BOX | 5 |
Hideaki Kawamura | JP | Shiga | 2013-10-24 / 20130282002 - MICROWAVE SURGICAL TOOL | 1 |
Masahiro Kawamura | JP | Chiba | 2015-09-10 / 20150255729 - AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT | 38 |
Koki Kawamura | JP | Suginami-Ku | 2012-02-09 / 20120032533 - ELECTRIC MOTOR CAR CONTROL SYSTEM | 1 |
Takashi Kawamura | JP | Kyoto | 2016-02-04 / 20160035099 - DEPTH ESTIMATION APPARATUS, IMAGING DEVICE, AND DEPTH ESTIMATION METHOD | 27 |
Teruo Kawamura | JP | Yokosuka-Shi | 2014-01-16 / 20140016599 - BASE STATION APPARATUS AND METHOD FOR IMPROVING CHANNEL ESTIMATION ACCURACY IN UPLINK | 10 |
Yoshiyuki Kawamura | JP | Kitakyushu-Shi | 2010-12-02 / 20100304249 - SOLID OXIDE FUEL CELL DEVICE | 7 |
Yoshiyuki Kawamura | JP | Kobe-Shi | 2010-06-10 / 20100146625 - SAMPLE ANALYZER, SAMPLE ANALYZING METHOD, AND COMPUTER PROGRAM PRODUCT | 3 |
Takeshi Kawamura | JP | Mishima-Shi | 2013-12-26 / 20130343788 - IMAGE FORMING APPARATUS | 9 |
Akinori Kawamura | JP | Tokyo | 2015-03-19 / 20150081274 - SYSTEM AND METHOD FOR TRANSLATING SPEECH, AND NON-TRANSITORY COMPUTER READABLE MEDIUM THEREOF | 7 |
Kunimasa Kawamura | JP | Mishima-Shi | 2014-03-13 / 20140072350 - DEVELOPING MEMBERINCLUDING ELASTIC MEMBER CONTAINING CURED PRODUCT OF ADDITION -CURING SILICONE RUBBER MIXTURE. PROCESSING CARTRIDGE INCLUDING THE DEVELOPING MEMBER, AND ELECTROPHOTOGRAPHIC APPARATUS INCLUDING THE DEVELOPING MEMBER | 8 |
Hideki Kawamura | JP | Nagaokakyo-Shi | 2015-11-26 / 20150338981 - Display Panel with Pressure Sensor and Electronic Device with Pressing Input Function | 10 |
Tetsufumi Kawamura | JP | Kodaira | 2013-04-25 / 20130099229 - Semiconductor Device, RFID Tag Using the Same and Display Device | 10 |
Hiroaki Kawamura | JP | Otsu-Shi | 2015-11-19 / 20150333320 - POSITIVE ELECTRODE ACTIVE MATERIAL/GRAPHENE COMPOSITE PARTICLES, POSITIVE ELECTRODE MATERIAL FOR LITHIUM ION CELL, AND METHOD FOR MANUFACTURING POSITIVE ELECTRODE ACTIVE MATERIAL/GRAPHENE COMPOSITE PARTICLES | 2 |
Hiromichi Kawamura | JP | Hiroshima | 2011-03-31 / 20110073390 - REAR VEHICLE BODY STRUCTURE OF VEHICLE | 1 |
Shigeo Kawamura | JP | Kyoto | 2011-02-10 / 20110035764 - CHUCKING DEVICE, BRUSHLESS MOTOR, DISK DRIVE APPARATUS AND METHOD FOR MANUFACTURING THE CHUCKING DEVICE | 3 |
Youichi Kawamura | JP | Ibaraki | 2009-12-10 / 20090302695 - ELECTRIC MOTOR AND ELECTRIC TOOL WITH THE SAME | 1 |
Mitsuru Kawamura | US | Fukuoka | 2015-09-24 / 20150269823 - MONITORING SYSTEM | 1 |
Hiroaki Kawamura | JP | Sagamihara-Shi | 2014-10-09 / 20140303822 - CONTROL DEVICE FOR HYBRID VEHICLE | 5 |
Koji Kawamura | JP | Ishikawa | 2009-03-26 / 20090081075 - METHOD OF STERILIZATION AND STERILIZATION APPARATUS | 1 |
Kazuo Kawamura | JP | Musashino | 2012-12-27 / 20120326315 - METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 4 |
Noriyuki Kawamura | JP | Tokyo | 2015-05-07 / 20150126623 - BIOMARKER OF DEPRESSION, METHOD FOR MEASURING BIOMARKER OF DEPRESSION, COMPUTER PROGRAM, AND RECORDING MEDIUM | 2 |
Toshinori Kawamura | JP | Ibaraki | / - | 1 |
Hiroaki Kawamura | JP | Sagamihara-Shi, Kanagawa | 2014-05-15 / 20140136039 - CONTROL DEVICE FOR HYBRID VEHICLE | 1 |
Hiromitsu Kawamura | JP | Yokohama-Shi | 2016-02-25 / 20160056604 - METHOD TO TUNE EMISSION WAVELENGTH OF WAVELENGTH TUNABLE LASER APPARATUS AND LASER APPARATUS | 2 |
Takeshi Kawamura | JP | Kyoto | 2010-10-28 / 20100271395 - REPRESENTATIVE IMAGE DISPLAY DEVICE AND REPRESENTATIVE IMAGE SELECTION METHOD | 1 |
Fumiaki Kawamura | JP | Osaka | 2012-05-10 / 20120117148 - NETWORK TYPE CONTENT REPRODUCING SYSTEM | 5 |
Hiroshi Kawamura | JP | Tokyo | 2010-11-25 / 20100297786 - Method for Manufacturing Compound Semiconductor and Apparatus for Manufacturing the Same | 1 |
Koji Kawamura | JP | Kiyosu-Shi, Aichi | 2015-11-26 / 20150336531 - HEAD-PROTECTING AIRBAG DEVICE AND METHOD OF FOLDING AIRBAG THEREOF | 1 |
Hiroshi Kawamura | JP | Ushiku-Shi | 2010-05-27 / 20100130921 - NIPPLE COVERING MEMBER AND BREAST PUMP | 1 |
Tetsuhiko Kawamura | JP | Tokyo | 2013-03-28 / 20130080312 - MARKET TRADE SUPPORTING APPARATUS AND METHOD OF THE SAME | 1 |
Satoshi Kawamura | JP | Nagano-Ken | 2012-09-06 / 20120223475 - Recording Apparatus | 2 |
Masahiko Kawamura | JP | Saitama | 2016-02-25 / 20160054540 - LENS BARREL | 2 |
Kazuo Kawamura | JP | Kurokawa-Gun | 2010-07-01 / 20100165179 - IMAGING APPARATUS AND IMAGING METHOD | 1 |
Kei Kawamura | JP | Saitama | 2016-02-25 / 20160057417 - VIDEO ENCODING DEVICE AND VIDEO DECODING DEVICE | 1 |
Hiroshi Kawamura | JP | Okazaki-Shi | 2014-08-07 / 20140217940 - ELECTRICALLY OPERATED POWER STEERING DEVICE | 5 |
Mizuho Kawamura | JP | Sunto-Gun | 2012-08-30 / 20120219966 - METHOD AND KIT FOR MEASURING COMPONENT TO BE ASSAYED IN SPECIMEN (AS AMENDED) | 1 |
Masahiro Kawamura | JP | Sodegaura-Shi | 2016-01-07 / 20160005976 - AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING THE SAME | 42 |
Kazuo Kawamura | JP | Kawasaki | 2012-07-05 / 20120171864 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Akinobu Kawamura | JP | Ukyo-Ku | 2011-06-30 / 20110158435 - AUDIO SIGNAL AMPLIFIER CIRCUIT | 2 |
Toshimi Kawamura | JP | Omaezaki | 2015-07-30 / 20150210955 - LUBRICATING OIL COMPOSITION | 2 |
Kenji Kawamura | JP | Fukuyama | 2009-08-27 / 20090214892 - HIGH STRENGTH STEEL SHEET HAVING SUPERIOR DUCTILITY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hiroshi Kawamura | JP | Shizuoka | 2015-07-16 / 20150197121 - BEARING DEVICE FOR A WHEEL | 10 |
Koji Kawamura | JP | Kirishima-Shi | 2015-05-07 / 20150122908 - MULTI-LAYER PIEZOELECTRIC ELEMENT AND PIEZOELECTRIC ACTUATOR, INJECTION DEVICE AND FUEL INJECTION SYSTEM INCLUDING THE SAME | 1 |
Satoshi Kawamura | JP | Kanagawa | 2016-02-18 / 20160050270 - COMMUNICATION TERMINAL, COMMUNICATION SYSTEM, COMMUNICATION METHOD, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 2 |
Yasuhiro Kawamura | JP | Tokyo | 2015-12-24 / 20150367068 - Infusion Pump System | 7 |
Yasuo Kawamura | JP | Tokyo | 2014-01-30 / 20140030173 - PROCESS FOR CONTINUOUS MODIFICATION OF DIHYDRATE GYPSUM AND MODIFIED DIHYDRATE GYPSUM OBTAINED BY THE PROCESS | 2 |
Hiroshi Kawamura | JP | Suntou-Gun | 2014-06-19 / 20140169833 - IMAGE FORMING APPARATUS | 5 |
Kenji Kawamura | JP | Nagano | 2014-05-08 / 20140124186 - RADIATION MEMBER | 6 |
Naoshi Kawamura | JP | Shizuoka-Shi | 2013-10-17 / 20130272010 - LIGHT SOURCE MOUNTING STRUCTURE OF VEHICULAR LAMP | 2 |
Tetsuo Kawamura | JP | Toyota-Shi | 2009-07-02 / 20090169974 - Conductive Carbon Carrier for Fuel Cell, Electrode Catalyst for Fuel Cell and Solid Polymer Fuel Cell Comprising Same | 4 |
Tatsurou Kawamura | JP | Kyoto | 2016-03-17 / 20160073938 - SENSOR CHIP, DETECTION METHOD, AND DETECTION APPARATUS | 12 |
Daisuke Kawamura | JP | Yokohama-Shi | 2014-08-21 / 20140232032 - LITHOGRAPHY ORIGINAL CHECKING DEVICE, LITHOGRAPHY ORIGINAL CHECKING METHOD, AND PATTERN DATA CREATING METHOD | 8 |
Shuji Kawamura | JP | Tokyo | 2011-06-09 / 20110132630 - SCREW FASTENING DEVICE AND TORQUE SENSOR | 1 |
Hiroshi Kawamura | US | Glendale | 2009-05-28 / 20090133404 - SYSTEMS AND METHODS FOR COOLING GAS TURBINE ENGINE TRANSITION LINERS | 1 |
Takahiro Kawamura | JP | Minami-Ashigara-Shi | 2009-03-26 / 20090080755 - APPARATUS AND METHOD FOR PROCESSING RADIATION IMAGE | 1 |
Atsushi Kawamura | JP | Isehara-Shi | 2009-04-02 / 20090086347 - VEHICLE SIDE MIRROR | 1 |
Atsushi Kawamura | JP | Otake-Shi | 2015-07-02 / 20150184941 - METHOD FOR PRODUCING CARBON FIBER BUNDLE AND HEATING FURNACE FOR CARBON FIBER PRECURSOR FIBER BUNDLE | 3 |
Atsushi Kawamura | JP | Hiroshima | 2016-01-07 / 20160002828 - FLAME-RESISTANT HEAT TREATMENT FURNACE | 7 |
Hiroshi Kawamura | JP | Kashihara-Shi | 2009-03-26 / 20090079491 - SWITCHING CIRCUIT HAVING LOW THRESHOLD VOLTAGE | 2 |
Takehiko Kawamura | JP | Osaka-Shi | 2014-03-20 / 20140078423 - LIQUID CRYSTAL ELEMENT AND DISPLAY DEVICE | 1 |
Akiyoshi Kawamura | JP | Nagaokakyo-Shi | 2010-12-02 / 20100304125 - METHOD FOR MANUFACTURING CERAMIC SUBSTRATE AND CERAMIC SUBSTRATE | 3 |
Masahisa Kawamura | JP | Amagasaki-Shi | 2009-10-22 / 20090260911 - Hydraulic Drive Working Vehicle | 1 |
Tadashi Kawamura | JP | Osaka-Shi | 2011-12-08 / 20110299013 - PLANAR ILLUMINATION DEVICE AND DISPLAY DEVICE PROVIDED WITH THE SAME | 1 |
Mao Kawamura | JP | Tokyo | 2016-05-05 / 20160126919 - NOISE FILTER | 5 |
Shinji Kawamura | JP | Osaka-Shi | 2013-02-21 / 20130045024 - TRANSPORTABLE IMAGE FORMING APPARATUS | 1 |
Jouji Kawamura | JP | Saitama | 2016-03-17 / 20160075946 - LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME | 4 |
Tatsurou Kawamura | JP | Kyotanabe-Shi | 2009-08-13 / 20090203152 - Method for Analyzing an Analyte Qualitatively and Quantitatively | 1 |
Harumi Kawamura | JP | Tokyo | 2012-02-09 / 20120033938 - DIGITAL RECORDING APPARATUS AND COPYRIGHT PROTECTION METHOD THEREOF | 1 |
Masayasu Kawamura | JP | Tokyo | 2016-02-18 / 20160047162 - HEAT-RAY-BLOCKING FLUORORESIN FILM | 1 |
Mitsuaki Kawamura | JP | Osaka-Shi | 2013-04-11 / 20130090301 - AGENT FOR SUPPRESSING THE FORMATION OF ABNORMAL SKIN CELLS CAUSED BY EXPOSURE TO LIGHT | 1 |
Maki Kawamura | JP | Osaka-Shi | 2015-03-26 / 20150086927 - PHOTORESIST COMPOSITION | 5 |
Yuichi Kawamura | JP | Osaka | 2009-12-31 / 20090321785 - LIGHT RECEIVING DEVICE | 1 |
Kenji Kawamura | JP | Nagano-Shi | 2012-09-20 / 20120235292 - HEAT RADIATING COMPONENT AND SEMICONDUCTOR PACKAGE HAVING THE SAME | 2 |
Yoshihide Kawamura | JP | Shizuoka | 2011-02-17 / 20110038647 - TONER CARTRIDGE | 2 |
Yuzo Kawamura | JP | Osaka | 2015-12-17 / 20150365602 - MANAGEMENT DEVICE, IMAGING DEVICE, IMAGING SYSTEM, AND DETERMINATION METHOD | 4 |
Hideki Kawamura | JP | Nagaokakyo-Shi | 2015-11-26 / 20150338981 - Display Panel with Pressure Sensor and Electronic Device with Pressing Input Function | 10 |
Masahiro Kawamura | JP | Soadegaura-Shi | 2012-08-23 / 20120211743 - BENZO[k]FLUORANTHENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE CONTAINING THE SAME | 1 |
Tomoto Kawamura | JP | Tokyo | 2016-05-12 / 20160131909 - IMAGE DISPLAY APPARATUS AND HEAD MOUNTED DISPLAY | 12 |
Kenichirou Kawamura | JP | Shimada-Shi | 2013-02-14 / 20130038434 - ON-VEHICLE DISPLAY DEVICE | 1 |
Masahiro Kawamura | JP | Susono-Shi | 2014-04-10 / 20140097800 - METHOD FOR CHARGING BATTERY AND CHARGE CONTROL DEVICE FOR BATTERY | 1 |
Joji Kawamura | JP | Kita-Adachi-Gun | 2016-05-05 / 20160122646 - LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY ELEMENT USING SAME | 18 |
Takahiro Kawamura | JP | Kanagawa | 2016-03-31 / 20160093030 - RADIOGRAPHIC IMAGE PROCESSING DEVICE, RADIOGRAPHIC IMAGE PROCESSING METHOD, AND RECORDING MEDIUM | 9 |
Hirofumi Kawamura | JP | Chiba | 2015-09-10 / 20150256263 - WAVE DIELECTRIC TRANSMISSION DEVICE, MANUFACTURING METHOD THEREOF, AND IN-MILLIMETER WAVE DIELECTRIC TRANSMISSION METHOD | 20 |
Seigo Kawamura | JP | Osaka | 2016-04-07 / 20160100066 - ALLOCATION APPARATUS FOR ALLOCATING INFORMATION PROCESSING DEVICE TO BE MANAGED, ALLOCATION METHOD, PROGRAM FOR ALLOCATION, AND MANAGEMENT SYSTEM | 1 |
Masahiro Kawamura | JP | Sodegaura-Shi, Chiba | 2016-05-19 / 20160141515 - MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME, AND ELECTRONIC DEVICE | 5 |
Masahiro Kawamura | JP | Chiba-Shi | 2016-01-07 / 20160005977 - HETEROCYCLIC COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS USING SAME, ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME, AND ELECTRONIC DEVICE | 5 |
Teruo Kawamura | JP | Osaka | 2011-05-05 / 20110102729 - FLEXIBLE PRINTED CIRCUIT AND ELECTRIC CIRCUIT STRUCTURE | 1 |
Koichi Kawamura | JP | Osaka | 2008-12-04 / 20080300757 - Construction Machine Control Mode Switching Device and Construction Machine | 1 |
Takahiro Kawamura | JP | Kanagawa-Ken | 2010-06-17 / 20100150304 - Radiation CT imaging apparatus | 1 |
Takahiro Kawamura | JP | Tokyo | 2015-03-05 / 20150066931 - INFORMATION PROCESSING APPARATUS AND METHOD | 8 |
Tatsuki Kawamura | JP | Niigata | 2010-05-27 / 20100127958 - ON-VEHICLE DISPLAY APPARATUS | 2 |
Atsushi Kawamura | JP | Nara-Shi | 2014-11-20 / 20140344454 - INFORMATION MANAGEMENT DEVICE, NETWORK SYSTEM, INFORMATION MANAGEMENT PROGRAM, AND INFORMATION MANAGEMENT METHOD | 1 |
Takahiro Kawamura | JP | Ashigarakami-Gun | 2016-05-19 / 20160140721 - RADIOGRAPHIC IMAGE ANALYSIS DEVICE AND METHOD, AND RECORDING MEDIUM HAVING PROGRAM RECORDED THEREIN | 9 |
Koji Kawamura | JP | Tochigi | 2012-06-07 / 20120142932 - METHOD FOR MANUFACTURING 4-(5-METHYLPYRIDIN-2-YLAMINO)PIPERIDINE-1-CARBOXYLIC ACID DERIVATIVE | 1 |
Yoshiji Kawamura | JP | Sano-Shi | 2010-09-30 / 20100245691 - POLARIZATION CONVERSION DEVICE, POLARIZED ILLUMINATION OPTICAL DEVICE, AND LIQUID CRYSTAL PROJECTOR | 3 |
Tatsuki Kawamura | JP | Nagaoka, Niigata | 2010-03-18 / 20100064961 - INDICATOR APPARATUS | 1 |
Takahiro Kawamura | JP | Kawasaki-Shi | 2009-05-21 / 20090132654 - Service retrieval apparatus and service retrieval method | 1 |
Yoshiji Kawamura | JP | Tochigi | 2010-09-30 / 20100245772 - OPTICAL FILTER, PROJECTION DISPLAY, AND METHOD FOR MANUFACTURING OPTICAL FILTER | 1 |
Hiroo Kawamura | JP | Tochigi | 2010-01-07 / 20100004267 - LIQUID PREPARATION | 1 |
Keiichi Kawamura | JP | Aichi | 2013-02-14 / 20130036572 - MOP DUST SUCTION DEVICE | 1 |
Raito Kawamura | JP | Tokyo | 2013-04-04 / 20130084202 - VANE ROTARY COMPRESSOR | 3 |
Kazuteru Kawamura | JP | Shibuya-Ku | 2012-08-23 / 20120212835 - ZOOM LENS | 1 |
Koji Kawamura | JP | Susono-Shi | 2016-03-24 / 20160083207 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 11 |
Hiroshi Kawamura | JP | Osaka-Shi | 2015-07-30 / 20150210167 - CONNECTION SYSTEM | 4 |
Tetsuo Kawamura | US | South-Glastonbury | 2012-01-26 / 20120021337 - FUEL CELL CATALYST WITH METAL OXIDE/PHOSPHATE SUPPORT STRUCTURE AND METHOD OF MANUFACTURING SAME | 4 |
Thomas Michael Kawamura | US | Plano | 2016-05-12 / 20160129355 - SELF-RIGHTING MODEL VEHICLE | 2 |
Yukihiro Kawamura | JP | Shizuoka | 2016-05-19 / 20160141131 - CONNECTION STRUCTURE OF ELECTRONIC COMPONENT AND TERMINAL METAL FITTINGS | 13 |
Akira Kawamura | JP | Ichihara-Shi | 2015-08-13 / 20150228948 - MICROPOROUS MEMBER, METHOD FOR PRODUCING SAME, BATTERY SEPARATOR, AND RESIN COMPOSITION FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY SEPARATOR | 1 |
Tetsuo Kawamura | US | South Windsor | 2010-09-16 / 20100234210 - Fuel Cell Electrode Catalyst Comprising Binary Platinum Alloy and Fuel Cell Using the Same | 2 |
Hiroshi Kawamura | JP | Shizuoka | 2015-07-16 / 20150197121 - BEARING DEVICE FOR A WHEEL | 10 |
Hiroshi Kawamura | JP | Makinohara-Shi, Shizuoka | 2016-05-12 / 20160134049 - WATERPROOF CONNECTOR | 2 |
Satomi Kawamura | JP | Hyogo | 2009-06-11 / 20090148568 - Candy composition with excellent sweetness and candy using the same | 1 |
Yoshikuni Kawamura | JP | Obu-City | 2013-04-18 / 20130096769 - ELECTRONIC CONTROL UNIT | 2 |
Mineko Kawamura | JP | Osaka | 2009-02-26 / 20090053382 - Method of Processing Chlorogenic Acid-Rich Coffee Beans | 1 |
Tomoki Kawamura | JP | Niiza-Shi | 2009-11-26 / 20090290368 - IN-VEHICLE ILLUMINATING DEVICE | 3 |
Makoto Kawamura | JP | Osaka | 2010-07-01 / 20100169610 - PROCESSOR | 2 |
Masaharu Kawamura | JP | Kanagawa | 2013-07-04 / 20130170061 - SYSTEM, METHOD AND APPARATUS FOR SHINGLED MAGNETIC RECORDING IN DISK DRIVES | 2 |
Koji Kawamura | JP | Kiyosu-Shi | 2016-04-28 / 20160114754 - HEAD-PROTECTING AIRBAG DEVICE | 6 |
Keitaro Kawamura | JP | Yamagata | 2010-09-30 / 20100243825 - TENSION BALANCER | 1 |
Yusuke Kawamura | JP | Ogaki | 2015-08-13 / 20150230346 - MASK FOR LOADING BALL, BALL LOADING APPARATUS AND METHOD FOR MANUFACTURING PRINTED WRING BOARD USING MASK | 1 |
Takahiro Kawamura | JP | Ohta-Ku | 2012-06-14 / 20120150777 - ACTION HISTORY SEARCH DEVICE | 1 |
Keiko Kawamura | JP | Kanagawa-Ken | 2013-10-24 / 20130277734 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 6 |
Yuichiro Kawamura | JP | Sodegaura-Shi | 2014-02-06 / 20140034943 - PYRENE DERIVATIVE, ORGANIC LIGHT-EMITTING MEDIUM, AND ORGANIC ELECTROLUMINESCENT ELEMENT CONTAINING PYRENE DERIVATIVE OR ORGANIC LIGHT-EMITTING MEDIUM | 30 |
Tetsufumi Kawamura | JP | Kokubunji | 2014-09-04 / 20140248748 - DISPLAY DEVICE | 5 |
Tetsufumi Kawamura | JP | Kodaira | 2013-04-25 / 20130099229 - Semiconductor Device, RFID Tag Using the Same and Display Device | 10 |
Motoi Kawamura | JP | Osaka | 2008-10-09 / 20080248385 - Nonaqueous Electrolyte Secondary Battery | 1 |
Hiroshi Kawamura | JP | Osaka | 2013-04-25 / 20130099221 - ORGANIC EL DISPLAY PANEL, AND METHOD FOR PRODUCING SAME | 1 |
Tsutomu Kawamura | JP | Tokyo | 2009-04-02 / 20090086209 - Method for marking defect and device therefor | 1 |
Akira Kawamura | JP | Kakegawa-Shi | 2015-11-12 / 20150325947 - PACKING ATTACHMENT STRUCTURE AND PACKING | 1 |
Tetsuo Kawamura | JP | Toyota | 2014-01-30 / 20140031193 - PHOTOCATALYTIC METHODS FOR PREPARATION OF ELECTROCATALYST MATERIALS | 2 |
Kazue Kawamura | JP | Kanagawa | 2015-09-17 / 20150259717 - Method for Producing an Acidic Substance Having a Carboxyl Group | 1 |
Shintaro Kawamura | JP | Kanagawa | 2014-09-04 / 20140247317 - CLOCK SIGNAL GENERATING CIRCUIT, IMAGE FORMING APPARATUS, AND CLOCK SIGNAL GENERATING METHOD OF CLOCK SIGNAL GENERATING CIRCUIT | 1 |
Masahisa Kawamura | JP | Hyogo | 2010-10-14 / 20100257856 - Pump Unit And Hydrostatic Transmission | 2 |
Yoshihito Kawamura | JP | Kumamoto | 2016-03-10 / 20160068933 - FLAME-RETARDANT MAGNESIUM ALLOY AND METHOD OF MANUFACTURING SAME | 11 |
Kiyosho Kawamura | JP | Chita-Gun | 2010-07-08 / 20100173925 - OXYINDOLE DERIVATIVES | 1 |
Masaru Kawamura | JP | Tokyo | 2008-11-27 / 20080291293 - IMAGE PICKUP APPARATUS AND METHOD OF CONTROLLING THE SAME | 1 |
Masanori Kawamura | JP | Tokyo | 2008-11-27 / 20080289943 - Circuit Breaker | 1 |
Kazunori Kawamura | JP | Ibaraki-Shi | 2016-01-07 / 20160005912 - CIGS FILM PRODUCTION METHOD, AND CIGS SOLAR CELL PRODUCTION METHOD USING THE CIGS FILM PRODUCTION METHOD | 11 |
Kazunori Kawamura | JP | Wako | 2013-05-23 / 20130131962 - AIR-FUEL RATIO CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Kazunori Kawamura | JP | Wako-Shi | 2009-04-30 / 20090112448 - Throttle valve control system for internal combustion engine | 2 |
Norihisa Kawamura | JP | Tokyo | 2009-01-01 / 20090002827 - Lens barrel | 2 |
Etsuroh Kawamura | JP | Chiba | 2014-09-04 / 20140249909 - ELECTRONIC RECEIPT SYSTEM, INFORMATION PROCESSING APPARATUS, AND PROGRAM THEREFOR | 1 |
Takuma Kawamura | JP | Tokyo | 2009-01-08 / 20090013360 - MONITORING APPARATUS | 3 |
Akihito Kawamura | JP | Tokyo | 2009-01-29 / 20090027490 - IMAGE PROCESSING APPARATUS FOR ENDOSCOPE | 1 |
Masayuki Kawamura | JP | Aichi | 2012-06-21 / 20120154114 - ELECTRONIC KEY SYSTEM AND ELECTRONIC KEY | 1 |
Tetsuo Kawamura | US | 2010-03-18 / 20100068591 - FUEL CELL CATALYST, FUEL CELL CATHODE AND POLYMER ELECTROLYTE FUEL CELL INCLUDING THE SAME | 1 | |
Makoto Kawamura | JP | Aichi | 2011-08-25 / 20110206305 - SINTERED BEARING | 1 |
Kiyoshi Kawamura | JP | Aichi | 2015-10-15 / 20150291582 - PYRAZOLOPYRIDINE DERIVATIVES AS TTX-S BLOCKERS | 6 |
Atsuko Kawamura | JP | Kyoto | 2008-10-30 / 20080268749 - Crotch-Possessing Corrective Garment | 1 |
Hirosi Kawamura | JP | Makinohara-Shi | 2013-11-21 / 20130309905 - CONNECTOR | 1 |
Shozo Kawamura | JP | Toyohashi-Shi | 2009-12-03 / 20090298618 - PROCESS FOR DESIGNING RUGGED PATTERN ON GOLF BALL SURFACE | 1 |
Takayuki Kawamura | JP | Yokohama-Shi | 2012-01-05 / 20120004002 - TERMINAL DEVICE AND BASE STATION DEVICE | 1 |
Hatsuhiko Kawamura | JP | Aichi | / - | 1 |
Chuichi Kawamura | JP | Aichi | 2009-08-20 / 20090206728 - LIGHT-EMITTING DEVICE, METHOD FOR MANUFACTURING LIGHT-EMITTING DEVICE, AND SUBSTRATE PROCESSING APPARATUS | 4 |
Yukinori Kawamura | JP | Kanagawa | 2011-09-15 / 20110221741 - COLOR CONVERSION FILTER PANEL FOR COLOR ORGANIC ELECTROLUMINESCENT DISPLAY AND COLOR ORGANIC ELECTROLUMINESCENT DISPLAY | 2 |
Takayuki Kawamura | JP | Mie | 2015-04-16 / 20150105304 - GREASE COMPOSITION,GREASE-PACKED BEARING, UNIVERSAL JOINT FOR PROPELLER SHAFT, LUBRICATING OIL COMPOSITION, AND OIL-IMPREGNATED SINTERED BEARING | 9 |
Chikara Kawamura | JP | Kanagawa | 2011-02-10 / 20110034622 - AQUEOUS DISPERSION AND AQUEOUS COATING COMPOSITION, AND PROCESS OF FORMING COATING FILM | 1 |
Kazuo Kawamura | JP | Tokyo | 2009-02-05 / 20090032844 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Takayuki Kawamura | JP | Isehara-Shi | 2011-09-01 / 20110211361 - VEHICLE LIGHTING DEVICE | 2 |
Yukihiro Kawamura | JP | Tokyo | 2015-12-17 / 20150364836 - Crimp Terminal, and Method and Apparatus for Manufacturing A Crimp Terminal | 2 |
Yukihiro Kawamura | JP | Makinohara-Shi | 2015-06-11 / 20150163943 - ELECTRONIC COMPONENT ASSEMBLY, CONNECTION STRUCTURE BETWEEN ELECTRONIC COMPONENT ASSEMBLY AND TERMINAL FITTING, AND ELECTRICAL CONNECTION BOX HAVING ELECTRONIC COMPONENT ASSEMBLY | 3 |
Yukihiro Kawamura | JP | Kakegawa-Shi | 2013-01-17 / 20130017012 - ATTACHING STRUCTURE OF BANDING BANDAANM Kawamura; YukihiroAACI Kakegawa-shiAACO JPAAGP Kawamura; Yukihiro Kakegawa-shi JPAANM Kamo; HiroakiAACI Kakegawa-shiAACO JPAAGP Kamo; Hiroaki Kakegawa-shi JP | 1 |
Yukihiro Kawamura | JP | Shiga | 2016-05-05 / 20160126642 - Terminal, crimp terminal, wire harness, and method for manufacturing crimp terminal | 18 |
Katsumi Kawamura | JP | Tokyo | 2009-07-02 / 20090166580 - METHOD FOR PRODUCING POROUS BODY COMPRISING APATITE/COLLAGEN COMPOSITE FIBERS | 2 |
Kohta Kawamura | JP | Hitachinaka | 2013-12-05 / 20130318973 - Axial Compressor and Gas Turbine Having Axial Compressor | 1 |
Masatoshi Kawamura | JP | Aichi | 2016-02-04 / 20160032458 - SILVER-PLATED STRUCTURE | 1 |
Takeharu Kawamura | JP | Ageo-Shi | 2012-06-21 / 20120151957 - ACCUMULATOR FOR REFRIGERATION CYCLE SYSTEM | 1 |
Takumi Kawamura | JP | Fukushima-Ken | 2009-08-13 / 20090202283 - Ribbon Cartridge and Printing Apparatus | 1 |
Teruo Kawamura | JP | Kanagawa | 2013-08-29 / 20130223413 - MOBILE STATION, BASE STATION, UPLINK TRANSMISSION METHOD, AND DOWNLINK TRANSMISSION METHOD | 28 |
Takumi Kawamura | JP | Kanagawa | 2011-12-29 / 20110320831 - INFORMATION PROCESSING APPARATUS, POWER SOURCE CONTROL METHOD OF INFORMATION PROCESSING APPARATUS, PROGRAM OF POWER SOURCE CONTROL METHOD, AND STORAGE OF PROGRAM | 1 |
Kazuteru Kawamura | JP | Tokyo | 2016-03-17 / 20160077318 - Zoom Lens and Image Pickup Apparatus Using the Same | 14 |
Shintaro Kawamura | JP | Yokohama-Shi | 2014-01-30 / 20140028776 - PIXEL CLOCK GENERATOR, OPTICAL SCANNER AND IMAGE FORMING DEVICE INCORPORATING SAME | 1 |
Hironori Kawamura | JP | Osaka | 2014-01-16 / 20140017012 - METHOD FOR PROTECTING SUBMARINE CABLE AND SUBMARINE LONG TUBE | 1 |
Shinya Kawamura | JP | Osaka-Shi | 2015-08-20 / 20150230419 - ARTIFICIAL SOIL PARTICLE, ARTIFICIAL SOIL AGGREGATE, AND ARTIFICIAL SOIL MOLDED PRODUCT, AND GREENING SHEET, WALL GREENING PANEL AND HORTICULTURAL BLOCK USING ARTIFICIAL SOIL MOLDED PRODUCT | 1 |
Kiyoshi Kawamura | JP | Chita-Gun | 2011-11-10 / 20110275628 - NOVEL PYRAZOLE-3-CARBOXAMIDE DERIVATIVE HAVING 5-HT2B RECEPTOR ANTAGONIST ACTIVITY | 2 |
Masaaki Kawamura | JP | Kanagawa | 2013-11-28 / 20130314731 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD EXECUTED BY THE IMAGE PROCESSING APPARATUS | 2 |
Masashi Kawamura | JP | Yokohama-Shi | 2016-03-10 / 20160070189 - COMPOUND HAVING AZO SKELETON STRUCTURE, PIGMENT-DISPERSING AGENT, PIGMENT COMPOSITION, PIGMENT DISPERSION, AND TONER | 19 |
Norifumi Kawamura | JP | Yonezawa | 2016-05-05 / 20160126502 - ORGANIC ELECTROLUMINESCENT LIGHTING DEVICE | 1 |
Akihide Kawamura | JP | Kanagawa | 2013-09-19 / 20130242375 - DISPLAY MEDIUM AND DISPLAY DEVICE | 5 |
Mikio Kawamura | JP | Kiryu-Shi | 2013-05-02 / 20130106232 - WINDING STRUCTURE FOR A RECTANGULAR WIRE | 3 |
Shigeyuki Kawamura | JP | Mie | 2010-02-25 / 20100045771 - INFORMATION RECORDING APPARATUS AND INFORMATION RECORDING METHOD | 1 |
Takashi Kawamura | JP | Kanagawa | 2016-05-05 / 20160126610 - TRANSMISSION-LINE CONVERSION STRUCTURE FOR MILLIMETER-WAVE BAND | 10 |
Keisuke Kawamura | JP | Matsumoto | 2013-02-14 / 20130040103 - METHOD OF MANUFACTURING SINGLE CRYSTAL 3C-SIC SUBSTRATE AND SINGLE CRYSTAL 3C-SIC SUBSTRATE OBTAINED FROM THE MANUFACTURING METHOD | 1 |
Toshinori Kawamura | JP | Hitachi-Shi | 2009-04-02 / 20090084684 - Method for manufacturing printed wiring board and electrolytic etching solution for use in the manufacturing method | 1 |
Keisuke Kawamura | JP | Nagasaki | 2011-03-31 / 20110073185 - PHOTOVOLTAIC DEVICE AND PROCESS FOR PRODUCING PHOTOVOLTAIC DEVICE | 4 |
Joji Kawamura | JP | Tokyo | 2013-01-31 / 20130027652 - LIQUID-CRYSTAL DISPLAY ELEMENT, MANUFACTURING METHOD THEREFOR, AND LIQUID-CRYSTAL DISPLAY DEVICE | 2 |
Keisuke Kawamura | JP | Sakai-Shi | 2011-04-21 / 20110089433 - METHOD FOR MANUFACTURING NITROGEN COMPOUND SEMICONDUCTOR SUBSTRATE AND NITROGEN COMPOUND SEMICONDUCTOR SUBSTRATE, AND METHOD FOR MANUFACTURING SINGLE CRYSTAL SIC SUBSTRATE AND SINGLE CRYSTAL SIC SUBSTRATE | 1 |
Keisuke Kawamura | JP | Tokyo | 2013-01-03 / 20130004708 - MOLDING APPARATUS, METHOD OF FORMING MOLDED PRODUCT, AND MOLDED PRODUCT | 1 |
Takayuki Kawamura | JP | Tokyo | 2010-03-25 / 20100073950 - VEHICLE LIGHTING DEVICE | 1 |
Ryoichi Kawamura | JP | Kumamoto-Ken | 2011-02-17 / 20110038847 - PROCESS FOR PREPARING BIOABSORBABLE SHEET PREPARATION HOLDING THROMBIN | 3 |
Masao Kawamura | JP | Kanagawa | 2010-09-30 / 20100245810 - INSPECTION METHOD BASED ON CAPTURED IMAGE AND INSPECTION DEVICE | 2 |
Teruo Kawamura | JP | Tokyo | 2015-06-25 / 20150181591 - MOBILE TERMINAL APPARATUS AND METHOD OF TRANSMITTING AN UPLINK CONTROL INFORMATION SIGNAL | 14 |
Tatsuji Kawamura | JP | Ichihara-Shi | 2010-08-12 / 20100201016 - FOAMING POLYPROPYLENE RESIN COMPOSITION AND PROCESS FOR PRODUCING INJECTION-MOLDED FOAMS FROM THE COMPOSITION | 1 |
Yuji Kawamura | JP | Kanagawa | 2010-03-04 / 20100058024 - Data Transfer Apparatus, Data Transfer Method And Processor | 1 |
Jouji Kawamura | JP | Kita-Adachi-Gun | 2016-02-25 / 20160054619 - LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Takayoshi Kawamura | JP | Kanagawa | 2012-09-27 / 20120242894 - CONVERTION APPARATUS AND CONVERTION METHOD | 3 |
Hideo Kawamura | JP | Tokyo | 2010-07-29 / 20100188568 - DIGITAL VIDEO TRANSPORT SYSTEM | 1 |
Takuya Kawamura | JP | Kanagawa | 2013-10-03 / 20130263045 - SCREEN DISPLAY DEVICE AND SCREEN DISPLAY SYSTEM | 6 |
Keisuke Kawamura | JP | Wako-Shi | 2016-02-25 / 20160053875 - VEHICLE POWER TRANSMISSION APPARATUS | 1 |
Yuji Kawamura | JP | Tokyo | 2015-05-14 / 20150128397 - VEHICLE BODY COMPONENT MOUNTING SYSTEM | 2 |
Ryoichi Kawamura | JP | Ritto | 2012-08-30 / 20120217069 - LABEL PRINTING SCALE DEVICE | 2 |
Hideaki Kawamura | JP | Sumida-Ku | 2012-08-09 / 20120199549 - CARTRIDGE CAP | 1 |
Yoshinori Kawamura | JP | Kanagawa | 2009-08-20 / 20090205524 - AUTOMATIC FEEDER FOR PLANOGRAPHIC PRINTING PLATES | 1 |
Koji Kawamura | JP | Susono-Shi | 2016-03-24 / 20160083207 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 11 |
Mitsuhide Kawamura | JP | Toyama | 2011-04-28 / 20110098484 - PROCESS FOR PRODUCTION OF 1-(3-(2-(1-BENZOTHIOPHEN-5-YL)- ETHOXY)PROPYL)AZETIDIN-3-OL OR SALTS THEREOF | 2 |
Chikara Kawamura | JP | Tokyo | 2016-05-05 / 20160126672 - CONNECTOR AND CONNECTOR ASSEMBLY | 7 |
Yuichiro Kawamura | US | 2011-09-08 / 20110215312 - ORGANIC ELECTRIC FIELD LIGHT EMITTING ELEMENT AND PRODUCTION THEREFOR | 1 | |
Shunji Kawamura | US | Los Gatos | 2013-07-18 / 20130185531 - METHOD AND APPARATUS TO IMPROVE EFFICIENCY IN THE USE OF HIGH PERFORMANCE STORAGE RESOURCES IN DATA CENTER | 3 |
Kenji Kawamura | JP | Matsuyama-Shi | 2010-11-04 / 20100275568 - NORMAL PRESSURE CATIONIC DYEABLE POLYESTER AND FIBER | 1 |
Nobuhiro Kawamura | US | San Mateo | 2011-01-13 / 20110007359 - PRINT CONTROL APPARATUS AND PRINT CONTROL METHOD | 1 |
Katsuyuki Kawamura | JP | Kanagawa | 2009-08-13 / 20090199404 - MANUFACTURING METHOD OF AN OUTER RING FOR A BEARING UNIT | 1 |
Morinobu Kawamura | JP | Utsunomiya | 2012-06-21 / 20120155791 - Bearing Seal Structure | 1 |
Masaru Kawamura | US | Rockville | 2011-07-21 / 20110177526 - JANUS FAMILY KINASES AND IDENTIFICATION OF IMMUNE MODULATORS | 2 |
Shingo Kawamura | JP | Tokyo | 2013-06-27 / 20130162124 - TERMINAL APPARATUS | 2 |
Koichi Kawamura | US | Florence | 2013-03-14 / 20130061726 - Machine Tool Apparatus And Method | 1 |
Koji Kawamura | JP | Kariya-Shi | 2015-10-01 / 20150275875 - VARIABLE DISPLACEMENT SWASH PLATE TYPE COMPRESSOR | 3 |
Katsuhito Kawamura | JP | Chiba | 2014-06-26 / 20140174609 - METHOD FOR MANUFACTURING A HIGH-STRENGTH STEEL SHEET FOR A CAN | 3 |
Hirohisa Kawamura | JP | Tokyo | 2009-07-23 / 20090183674 - DEVICE FOR PREVENTING WINDING-UP OF SHEET METAL IN CONTINUOUS HOT-DIPPING BATH | 1 |
Masao Kawamura | JP | Tokyo | 2012-08-02 / 20120197201 - INDWELLING NEEDLE AND INDWELLING NEEDLE ASSEMBLY | 2 |
Makoto Kawamura | JP | Tokyo | 2010-02-04 / 20100026694 - PORTABLE TERMINAL | 1 |
Noriko Kawamura | JP | Saitama-Shi | 2015-04-30 / 20150116554 - COLOR IMAGING ELEMENT AND IMAGING DEVICE | 17 |
Takuya Kawamura | JP | Chiba | 2011-02-17 / 20110038046 - NON-RECIPROCAL UNIT USED FOR POLARIZATION DEPENDENT TYPE OPTICAL ISOLATOR AND POLARIZATION DEPENDENT TYPE OPTICAL ISOLATOR | 1 |
Shogo Kawamura | JP | Numazu-Shi | 2012-02-09 / 20120033017 - LIQUID DISCHARGE RECORDING HEAD AND METHOD OF MANUFACTURING THE SAME | 6 |
Atsushi Kawamura | JP | Tokyo | 2015-12-31 / 20150378816 - STORAGE APPARATUS, STORAGE SYSTEM, AND STORAGE APPARATUS CONTROL METHOD | 8 |
Ban Kawamura | JP | Tokyo | 2015-07-16 / 20150201145 - COMMUNICATION SYSTEM, TRANSMISSION APPARATUS, TRANSMISSION METHOD, RECEPTION APPARATUS AND RECEPTION METHOD | 8 |
Tatsuji Kawamura | JP | Chiba | 2010-02-18 / 20100040724 - INJECTION MOLDING METAL MOLD AND SHAPED ARTICLE | 2 |
Takeshi Kawamura | JP | Tokyo | 2015-12-24 / 20150372044 - SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE | 5 |
Toshikazu Kawamura | JP | Tokyo | 2012-02-02 / 20120030402 - PCI EXPRESS TLP PROCESSING CIRCUIT AND RELAY DEVICE PROVIDED WITH THIS | 2 |
Masashi Kawamura | JP | Tokyo | 2015-05-21 / 20150141298 - METHOD OF MANUFACTURING PROBE-IMMOBILIZED CARRIER | 6 |
Masatoshi Kawamura | JP | Osaka | 2012-02-09 / 20120035285 - POLYOLEFIN POROUS FILM, METHOD FOR PRODUCING THE SAME AND APPARATUS FOR PRODUCING THE SAME | 1 |
Yuichiro Kawamura | JP | Chiba | 2012-01-19 / 20120013244 - ORGANIC LUMINESCENT MEDIUM | 5 |
Koichi Kawamura | JP | Yokohama-Shi | 2012-05-31 / 20120135328 - FUEL CELL | 11 |
Daiji Kawamura | US | Albany | 2015-12-31 / 20150380251 - BLOCK MASK LITHO ON HIGH ASPECT RATIO TOPOGRAPHY WITH MINIMAL SEMICONDUCTOR MATERIAL DAMAGE | 3 |
Teruhisa Kawamura | JP | Kyoto | 2015-11-26 / 20150337266 - METHOD FOR PRODUCING INDUCED PLURIPOTENT STEM CELLS, CARDIOMYOCYTES OR PRECURSOR CELLS THEREOF | 2 |
Masanobu Kawamura | JP | Tokyo | 2012-10-11 / 20120260014 - DATA PROCESSOR AND CONTROL SYSTEM | 4 |
Toshimi Kawamura | JP | Tokyo | 2011-05-05 / 20110104009 - CLINICAL EXAMINATION DISK, DISK PACK, AND CLINICAL EXAMINATION DEVICE | 1 |
Yuji Kawamura | JP | Chiba | 2009-09-24 / 20090237528 - IMAGE PICKUP APPARATUS, METHOD FOR CONTROLLING IMAGE PICKUP APPARATUS, SIGNAL PROCESSING APPARATUS, AND METHOD FOR PROCESSING SIGNAL | 2 |
Keigo Kawamura | JP | Kyoto | 2015-09-10 / 20150256657 - PORTABLE ELECTRONIC DEVICE | 1 |
Atsushi Kawamura | JP | Yokohama | 2015-11-05 / 20150317097 - DE-DUPLICATION IN FLASH MEMORY MODULE | 10 |
Tetsuya Kawamura | JP | Osaka-Shi | 2013-11-21 / 20130307227 - Sealing Material for Thin Plate Member | 1 |
Makoto Kawamura | JP | Kanagawa | 2009-07-23 / 20090186603 - MOBILE TERMINAL DEVICE, COMPUTER EXECUTABLE PROGRAM FOR EXCHANGING PERSONAL INFORMATION, AND METHOD AND SYSTEM FOR EXCHANGING PERSONAL INFORMATION | 1 |
Satoshi Kawamura | JP | Shiojiri-Shi | 2013-09-05 / 20130229456 - LIQUID EJECTING APPARATUS | 3 |
Satoshi Kawamura | JP | Tokyo | 2016-05-12 / 20160134225 - MOTOR CONTROL DEVICE | 10 |
Hisashi Kawamura | JP | Yokohama-Shi | 2009-03-12 / 20090070093 - PROGRAM, INFORMATION STORAGE MEDIUM, AND GAME DEVICE | 1 |
Kouichi Kawamura | JP | Yokohama-Shi | 2015-04-16 / 20150103408 - DISPLAY APPARATUS FOR VEHICLE | 3 |
Kazunori Kawamura | JP | Osaka | 2011-06-16 / 20110139607 - TRANSPARENT CONDUCTIVE FILM AND METHOD FOR PRODUCING THE SAME | 1 |
Noriyasu Kawamura | JP | Yokohama-Shi | 2010-07-22 / 20100182758 - ELECTRONIC DEVICE | 1 |
Yoshihisa Kawamura | JP | Yokohama-Shi | 2013-05-30 / 20130137268 - METHOD FOR PATTERN FORMATION | 6 |
Shuji Kawamura | JP | Yokohama-Shi | 2010-10-21 / 20100266961 - MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD | 1 |
Hiroshi Kawamura | JP | Kyoto | 2014-01-16 / 20140017535 - BATTERY | 1 |
Yoshifumi Kawamura | JP | Tokyo | 2013-01-31 / 20130029423 - METHOD OF EFFICIENTLY ESTABLISHING INDUCED PLURIPOTENT STEM CELLS | 2 |
Koichi Kawamura | JP | Yokohama | 2015-09-10 / 20150255665 - LASER HEATING TREATMENT METHOD AND METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE | 1 |
Tadashi Kawamura | JP | Osaka | 2012-04-12 / 20120087107 - DISPLAY PANEL AND DISPLAY DEVICE | 2 |
Kotaro Kawamura | JP | Tokyo | 2012-05-24 / 20120128541 - COMBUSTION-TYPE EXHAUST GAS TREATMENT APPARATUS | 2 |
Yoshio Kawamura | JP | Tokyo | 2010-05-27 / 20100131093 - FABRICATION SYSTEM AND FABRICATION METHOD | 2 |
Kinumune Kawamura | JP | Tokyo | 2010-06-03 / 20100132679 - Power unit for portable chain saw | 1 |
Kazuyasu Kawamura | JP | Tokyo | 2008-09-04 / 20080214311 - GAME SYSTEM, SERVER APPARATUS AND REGISTER TERMINAL | 1 |
Tomoaki Kawamura | JP | Yokohama-Shi | 2012-06-07 / 20120140995 - COMMUNICATION TERMINAL AND COMMUNICATION SYSTEM | 4 |
Toyoji Kawamura | JP | Tokyo | 2008-09-18 / 20080225856 - NETWORK CONNECTION APPARATUS | 1 |
Yoshihito Kawamura | JP | Kumamoto | 2016-03-10 / 20160068933 - FLAME-RETARDANT MAGNESIUM ALLOY AND METHOD OF MANUFACTURING SAME | 11 |
Hajime Kawamura | JP | Kanagawa | 2009-06-04 / 20090140769 - System-in-package | 1 |
Nobuya Kawamura | JP | Nisshin-Shi | 2013-09-26 / 20130248083 - METHOD OF CONNECTING MEMBERS | 1 |
Yukinori Kawamura | JP | Matsumoto City | 2009-11-19 / 20090284136 - ORGANIC LIGHT-EMISSION DEVICE | 5 |
Takuya Kawamura | JP | Tokyo | 2015-07-23 / 20150204964 - RADIO BASE STATION AND COMPUTER READABLE STORAGE MEDIUM | 5 |
Daiki Kawamura | JP | Saitama-Ken | 2016-03-03 / 20160062135 - ZOOM LENS AND IMAGING APPARATUS | 20 |
Tamotsu Kawamura | JP | Shiga | 2010-10-07 / 20100251777 - WASHING/DRYING MACHINE | 1 |
Madoka Kawamura | JP | Tokyo | 2011-11-24 / 20110288293 - ISOQUINOLINE DERIVATIVE | 2 |
Atsuki Kawamura | JP | Tokyo | 2009-06-04 / 20090142446 - METHOD OF PRODUCING FOAMING ALCOHOLIC DRINK AND FOAMING ALCOHOLIC DRINK PRODUCED BY USING THE METHOD | 1 |
Hiromichi Kawamura | JP | Hiroshima-Shi | 2011-07-28 / 20110180339 - STRUCTURE OF ELECTRIC VEHICLE | 2 |
Tomonori Kawamura | JP | Tokyo | 2012-09-27 / 20120241889 - GAS BARRIER FILM, METHOD OF MANUFACTURING GAS BARRIER FILM, AND ORGANIC PHOTOELECTRIC CONVERSION ELEMENT | 2 |
Mikako Kawamura | JP | Ibaraki | 2010-09-02 / 20100221211 - PYRIDONE-SUBSTITUTED-DIHYDROPYRAZOLOPYRIMIDINONE DERIVATIVE | 1 |
Ryo Kawamura | JP | Tokyo | 2012-08-30 / 20120218270 - FACIAL SKETCH CREATION DEVICE, CONFIGURATION INFORMATION GENERATION DEVICE, CONFIGURATION INFORMATION GENERATION METHOD, AND STORAGE MEDIUM | 4 |
Tamotsu Kawamura | JP | Sakura-Shi | 2013-05-16 / 20130119925 - NON-CONTACT CHARGING SYSTEM | 3 |
Asuka Kawamura | JP | Tokyo | 2015-07-02 / 20150182864 - NON-TRANSITORY COMPUTER READABLE RECORDING MEDIUM, GAME CONTROL METHOD, SERVER DEVICE, AND INFORMATION PROCESSING SYSTEM | 2 |
Masato Kawamura | JP | Tokyo | 2010-11-04 / 20100279034 - Thermal transfer receiving sheet and its manufacturing method | 2 |
Tamotsu Kawamura | JP | Shioya-Gun | 2009-04-16 / 20090096314 - ELECTRIC MOTOR | 1 |
Takashi Kawamura | JP | Fukuoka | 2009-10-29 / 20090266689 - Electronic Device | 2 |
Michihiro Kawamura | JP | Kanagawa | 2009-05-14 / 20090121407 - POSTPROCESSING DEVICE AND IMAGE FORMING DEVICE | 1 |
Takashi Kawamura | JP | Tokyo | 2014-10-02 / 20140291135 - Slide Switch | 3 |
Tomoaki Kawamura | JP | Kanagawa | 2010-09-16 / 20100232558 - CDR circuit | 1 |
Takashi Kawamura | JP | Hino-Shi | 2011-05-12 / 20110110949 - NESFATIN-1 SPECIFIC ANTIBODY AND THE USE THEREOF, AND NESFATIN SPECIFIC ANTIBODY AND THE USE THEREOF | 1 |
Takashi Kawamura | JP | Osaka | 2010-01-21 / 20100015497 - SEPARATOR FOR FUEL CELL, METHOD FOR PRODUCING THE SAME, AND FUEL CELL | 3 |
Yosuke Kawamura | JP | Kanagawa | 2012-03-08 / 20120056975 - APPARATUS, SYSTEM, AND METHOD OF TRANSMITTING ENCODED IMAGE DATA, AND RECORDING MEDIUM STORING CONTROL PROGRAM | 2 |
Takashi Kawamura | JP | Atsugi-Shi | 2011-02-24 / 20110043418 - RADIATED POWER MEASUREMENT METHOD, RADIATED POWER MEASUREMENT COUPLER AND RADIATED POWER MEASUREMENT APPARATUS | 3 |
Tetsuo Kawamura | JP | Aichi | 2012-10-11 / 20120258854 - METHOD FOR TREATING A SUPPORTED CATALYST | 2 |
Madoka Kawamura | JP | Toshima-Ku | 2013-04-18 / 20130096310 - ISOQUINOLINE DERIVATIVE | 2 |
Hitoshi Kawamura | US | Plainsboro | 2014-05-01 / 20140121229 - COMBINATION COMPRISING S-[2-([[1-(2-ETHYLBUTYL)CYCLOHEXYL] CARBONYL]AMINO)PHENYL] 2-METHYLPROPANETHIOATE AND AN HMG COA REDUCTASE INHIBITOR | 1 |
Jouji Kawamura | JP | Sakura-Shi | 2015-02-12 / 20150045495 - PIGMENT COMPOSITION, METHOD FOR PRODUCING THE SAME AND AQUEOUS INKJET INK | 1 |
Akira Kawamura | JP | Iwakuni-Shi | 2014-01-02 / 20140004011 - CATALYST RECOVERY SYSTEM, HYDROCARBON SYNTHESIS REACTION APPARATUS, HYDROCARBON SYNTHESIS REACTION SYSTEM, AND CATALYST RECOVERY PROCESS | 1 |
Jouji Kawamura | JP | Kitaadachi-Gun | 2015-12-10 / 20150355499 - LIQUID CRYSTAL DISPLAY DEVICE | 2 |
Patrick Kawamura | US | Fairview | 2013-10-03 / 20130257171 - RESONANT CIRCUIT DYNAMIC OPTIMIZATION SYSTEM AND METHOD | 1 |
Tetsuya Kawamura | JP | Hyogo | 2016-03-31 / 20160093640 - DISPLAY DEVICE | 5 |
Tetsuya Kawamura | JP | Saitama-Ken | 2011-10-06 / 20110242469 - LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Hideki Kawamura | JP | Chita-Gun | 2014-09-04 / 20140247018 - CHARGE CONTROL APPARATUS AND CHARGE CONTROL METHOD | 2 |
Kohei Kawamura | JP | Settsu-Shi | 2015-05-21 / 20150141559 - POLYESTER-BASED FIBER FOR ARTIFICIAL HAIR AND HAIR ORNAMENT PRODUCT INCLUDING THE SAME | 1 |
Noriyasu Kawamura | JP | Kokubunji-Shi | 2008-12-25 / 20080318447 - Electronic Apparatus | 2 |
Tetsuya Kawamura | JP | Mobara | 2015-09-10 / 20150253641 - Display Device | 8 |
Tetsuya Kawamura | JP | Himeji | 2011-02-24 / 20110042675 - Display device and manufacturing method thereof | 2 |
Masateru Kawamura | JP | Toyoake-Shi | 2014-06-26 / 20140178093 - Developing Device, Process Cartridge and Image Forming Apparatus | 8 |
Kenichiro Kawamura | JP | Kyoto-Shi | 2010-08-26 / 20100215522 - CHECK VALVE, FLUID DEVICE, AND PUMP | 1 |
Futoshi Kawamura | JP | Kariya-City | 2013-08-29 / 20130220247 - FLUID-PRESSURE-OPERATED VALVE TIMING CONTROLLER | 2 |
Kimito Kawamura | JP | Moriya-Shi | 2012-07-26 / 20120186327 - Method For Sending A Falling Body In A Falling-Body Viscometer, Falling-Body Sending Device, And Falling-Body Viscometer Provided Therewith | 2 |
Takeshi Kawamura | JP | Kawasaki-Shi | 2014-05-01 / 20140120652 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Satoshi Kawamura | JP | Higashiomi-Shi | 2012-12-20 / 20120318350 - DOPANT MATERIAL, SEMICONDUCTOR SUBSTRATE, SOLAR CELL ELEMENT, AND PROCESS FOR PRODUCTION OF DOPANT MATERIAL | 1 |
Kenichiro Kawamura | JP | Nagaokakyo-Shi | 2011-07-14 / 20110171050 - PIEZOELECTRIC PUMP | 1 |
Misuzu Kawamura | JP | Osaka-Shi | 2013-01-24 / 20130024537 - NETWORK SYSTEM, COMMUNICATION METHOD, AND COMMUNICATION TERMINAL | 3 |
Kiyoko Kawamura | JP | Chiba-Shi | 2010-09-16 / 20100233125 - CHIMERIC ADENOVIRUS, METHOD FOR PRODUCING THE SAME AND PHARMACEUTICAL USING THE SAME | 1 |
Toshikazu Kawamura | JP | Kunitachi-Shi | 2009-11-19 / 20090287867 - BUS SIGNAL CONTROL CIRCUIT AND SIGNAL PROCESSING CIRCUIT HAVING BUS SIGNAL CONTROL CIRCUIT | 1 |
Koji Kawamura | JP | Kiyosu-Shi, Aichi-Ken | 2015-03-26 / 20150084316 - HEAD PROTECTION AIRBAG APPARATUS | 1 |
Ippei Kawamura | JP | Saitama | 2011-03-31 / 20110073628 - STRUCTURE OF UTILITY BOX FOR SADDLE VEHICLE | 2 |
Mitsunobu Kawamura | JP | Osaka-Shi | 2014-07-31 / 20140213825 - METHOD FOR PRODUCING 2-(ARYLOXYMETHYL) BENZALDEHYDE COMPOUND | 1 |
Yuji Kawamura | JP | Saitama | 2010-07-22 / 20100181164 - HANDRAIL FOR PASSENGER CONVEYOR | 1 |
Ikuko Kawamura | JP | Saitama-Ken | 2009-03-05 / 20090060210 - CIRCUIT AND PROGRAM FOR PROCESSING MULTICHANNEL AUDIO SIGNALS AND APPARATUS FOR REPRODUCING SAME | 1 |
Toshiki Kawamura | JP | Saitama | 2010-02-25 / 20100047650 - SEPARATOR FOR FUEL CELL, SINGLE CELL UNIT FOR FUEL CELL, SHORT STACK UNIT FOR FUEL CELL, AND PRODUCTION METHODS OF SEPARATOR FOR FUEL CELL AND CELL UNIT (SINGLE CELL UNIT OR SHORT STACK UNIT) FOR FUEL CELL | 2 |
Hideyuki Kawamura | JP | Tokyo | 2013-11-21 / 20130311236 - SYSTEM, METHOD AND COMPUTER PROGRAM FOR ENERGY CONSUMPTION MANAGEMENT | 3 |
Youichirou Kawamura | JP | Ibi-Gun | 2012-03-22 / 20120067938 - METHOD AND APPARATUS FOR LOADING SOLDER BALLS | 5 |
Yoshihiro Kawamura | JP | Makinohara-Shi | 2015-10-15 / 20150293167 - INSULATION DETECTING DEVICE AND INSULATION DETECTING METHOD OF NON-GROUNDED POWER SUPPLY | 16 |
Shinji Kawamura | JP | Osaka | 2011-04-07 / 20110079103 - SHIFT OPERATING DEVICE | 4 |
Tetsuya Kawamura | JP | Mobara | 2015-09-10 / 20150253641 - Display Device | 8 |
Raito Kawamura | JP | Chiyoda-Ku | 2014-10-02 / 20140294642 - VANE COMPRESSOR | 4 |
Shogo Kawamura | JP | Namazu-Shi | 2013-06-13 / 20130147884 - LIQUID CONTAINER | 2 |
Naohisa Kawamura | JP | Saitama | 2014-10-09 / 20140302118 - HYDROUS ADHESIVE SKIN PATCH | 5 |
Tetsuo Kawamura | JP | Toyota Aichi | 2014-03-20 / 20140080038 - PHOTOCATALYTIC METHODS FOR PREPARATION OF ELECTROCATALYST MATERIALS | 1 |
Yukihiro Kawamura | JP | Shizuoka | 2016-05-19 / 20160141131 - CONNECTION STRUCTURE OF ELECTRONIC COMPONENT AND TERMINAL METAL FITTINGS | 13 |
Iwao Kawamura | JP | Kunitachi-Shi | 2011-05-19 / 20110115866 - IMAGE FORMING APPARATUS | 1 |
Kazuhiro Kawamura | JP | Matsumoto-City | 2012-01-12 / 20120008346 - SEMICONDUCTOR INTEGRATED CIRCUIT AND SWITCHING POWER SUPPLY SYSTEM | 1 |
Kazuhiro Kawamura | JP | Sapporo-Shi | 2010-08-26 / 20100214296 - DATA DISPLAY APPARATUS, DATA DISPLAY METHOD AND PROGRAM | 2 |
Kazuteru Kawamura | JP | Hachioji-Shi | 2012-08-02 / 20120194730 - ZOOM LENS AND IMAGE PICKUP APPARATUS HAVING THE SAME | 3 |
Kazuhiro Kawamura | JP | Akita | 2015-08-20 / 20150231209 - STIMULATION OF OVARIAN FOLLICLE DEVELOPMENT AND OOCYTE MATURATION | 2 |
Katsuaki Kawamura | JP | Saitama | 2009-01-22 / 20090021491 - OPERATION INPUT DEVICE | 1 |
Shogo Kawamura | JP | Kawasaki-Shi | 2015-11-19 / 20150328810 - TRANSFER MOLDING METHOD, TRANSFER MOLDING DEVICE, AND MOLDED ARTICLE | 3 |
Yusuke Kawamura | JP | Ehime | 2010-04-29 / 20100104856 - BOEHMITE-FILLED POLYPROPYLENE RESIN COMPOSITION AND MOLDED ARTICLE COMPRISING THE SAME | 2 |
Miyuki Kawamura | JP | Tokyo | 2014-05-08 / 20140125333 - MAGNETIC RESONANCE IMAGING APPARATUS AND GRADIENT MAGNETIC FIELD WAVERFORM ESTIMATION METHOD | 4 |
Yusuke Kawamura | JP | Susono-Shi | 2013-08-08 / 20130199161 - DEVICE FOR PURIFYING EXHAUST GAS OF INTERNAL COMBUSTION ENGINE | 2 |
Yusuke Kawamura | JP | Suntou-Gun | 2014-10-23 / 20140311131 - SECONDARY AIR SUPPLY SYSTEM FOR INTERNAL COMBUSTION ENGINE | 1 |
Kanae Kawamura | JP | Tochigi | 2011-12-22 / 20110313706 - INDUSTRIAL MACHINE | 1 |
Kimito Kawamura | JP | Ibaraki | 2011-07-21 / 20110174061 - Falling Speed Measuring Sensor For Falling Body Viscometer And Falling Speed Measuring Method | 1 |
Makoto Kawamura | JP | Saitama | 2009-12-24 / 20090316560 - OPTICAL PICKUP AND INFORMATION EQUIPMENT | 1 |
Daiki Kawamura | JP | Saitama-Ken | 2016-03-03 / 20160062135 - ZOOM LENS AND IMAGING APPARATUS | 20 |
Yusuke Kawamura | JP | Niihama-Shi | 2011-12-29 / 20110315434 - FINE ALUMINUM HYDROXIDE POWDER FOR FILLING IN RESIN AND METHOD FOR PRODUCING THE SAME | 3 |
Jun Kawamura | JP | Chita-Gun | 2015-09-10 / 20150252745 - FUEL PROPERTY DETECTION APPARATUS | 6 |
Tatsuji Kawamura | JP | Ichihara-Shi, Chiba | 2011-08-25 / 20110206922 - FOAMING POLYPROPYLENE RESIN COMPOSITION AND MOLDED FOAM USING THE SAME | 1 |
Yusuki Kawamura | JP | Shizuoka-Ken | 2011-05-05 / 20110099987 - EXHAUST GAS PURIFICATION CATALYST | 1 |
Masateru Kawamura | JP | Toyoake-Shi | 2014-06-26 / 20140178093 - Developing Device, Process Cartridge and Image Forming Apparatus | 8 |
Tomohiko Kawamura | JP | Hiroshima | 2009-03-26 / 20090078856 - Solid-state image capturing device and electronic information device | 1 |
Soichi Kawamura | JP | Aichi | 2014-01-02 / 20140002064 - RESOLVER AND MULTIPLE-ROTATION DETECTOR | 1 |
Naohisa Kawamura | JP | Kasukabe-Shi | 2015-07-30 / 20150209302 - ADHESIVE SKIN PATCH | 7 |
Tomohiko Kawamura | JP | Fukuyama-Shi | 2008-09-04 / 20080210984 - Solid-state image capturing device and electronic information device | 1 |
Tomohiko Kawamura | JP | Mie | 2009-06-18 / 20090152898 - Pipe member and method of manufacturing the same | 1 |
Mikio Kawamura | JP | Chiyoda-Ku | 2013-11-07 / 20130291793 - FLOW REGULATING MEMBER OF HOT DIP COATING TANK AND CONTINUOUS HOT DIP COATING SYSTEM | 2 |
Madoka Kawamura | JP | Saitama | 2009-11-12 / 20090281292 - 10a-Azalide Compound | 1 |
Hirokazu Kawamura | JP | Saitama | 2009-02-19 / 20090044971 - Printed Wiring Board, Process for Producing the Same and Usage of the Same | 1 |
Akihisa Kawamura | JP | Osaka | 2010-11-18 / 20100292820 - STREAM REPRODUCTION DEVICE AND STREAM SUPPLY DEVICE | 7 |
Shigeaki Kawamura | JP | Yokohama-Shi | 2014-09-18 / 20140281163 - MEMORY SYSTEM | 1 |
Koji Kawamura | JP | Kagawa | 2012-08-30 / 20120220972 - ABSORBENT ARTICLE | 1 |
Atsushi Kawamura | JP | Kyoto | 2015-08-27 / 20150245212 - DEVICE MANAGEMENT APPARATUS AND DEVICE MANAGEMENT METHOD | 1 |
Nobuya Kawamura | JP | Nissin-Shi | 2012-03-08 / 20120058347 - PLASTIC ARTICLE FOR AUTOMOTIVE GLAZING | 2 |
Ippei Kawamura | JP | Wako-Shi | 2013-03-07 / 20130055841 - GEAR SHIFT CONTROL DEVICE OF SADDLE RIDE TYPE VEHICLE | 1 |
Yuta Kawamura | JP | Kawasaki-Shi | 2015-05-21 / 20150138322 - IMAGE PROCESSING DEVICE AND ITS CONTROL METHOD, IMAGING APPARATUS, AND STORAGE MEDIUM | 1 |
Shoichi Kawamura | JP | Yokohama-Shi | 2013-06-20 / 20130159610 - NON-VOLATILE SEMICONDUCTOR MEMORY DEVICE RELATED METHOD OF OPERATION | 1 |
Naoto Kawamura | JP | Kawasaki-Shi | 2012-07-19 / 20120182585 - IMAGE PROCESSING APPARATUS AND METHOD THEREOF | 8 |
Syoji Kawamura | JP | Osaka | 2010-09-02 / 20100220781 - MOVING PICTURE DECODING APPARATUS | 1 |
Makiko Kawamura | US | Farmington Hills | 2010-05-20 / 20100124857 - FEMALE TERMINAL ASSEMBLY | 1 |
Satoshi Kawamura | JP | Tokyo | 2016-05-12 / 20160134225 - MOTOR CONTROL DEVICE | 10 |
Hisayuki Kawamura | JP | Chiba | 2014-03-20 / 20140077200 - AROMATIC AMINE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME | 11 |
Mikako Kawamura | JP | Tsukuba-Shi | 2008-12-11 / 20080305081 - Novel aminopyrimidine derivatives as PLK1 inhibitors | 1 |
Kensuke Kawamura | JP | Osaka | 2015-03-26 / 20150083474 - ELECTROCONDUCTIVE INK COMPOSITION | 1 |
Asato Kawamura | JP | Saitama-Ken | 2013-07-04 / 20130169218 - Regulator, Battery Charging Apparatus and Battery Charging System | 2 |
Asato Kawamura | JP | Hanno-Shi | 2014-09-25 / 20140285158 - REGULATOR, BATTERY CHARGING APPARATUS AND BATTERY CHARGING METHOD | 1 |
Joseph C. Kawan | US | Hollywood | 2011-07-14 / 20110173121 - METHOD AND SYSTEM OF CONTACTLESS INTERFACING FOR SMART CARD BANKING | 1 |
Asahi Kawana | JP | Hino-Shi | 2016-02-11 / 20160039784 - PYRAZOLE DERIVATIVE | 1 |
Yoshimasa Kawana | JP | Tokyo | 2015-11-19 / 20150331378 - MANAGEMENT SYSTEM, MANAGEMENT DEVICE, IMAGE FORMING DEVICE, MANAGEMENT SYSTEM CONTROL METHOD, AND STORAGE MEDIUM | 10 |
Kenji Kawana | JP | Numazu | 2016-02-18 / 20160048463 - ASSIGNMENT CONTROL METHOD, SYSTEM, AND RECORDING MEDIUM | 1 |
Kazuyuki Kawana | JP | Hitachinaka-Shi | 2010-05-20 / 20100122683 - Engine Tool | 1 |
Masanao Kawana | JP | Saitama-Shi | 2011-12-08 / 20110299173 - ZOOM LENS FOR PROJECTION AND PROJECTION-TYPE DISPLAY APPARATUS | 4 |
Daisuke Kawana | JP | Kawasaki-Shi | 2014-04-03 / 20140093824 - RESIST COMPOSITION FOR EUV OR EB AND METHOD OF FORMING RESIST PATTERN | 5 |
Takashi Kawana | JP | Machida-Shi | 2015-12-17 / 20150365554 - IMAGE-FORMING APPARATUS | 2 |
Yuuki Kawana | JP | Yokkaichi-Shi | 2016-03-24 / 20160083553 - RUBBER COMPOSITION AND PNEUMATIC TIRE | 1 |
Masanao Kawana | JP | Saitama-Ken | 2015-11-12 / 20150323766 - PROJECTION LENS AND PROJECTION DISPLAY APPARATUS | 11 |
Takashi Kawana | JP | Tokyo | 2013-06-20 / 20130158188 - RESIN COMPOSITION AND SEMICONDUCTOR DEVICE PRODUCED USING RESIN COMPOSITION | 1 |
Masahiko Kawana | JP | Tokyo | 2013-10-03 / 20130257811 - INTERACTIVE DISPLAY DEVICE | 1 |
Masanao Kawana | JP | Saitama-Ken | 2015-11-12 / 20150323766 - PROJECTION LENS AND PROJECTION DISPLAY APPARATUS | 11 |
Daisuke Kawana | JP | Kanagawa | 2009-09-03 / 20090220889 - PHOTOSENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN | 2 |
Masanao Kawana | JP | Saitama | 2014-01-23 / 20140022520 - PROJECTION DISPLAY APPARATUS | 1 |
Kie Kawana | JP | Sendai-Shi | 2013-01-31 / 20130031323 - MEMORY DEVICE SHARING SYSTEM, MANAGING APPARATUS ACCESS CONTROL APPARATUS, METHODS THEREFOR, AND RECORDING MEDIUM | 1 |
Masaaki Kawana | JP | Shizuoka | 2012-06-21 / 20120156887 - VACUUM PROCESSING APPARATUS AND VACUUM PROCESSING METHOD | 1 |
Kenji Kawana | JP | Kanagawa | 2009-05-14 / 20090121407 - POSTPROCESSING DEVICE AND IMAGE FORMING DEVICE | 1 |
Shigenori Kawana | JP | Fuchu-Shi | 2013-05-02 / 20130108905 - SECONDARY BATTERY UNIT | 1 |
Koichi Kawana | JP | Chiba | 2013-12-05 / 20130322849 - INFORMATION PROCESSING APPARATUS, PROGRAM, AND INFORMATION PROCESSING METHOD | 2 |
Takahiro Kawana | JP | Nasushiobara | 2012-03-08 / 20120058233 - METHOD OF PRODUCING PROCESSED TOMATO PRODUCT | 1 |
Yoshimasa Kawana | JP | Tokyo | 2015-11-19 / 20150331378 - MANAGEMENT SYSTEM, MANAGEMENT DEVICE, IMAGE FORMING DEVICE, MANAGEMENT SYSTEM CONTROL METHOD, AND STORAGE MEDIUM | 10 |
Shin Kawana | JP | Kanagawa | 2009-12-31 / 20090322990 - COLOR IMAGE DISPLAY DEVICE | 2 |
Yuzura Kawana | JP | Miyagi-Ken | 2014-01-30 / 20140027259 - KEY INPUT DEVICE | 1 |
Michiya Kawana | JP | Kurashiki-Shi | 2013-07-04 / 20130172441 - DENTAL MILL BLANK | 2 |
Yousuke Kawana | JP | Tokyo | 2015-12-10 / 20150358532 - DISPLAY CONTROL APPARATUS, PROGRAM, AND DISPLAY CONTROL METHOD | 4 |
Asahi Kawana | JP | Yamaguchi | 2009-03-19 / 20090076120 - Crystal of Aminopyrrolidine Derivative and Production Method Thereof | 1 |
Asahi Kawana | JP | Tokyo | 2015-12-31 / 20150376174 - AZOLE BENZENE DERIVATIVE | 1 |
Junsuke Kawana | JP | Tokyo | 2015-07-09 / 20150191874 - WATER/OIL RESISTANT COMPOSITION, ARTICLE TREATED THEREWITH, AND PROCESSES FOR THEIR PRODUCTION | 2 |
Daisuke Kawana | JP | Kanagawa-Ken | 2009-12-17 / 20090311625 - Method for forming photoresist pattern and photoresist laminate | 2 |
Shuichi Kawana | JP | Osaka-Shi | 2012-11-15 / 20120286148 - QUADRUPOLE MASS SPECTROMETER | 6 |
Takahiro Kawana | JP | Miyagi | 2013-10-03 / 20130260184 - BATTERY SEPARATOR, METHOD OF MANUFACTURING A BATTERY SEPARATOR, BATTERY, BATTERY PACK, AND ELECTRONIC APPARATUS | 4 |
Shuichi Kawana | JP | Kyoto-Shi | 2014-12-04 / 20140352406 - GAS CHROMATOGRAPH APPARATUS | 4 |
Shuichi Kawana | JP | Osaka | 2009-08-13 / 20090199620 - CHROMATOGRAPH MASS ANALYSIS DATA PROCESSING APPARATUS | 3 |
Noriyasu Kawana | JP | Chino-Shi | 2015-04-23 / 20150108504 - METHOD FOR PRODUCING 3C-SIC EPITAXIAL LAYER, 3C-SIC EPITAXIAL SUBSTRATE, AND SEMICONDUCTOR DEVICE | 1 |
Akifumi Kawana | JP | Tokyo | 2014-10-02 / 20140290806 - WIRE MATERIAL FOR NON-HEAT TREATED COMPONENT, STEEL WIRE FOR NON-HEAT TREATED COMPONENT, AND NON-HEAT TREATED COMPONENT AND MANUFACTURING METHOD THEREOF | 2 |
Shin Kawana | JP | Yokohama-Shi | 2010-08-19 / 20100208173 - BLUE COLOR COMPOSITION FOR COLOR FILTER, COLOR FILTER, AND COLOR IMAGE DISPLAY DEVICE | 1 |
Satoshi Kawana | JP | Tokyo | 2016-02-11 / 20160041544 - PLC SYSTEM | 1 |
Takumi Kawana | JP | Kawasaki-Shi | 2010-08-26 / 20100213599 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Takahiro Kawana | JP | Nasushiobara-Shi | 2010-12-09 / 20100310737 - PROCESSED TOMATOES, DRY TOMATOES AND METHOD OF PRODUCING THE SAME | 1 |
Akira Kawana | JP | Kanagawa | 2013-07-04 / 20130173046 - Correction Method at Time of Feed Axis Reversal | 1 |
Akira Kawana | JP | Aiko-Gun | 2013-07-04 / 20130173045 - NUMERICAL CONTROL METHOD AND NUMERICAL CONTROL DEVICE OF MACHINE TOOL | 2 |
Toshiyuki Kawana | JP | Tokyo | 2010-08-12 / 20100201874 - Image display apparatus and method of adjusting clock phase | 1 |
Muneharu Kawana | JP | Chiba-Shi | 2010-08-05 / 20100194343 - BATTERY STATE MONITORING CIRCUIT AND BATTERY DEVICE | 4 |
Yoshiyuki Kawana | JP | Atsugi-Shi | 2010-07-29 / 20100190296 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Hiroki Kawana | JP | Wako-Shi | 2009-08-06 / 20090194384 - WET MULTI-PLATE CLUTCH | 1 |
Yuta Kawana | JP | Tokyo | 2011-09-08 / 20110218696 - VEHICLE OPERATING DEVICE | 6 |
Yuichi Kawanabe | JP | Saitama-Shi | 2011-02-24 / 20110044674 - LENS BARREL AND IMAGING APPARATUS | 1 |
Tetsuya Kawanabe | JP | Kanagawa-Ken | 2012-07-19 / 20120182579 - PRINTING APPARATUS AND CONTROL METHOD THEREFOR | 1 |
Naoki Kawanabe | JP | Kanagawa | 2015-05-21 / 20150137125 - SEMICONDUCTOR DEVICE | 1 |
Fumitake Kawanabe | JP | Tokyo | 2009-08-13 / 20090201089 - High frequency power amplifier | 1 |
Motohiro Kawanabe | JP | Hyogo | 2012-11-29 / 20120300010 - OPTICAL WRITING DEVICE, IMAGE FORMING APPARATUS, OPTICAL WRITING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 3 |
Noriaki Kawanabe | JP | Okayama-Shi | 2012-09-13 / 20120231091 - Method for Inducing Differentiation of Dental Pulp Cells Into Odontoblasts | 1 |
Chiho Kawanabe | JP | Tochigi | 2015-11-19 / 20150333036 - SEMICONDUCTOR SUBSTRATE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE | 6 |
Osamu Kawanabe | JP | Tokyo | 2014-02-20 / 20140049254 - NON-DESTRUCTIVE TESTING DEVICE | 1 |
Naruhito Kawanabe Kawanabe | JP | Kita-Gun | 2012-06-21 / 20120156293 - ANTACID AND LAXATIVE TABLET | 1 |
Naoki Kawanabe | JP | Kawasaki-Shi | 2015-08-13 / 20150228618 - Method of Manufacturing Semiconductor Device | 1 |
Yoshitaka Kawanabe | JP | Saitama | 2010-11-11 / 20100285754 - RADIO EQUIPMENT, AND METHOD AND PROGRAM OF DETERMINING SIGNAL TRANSMISSION SPEED | 1 |
Motohiro Kawanabe | JP | Osaka | 2016-04-21 / 20160109822 - OPTICAL WRITING CONTROL DEVICE, IMAGE FORMING APPARATUS, AND METHOD OF CONTROLLING OPTICAL WRITING DEVICE | 24 |
Motohiro Kawanabe | JP | Osaka | 2016-04-21 / 20160109822 - OPTICAL WRITING CONTROL DEVICE, IMAGE FORMING APPARATUS, AND METHOD OF CONTROLLING OPTICAL WRITING DEVICE | 24 |
Toshiaki Kawanabe | JP | Ehime | 2009-12-03 / 20090294083 - Regenerated Particle Aggregate, Method for Manufacturing the Regenerated Particle Aggregate, Regenerated Particle Aggregate-Containing Paper Containing the Regenerated particle Aggregate therein, and Coated Paper for Printing Coated by the Regenerated Particle Aggregate | 1 |
Shinya Kawanabe | JP | Nasushiobara-Shi | 2014-02-06 / 20140035914 - IMAGE PROCESSING SYSTEM, IMAGE PROCESSING APPARATUS, AND IMAGE PROCESSING METHOD | 3 |
Naruhito Kawanabe | JP | Takamatsu-Shi | 2011-12-08 / 20110300192 - MAGNESIUM OXIDE GRANULES | 1 |
Jun Kawanabe | JP | Kodama-Gun | 2015-07-09 / 20150194952 - ACTIVE MATRIX PANEL, DETECTION APPARATUS AND DETECTION SYSTEM | 28 |
Shinya Kawanabe | JP | Otawara | 2016-04-14 / 20160100815 - X-RAY COMPUTED TOMOGRAPHY APPARATUS AND CONTRAST MEDIUM INFLOW AMOUNT DETECTION METHOD | 2 |
Jun Kawanabe | JP | Kodama-Gun | 2015-07-09 / 20150194952 - ACTIVE MATRIX PANEL, DETECTION APPARATUS AND DETECTION SYSTEM | 28 |
Naoki Kawanabe | JP | Tokyo | 2011-11-03 / 20110269268 - SEMICONDUCTOR DEVICE AND A MANUFACTURING METHOD OF THE SAME | 2 |
Yasufumi Kawanabe | JP | Saitama | 2010-12-23 / 20100321933 - PROJECTOR DEVICE, LAMINATE TYPE LIGHT-EMITTING DIODE DEVICE, AND REFLECTION TYPE LIGHT-EMITTING DIODE UNIT | 1 |
Jun Kawanabe | JP | Kumagaya-Shi | 2016-02-18 / 20160047920 - RADIATION IMAGING APPARATUS AND RADIATION DETECTION SYSTEM | 16 |
Akihisa Kawanabe | JP | Yokohama-Shi | 2011-07-07 / 20110163524 - STEERING APPARATUS | 2 |
Hideyuki Kawanabe | JP | Tokyo | 2012-11-22 / 20120293864 - MICROSCOPE SYSTEM | 2 |
Jun Kawanabe | JP | Kumagaya-Shi | 2016-02-18 / 20160047920 - RADIATION IMAGING APPARATUS AND RADIATION DETECTION SYSTEM | 16 |
Masayuki Kawanabe | JP | Tokyo | 2013-05-09 / 20130115723 - Method of manufacturing semiconductor device and semiconductor manufacturing system | 1 |
Yuichi Kawanabe | JP | Saitama | 2009-04-02 / 20090086035 - Vibration isolating unit, image taking unit, and image taking apparatus | 1 |
Yasufumi Kawanabe | JP | Gyoda-Shi | 2009-01-15 / 20090015797 - PROJECTOR DEVICE, LAMINATE TYPE LIGHT-EMITTING DIODE DEVICE, AND REFLECTION TYPE LIGHT-EMITTING DIODE UNIT | 1 |
Hiroshi Kawanago | JP | Tokyo | 2014-12-04 / 20140353641 - ORGANIC EL DISPLAY DEVICE | 1 |
Hiroshi Kawanago | JP | Utsunomiya-Shi | 2015-04-16 / 20150103342 - SPECTROPHOTOMETER | 2 |
Yusuke Kawanago | JP | Tokyo | 2016-02-18 / 20160048101 - IMAGE FORMING APPARATUS | 13 |
Takashi Kawanago | JP | Hachioji-Shi | 2016-02-25 / 20160054700 - IMAGE FORMING APPARATUS | 1 |
Takashi Kawanago | JP | Tokyo | 2016-01-28 / 20160026146 - IMAGE FORMING DEVICE | 1 |
Norio Kawanaka | JP | Fujisawa-Shi | 2013-03-14 / 20130064719 - SELECTIVE CATALYTIC REDUCTION SYSTEM | 1 |
Aiko Kawanaka | JP | Aichi | 2014-09-04 / 20140247947 - SOUND SEPARATION DEVICE AND SOUND SEPARATION METHOD | 1 |
Junji Kawanaka | JP | Suita-Shi | 2012-01-12 / 20120008654 - LASER GAIN MEDIUM AND LASER OSCILLATOR USING THE SAME | 1 |
Hirotsugu Kawanaka | JP | Tokyo | 2016-05-05 / 20160121395 - REACTIVE POWDER, BONDING MATERIAL USING REACTIVE POWDER, BONDED BODY BONDED WITH BONDING MATERIAL AND METHOD FOR PRODUCING BONDED BODY | 1 |
Masafumi Kawanaka | JP | Osaka | 2012-02-09 / 20120031590 - INDOOR UNIT OF AIR CONDITIONING APPARATUS | 1 |
Shinya Kawanaka | JP | Yamato | 2011-01-20 / 20110016359 - AIDING IN CREATING, EXTENDING, AND VERIFYING ACCESSIBILITY METADATA | 1 |
Takayuki Kawanaka | JP | Tokyo | 2014-07-03 / 20140184215 - EDDY CURRENT TESTING APPARATUS, EDDY CURRENT TESTING PROBE, AND EDDY CURRENT TESTING METHOD | 1 |
Masaki Kawanaka | JP | Osaka | 2013-12-05 / 20130321710 - IMAGE DISPLAY DEVICE AND TELEVISION RECEIVER | 1 |
Risa Kawanaka | JP | Tokyo | 2016-03-03 / 20160063098 - METHOD, COMPUTER PROGRAM, AND COMPUTER FOR CLASSIFYING USERS OF SOCIAL MEDIA | 4 |
Satoshi Kawanaka | JP | Osaka | 2012-06-07 / 20120142860 - Acrylic Resin Composition, Method of Manufacturing the Same, and Architectural Material, Fashion Accessory, and Optical Material Formed Using the Same | 1 |
Yosuke Kawanaka | JP | Yokohama | 2014-09-25 / 20140289547 - MICROCONTROLLER AND METHOD OF CONTROLLING THE SAME | 1 |
Satoshi Kawanaka | JP | Komoro | 2013-07-18 / 20130182735 - SEMICONDUCTOR LASER | 1 |
Satoshi Kawanaka | JP | Kyoto | 2011-07-14 / 20110168628 - POLYAMIDE HOLLOW FIBER MEMBRANE, AND PRODUCTION METHOD THEREOF | 1 |
Hirotsugu Kawanaka | JP | Mito | 2012-06-14 / 20120145683 - LASER PROCESSING SYSTEM AND OVERLAY WELDING METHOD | 1 |
Akihiko Kawanaka | JP | Hyogo | 2011-04-07 / 20110081391 - SKIN-COVERING SHEET FOR IMPREGNATION WITH COSMETIC PREPARATION AND FACE MASK | 2 |
Keiji Kawanaka | JP | Okayama | 2014-01-09 / 20140008356 - INDUCTION HEATING DEVICE, CONTROL METHOD THEREOF, AND CONTROL PROGRAM THEREOF | 1 |
Satoshi Kawanaka | JP | Hirakata-Shi | 2014-09-18 / 20140275344 - EPOXY RESIN COMPOSITION, AND METHOD FOR PRODUCING THE SAME | 1 |
Masafumi Kawanaka | JP | Tokyo | 2011-01-13 / 20110006388 - SEMICONDUCTOR DEVICE | 1 |
Yasuhiro Kawanaka | JP | Chiyoda-Ku | 2012-10-18 / 20120261207 - ELECTRIC POWER STEERING DEVICE | 1 |
Shinya Kawanaka | JP | Kanagawa-Ken | 2010-01-28 / 20100023509 - PROTECTING INFORMATION IN SEARCH QUERIES | 1 |
Yosuke Kawanaka | JP | Kanagawa | 2011-11-24 / 20110285429 - Microcontroller and method of controlling the same | 1 |
Yasufumi Kawanaka | JP | Sakai-Shi | 2010-12-30 / 20100331414 - CRYSTAL COMPRISING (2R)-2-PROPYLOCTANOIC ACID AND AMINE | 1 |
Naoki Kawanaka | JP | Okayama | 2014-10-23 / 20140314828 - MOISTURE-ABSORBING AND DEODORIZING FIBER, METHOD FOR MANUFACTURING THE SAME, AND FIBER STRUCTURE CONTAINING THE SAME | 1 |
Masashi Kawanaka | JP | Osaka | 2010-07-22 / 20100185418 - Continuously-Arranged Sensor System, Network Unit, and Sensor Unit | 1 |
Junji Kawanaka | JP | Osaka | 2015-05-21 / 20150138618 - MULTI-BEAM COMBINING APPARATUS | 2 |
Shinya Kawanaka | JP | Tokyo | 2013-06-20 / 20130159069 - MONITORING METHOD AND SYSTEM USING COLLECTIVE INTELLIGENCE AND RATING PROPAGATION IN VIRTUAL WORLD COMMUNITY | 7 |
Naoki Kawanaka | JP | Okayama-Shi | 2015-05-14 / 20150133607 - PADDING | 1 |
Shigeru Kawanaka | JP | Kanagawa | 2010-03-25 / 20100072554 - SEMICONDUCTOR DEVICE | 1 |
Masafumi Kawanaka | JP | Minato-Ku | 2010-03-04 / 20100057412 - CIRCUIT SIMULATOR, CIRCUIT SIMULATION METHOD AND PROGRAM | 1 |
Shigeru Kawanaka | JP | Yokohama-Shi | 2015-03-19 / 20150076553 - SEMICONDUCTOR DEVICE | 15 |
Hirotugu Kawanaka | JP | Tokyo | 2014-07-03 / 20140186549 - POWDER SUPPLY NOZZLE AND OVERLAYING METHOD | 1 |
Takayuki Kawanaka | JP | Ishikawa | 2009-08-20 / 20090208141 - IMAGE PROCESSOR, IMAGE PROCESSING METHOD, AND COMPUTER PROGRAM PRODUCT | 1 |
Takafumi Kawanaka | JP | Kanagawa-Ken | 2009-05-14 / 20090124741 - Nitrile Group-Containing Copolymer Rubber and a Vulcanizable Nitrile Group-Containing Copolymer Rubber Composition | 1 |
Shigeru Kawanaka | JP | Kanagawa-Ken | 2011-09-15 / 20110220865 - TRANSISTOR AND MANUFACTURING METHOD THEREOF | 2 |
Yasuyuki Kawanaka | JP | Tokyo | 2015-10-29 / 20150311519 - NEGATIVE ELECTRODE ACTIVE MATERIAL FOR LITHIUM ION SECONDARY BATTERY, NEGATIVE ELECTRODE FOR LITHIUM ION SECONDARY BATTERY, AND LITHIUM ION SECONDARY BATTERY | 5 |
Tatsuo Kawanaka | JP | Tokyo | 2015-12-24 / 20150370418 - DISPLAY DEVICE AND IMAGE DISPLAY SYSTEM | 5 |
Shigeru Kawanaka | JP | Yokohama-Shi | 2015-03-19 / 20150076553 - SEMICONDUCTOR DEVICE | 15 |
Hiroyuki Kawanaka | JP | Yokohama-Shi | 2014-10-30 / 20140320482 - LIQUID CRYSTAL DISPLAY (LCD) DEVICE | 1 |
Toru Kawanaka | JP | Kawasaki | 2015-06-25 / 20150176727 - THICK, HIGH-STRENGTH, SOUR-RESISTANT LINE PIPE AND METHOD FOR PRODUCING SAME | 2 |
Akira Kawanaka | JP | Tokyo | 2010-05-13 / 20100119105 - Image processing device and image processing progam | 1 |
Hirotsugu Kawanaka | JP | Hitachi | 2011-10-13 / 20110248001 - METAL DEPOSITION METHOD AND LASER METAL DEPOSITION APPARATUS | 7 |
Keiji Kawanaka | JP | Tamano-Shi | 2015-04-23 / 20150108118 - INDUCTION HEATING METHOD | 1 |
Toshio Kawanami | US | Boston | 2016-01-28 / 20160024079 - Complement Factor B inhibitors and uses there of | 19 |
Yoshimi Kawanami | JP | Miyazaki | 2009-06-04 / 20090140951 - PLASMA DISPLAY PANEL | 5 |
Toshio Kawanami | JP | Tsukuba | 2009-11-19 / 20090286790 - ORGANIC COMPOUNDS | 1 |
Koutarou Kawanami | JP | Kanagawa | 2013-06-27 / 20130165657 - PROCESS FOR PREPARATION OF OPTICALLY ACTIVE DIAMINE DERIVATIVE SALT | 2 |
Takeo Kawanami | JP | Mishima-Shi | 2014-04-10 / 20140099140 - ELECTROPHOTOGRAPHIC COLOR IMAGE FORMING APPARATUS | 11 |
Yoshimi Kawanami | JP | Tokyo | 2015-03-26 / 20150083930 - CHARGED PARTICLE MICROSCOPE | 6 |
Osamu Kawanami | JP | Hyogo-Ken | 2012-05-10 / 20120111550 - EBULLIENT COOLING DEVICE | 1 |
Hirotaka Kawanami | JP | Chiba | 2012-02-23 / 20120046476 - METHOD FOR PRODUCING TETRAHYDROPYRAN COMPOUND AND INTERMEDIATE THEREOF | 1 |
Hiroki Kawanami | JP | Higashiosaka-Shi | 2009-12-03 / 20090295778 - OLED Driver and Lighting Apparatus Equipped With the Driver | 1 |
Takeo Kawanami | JP | Mishima-Shi | 2014-04-10 / 20140099140 - ELECTROPHOTOGRAPHIC COLOR IMAGE FORMING APPARATUS | 11 |
Tomoe Kawanami | JP | Kanagawa | 2012-10-25 / 20120268519 - WATER-BASED INK JET INK AND RECORDING METHOD | 3 |
Yuko Kawanami | JP | Osaka | 2015-05-14 / 20150132878 - METHOD FOR MANUFACTURING ORGANIC EL ELEMENT, ORGANIC EL ELEMENT, ORGANIC EL DISPLAY PANEL, ORGANIC EL DISPLAY APPARATUS, AND ORGANIC EL LIGHT-EMITTING APPARATUS | 10 |
Yoshimi Kawanami | JP | Kokubunji-Shi | 2012-04-12 / 20120085924 - METHOD AND APPARATUS FOR SPECIMEN FABRICATION | 5 |
Takeo Kawanami | JP | Fujisawa-Shi | 2015-11-19 / 20150329292 - BELT TRANSPORTING DEVICE AND IMAGE FORMING APPARATUS | 6 |
Naoto Kawanami | JP | Saitama | 2010-04-15 / 20100091165 - IMAGE SENSOR DRIVING UNIT AND CAMERA | 4 |
Akira Kawanami | JP | Aki-Gun | 2013-08-29 / 20130221705 - BODY FRONT STRUCTURE FOR AUTOMOBILE | 1 |
Yasuaki Kawanami | JP | Fukuoka | 2011-08-25 / 20110204190 - ELONGATED STRUCTURE FOR MOVABLE SECTION | 1 |
Satoshi Kawanami | JP | Yao-Shi | 2010-05-13 / 20100120929 - METHOD FOR PRODUCING HEAT-EXPANDABLE MICROSPHERES AND APPLICATION THEREOF | 2 |
Takashi Kawanami | JP | Oumihachiman-Shi | 2010-09-02 / 20100219903 - NONRECIPROCAL CIRCUIT DEVICE | 4 |
Yasuhiko Kawanami | JP | Fukuoka | 2015-08-27 / 20150244287 - POWER CONVERSION APPARATUS AND POWER CONVERSION METHOD | 5 |
Sohei Kawanami | JP | Tokyo | 2013-01-10 / 20130011598 - ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING SAME | 4 |
Takashi Kawanami | JP | Nagaokakyo-Shi | 2015-12-10 / 20150355241 - CURRENT SENSOR AND ELECTRONIC DEVICE INCORPORATING THE SAME | 1 |
Kentarou Kawanami | JP | Utsunomiya-Shi | 2013-10-31 / 20130286373 - EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD OF MANUACTURING DEVICE | 4 |
Yuko Kawanami | JP | Osaka | 2015-05-14 / 20150132878 - METHOD FOR MANUFACTURING ORGANIC EL ELEMENT, ORGANIC EL ELEMENT, ORGANIC EL DISPLAY PANEL, ORGANIC EL DISPLAY APPARATUS, AND ORGANIC EL LIGHT-EMITTING APPARATUS | 10 |
Hirotaka Kawanami | JP | Funabashi-Shi | 2014-09-11 / 20140256921 - METHOD FOR PRODUCING TETRAHYDROPYRAN COMPOUND AND INTERMEDIATE THEREOF | 1 |
Hirotaka Kawanami | JP | Sanyo-Onoda-Shi | 2016-05-12 / 20160130193 - PRODUCTION METHOD FOR OPTICALLY ACTIVE ALCOHOL COMPOUND | 1 |
Akihiro Kawanami | JP | Utsunomiya-Shi | 2016-05-05 / 20160127644 - IMAGE CAPTURING ACCESSORY, IMAGE CAPTURING APPARATUS, CONTROL METHOD THEREOF AND STORAGE MEDIUM STORING COMMUNICATION CONTROL PROGRAM | 5 |
Seiichi Kawanami | JP | Tokyo | 2011-12-29 / 20110314918 - PIPE INNER SURFACE INSPECTION APPARATUS | 1 |
Yasunori Kawanami | JP | Tokyo | 2016-03-24 / 20160082590 - ROBOT APPARATUS, METHOD OF CONTROLLING ROBOT APPARATUS, AND COMPUTER PROGRAM | 8 |
Yasuhiko Kawanami | JP | Kitakyushu-Shi | 2013-10-03 / 20130258736 - POWER CONVERTER | 4 |
Toshio Kawanami | US | Boston | 2016-01-28 / 20160024079 - Complement Factor B inhibitors and uses there of | 19 |
Hajime Kawanami | JP | Miyagi | 2008-09-04 / 20080210907 - Ionic Organic Compound | 1 |
Toshio Kawanami | US | Cambridge | 2011-05-26 / 20110124695 - Substituted carbamoylmethylamino acetic acid derivatives as novel NEP inhibitors | 2 |
Eriko Kawanami | JP | Kawasaki-Shi | 2008-10-16 / 20080252990 - Optical apparatus | 1 |
Hirotaka Kawanami | JP | Yamaguchi | 2011-06-09 / 20110137070 - PROCESS FOR PRODUCTION OF BICYCLO[2.2.2]OCTYLAMINE DERIVATIVE | 1 |
Kozo Kawanami | JP | Kyoto | 2013-05-16 / 20130118048 - IMAGE DISPLAY PANEL, IMAGE DISPLAY PANEL INSTALLATION EQUIPMENT, AND MANUFACTURING METHOD FOR IMAGE DISPLAY PANEL | 1 |
Takeo Kawanami | JP | Yokohama-Shi | 2015-11-05 / 20150316874 - IMAGE FORMING APPARATUS | 8 |
Jun Kawanami | JP | Yokohama-Shi | 2013-12-26 / 20130343744 - SHUTTER APPARATUS AND IMAGE-PICKUP APPARATUS HAVING THE SAME | 2 |
Hajime Kawanami | JP | Miyagi Prefecture | 2011-11-24 / 20110288287 - Halogen Substituted Saccharide, Method for Producing Same, Reaction Composition of Same and Device for Producing Same | 1 |
Takeo Kawanami | JP | Yokohama-Shi | 2015-11-05 / 20150316874 - IMAGE FORMING APPARATUS | 8 |
Masashi Kawanami | JP | Tokyo | 2008-10-16 / 20080252425 - Rfid Tag, Method For Manufacturing Rfid Tag and Method For Arranging Rfid Tag | 1 |
Sohei Kawanami | JP | Chiyoda-Ku | 2015-02-05 / 20150037594 - GLASS COMPOSITION, SEALING MATERIAL, AND SEALED PACKAGE | 4 |
Hiroshi Kawanami | JP | Sakai-Shi | 2008-12-04 / 20080296468 - IGNITION SWITCH DEVICE | 3 |
Toshihiko Kawanami | JP | Hiroshima-Ken | 2009-03-19 / 20090072976 - METHOD, SYSTEM, AND APPARATUS FOR DETACHING AND COLLECTING AN IC TAG FROM A PAPER SHEET TO WHICH IT IS ATTACHED | 2 |
Shunichi Kawanami | JP | Chiyoda-Ku | 2012-11-22 / 20120291982 - STEEL CONTINUOUS CASTING METHOD | 1 |
Koutarou Kawanami | JP | Hiratsuka | 2013-06-06 / 20130144061 - PROCESS FOR PREPARING A COMPOUND BY A NOVEL SANDMEYER-LIKE REACTION USING A NITROXIDE RADICAL COMPOUND AS A REACTION CATALYST | 1 |
Kotaro Kawanami | JP | Tokyo | 2009-04-23 / 20090105491 - OPTICALLY ACTIVE DIAMINE DERIVATIVE AND PROCESS FOR PRODUCING THE SAME | 1 |
Yasunori Kawanami | JP | Tokyo | 2016-03-24 / 20160082590 - ROBOT APPARATUS, METHOD OF CONTROLLING ROBOT APPARATUS, AND COMPUTER PROGRAM | 8 |
Toshihiko Kawanami | JP | Hiroshima | 2009-07-02 / 20090169279 - Electrographic Printing Apparatus, Electrographic Printing Method, and Method of Producing Glass Plate | 2 |
Sohei Kawanami | JP | Koriyama-Shi | 2011-09-15 / 20110223360 - GLASS MEMBER PROVIDED WITH SEALING MATERIAL LAYER, AND ELECTRONIC DEVICE USING IT AND PROCESS FOR PRODUCING THE ELECTRONIC DEVICE | 2 |
Yoshimi Kawanami | JP | Hitachinaka | 2014-11-06 / 20140326897 - GAS FIELD IONIZATION ION SOURCE AND ION BEAM DEVICE | 5 |
Yoshimi Kawanami | JP | Kawasaki | 2010-09-02 / 20100219750 - DISPLAY PANEL DEVICE WITH A LIGHT-PERMEABLE FRONT SHEET | 2 |
Shinichirou Kawane | JP | Toshima-Ku | 2013-11-28 / 20130312734 - SOLAR HEAT COLLECTING PIPE | 2 |
Hiroshi Kawane | JP | Tokyo | 2011-01-20 / 20110011267 - AIR POLLUTION CONTROL APPARATUS | 1 |
Shinichirou Kawane | JP | Kudamatsu | 2012-11-15 / 20120285189 - SUNLIGHT HEAT UTILIZED STEAM ABSORPTION CHILLER AND SUNLIGHT HEAT UTILIZATION SYSTEM | 1 |
Shinichirou Kawane | JP | Tokyo | 2012-06-21 / 20120152233 - SOLAR COLLECTOR AND COOPERATIVE SOLAR COLLECTOR SYSTEM | 1 |
Hideaki Kawane | JP | Osaka | 2009-01-01 / 20090002847 - LENS BARREL AND IMAGING DEVICE | 1 |
Yoshihide Kawani | JP | Tokyo | 2010-04-01 / 20100077650 - Apparatus and Process for Production of Nanobubble Liquid | 1 |
Nobuhiko Kawanishi | JP | Moriya-Shi | 2012-01-05 / 20120004240 - INHIBITORS OF AKT ACTIVITY | 3 |
Kazuyoshi Kawanishi | JP | Ibaraki | 2014-07-10 / 20140191160 - COMPOSITION CONTAINING BORANE COMPOUND AND CONJUGATED POLYMER COMPOUND, AND ELEMENT | 1 |
Minoru Kawanishi | JP | Yokohama-Shi | 2014-07-17 / 20140197592 - SHEET FEEDING DEVICE AND IMAGE FORMING APPARATUS | 16 |
Hidenori Kawanishi | JP | Osaka-Shi | 2014-11-27 / 20140347843 - LIGHT-EMITTING DEVICE, ILLUMINATING DEVICE, VEHICLE HEADLAMP, AND METHOD FOR PRODUCING LIGHT-EMITTING DEVICE | 10 |
Toshiharu Kawanishi | JP | Kawasaki | 2015-11-19 / 20150334694 - BASE STATION APPARATUS, COMMUNICATION CONTROLLING METHOD AND COMMUNICATION SYSTEM | 1 |
Yuji Kawanishi | JP | Tsukuba | 2014-06-12 / 20140158555 - SENSOR, SENSOR SYSTEM, PORTABLE SENSOR SYSTEM, METHOD OF ANALYZING METAL IONS, MOUNTING SUBSTRATE, METHOD OF ANALYZING PLATING PREVENTING CHEMICAL SPECIES, METHOD OF ANALYZING PRODUCED COMPOUND, AND METHOD OF ANALYZING MONOVALENT COPPER CHEMICAL SPECIES | 1 |
Takayuki Kawanishi | JP | Hyogo | 2010-11-11 / 20100286989 - RECORDING/REPRODUCTION DEVICE | 1 |
Koji Kawanishi | JP | Tamano-Shi | 2015-05-07 / 20150122641 - ELASTIC CUSHION MATERIAL AND ION EXCHANGE MEMBRANE ELECTROLYTIC CELL UTILIZING SAME | 1 |
Hidenori Kawanishi | JP | Osaka-Shi | 2014-11-27 / 20140347843 - LIGHT-EMITTING DEVICE, ILLUMINATING DEVICE, VEHICLE HEADLAMP, AND METHOD FOR PRODUCING LIGHT-EMITTING DEVICE | 10 |
Masami Kawanishi | JP | Hiroshima-Shi | 2009-07-30 / 20090191360 - STRIP CROSSBOW REDUCTION AND STRIP VIBRATION REDUCTION METHOD AND HOT DIP COATED STRIP MANUFACTURING METHOD USING THE STRIP STABILIZATION METHOD | 1 |
Kenichi Kawanishi | JP | Tokyo | 2015-11-26 / 20150340108 - WATER JET PEENING DEVICE | 4 |
Kenta Kawanishi | JP | Wako-Shi | 2013-08-15 / 20130211645 - GUIDANCE APPARATUS OF UNMANNED AUTONOMOUS OPERATING VEHICLE | 1 |
Noriyuki Kawanishi | JP | Sakura-Shi | 2016-05-12 / 20160131840 - OPTICAL-FIBER-SPLICED PORTION REINFORCING HEATING DEVICE | 13 |
Masashi Kawanishi | JP | Tokyo | 2009-07-30 / 20090192154 - SULTAM DERIVATIVES | 1 |
Shingo Kawanishi | JP | Saitama | 2012-11-22 / 20120293048 - PIEZOELECTRIC DEVICE | 8 |
Ashton Kawanishi | US | Mountain View | 2016-04-14 / 20160104118 - BENEFIT PLAN DESIGNER | 3 |
Hidenori Kawanishi | JP | Osaka | 2011-08-11 / 20110194302 - LIGHT EMITTING DEVICE, ILLUMINATING DEVICE, AND VEHICLE HEADLIGHT | 1 |
Takahide Kawanishi | JP | Yao-Shi | 2014-01-09 / 20140008120 - Terminal Box | 1 |
Masaru Kawanishi | JP | Shizuoka | 2008-10-30 / 20080268727 - BOAT | 4 |
Michirou Kawanishi | JP | Ibaraki-Shi | 2013-01-10 / 20130011634 - PRESSURE-SENSITIVE ADHESIVE SHEET AND LAMINATE | 3 |
Yutaka Kawanishi | JP | Ibaraki | 2012-01-26 / 20120021547 - INK-JET INK FOR ORGANIC ELECTROLUMINESCENT DEVICES AND METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENT DEVICES | 1 |
Shinsuke Kawanishi | JP | Hitachinaka | 2013-03-07 / 20130056636 - SCANNING ELECTRON MICROSCOPE | 2 |
Hiroyoshi Kawanishi | JP | Daito-Shi | 2015-01-22 / 20150026634 - TOUCH INPUT APPARATUS AND PORTABLE ELECTRONIC DEVICE INCLUDING SAME | 2 |
Nobuhiko Kawanishi | JP | Tsukuba | 2009-05-28 / 20090137597 - Novel quinoxalinone derivatives | 1 |
Minoru Kawanishi | JP | Yokohama-Shi | 2014-07-17 / 20140197592 - SHEET FEEDING DEVICE AND IMAGE FORMING APPARATUS | 16 |
Yasuyuki Kawanishi | JP | Osaka | 2013-11-28 / 20130315582 - OPTICAL COMMUNICATION MODULE, METHOD FOR RECORDING LOG OF OPTICAL COMMUNICATION MODULE, AND OPTICAL COMMUNICATION APPARATUS | 4 |
Soshi Kawanishi | JP | Shiojiri-Shi | 2016-04-07 / 20160097697 - Leak Detecting Apparatus and Leak Detecting Method | 1 |
Yoshitomo Kawanishi | JP | Toyota-Shi, Aichi-Ken | 2016-02-11 / 20160042833 - ELEMENT WIRE ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Eiji Kawanishi | JP | Osaka | 2012-12-06 / 20120309754 - PYRAZOLOPYRIMIDINE COMPOUNDS AND THEIR USE AS PDE10 INHIBITORS | 4 |
Shingo Kawanishi | JP | Sayama-Shi | 2011-10-27 / 20110260586 - Piezoelectric Device with Tuning-fork type Piezoelectric Vibrating Piece | 1 |
Takahide Kawanishi | JP | Osaka | 2013-09-19 / 20130244503 - Solar Cell Module Terminal Box | 1 |
Toshiyuki Kawanishi | JP | Kawasaki | 2015-08-13 / 20150227605 - INFORMATION PROCESSING TERMINAL, SYNCHRONIZATION CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM | 2 |
Shinsuke Kawanishi | JP | Minato-Ku | 2015-08-20 / 20150235803 - Charged Particle Beam Device and Sample Observation Method | 2 |
Takeshi Kawanishi | JP | Ibi-Gun | 2011-03-17 / 20110063806 - HEAT RESISTANT SUBSTRATE INCORPORATED CIRCUIT WIRING BOARD | 4 |
Daisuke Kawanishi | JP | Isehara-Shi | 2011-01-20 / 20110015012 - BELT-DRIVE CVT | 1 |
Tetsuya Kawanishi | JP | Koganei-Shi | 2015-08-06 / 20150222356 - Space Division Multiplexing Apparatus Including Multi-Core Fiber And Selfhomodyne Detection Method | 3 |
Hiroyoshi Kawanishi | JP | Sanda-Shi | 2015-12-31 / 20150381780 - ELECTRONIC APPARATUS | 4 |
Ryouichi Kawanishi | JP | Kyoto | 2015-10-29 / 20150312288 - CONTENT DISPLAY METHOD, PROGRAM, AND CONTENT DISPLAY SYSTEM | 20 |
Yasuyuki Kawanishi | JP | Fukushima-Shi | 2015-09-24 / 20150270763 - METHOD FOR WINDING EDGEWISE COIL AND WINDING DEVICE | 1 |
Tsuyoshi Kawanishi | JP | Nagoya-Shi | 2014-12-18 / 20140369169 - ACOUSTIC WAVE COMMUNICATION SYSTEM AND RECEIVER | 1 |
Ryouichi Kawanishi | JP | Kyoto | 2015-10-29 / 20150312288 - CONTENT DISPLAY METHOD, PROGRAM, AND CONTENT DISPLAY SYSTEM | 20 |
Nobuhiko Kawanishi | JP | Ibaraki | 2012-02-02 / 20120029004 - NOVEL AMINOPYRIDINE DERIVATIVES HAVING AURORA A SELECTIVE INHIBITORY ACTION | 6 |
Hiroyuki Kawanishi | JP | Minami-Ashigara-Shi | 2009-06-25 / 20090163703 - Phase difference plate comprising polymer film containing compound having rod-shaped molecular structure | 3 |
Shinji Kawanishi | JP | Funabashi-Shi | 2013-09-19 / 20130243583 - Vacuum Pump | 1 |
Masahiro Kawanishi | JP | Yokohama-Shi | 2016-02-25 / 20160057300 - IMAGE READING APPARATUS | 7 |
Nao Kawanishi | JP | Shiki-Gun Nara | 2009-06-11 / 20090145257 - Extendable shaft for steering vehicle and motor vehicle steering system | 1 |
Hiriyuki Kawanishi | JP | Kanagawa | 2011-07-14 / 20110168515 - FLOW RATE CONTROL VALVE FOR CLUTCH CONTROL DEVICE | 1 |
Masahiko Kawanishi | JP | Yamato-Shi | 2015-04-23 / 20150108062 - Filter Device | 1 |
Masako Kawanishi | JP | Kyoto | 2012-05-10 / 20120116706 - Analysis Device and Analysis Method | 1 |
Tsuyoshi Kawanishi | JP | Nagoya | 2014-02-20 / 20140049973 - Headlamp control apparatus | 1 |
Kazuhiro Kawanishi | JP | Shiga | 2015-02-12 / 20150044412 - VACUUM INSULATION MATERIAL AND HEAT INSULATION HOUSING USING THE SAME | 3 |
Keisuke Kawanishi | JP | Saitama-Shi | 2015-08-06 / 20150217629 - VEHICLE AIR CONDITIONER | 1 |
Toshiaki Kawanishi | JP | Ageo-Shi | 2011-11-10 / 20110272768 - Lead Frame and Method of Producing Lead Frame | 1 |
Shozo Kawanishi | JP | Nishinomiya-Shi | 2011-04-28 / 20110094621 - LINK APPARATUS, WEIGHING APPARATUS USING A LINK APPARATUS, PACKAGING APPARATUS USING A LINK APPARATUS AND WEIGHING AND PACKAGING SYSTEM USING A LINK APPARATUS | 11 |
Isao Kawanishi | JP | Tokyo | 2011-07-28 / 20110181177 - FLUORESCENT LAMP ELECTRODE, METHOD FOR PRODUCING SAME, AND A FLUORESCENT LAMP | 1 |
Ayako Kawanishi | JP | Yokohama-Shi | 2015-06-04 / 20150151329 - Pattern Forming Method | 3 |
Michirou Kawanishi | JP | Osaka | 2014-06-12 / 20140158300 - PROTECTIVE SHEET FOR GLASS ETCHING | 4 |
Isao Kawanishi | JP | Minato-Ku | 2010-11-04 / 20100277058 - COLD CATHODE FLUORESCENT LAMP | 1 |
Takafumi Kawanishi | JP | Osaka | 2015-07-23 / 20150205019 - COLOR FILTER SUBSTRATE AND METHOD FOR PRODUCING SAME | 3 |
Tetsuro Kawanishi | JP | Isehara-City | 2013-10-10 / 20130267800 - FLUORESCENT HYDROGEL AND METHOD FOR PRODUCING THE SAME, AND SENSOR FOR MEASURING SACCHARIDES USING THE SAME | 1 |
Yasuyuki Kawanishi | JP | Amagasaki-Shi | 2009-09-03 / 20090221817 - PROCESS FOR TRANS-4-AMINO-1-CYCLOHEXANECARBOXILIC ACID DERIVATIVES | 2 |
Naoyuki Kawanishi | JP | Kanagawa | 2009-07-02 / 20090169943 - SOLID ELECTROLYTE MULTILAYER MEMBRANE, METHOD AND APPARATUS OF PRODUCING THE SAME, MEMBRANE ELECTRODE ASSEMBLY, AND FUEL CELL | 1 |
Haruka Kawanishi | JP | Kanagawa | 2013-11-07 / 20130297608 - CONTENT PRESENTATION DEVICE, CONTENT PRESENTATION TERMINAL, CONTENT PRESENTATION SYSTEM, CONTENT PRESENTATION PROGRAM, AND CONTENT PRESENTATION METHOD | 1 |
Keisuke Kawanishi | JP | Saitama | 2016-02-11 / 20160039261 - AIR-CONDITIONING DEVICE FOR VEHICLE | 1 |
Toru Kawanishi | JP | Tokyo | 2014-08-07 / 20140219976 - METHODS AND COMPOSITIONS FOR TREATMENT OF RETINAL DEGENERATION | 2 |
Noriyuki Kawanishi | JP | Sakura-Shi | 2016-05-12 / 20160131840 - OPTICAL-FIBER-SPLICED PORTION REINFORCING HEATING DEVICE | 13 |
Atsuya Kawanishi | JP | Tokyo | 2012-05-10 / 20120113315 - FOCUSING DEVICE, IMAGE PICK-UP APPARATUS, AND CONTROL METHOD | 1 |
Hidekazu Kawanishi | JP | Kanagawa | 2012-11-22 / 20120291995 - HEAT SINK AND LASER DIODE | 3 |
Eiji Kawanishi | JP | Kawasaki-Si | 2013-12-26 / 20130341934 - HYBRID POWER GENERATOR COUPLED TO GRAVITY POWER GENERATOR USING BALANCE WHICH HAS PRESSURE LOAD DEVICE | 1 |
Jouichi Kawanishi | JP | Kanagawa | 2012-06-21 / 20120154940 - VEHICLE OUTSIDE REARVIEW MIRROR DEVICE | 1 |
Toshiaki Kawanishi | JP | Ageo-Shi, Saitama | 2009-01-01 / 20090000396 - Device and Method of Detecting Flow Rate/Liquid Kind, and Device and Method of Detecting Liquid Kind | 1 |
Ken Kawanishi | JP | Tokyo | 2015-10-15 / 20150292340 - FIBER-REINFORCED TURBINE COMPONENT | 1 |
Shingo Kawanishi | JP | Saitama | 2012-11-22 / 20120293048 - PIEZOELECTRIC DEVICE | 8 |
Tsuyoshi Kawanishi | JP | Aichi | 2014-10-09 / 20140303966 - COMMUNICATION SYSTEM AND TERMINAL DEVICE | 3 |
Ayako Kawanishi | JP | Kanagawa | 2014-03-27 / 20140087566 - PATTERN FORMATION METHOD | 4 |
Ryouichi Kawanishi | JP | Osaka | 2010-11-04 / 20100277496 - DATA DISPLAY DEVICE, INTEGRATED CIRCUIT, DATA DISPLAY METHOD, DATA DISPLAY PROGRAM, AND RECORDING MEDIUM | 5 |
Kentaro Kawanishi | JP | Osaka | 2015-12-17 / 20150362404 - METHOD FOR MEASURING LIGHT PHYSICAL CONSTANTS AND DEVICE FOR ESTIMATING LIGHT PHYSICAL CONSTANTS | 1 |
Daisuke Kawanishi | JP | Tokyo | 2014-04-17 / 20140105751 - METHOD FOR MANUFACTURING MACHINE COMPONENT, AND ROTARY MACHINE EQUIPPED WITH IMPELLER MANUFACTURED BY MEANS OF THIS METHOD | 2 |
Atsuya Kawanishi | JP | Kawasaki-Shi | 2015-03-12 / 20150070567 - IMAGE-PICKUP APPARATUS, LENS UNIT, CONTROL METHOD OF THE IMAGE-PICKUP APPARATUS, CONTROL METHOD OF THE LENS UNIT, AND IMAGE-PICKUP SYSTEM | 7 |
Ayako Kawanishi | JP | Yokohama | 2016-03-03 / 20160060410 - PATTERN FORMING METHOD | 1 |
Yoshitomo Kawanishi | JP | Nagoya-Shi, Aichi-Ken | 2016-02-11 / 20160042833 - ELEMENT WIRE ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Shozo Kawanishi | JP | Akashi-Shi | 2008-12-25 / 20080319338 - Visceral Fat Determining Device | 1 |
Hiroyuki Kawanishi | JP | Kanagawa | 2014-04-10 / 20140099452 - PEELABLE LAMINATED FILM, PEELABLE LAMINATED FILM ROLL, MANUFACTURING METHOD THEREOF, FILM, OPTICAL FILM, POLARIZING PLATE, MANUFACTURING METHOD OF POLARIZING PLATE AND LIQUID CRYSTAL DISPLAY DEVICE | 14 |
Hiroyuki Kawanishi | JP | Osaka-Shi | 2011-09-15 / 20110222013 - LIQUID CRYSTAL DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Tetsuya Kawanishi | JP | Saitama-Shi | 2009-01-22 / 20090021739 - IMAGING APPARATUS | 1 |
Isao Kawanishi | JP | Kanagawa | 2009-03-12 / 20090067744 - IMAGE PROCESSING APPARATUS AND METHOD, RECORDING MEDIUM, AND PROGRAM | 2 |
Hidekazu Kawanishi | JP | Miyagi | 2015-10-15 / 20150295387 - LASER DIODE | 3 |
Tetsuro Kawanishi | JP | Ashigarakami-Gun | 2010-12-30 / 20100331875 - PUNCTURE DEVICE | 2 |
Kenichi Kawanishi | JP | Kobe-Shi | 2010-05-20 / 20100122972 - APPARATUS FOR IMPROVING RESIDUAL STRESS IN TUBULAR BODY AND ADJUSTMENT METHOD OF THE SAME | 1 |
Mitsuhiro Kawanishi | JP | Tokyo | 2015-07-02 / 20150185337 - SCINTILLATOR, RADIATION DETECTION UNIT, AND METHOD OF MANUFACTURING SCINTILLATOR | 5 |
Takeshi Kawanishi | JP | Ogaki | 2009-02-26 / 20090053911 - PRINTED BOARD WITH COMPONENT MOUNTING PIN | 2 |
Tetsuya Kawanishi | JP | Tokyo | 2014-03-27 / 20140086588 - HIGH-SPEED COMMUNICATION CONTROL SYSTEM | 24 |
Yuji Kawanishi | JP | Ibaraki | 2010-10-07 / 20100253361 - SENSOR, SENSOR SYSTEM, PORTABLE SENSOR SYSTEM, METHOD OF ANALYZING METAL IONS, MOUNTING SUBSTRATE, METHOD OF ANALYZING PLATING PREVENTING CHEMICAL SPECIES, METHOD OF ANALYZING PRODUCED COMPOUND, AND METHOD OF ANALYZING MONOVALENT COPPER CHEMICAL SPECIES | 1 |
Noboru Kawanishi | JP | Osaka | 2009-06-25 / 20090161062 - EYEGLASSES | 1 |
Tetsuro Kawanishi | JP | Kanagawa | 2010-03-11 / 20100062464 - OXIDATIVE CHROMOGENIC COMPOUND OR SALT THEREOF AND PRODUCTION METHOD THEREOF, AND REAGENT COMPOSITION AND TEST INSTRUMENT USING THE SAME | 1 |
Minoru Kawanishi | JP | Niigata-Ken | 2009-01-29 / 20090026712 - Sliding Member | 1 |
Jouichi Kawanishi | JP | Isehara-Shi | 2012-06-14 / 20120145871 - VEHICLE OUTSIDE MIRROR DEVICE | 1 |
Masaki Kawanishi | JP | Yokohama-Shi | 2014-11-13 / 20140333953 - IMAGE FORMING APPARATUS, INFORMATION PROCESSING APPARATUS, PRINTING SYSTEM, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 5 |
Yasutomo Kawanishi | JP | Kanagawa | 2009-02-19 / 20090047598 - RESIST COMPOSITION FOR ELECTRON BEAM, X-RAY, OR EUV, AND PATTERN-FORMING METHOD USING THE SAME | 2 |
Hideo Kawanishi | JP | Tokyo | 2014-05-29 / 20140147995 - METHOD FOR PRODUCING P-TYPE NITRIDE SEMICONDUCTOR LAYER | 1 |
Ashton Kawanishi | US | Redwood City | 2010-06-24 / 20100162246 - USE OF ANALYTICS TO SCHEDULE, SUBMIT OR MONITOR PROCESSES OR REPORTS | 2 |
Shinsuke Kawanishi | JP | Tokyo | 2016-03-10 / 20160071685 - Charged Particle Beam Device and Filter Member | 9 |
Shozo Kawanishi | JP | Hyogo | 2015-10-29 / 20150308884 - Packer Scale | 5 |
Akitomo Kawanishi | JP | Anjo-Shi | 2013-08-29 / 20130225379 - ROTATION PROCESSING MACHINE AND ROTATION PROCESSING METHOD | 2 |
Satoki Kawanishi | JP | Kanagawa | 2010-10-21 / 20100266250 - DISPERSION SHIFT OPTICAL FIBER | 1 |
Tetsuya Kawanishi | JP | Koganei | 2008-09-04 / 20080212915 - Nested modulator | 1 |
Hiroki Kawanishi | JP | Tokyo | 2009-12-17 / 20090310793 - AUDIO SIGNAL PROCESSING DEVICE AND AUDIO SIGNAL PROCESSING METHOD | 1 |
Eiji Kawanishi | JP | Kitamoto-Shi | 2016-04-21 / 20160108078 - GLUCOPYRANOSIDE COMPOUND | 5 |
Yuji Kawanishi | JP | Tsukuba-Shi | 2010-12-30 / 20100331540 - METHOD FOR PRODUCING COMPOUND HAVING DEUTERATED AROMATIC RING OR HETEROCYCLIC RING | 1 |
Toshiaki Kawanishi | JP | Saitama | 2009-04-23 / 20090100911 - Method for producing synthetic resin mold package, alcohol concentration sensor and apparatus for measuring alcohol concentration | 2 |
Shinsuke Kawanishi | JP | Tokyo | 2016-03-10 / 20160071685 - Charged Particle Beam Device and Filter Member | 9 |
Yoshihiro Kawano | US | Bethlehem | 2012-04-19 / 20120092477 - WIDE FIELD MICROSCOPIC IMAGING SYSTEM AND METHOD | 3 |
Kegan Kawano | US | Boston | 2010-03-11 / 20100064039 - Event monitoring and management | 3 |
Kenji Kawano | JP | Miyagi-Ken | 2015-05-28 / 20150144467 - OPERATION DEVICE | 2 |
Tetsuo Kawano | JP | Minami-Ashigara-Shi | 2011-11-24 / 20110288222 - OPTICAL RESIN COMPOSITION AND METHOD FOR PRODUCING THE SAME | 1 |
Takenari Kawano | NY | Port Washington | 2009-10-22 / 20090265223 - ESTIMATED TIME OF ARRIVAL (ETA) SYSTEMS AND METHODS | 1 |
Hiroyuki Kawano | JP | Osaka-Shi | 2011-06-30 / 20110158934 - FLY ATTRACTANT COMPOSITION AND FLY ATTRACTING METHOD, AS WELL AS FLY EXPELLANT COMPOSITION AND FLY EXPELLING METHOD | 1 |
Yu Kawano | JP | Chiyoda-Ku | 2012-11-01 / 20120273290 - MOTOR CONTROL DEVICE | 1 |
Takeshi Kawano | JP | Tokushima | 2009-04-09 / 20090092681 - ARTIFICIAL CEREBROSPINAL FLUID | 1 |
Atsushi Kawano | JP | Osaka | 2014-11-20 / 20140342861 - STATIONARY GUIDE FOR CHAIN TRANSMISSION | 2 |
Natsuko Kawano | JP | Tokyo | 2014-02-27 / 20140059492 - DISPLAY DEVICE, USER INTERFACE METHOD, AND PROGRAM | 2 |
Masanobu Kawano | JP | Toyokawa-Shi | 2011-02-10 / 20110033217 - Image Forming System and Post-Processing Apparatus | 1 |
Marie Kawano | JP | Fukuoka | 2012-12-13 / 20120315902 - WIRELESS COMMUNICATION SYSTEM, WIRELESS COMMUNICATION TERMINAL, AND SUBSCRIBER INFORMATION MANAGEMENT DEVICE | 1 |
Rumiko Kawano | JP | Koshigaya-Shi | 2011-06-23 / 20110151089 - COMPOSITION CONTAINING MAGNESIUM GLUTAMATE WHICH CAN ENHANCE THE SALTINESS OF FOODS AND DRINKS | 1 |
Shin-Ichiro Kawano | JP | Nagoya | 2014-12-04 / 20140357898 - NOVEL POLYMERS | 2 |
Katsunori Kawano | JP | Kanagawa | 2011-08-18 / 20110199662 - FOCUSING ELEMENT, FOCUSING ELEMENT ARRAY, EXPOSURE DEVICE AND IMAGE FORMING DEVICE | 13 |
Asoko Kawano | JP | Tokyo | 2011-02-17 / 20110037940 - HOLDING STRUCTURES FOR SPECTACLE LENSES, SPECTACLES, AND METHOD OF MANUFACTURING SPECTACLES | 1 |
Taku Kawano | JP | Hanishina-Gun | 2010-03-18 / 20100064851 - Method for manufacturing material for forming composite metal and method for manufacturing article formed from composite metal | 2 |
Minori Kawano | JP | Hyogo | 2010-08-19 / 20100207820 - DISTANCE MEASURING DEVICE | 1 |
Tetsu Kawano | JP | Kobe-Shi | 2016-02-18 / 20160046707 - NEUTRALIZING ANTI-CCL20 ANTIBODIES | 1 |
Takaaki Kawano | JP | Hyogo | 2010-03-25 / 20100072421 - PARTICULAR WATER-ABSORBENT AGENT HAVING WATER-ABSORBENT RESIN AS MAIN COMPONENT | 1 |
Shigeru Kawano | JP | Hyogo | 2011-09-29 / 20110237531 - METHOD FOR PRODUCING SOPHOROSE LIPID | 5 |
Takayuki Kawano | JP | Sakai-Shi | 2013-01-10 / 20130011290 - ROTARY COMPRESSOR | 1 |
Yuichi Kawano | JP | Hyogo | 2009-10-01 / 20090242511 - SEASONING METHOD FOR FILM-FORMING APPARATUS | 2 |
Aikihiro Kawano | JP | Kanagawa-Ken | 2012-03-15 / 20120062222 - MAGNETIC DETECTION DEVICE | 1 |
Seiji Kawano | JP | Wakayama-Shi | 2012-02-02 / 20120029215 - METHOD FOR MANUFACTURING TRIMELLITIC ANHYDRIDE ARYL ESTER | 1 |
Youhei Kawano | JP | Sakai-Shi | 2011-04-21 / 20110091307 - Loader Work Machine | 3 |
Eiki Kawano | JP | Aichi-Ken | 2010-02-04 / 20100025709 - Light emitting device | 1 |
Kenjiro Kawano | JP | Miyazaki | 2009-02-19 / 20090049434 - PROGRAM TRANSLATING APPARATUS AND COMPILER PROGRAM | 1 |
Takayuki Kawano | JP | Nagasaki | 2009-02-26 / 20090051680 - Curved-Surface Generating Method and Program, and Three-Dimensional Shape Processing Apparatus | 1 |
Takahiro Kawano | JP | Tokyo | 2015-10-01 / 20150273649 - POLISHING APPARATUS | 2 |
Hisashi Kawano | JP | Koshi City | 2014-12-11 / 20140360536 - SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD AND COMPUTER-READABLE STORAGE MEDIUM RECORDING THEREIN SUBSTRATE PROCESSING PROGRAM | 2 |
Takayuki Kawano | JP | Saitama | 2009-10-15 / 20090255939 - Gas Tank and Method for Producing the Same | 1 |
Hiroto Kawano | JP | Hiroshima-Shi | 2015-09-17 / 20150258889 - DRIVING FORCE DISTRIBUTION CONTROL APPARATUS | 2 |
Eiji Kawano | JP | Saitama | 2013-01-31 / 20130025402 - ASSEMBLED STRUCTURE OF BRAKE RELEASE KNOB | 1 |
Naoya Kawano | JP | Ichihara-Shi | 2015-11-05 / 20150316847 - HOMOADAMANTANE DERIVATIVE, METHOD FOR PRODUCING THE SAME AND PHOTOSENSITIVE MATERIALS FOR PHOTORESIST | 3 |
Tetsuya Kawano | JP | Osaka-Shi | 2015-05-14 / 20150132379 - DRY-COATED TABLET | 2 |
Tetsuya Kawano | JP | Osaka | 2016-03-31 / 20160089338 - ORALLY DISINTEGRABLE TABLET | 3 |
Takahito Kawano | JP | Fukuoka | 2009-05-21 / 20090131271 - METHOD FOR DELIVERING NUCLEIC ACID AND DEVICE FOR DELIVERING NUCLEIC ACID | 1 |
Kaori Kawano | JP | Tokyo | 2015-12-03 / 20150344996 - STEEL MATERIAL AND IMPACT ABSORBING MEMBER | 7 |
Koichiro Kawano | JP | Tokyo | 2009-10-01 / 20090246590 - FUEL CELL SYSTEM | 1 |
Takahiro Kawano | JP | Kariya-Shi | 2013-04-25 / 20130099121 - INFRARED RAY DETECTION ELEMENT AND INFRARED RAY DETECTION DEVICE HAVING THE SAME | 1 |
Satoyuki Kawano | JP | Osaka | 2015-08-27 / 20150238753 - ARTIFICIAL SENSORY EPITHELIUM | 1 |
Noriyuki Kawano | JP | Tokyo | 2014-10-16 / 20140307341 - LENS HOLDING DEVICE | 9 |
Michiari Kawano | JP | Kawasaki | 2010-09-23 / 20100240211 - SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND PHASE SHIFT MASK | 2 |
Yoichi Kawano | JP | Kawasaki | 2012-06-07 / 20120139632 - DIFFERENTIAL AMPLIFIER CIRCUIT | 3 |
Masahiro Kawano | JP | Kyoto | 2012-03-29 / 20120077601 - STORAGE MEDIUM STORING SAVE CONTROLLING PROGRAM, GAME APPARATUS AND SAVE CONTROLLING METHOD | 1 |
Takatsugu Kawano | JP | Haibara-Gun | 2016-05-19 / 20160139505 - PHOTOSENSITIVE COLORING COMPOSITION, COLOR FILTER, METHOD FOR PRODUCING COLOR FILTER, ORGANIC EL LIQUID CRYSTAL DISPLAY DEVICE, AND COLOR FILTER FORMING KIT | 1 |
Akinobu Kawano | JP | Kawasaki | 2012-11-29 / 20120300393 - ELECTRONIC DEVICE | 1 |
Mark Lee Kawano | US | San Mateo | 2014-11-06 / 20140328549 - CURSOR FOR APPLICATION OF IMAGE ADJUSTMENTS | 12 |
Tomonori Kawano | JP | Fukuoka | 2011-09-01 / 20110212185 - WATER THAT EXPRESSES PATHOGEN-RESISTANCE GENES (PR GENE CLUSTERS) TO ENCODE PLANT IMMUNOPROTEINS, A METHOD OF PREVENTING PLANT DISEASES USING THE WATER, AND A DEVICE FOR PRODUCING THE WATER | 2 |
Takayuki Kawano | JP | Yokohama | 2013-10-31 / 20130290979 - DATA TRANSFER CONTROL METHOD OF PARALLEL DISTRIBUTED PROCESSING SYSTEM, PARALLEL DISTRIBUTED PROCESSING SYSTEM, AND RECORDING MEDIUM | 2 |
Tomoyuki Kawano | JP | Mishima-Shi | 2014-07-31 / 20140211288 - OPTICAL SCANNING DEVICE, AND IMAGE FORMING APPARATUS EQUIPPED WITH THE SAME | 2 |
Hitoshi Kawano | JP | Yokosuka-Shi | 2014-07-10 / 20140191658 - SELF-BALLASTED LAMP AND LIGHTING EQUIPMENT | 14 |
Takashi Kawano | JP | Tokyo | 2016-02-18 / 20160046124 - Ink Jet Recording Device | 8 |
Kayoko Kawano | JP | Kawasaki | 2011-07-14 / 20110168350 - HEAT SINK AND METHOD FOR FIXING HEAT SINK | 1 |
Masaya Kawano | JP | Kawasaki | 2011-09-08 / 20110215478 - SEMICONDUCTOR ELEMENT-EMBEDDED WIRING SUBSTRATE | 2 |
Shigeru Kawano | JP | Takasago-Shi | 2016-02-11 / 20160040138 - MODIFIED CARBONYL REDUCING ENZYME AND GENE | 7 |
Shinichi Kawano | JP | Osaka-Shi | 2015-09-17 / 20150264191 - SERVER APPARATUS PROVIDING PORTABLE INFORMATION TERMINAL AND IMAGE FORMING APPARATUS WITH CLOUD IMAGE PROCESSING SERVICE | 3 |
Hidekazu Kawano | JP | Kawasaki | 2010-08-19 / 20100211735 - STORAGE SYSTEM, STORAGE CONTROL DEVICE, RECEPTION CONTROL DEVICE, AND CONTROL METHOD | 1 |
Eisaku Kawano | JP | Saitama | 2009-12-24 / 20090317058 - INFORMATION RECORDING MEDIUM, INFORMATION RECORDING DEVICE AND METHOD, INFORMATION REPRODUCING DEVICE AND METHOD, AND COMPUTER PROGRAM | 9 |
Ayako Kawano | JP | Ibaraki-Ken | 2013-08-29 / 20130223957 - DATA EDITING APPARATUS AND PROGRAM | 2 |
Toshihiko Kawano | JP | Ayase | 2008-12-11 / 20080307176 - Storage System and Setting Method for Storage Configuration Information | 1 |
Yuuzou Kawano | JP | Fukuoka | 2013-07-18 / 20130182091 - ENDOSCOPE | 6 |
Yoko Kawano | JP | Kawasaki | 2012-10-04 / 20120254636 - CONTROL APPARATUS AND CONTROL METHOD | 12 |
Kimihiro Kawano | JP | Matsumoto | 2015-03-19 / 20150081050 - SETTING PROGRAM, DEVICE CONTROL APPARATUS, AND SETTING METHOD | 1 |
Tsutomu Kawano | JP | Sagamihara-Shi | 2013-03-14 / 20130063093 - Cold End Switch Battery Management Control Method | 2 |
Hitoshi Kawano | JP | Kanagawa | 2014-02-27 / 20140055040 - LIGHTING CONTROL SYSTEM | 6 |
Toshihiro Kawano | JP | Niigata | 2010-10-28 / 20100274504 - FLUID ANALYSIS METHOD AND FLUID ANALYSIS DEVICE | 2 |
Takatsugu Kawano | JP | Kanagawa | 2011-05-05 / 20110104454 - COMPOSITION FOR FORMING LAYER TO BE PLATED, METHOD OF PRODUCING METAL PATTERN MATERIAL, AND METAL PATTERN MATERIAL | 2 |
Kouhei Kawano | JP | Kanagawa | 2009-08-06 / 20090197359 - METHODS FOR EVALUATING AND MANUFACTURING SEMICONDUCTOR WAFER | 1 |
Takahiro Kawano | JP | Kanagawa | 2015-01-15 / 20150015228 - CONTROL DEVICE, DIGITAL CONTROL POWER SUPPLY, AND CONTROL METHOD | 6 |
Shouta Kawano | JP | Kanagawa | 2009-07-30 / 20090189632 - Test board used for a reliability test and reliability test method | 1 |
Katsuya Kawano | JP | Kanagawa | 2010-04-29 / 20100102231 - SEMICONDUCTOR DEVICE | 1 |
Kyoya Kawano | JP | Osaka | 2010-03-18 / 20100071047 - Authentication system, terminal and information processing device, having function of performing stable authentication | 1 |
Yoko Kawano | JP | Kawasaki | 2012-10-04 / 20120254636 - CONTROL APPARATUS AND CONTROL METHOD | 12 |
Atsushi Kawano | JP | Kanagawa | 2010-08-19 / 20100206526 - COOLING DEVICE AND CONSTRUCTION MACHINE OR WORKING MACHINE EQUIPPED WITH THE SAME | 4 |
Takahiro Kawano | JP | Osaka | 2013-05-16 / 20130122977 - GAME MACHINE, PROGRAM FOR REALIZING GAME MACHINE, AND METHOD OF DISPLAYING OBJECTS IN GAME | 2 |
Shuuji Kawano | JP | Osaka-Shi | 2015-03-26 / 20150087655 - DIHYDRATE OF BENZOTHIOPHENE COMPOUND OR OF A SALT THEREOF, AND PROCESS FOR PRODUCING THE SAME | 1 |
Takayuki Kawano | JP | Tokyo | 2010-05-06 / 20100113649 - One Component Epoxy Resin Composition and Motor or Dynamo Using the Same | 1 |
Takako Kawano | JP | Tochigi | 2011-01-06 / 20110003341 - PROCESS FOR PRODUCING SACCHARIDE | 1 |
Toshio Kawano | JP | Miyagi-Ken | 2013-07-04 / 20130169600 - INPUT DEVICE AND ELECTRONIC APPARATUS | 2 |
Toshio Kawano | JP | Fukushima-Ken | 2010-10-28 / 20100271301 - INPUT PROCESSING DEVICE | 3 |
Yasuhiro Kawano | JP | Hamamatsu-Shi | 2011-12-29 / 20110317852 - FREQUENCY CHARACTERISTICS CONTROL DEVICE | 1 |
Hiroaki Kawano | JP | Osaka-Shi | 2011-12-29 / 20110318426 - ANTIBACTERIAL AGENT AND METHOD OF USING THE SAME | 1 |
Koji Kawano | JP | Chiba | 2011-12-29 / 20110319566 - SHEET FOR SOLAR CELL ENCAPSULANT AND SOLAR CELL MODULE | 1 |
Tatsuyuki Kawano | JP | Tokyo | 2016-02-25 / 20160053257 - METHOD FOR ASSAYING MICRORNA, CANCER THERAPEUTIC AGENT, AND MEDICINAL COMPOSITION CONTAINING SAME FOR CANCER THERAPY | 2 |
Kiyohiko Kawano | JP | Osaka | 2011-08-04 / 20110188104 - MOVING STRUCTURE AND LIGHT SCANNING MIRROR USING THE SAME | 5 |
Toshiyuki Kawano | JP | Kyoto | 2009-01-08 / 20090007689 - MATERIAL TESTING MACHINE | 1 |
Yukinori Kawano | JP | Kanagawa | 2014-02-13 / 20140042289 - SLIDE RAIL DEVICE FOR VEHICLE | 1 |
Takashi Kawano | JP | Obu-Shi | 2013-12-19 / 20130335228 - STATE ESTIMATION DEVICE, STATE ESTIMATION METHOD, AND PROGRAM | 2 |
Yui Kawano | JP | Osaka | 2010-12-02 / 20100304144 - COATED CARRIER AND METHOD OF MANUFACTURING COATED CARRIER | 1 |
Toshiyuki Kawano | JP | Koshi | 2010-05-27 / 20100128417 - PLUG-IN UNIT | 1 |
Ryouichi Kawano | JP | Matsumoto | 2015-12-03 / 20150349144 - SEMICONDUCTOR DEVICE | 1 |
Tsutomu Kawano | JP | Atsugi-Shi | 2009-03-26 / 20090079585 - VEHICLE PERIPHERY MONITORING APPARATUS AND IMAGE DISPLAYING METHOD | 2 |
Hirokazu Kawano | JP | Osaka | 2008-09-18 / 20080226674 - Composition Having Antitumor Effect | 1 |
Yoshihiro Kawano | JP | Tokyo | 2016-05-12 / 20160131886 - MICROSCOPE APPARATUS | 6 |
Bunki Kawano | JP | Osaka | 2016-02-25 / 20160054068 - HEAT EXCHANGER | 11 |
Yasuhiko Kawano | JP | Suita-Shi | 2009-11-05 / 20090275568 - FUSED QUINOLINE DERIVATIVE AND USE THEREOF | 1 |
Kazuhiro Kawano | JP | Tokushima-Shi | 2011-11-10 / 20110275774 - ORGANOANTIMONY COMPOUND, PROCESS FOR PREPARING SAME, LIVING RADICAL POLYMERIZATION INITIATOR, PROCESS FOR PRODUCING POLYMER WITH USE OF SAME, AND THE POLYMER | 1 |
Toshihiro Kawano | JP | Ome-Shi | 2012-12-13 / 20120313108 - SEMICONDUCTOR DIODE | 1 |
Tomo Kawano | JP | Tokyo | 2009-08-27 / 20090212147 - LEVEL WOUND COIL, METHOD OF MANUFACTURING SAME, AND PACKAGE FOR SAME | 1 |
Yuji Kawano | JP | Tokyo | 2016-02-11 / 20160041010 - MAGNETIC POSITION DETECTION DEVICE AND MAGNETIC POSITION DETECTION METHOD | 2 |
Yasuhiro Kawano | JP | Tsukuba-Shi | 2010-11-25 / 20100298235 - Epithelial cell growth promoter | 1 |
Shizuo Kawano | JP | Asaka-Shi | 2011-09-29 / 20110233250 - ELECTRICAL ACCESSORY MOUNTING DEVICE FOR A SADDLE-TYPE VEHICLE | 1 |
Atsushi Kawano | JP | Takarazuka-Shi | 2013-09-19 / 20130243034 - TEMPERATURE SENSING PORTION CAP AND ELECTRONIC THERMOMETER | 7 |
Shinichiro Kawano | JP | Kawasaki | 2015-06-11 / 20150158393 - CHARGING MANAGEMENT SYSTEM | 2 |
Takafumi Kawano | JP | Yamaguchi | 2011-09-29 / 20110236634 - Dielectric Ceramic Composition for High-Frequency Use and Method for Producing the Same, as Well as Dielectric Ceramic for High-Frequency Use and Method for Producing the Same and High-Frequency Circuit Element Using the Same | 1 |
Akihiro Kawano | JP | Kawasaki-Shi | 2014-02-13 / 20140045294 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 5 |
Kenji Kawano | JP | Yokkaichi | 2013-11-21 / 20130309869 - LITHOGRAPHY MASK AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 2 |
Takenori Kawano | JP | Hiroshima-Shi | 2011-09-29 / 20110232409 - CONTROL APPARATUS FOR AUTOMATIC TRANSMISSION | 1 |
Hiroyasu Kawano | JP | Kawasaki | 2011-03-31 / 20110073833 - RESISTANCE MEMORY ELEMENT AND METHOD OF MANUFACTURING THE SAME | 5 |
Masahiko Kawano | JP | Shiga | 2015-01-29 / 20150029450 - SURFACE PROTECTION PANEL AND LIQUID CRYSTAL IMAGE DISPLAY DEVICE | 2 |
Motokazu Kawano | JP | Tokushima | 2009-08-27 / 20090212060 - Packaging Container | 1 |
Masahiro Kawano | JP | Osaka-Shi | 2014-11-13 / 20140333020 - IMAGE PROCESSNG APPARATUS | 1 |
Akihiro Kawano | JP | Kanagawa-Ken | 2010-04-22 / 20100097130 - DEVICE HAVING CIRCUIT CAPABLE OF INTERMITTENT OPERATION | 1 |
Satoshi Kawano | JP | Tsukuba | 2012-10-18 / 20120264734 - Aryl- or Heteroaryl-Substituted Benzene Compounds | 1 |
Takashi Kawano | JP | Minato-Ku | 2013-10-03 / 20130260866 - GAME SYSTEM AND GAME CONTROL METHOD THEREFOR | 1 |
Youji Kawano | JP | Iizuka | 2014-08-28 / 20140240151 - ANALOG-TO-DIGITAL CONVERSION DEVICE | 1 |
Hajime Kawano | JP | Osaka | 2008-11-27 / 20080294287 - AUTOMATIC TRANSFER METHOD, TRANSFER ROBOT, AND AUTOMATIC TRANSFER SYSTEM | 1 |
Tatsuya Kawano | JP | Osaka-Shi | 2016-01-07 / 20160003116 - ENGINE-EXHAUST-SYSTEM APPARATUS AND PACKAGE-TYPE ENGINE ELECTRIC POWER GENERATOR | 5 |
Yui Kawano | JP | Osaka-Shi | 2015-04-16 / 20150104740 - FULL-COLOR TONER SET, FULL-COLOR DEVELOPER SET, IMAGE-FORMATION METHOD USING SAME, AND IMAGE-FORMATION DEVICE | 4 |
Toshihiko Kawano | JP | Tokyo | 2009-08-27 / 20090216123 - Ultrasonic Diagnostic Apparatus and Ultrasonic Image Display Method | 1 |
Kiyoshi Kawano | JP | Osaka | 2009-04-02 / 20090085260 - BIODEGRADABLE MATERIAL AND PROCESS FOR PRODUCING THE SAME | 3 |
Koichi Kawano | JP | Tokyo | 2009-12-24 / 20090316311 - SWITCHING REGULATOR AND OPERATION CONTROL METHOD | 1 |
Shinnosuke Kawano | JP | Osaka | 2009-02-19 / 20090048378 - WATER-BASED ONE-PACK-TYPE COATING COMPOSITION AND COATED ARTICLE | 1 |
Yukihiro Kawano | JP | Tokyo | 2009-08-13 / 20090202155 - THREE-DIMENSIONAL SHAPE DATA POSITION MATCHING METHOD AND DEVICE | 3 |
Toshio Kawano | JP | Osaka | 2009-08-13 / 20090201292 - Three-dimensional processor and method for controlling display of three-dimensional data in the three-dimensional processor | 1 |
Yasuhiko Kawano | JP | Osaka | 2009-10-29 / 20090270625 - FUSED QUINOLINE DERIVATIVE AND USE THEREOF | 2 |
Kaori Kawano | JP | Neyagawa-Shi | 2015-09-03 / 20150247226 - LOW ALLOY STEEL FOR OIL COUNTRY TUBULAR GOODS HAVING EXCELLENT SULFIDE STRESS CRACKING RESISTANCE AND MANUFACTURING METHOD THEREFOR | 5 |
Hiroyasu Kawano | JP | Kawasaski | 2012-08-23 / 20120212069 - WIRELESS POWER TRANSMITTING DEVICE AND WIRELESS POWER TRANSMISSION SYSTEM | 1 |
Tetsu Kawano | JP | Chuo-Ku | 2012-08-23 / 20120213799 - COMPOSITIONS AND METHODS FOR TREATING INFLAMMATORY DISORDERS | 1 |
Takanori Kawano | JP | Osaka | 2010-10-07 / 20100252425 - CARBONACEOUS SUBSTRATE AND ELECTRODE FOR FLUORINE-PRODUCING ELECTROLYSIS | 1 |
Tetsu Kawano | JP | Kobe | 2014-07-10 / 20140193421 - Anti-Human XCR1 Antibodies | 4 |
Akinori Kawano | JP | Yamaguchi | 2011-02-10 / 20110033731 - FERRITIC STAINLESS STEEL | 1 |
Hiroyuki Kawano | JP | Tokyo | 2016-03-10 / 20160067713 - STOCK LEVEL DETECTOR FOR ROLL MACHINE FOR MILLING | 7 |
Hiroyuki Kawano | JP | Kanagawa | 2016-03-24 / 20160088186 - IMAGE PROCESSING APPARATUS | 5 |
Atsushi Kawano | JP | Toyonaka-Shi | 2009-07-16 / 20090178857 - Medicine weighing device | 1 |
Atsushi Kawano | JP | Tochigi-Shi | 2009-07-23 / 20090186737 - Power transmission apparatus | 1 |
Takenori Kawano | JP | Hiroshima-City | 2012-01-05 / 20120000309 - RANGE SENSING APPARATUS | 1 |
Hiroshi Kawano | JP | Kariya-Shi | 2012-01-05 / 20120001513 - STATOR FOR ELECTRIC MACHINE | 1 |
Yumiko Kawano | JP | Nirasaki-Shi | 2015-07-16 / 20150201468 - Heat Treatment Apparatus | 10 |
Kaori Kawano | JP | Osaka | 2014-03-27 / 20140086787 - METHOD FOR MANUFACTURING HOT-ROLLED SHEET HAVING FINE-GRAINED FERRITE, AND HOT-ROLLED SHEET | 7 |
Shinjiro Kawano | JP | Tokyo | 2009-10-08 / 20090254913 - Information Processing System | 1 |
Mitsuko Kawano | JP | Ibaraki | 2012-10-04 / 20120251455 - Hair Growth-Inhibiting Agent | 3 |
Hironao Kawano | JP | Hino-Shi | 2010-07-08 / 20100174142 - MEDICAL DEVICE GUIDANCE SYSTEM | 1 |
Ayako Kawano | JP | Amimachi | 2010-04-15 / 20100090387 - Printer and control method for printer | 1 |
Takayuki Kawano | JP | Osaka | 2010-11-04 / 20100275634 - REFRIGERATION APPARATUS | 1 |
Eizo Kawano | JP | Osaka | 2010-12-09 / 20100307118 - AIR FILTER | 3 |
Atsushi Kawano | JP | Kawasaki-Shi | 2015-11-05 / 20150317514 - IMAGE PROCESSING APPARATUS AND METHOD OF PROCESSING IMAGE | 4 |
Yuka Kawano | JP | Yamaguchi | 2012-12-27 / 20120325373 - METHOD FOR TREATMENT OF METAL SURFACE, AND SURFACE-MODIFIED METAL PRODUCT | 1 |
Shogo Kawano | JP | Osaka | 2012-12-06 / 20120307238 - MICROSCOPE AND OBSERVATION METHOD | 3 |
Atsushi Kawano | JP | Tokyo | 2016-02-25 / 20160055389 - VIDEO PROCESSING APPARATUS, VIDEO PROCESSING METHOD, AND RECORDING MEDIUM | 7 |
Hironao Kawano | JP | Nagano | 2008-11-27 / 20080294101 - Body-Insertable Apparatus and Manufacturing Method Thereof | 1 |
Takanobu Kawano | JP | Oobu-Shi | 2009-09-17 / 20090229897 - ENGINE CONTROL SYSTEM | 2 |
Yusuke Kawano | JP | Tokyo | 2013-03-28 / 20130078813 - PATTERN FORMING METHOD | 1 |
Toshifumi Kawano | JP | Tokyo | 2010-04-15 / 20100090358 - PROCESS AND APPARATUS FOR PRODUCING OPTICAL RECORDING MEDIUM | 1 |
Hiroaki Kawano | JP | Osaka | 2016-05-12 / 20160133739 - SEMICONDUCTOR DEVICE | 6 |
Takaaki Kawano | JP | Osaka | 2011-02-17 / 20110040044 - SURFACE TREATMENT METHOD OF WATER-ABSORBING RESIN AND PRODUCTION METHOD OF WATER-ABSORBING RESIN | 1 |
Satoshi Kawano | JP | Osaka | 2010-12-23 / 20100319376 - HEAT SOURCE UNIT OF REFRIGERATION SYSTEM AND REFRIGERATION SYSTEM | 9 |
Youji Kawano | JP | Yokohama | 2012-08-30 / 20120217910 - MOTOR CONTROL DEVICE, CONTROL PROGRAM THEREFOR, AND METHOD FOR THE CONTROL | 1 |
Tatsuya Kawano | JP | Tokyo | 2016-05-05 / 20160127604 - IMAGE PROCESSING APPARATUS, METHOD FOR PERFORMING SPECIFIC PROCESS, AND COMPUTER-READABLE STORAGE MEDIUM FOR COMPUTER PROGRAM | 2 |
Mitsuo Kawano | JP | Tsu-Shi | 2012-08-30 / 20120219582 - INTRANASAL SPRAY-TYPE TUBERCULOSIS VACCINE USING PARAMYXOVIRUS VECTOR | 1 |
Daisuke Kawano | JP | Chofu | 2010-05-20 / 20100122574 - SIMULATION WHEEL AND VEHICLE TESTING APPARATUS | 1 |
Hidetaka Kawano | JP | Tokyo | 2009-11-05 / 20090273863 - Thin-film magnetic head for perpendicular magnetic recording and method of making the same | 5 |
Haruo Kawano | JP | Tenri-Shi | 2009-07-23 / 20090184549 - Automobile Child Seat | 1 |
Seiji Kawano | JP | Saitama-Ken | 2013-02-28 / 20130051581 - AUDIO SIGNAL PROCESSING CIRCUIT | 2 |
Hiroyuki Kawano | JP | Shiga | 2015-12-24 / 20150369526 - SEALED COMPRESSOR AND REFRIGERATION DEVICE | 4 |
Hideki Kawano | JP | Tokyo | 2010-05-27 / 20100129047 - TELEVISION BROADCAST RECEIVING DEVICE | 2 |
Kohei Kawano | JP | Tokyo | 2010-10-14 / 20100259201 - SEMICONDUCTOR DEVICE | 1 |
Seiichi Kawano | JP | Tokyo | 2009-02-05 / 20090037010 - Communication Driver | 2 |
Takeshi Kawano | JP | Shibuya-Ku | 2014-04-24 / 20140113157 - SLIDE PART AND SURFACE PROCESSING METHOD OF THE SAME | 1 |
Keiichirou Kawano | JP | Tokyo | 2012-03-08 / 20120055024 - METHOD OF REPAIRING BEARING OF WIND TURBINE GENERATOR | 1 |
Hiroaki Kawano | JP | Chiba | 2009-02-26 / 20090055896 - NETWORK CONNECTION CONTROL PROGRAM, NETWORK CONNECTION CONTROL METHOD, AND NETWORK CONNECTION CONTROL SYSTEM | 2 |
Seishiro Kawano | JP | Kobe-Shi | 2015-09-24 / 20150266077 - STRETCH FORMING SYSTEM AND STRETCH FORMING METHOD | 1 |
Seiichi Kawano | JP | Sagamihara-Shi | 2016-01-07 / 20160004539 - OPERATING ENVIRONMENT SWITCHING BETWEEN A PRIMARY AND A SECONDARY OPERATING SYSTEM | 4 |
Yoichiro Kawano | US | San Jsoe | 2011-05-12 / 20110109548 - Systems and methods for motion recognition with minimum delay | 1 |
Hironori Kawano | JP | Amagasaki-Shi | 2010-08-19 / 20100207820 - DISTANCE MEASURING DEVICE | 1 |
Masakazu Kawano | JP | Tokyo | 2012-03-08 / 20120059093 - ASPHALT MIXTURE | 1 |
Hiroshi Kawano | JP | Atsugi-Shi | 2009-09-17 / 20090229846 - Screw Driving Device and Screw | 2 |
Tomohito Kawano | JP | Kanagawa-Ken | 2008-12-04 / 20080298126 - NON-VOLATILE SEMICONDUCTOR MEMORY AND METHOD FOR REPLACING DEFECTIVE BLOCKS THEREOF | 1 |
Hiroshi Kawano | JP | Miyazaki | 2011-01-27 / 20110019381 - ELECTRONIC CIRCUIT BOARD AND POWER LINE COMMUNICATION APPARATUS USING IT | 1 |
Norimasa Kawano | JP | Neyagawa-Shi | 2015-06-11 / 20150162142 - WIRE FOR REED SWITCH, REED FOR REED SWITCH, AND REED SWITCH | 1 |
Ken-Ichi Kawano | JP | Shizuoka-Ken | 2010-05-27 / 20100130475 - PREVENTIVE OR THERAPEUTIC AGENT FOR INFLAMMATORY BOWEL DISEASES | 1 |
Shuichi Kawano | JP | Ogaki-Shi | 2011-11-03 / 20110265324 - INTERPOSER AND METHOD FOR MANUFACTURING INTERPOSER | 5 |
Tetsuo Kawano | JP | Ashigarakami-Gun | 2014-07-03 / 20140186988 - CHEMICAL BATH DEPOSITION APPARATUS, METHOD OF FORMING BUFFER LAYER AND METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE | 13 |
Hitoshi Kawano | JP | Kanagawa-Ken | 2013-05-16 / 20130119860 - Switching Power Supply Apparatus And Luminaire | 8 |
Hiroyuki Kawano | JP | Miyazaki | 2008-12-04 / 20080296740 - Method of manufacturing semiconductor device, and semiconductor device | 1 |
Yoichi Kawano | JP | Tokyo | / - | 1 |
Sunao Kawano | JP | Wako-Shi | 2013-10-03 / 20130257008 - SADDLE-RIDE TYPE VEHICLE | 2 |
Yumiko Kawano | JP | Nirasaki-Shi | 2015-07-16 / 20150201468 - Heat Treatment Apparatus | 10 |
Hiroyuki Kawano | JP | Shizuoka | 2014-06-05 / 20140155442 - ANTI-OBESITY AGENT COMPRISING HIGH-PURITY EPA | 2 |
Masahiro Kawano | JP | Osaka | 2012-02-23 / 20120043715 - IMAGE PROCESSING APPARATUS | 1 |
Yoshitaka Kawano | JP | Tokyo | 2013-03-07 / 20130060682 - METHOD FOR MANAGING PAYMENT MEANS OVER A NETWORK USING ELECTRONIC WALLET, PAYMENT MEANS MANAGEMENT DEVICE, AND PAYMENT MEANS MANAGEMENT PROGRAM | 3 |
Shuichi Kawano | JP | Shiojiri | 2010-02-18 / 20100038993 - SURFACE ACOUSTIC WAVE ELEMENT | 1 |
Mark Kawano | US | Burlingame | 2009-08-13 / 20090204913 - User interfaces for managing image colors | 1 |
Hiroyuki Kawano | JP | Chiyoda-Ku | 2015-11-05 / 20150319329 - IMAGE READ-IN DEVICE | 3 |
Shuichi Kawano | JP | Kawasaki-Shi | 2009-09-17 / 20090231827 - INTERPOSER AND METHOD FOR MANUFACTURING INTERPOSER | 1 |
Ryouichi Kawano | JP | Matsumoto City | 2012-08-02 / 20120193749 - SEMICONDUCTOR DEVICE | 2 |
Shuichi Kawano | JP | Ibi-Gun | 2009-02-12 / 20090038830 - SUBSTRATE FOR MOUNTING IC CHIP AND METHOD OF MANUFACTURING THE SAME | 2 |
Tomohide Kawano | JP | Tokyo | 2014-11-27 / 20140351847 - ELECTRONIC DEVICE, AND METHOD AND STORAGE MEDIUM | 1 |
Hideo Kawano | JP | Kanagawa | 2014-09-11 / 20140253858 - ACTIVE MATRIX DISPLAY DEVICE | 2 |
Kenya Kawano | JP | Tokyo | 2011-06-30 / 20110156274 - SEMICONDUCTOR DEVICE | 2 |
Takashi Kawano | JP | Iwaki-City Fukushima | 2011-12-01 / 20110294455 - BROADCAST RECEIVING APPARATUS AND RADIO RECEIVING APPARATUS | 1 |
Tsutomu Kawano | JP | Tokyo | 2013-06-27 / 20130164579 - BATTERY INSPECTION APPARATUS | 1 |
Takeshi Kawano | JP | Utsunomiya-Shi | 2015-12-03 / 20150349236 - VIBRATION WAVE DRIVING DEVICE, IMAGE PICKUP DEVICE, OPTICAL APPARATUS, LIQUID DISCHARGE DEVICE, AND ELECTRONIC APPARATUS | 1 |
Toshiyuki Kawano | JP | Kyoto-Shi | 2013-03-07 / 20130055823 - MATERIAL TESTING SYSTEM | 1 |
Seiichi Kawano | JP | Kanagawa | 2013-07-11 / 20130179903 - COORDINATING POWER STATES IN A HYBRID INFORMATION HANDLING DEVICE | 3 |
Kenji Kawano | JP | Tokushima-Shi | 2016-04-07 / 20160097495 - LIGHT EMITTING DEVICE | 2 |
Kenji Kawano | JP | Hamamatsu-Shi | 2015-09-24 / 20150271615 - Acoustic Device and Acoustic Processing Method | 1 |
Shingo Kawano | JP | Tokyo | 2015-09-03 / 20150246732 - FUEL TANK, FUEL PIPE, AND AIRCRAFT | 3 |
Hitoshi Kawano | JP | Yokohama-Shi | 2012-12-20 / 20120320611 - Socket Device | 15 |
Masaya Kawano | JP | Kanagawa | 2015-05-21 / 20150137348 - ELECTRONIC DEVICE | 33 |
Kenya Kawano | JP | Hitachinaka | 2014-03-06 / 20140061821 - ELECTRONIC DEVICE AND SEMICONDUCTOR DEVICE | 5 |
Shinji Kawano | JP | Yokohama-Shi | 2011-03-10 / 20110060866 - MEMORY SYSTEM | 2 |
Ryuji Kawano | JP | Yokohama-Shi | 2010-12-30 / 20100326500 - ELECTROLYTE COMPOSITION AND PHOTOELECTRIC CONVERSION ELEMENT USING SAME | 2 |
Hiotshi Kawano | JP | Yokohama-Shi | 2010-08-19 / 20100208473 - LAMP SYSTEM AND LIGHTING APPARATUS | 1 |
Seiichi Kawano | JP | Kanagawa-Ken | 2012-12-13 / 20120317424 - Switching between unsecure system software and secure system software | 4 |
Taku Kawano | JP | Tokyo | 2009-11-12 / 20090280700 - ELECTRICAL CONNECTOR | 4 |
Kazuyuki Kawano | JP | Tokyo | 2015-11-26 / 20150336619 - PRESS COMPONENT AND METHOD AND DEVICE FOR MANUFACTURING SAME | 5 |
Seiichi Kawano | JP | Sagamihara | 2010-08-26 / 20100217968 - Apparatus, System, and Method for Accurate Automated Scheduling of Computer Suspend and Resume | 4 |
Michihiko Kawano | JP | Fukuoka | 2011-10-06 / 20110241334 - DISCHARGE ELBOW PROVIDED WITH GUIDE VANES | 1 |
Hiroshi Kawano | JP | Osaka-Shi | 2011-10-06 / 20110243591 - IMAGE FORMING APPARATUS | 1 |
Tsuyoshi Kawano | JP | Oura-Gun | 2011-09-01 / 20110211166 - PROJECTOR DEVICE | 1 |
Kota Kawano | JP | Yokohama-Shi | 2011-05-26 / 20110124478 - ELECTRICALLY CONDUCTIVE ROLLER | 2 |
Aya Kawano | JP | Yokohama-Shi | 2010-02-25 / 20100047561 - METAL OXIDE PHOSPHOR MICROPARTICLE AND PROCESS FOR PRODUCING THE SAME; UTILIZING THE SAME, DISPERSION LIQUID, FLUORESCENCE CONVERSION MEMBRANE, METHOD OF SEPARATING METAL OXIDE PHOSPHOR MICROPARTICLE, FLUORESCENT LIQUID, FLUORESCENT PASTE, PHOSPHOR AND PROCESS FOR PRODUCING THE SAME; AND FLUORESCENCE CONVERTER | 1 |
Sayoko Kawano | JP | Yokohama-Shi | 2010-02-25 / 20100048442 - Oil-In-Water Type Emulsion Composition | 1 |
Hitoshi Kawano | JP | Yokohama-Shi | 2012-12-20 / 20120320611 - Socket Device | 15 |
Tomohito Kawano | JP | Yokohama-Shi | 2012-03-22 / 20120072877 - LAYOUT VERIFICATION APPARATUS AND LAYOUT VERIFICATION METHOD | 2 |
Takashi Kawano | JP | Tokai | 2013-02-21 / 20130044149 - INKJET RECORDING APPARATUS | 1 |
Kouta Kawano | JP | Yokohama-Shi | 2011-08-25 / 20110206421 - TONER CONVEYING ROLLER, MOLD USED FOR MANUFACTURING ROLLER AND METHOD FOR MANUFACTURING ROLLER | 1 |
Takeshi Kawano | JP | Tokyo | 2014-01-16 / 20140016136 - OPTICAL COHERENCE TOMOGRAPHY OBSERVATION APPARATUS, METHOD FOR DETERMINING RELATIVE POSITION OF IMAGES, AND PROGRAM FOR DETERMINING RELATIVE POSITION OF IMAGES | 10 |
Takahiro Kawano | JP | Kariya | 2012-09-20 / 20120235039 - MEMS SENSOR | 3 |
Hirotaka Kawano | JP | Saitama-Shi | 2012-09-13 / 20120232347 - INSERTION ASSISTING TOOL FOR ENDOSCOPE | 1 |
Hisashi Kawano | JP | Koshi-Shi | 2016-02-04 / 20160035564 - SUBSTRATE CLEANING METHOD AND RECORDING MEDIUM | 3 |
Atsuhiro Kawano | JP | Kasugai-Shi | 2013-11-14 / 20130300017 - FOAMED ELASTIC BODY, METHOD OF MANUFACTURING THE SAME, AND CONDUCTIVE ROLL FOR ELECTROPHOTOGRAPHIC MACHINE | 3 |
Hajime Kawano | JP | Tokyo | 2016-03-03 / 20160064182 - CHARGED PARTICLE BEAM APPARATUS AND IMAGE GENERATION METHOD | 12 |
Mark Kawano | US | San Mateo | 2015-03-05 / 20150067582 - CONTENT NAVIGATION STRUCTURE AND TRANSITION MECHANISM | 6 |
Takayuki Kawano | JP | Kanagawa | 2012-09-13 / 20120229217 - HIGH-FREQUENCY POWER AMPLIFIER | 1 |
Yoichiro Kawano | US | San Jose | 2011-05-12 / 20110112996 - Systems and methods for motion recognition using multiple sensing streams | 3 |
Takashi Kawano | JP | Suruga Shizuoka Shizuoka | 2015-08-06 / 20150221189 - DISCRIMINATION OBJECT AND MEDICAL DEVICE | 1 |
Yuichi Kawano | JP | Tokyo | 2012-12-20 / 20120319235 - SEMICONDUCTOR DEVICE WITH A FUSE FORMED BY A DAMASCENE TECHNIQUE AND A METHOD OF MANUFACTURING THE SAME | 4 |
Koji Kawano | JP | Tokyo | 2009-03-12 / 20090068906 - Protective Material, Lining, Edge Cover, and Packing Tape | 1 |
Takeshi Kawano | JP | Osaka | 2010-07-01 / 20100164535 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR TESTING METHOD | 2 |
Akimitsu Kawano | JP | Tokyo | 2015-11-26 / 20150339554 - PROCESSING DEVICE | 2 |
Tomohiro Kawano | JP | Tokyo | 2012-06-07 / 20120143219 - ACTUATOR AND DETACHABLE CONNECTOR OF FLEXIBLE CLIP APPLIER | 6 |
Fujio Kawano | JP | Kanagawa-Ken | 2009-03-12 / 20090066613 - DISPLAY APPARATUS | 1 |
Takeshi Kawano | JP | Kyoto | 2010-02-25 / 20100044461 - LIQUID MATERIAL VAPORIZER | 1 |
Fujio Kawano | JP | Kawasaki-Shi | 2015-07-02 / 20150189147 - IMAGE SENSING APPARATUS | 16 |
Fujio Kawano | JP | Kawasaki | 2012-05-03 / 20120105502 - IMAGE DISPLAY DEVICE AND CONTROL METHOD THEREOF | 2 |
Shintarou Kawano | JP | Tokyo | 2008-12-18 / 20080313377 - DISPLAY CONTROL CIRCUIT AND DISPLAY DEVICE | 1 |
Shotaro Kawano | JP | Tokyo | 2014-12-04 / 20140355448 - CONTROLLER, METHOD FOR DISTRIBUTING LOAD, NON-TRANSITORY COMPUTER-READABLE MEDIUM STORING PROGRAM, COMPUTER SYSTEM, AND CONTROL DEVICE | 1 |
Hayato Kawano | JP | Osaka | 2016-03-31 / 20160090713 - CABIN OF WORKING MACHINE, WORKING MACHINE HAVING CABIN, AND METHOD FOR MANUFACTURING CABIN | 2 |
Hitoshi Kawano | JP | Kanagawa-Ken | 2013-05-16 / 20130119860 - Switching Power Supply Apparatus And Luminaire | 8 |
Masayuki Kawano | JP | Tokyo | 2014-09-18 / 20140267974 - DISPLAY APPARATUS | 4 |
Junya Kawano | JP | Tokyo | 2014-09-04 / 20140247157 - BIOLOGICAL INFORMATION MONITORING SYSTEM | 2 |
Kenji Kawano | JP | Osaka | 2011-05-05 / 20110103209 - DRIVE DEVICE AND METHOD FOR CONTROLLING THE SAME | 1 |
Hitoshi Kawano | JP | Yokosuka-Shi | 2014-07-10 / 20140191658 - SELF-BALLASTED LAMP AND LIGHTING EQUIPMENT | 14 |
Shuichi Kawano | JP | Suwa | 2015-10-01 / 20150276791 - FUNCTIONAL DEVICE, METHOD OF MANUFACTURING THE FUNCTIONAL DEVICE, PHYSICAL QUANTITY SENSOR, AND ELECTRONIC APPARATUS | 5 |
Takeshi Kawano | JP | Tokai-Shi | 2015-08-20 / 20150234017 - MAGNETIC FIELD DETECTING DEVICE | 2 |
Mark Lee Kawano | US | San Mateo | 2014-11-06 / 20140328549 - CURSOR FOR APPLICATION OF IMAGE ADJUSTMENTS | 12 |
Hiroshi Kawano | JP | Kawasaki | 2013-11-14 / 20130305007 - MEMORY MANAGEMENT METHOD, MEMORY MANAGEMENT DEVICE, MEMORY MANAGEMENT CIRCUIT | 4 |
Osamu Kawano | JP | Tokyo | 2014-01-02 / 20140000769 - HOT ROLLED STEEL SHEET AND METHOD OF PRODUCING SAME | 4 |
Junichi Kawano | JP | Onoda-Shi | 2008-12-25 / 20080314839 - Iron composite particles for purifying soil or ground water, process for producing the same, purifying agent containing the same, process for producing the purifying agent and method for purifying soil or ground water | 1 |
Masaya Kawano | JP | Tokyo | 2013-08-29 / 20130220005 - WIND TURBINE BLADE, METHOD FOR MANUFACTURING WIND TURBINE BLADE, AND WIND POWER GENERATOR AND WIND TURBINE BLADE MONITORING SYSTEM INCLUDING WIND TURBINE BLADE | 1 |
Takeshi Kawano | JP | Uji-Shi | 2013-02-14 / 20130037974 - LIQUID MATERIAL VAPORIZER | 2 |
Bunki Kawano | JP | Sunto-Gun | 2012-05-31 / 20120132172 - HYDRAULIC CONTROL DEVICE FOR ENGINE | 1 |
Hiroyuki Kawano | JP | Wako-Shi | 2013-02-21 / 20130045503 - CLEARING REAGENT FOR BIOLOGICAL MATERIAL, AND USE THEREOF | 1 |
Junji Kawano | JP | Saitama | 2011-02-10 / 20110030354 - PARTICULATE COMBUSTION CATALYST, PARTICULATE FILTER AND EXHAUST GAS PURIFYING APPARATUS | 1 |
Kenji Kawano | JP | Sakai-Shi | 2010-06-17 / 20100147385 - ORGANIC PHOTOVOLTAIC DEVICE | 3 |
Takahiro Kawano | JP | Aichi | 2011-10-27 / 20110260062 - INFRARED SENSOR AND INFRARED SENSOR MANUFACTURING METHOD | 1 |
Yuichi Kawano | JP | Takasago-Shi | 2012-05-24 / 20120125891 - PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Makoto Kawano | JP | Tokyo | 2014-07-10 / 20140191764 - METHOD FOR DETERMINING RESPONSE CHARACTERISTICS OF BATTERY | 5 |
Kouhei Kawano | JP | Omura | 2009-09-24 / 20090235867 - SUSCEPTOR FOR VAPOR PHASE EPITAXIAL GROWTH DEVICE | 1 |
Hiroaki Kawano | JP | Miyazaki | 2015-03-12 / 20150070083 - BOOSTING CIRCUIT OF CHARGE PUMP TYPE AND BOOSTING METHOD | 3 |
Shigeaki Kawano | JP | Chino-Shi | 2013-03-07 / 20130057070 - CIRCUIT DEVICE, ELECTRONIC APPARATUS, AND IC CARD | 2 |
Bunki Kawano | JP | Osaka | 2016-02-25 / 20160054068 - HEAT EXCHANGER | 11 |
Takashi Kawano | JP | Tokyo | 2016-02-18 / 20160046124 - Ink Jet Recording Device | 8 |
Takashi Kawano | JP | Hiroshima | 2010-05-06 / 20100112377 - GALVANIZED HIGH STRENGTH STEEL SHEET AND METHOD FOR PRODUCING THEREOF | 1 |
Takashi Kawano | JP | Chiba | 2013-10-17 / 20130269249 - IRON POWDER FOR COATING SEEDS AND SEED | 4 |
Hiroshi Kawano | JP | Tokyo | 2014-09-11 / 20140258615 - STORAGE CONTROL APPARATUS AND STORAGE SYSTEM COMPRISING MULTIPLE STORAGE CONTROL APPARATUSES | 5 |
Miwa Kawano | JP | Oita | 2008-10-02 / 20080237127 - Polysulfone Hemodialyzer | 1 |
Hironao Kawano | JP | Machida-Shi, Tokyo | 2012-04-26 / 20120101333 - CAPSULE ENDOSCOPE ACTIVATION SYSTEM | 1 |
Kazuhiro Kawano | JP | Tokushima | 2009-12-03 / 20090299008 - Organic antimony compound, process for producing the same, living radical polymerization initiator, process for producing polymer using the same, and polymer | 1 |
Yukio Kawano | JP | Saitama | 2010-08-12 / 20100200755 - APPARATUS AND METHOD FOR DETECTING TERAHERTZ WAVE | 3 |
Takahiro Kawano | JP | Yokohama-Shi | 2014-12-04 / 20140354461 - SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE OPERATING METHOD | 2 |
Fujio Kawano | JP | Kawasaki-Shi | 2015-07-02 / 20150189147 - IMAGE SENSING APPARATUS | 16 |
Takeshi Kawano | JP | Aichi-Ken | 2012-01-19 / 20120016261 - HOLLOW MICROTUBE STRUCTURE, PRODUCTION METHOD THEREOF AND BIOPSY DEVICE | 1 |
Fumi Kawano | JP | Oita | 2012-02-09 / 20120035181 - ANTIPARASITIC AGENT FOR FISH AND METHOD OF CONTROLLING PROLIFERATION OF FISH PARASITES | 2 |
Yuzo Kawano | JP | Fukuoka | 2009-01-29 / 20090027896 - IMAGE FORMING DEVICE | 1 |
Kenji Kawano | JP | Fukuoka | 2012-10-04 / 20120247557 - ORGANIC SOLAR CELL | 1 |
Mizuyo Kawano | JP | Nagoya-Shi | 2012-10-04 / 20120247816 - FLEXIBLE WIRING SUBSTRATE, METHOD FOR ASSEMBLING FLEXIBLE WIRING SUBSTRATE, AND METHOD FOR MANUFACTURING LIQUID JETTING APPARATUS | 1 |
Takahiro Kawano | JP | Osaka-Shi | 2010-10-21 / 20100267451 - GAME MACHINE, PROGRAM FOR REALIZING GAME MACHINE, AND METHOD OF DISPLAYING OBJECTS IN GAME | 1 |
Takahiro Kawano | JP | Kawasaki-Shi | 2009-07-02 / 20090167579 - D/A CONVERSION CIRCUIT | 1 |
Midori Kawano | JP | Kanagawa | 2015-02-12 / 20150046860 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 1 |
Yoshikazu Kawano | JP | Hitachinaka | 2014-12-18 / 20140366830 - ENGINE-POWERED TOOL | 7 |
Hironao Kawano | JP | Tokyo | 2015-03-05 / 20150065801 - GUIDANCE DEVICE | 58 |
Tomoatsu Kawano | JP | Hyogo | 2015-02-12 / 20150045581 - METHOD FOR PRODUCING ACROLEIN AND ACRYLIC ACID WITH A FIXED-BED MULTITUBULAR REACTOR | 1 |
Junko Kawano | JP | Okazaki-Shi | 2009-07-09 / 20090177503 - Scheduling apparatus and computer readable medium storing scheduling program | 1 |
Keiichi Kawano | JP | Hokkaido | 2009-07-30 / 20090192296 - Method for Producing Denatured Substance of Lactalbumin | 1 |
Yoshikazu Kawano | JP | Ibaraki | 2012-10-18 / 20120262035 - ADAPTOR, ASSEMBLY OF BATTERY PACK AND ADAPTOR, AND ELECTRIC TOOL WITH THE SAME | 3 |
Makiko Kawano | JP | Himeji-Shi, Hyogo | 2016-03-24 / 20160083670 - WATER-SOLUBLE METAL WORKING OIL AGENT | 1 |
Takahiro Kawano | JP | Kanagawa-Ken | 2013-09-26 / 20130248995 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 7 |
Hiroyasu Kawano | JP | Akashi | 2013-06-13 / 20130147283 - POWER TRANSMISSION DEVICE | 2 |
Koichiro Kawano | JP | Kamakura-Shi | 2008-10-09 / 20080248359 - FUEL CELL | 3 |
Satoshi Kawano | JP | Sakai-Shi | 2015-05-28 / 20150143841 - REFRIGERATION APPARATUS | 4 |
Yoichi Kawano | JP | Kitakyushu-Shi | 2012-05-17 / 20120119138 - NEGATIVE ELECTRODE ACTIVE MATERIAL OF LITHIUM SECONDARY BATTERY, SECONDARY BATTERY USING THE SAME, METHOD FOR MANUFACTURING THE SAME | 3 |
Koki Kawano | JP | Tsukuba | 2011-05-12 / 20110112138 - MULTI-CYCLIC CINNAMIDE DERIVATIVES | 13 |
Takeshi Kawano | JP | Tokyo | 2014-01-16 / 20140016136 - OPTICAL COHERENCE TOMOGRAPHY OBSERVATION APPARATUS, METHOD FOR DETERMINING RELATIVE POSITION OF IMAGES, AND PROGRAM FOR DETERMINING RELATIVE POSITION OF IMAGES | 10 |
Ryuji Kawano | US | Salt Lake City | 2015-07-02 / 20150185200 - DETECTION OF NUCLEIC ACID LESIONS AND ADDUCTS USING NANOPORES | 2 |
Hiroyasu Kawano | JP | Ebina | 2016-02-18 / 20160049698 - RECHARGEABLE BATTERY, CHARGING SYSTEM, AND ELECTRONIC DEVICE | 6 |
Masaaki Kawano | JP | Yokohama-Shi | 2012-06-28 / 20120164232 - CONSTRUCT COATED WITH VIRUS COAT-CONSTITUTING PROTEIN AND METHOD FOR PRODUCING SAME | 2 |
Takanobu Kawano | JP | Obu-City | 2012-08-30 / 20120216779 - FUEL SUPPLY DEVICE | 3 |
Kenji Kawano | JP | Mie | 2009-06-04 / 20090142706 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Takanori Kawano | JP | Osaka-Shi | 2012-04-19 / 20120094117 - CARBON MATERIAL COVERED WITH DIAMOND THIN FILM AND METHOD OF MANUFACTURING SAME | 1 |
Kenji Kawano | JP | Takasaki-Shi | 2013-08-08 / 20130200970 - COIL-TYPE ELECTRONIC COMPONENT AND PROCESS FOR PRODUCING SAME | 6 |
Akihiko Kawano | JP | Osaka | 2011-12-01 / 20110291498 - Electric Motor and Rotor | 2 |
Shinichi Kawano | JP | Tokyo | 2016-04-21 / 20160110339 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 10 |
Koki Kawano | JP | Ibaraki | 2009-11-05 / 20090275751 - 1,2-DIHYDROPYRIDINE COMPOUNDS, MANUFACTURING METHOD THEREOF AND USE THEREOF | 1 |
Hiroshi Kawano | JP | Shizuoka | 2012-01-19 / 20120015546 - CONNECTOR | 1 |
Yasuhiro Kawano | JP | Iwata-Shi | 2012-01-19 / 20120011988 - ELECTRONIC MUSICAL INSTRUMENT | 1 |
Kenji Kawano | JP | Yokohama-Shi | 2009-10-01 / 20090246710 - Pattern forming method and a semiconductor device manufacturing method | 2 |
Shigeru Kawano | JP | Chiryu-City | 2015-12-17 / 20150361981 - COMPRESSOR | 4 |
Takafumi Kawano | JP | Tokushima | 2009-08-27 / 20090212060 - Packaging Container | 1 |
Soiichi Kawano | JP | Sagamihara-Shi | 2009-03-19 / 20090077351 - Information processing device and compiler | 1 |
Ryosuke Kawano | JP | Saiki-Shi | 2010-03-11 / 20100060295 - VOLTAGE DETECTION APPARATUS | 2 |
Masahiko Kawano | JP | Nagahama-Shi | 2012-11-15 / 20120287388 - SURFACE PROTECTION PANEL AND LIQUID CRYSTAL IMAGE DISPLAY DEVICE | 1 |
Masakazu Kawano | JP | Habikino | 2008-10-09 / 20080250027 - Hypertext displaying apparatus and hypertext displaying program | 1 |
Shinji Kawano | JP | Hiroshima-Shi | 2009-07-16 / 20090182937 - SEMICONDUCTOR MEMORY CARD, AND PROGRAM FOR CONTROLLING THE SAME | 1 |
Sunao Kawano | JP | Saitama | 2010-09-30 / 20100243362 - IMPACT MEMBER FOR A SADDLE-TYPE VEHICLE, AND VEHICLE INCORPORATING SAME | 1 |
Sunao Kawano | JP | Wako | 2012-09-20 / 20120234619 - SADDLE-RIDE VEHICLE | 2 |
Yosuke Kawano | JP | Kyoto | 2014-09-18 / 20140265739 - DC BRUSHLESS MOTOR | 6 |
Masanori Kawano | JP | Aichi-Ken | 2011-08-18 / 20110198882 - VEHICLE DOOR FRAME STRUCTURE | 2 |
Satoshi Kawano | BE | Oostende | 2015-12-03 / 20150345842 - AIR CONDITIONER | 2 |
Shingo Kawano | JP | Nagasaki | 2009-06-25 / 20090159432 - Thin-film deposition apparatus using discharge electrode and solar cell fabrication method | 1 |
Tomoatsu Kawano | JP | Himeji-Shi | 2013-07-04 / 20130172615 - CATALYST FOR PRODUCING UNSATURATED ALDEHYDE AND/OR UNSATURATED CARBOXYLIC ACID, AND PROCESS FOR PRODUCING UNSATURATED ALDEHYDE AND/OR UNSATURATED CARBOXYLIC ACID USING THE CATALYST | 1 |
Yuichi Kawano | JP | Naruto-Shi | 2010-12-23 / 20100323975 - THERAPEUTIC AGENT FOR CEREBRAL ISCHEMIC INJURY | 1 |
Yoichi Kawano | JP | Setagaya | 2016-03-31 / 20160094271 - PULSE GENERATOR, SEMICONDUCTOR INTEGRATED CIRCUIT, AND WIRELESS DATA TRANSMISSION METHOD | 8 |
Masakazu Kawano | JP | Ibaraki-Ken | 2012-06-28 / 20120159899 - ENCLOSING-SEALING DEVICE AND IMAGE FORMATION SYSTEM HAVING THE SAME | 3 |
Kazuhisa Kawano | JP | Kanagawa | 2015-10-22 / 20150303063 - RUTHENIUM COMPLEX, METHOD FOR PRODUCING SAME, AND METHOD FOR PRODUCING RUTHENIUM-CONTAINING THIN FILM | 3 |
Yuji Kawano | JP | Ooita | 2008-08-21 / 20080199386 - Method for producing ammonium hexachlororuthenate and ruthenium powder, as well as ammonium hexachlororuthenate | 1 |
Shigeki Kawano | JP | Shinjuku-Ku | 2011-03-31 / 20110076855 - LAMINATE AND USE THEREOF | 1 |
Shigeki Kawano | JP | Tokyo-To | 2011-05-12 / 20110108519 - WET ETCHED INSULATOR AND ELECTRONIC CIRCUIT COMPONENT | 2 |
Yuji Kawano | JP | Chiyoda-Ku | 2014-12-04 / 20140354270 - MAGNETIC POSITION DETECTION DEVICE | 9 |
Tsutomu Kawano | JP | Kanagawa | 2015-03-19 / 20150077062 - BATTERY MANAGEMENT CONTROL METHOD | 3 |
Satoshi Kawano | JP | Skai-Shi | 2010-05-06 / 20100107660 - REFRIGERANT CHARGING DEVICE, REFRIGERATION DEVICE, AND REFRIGERANT CHARGING METHOD | 1 |
Satoshi Kawano | JP | Tsukuba-Shi | 2016-01-28 / 20160022693 - ARYL- OR HETEROARYL-SUBSTITUTED BENZENE COMPOUNDS | 4 |
Takeshi Kawano | JP | Koto-Ku | 2012-02-02 / 20120030485 - ELECTRONIC DEVICE | 1 |
Osamu Kawano | JP | Oita-Shi | 2015-11-05 / 20150315683 - HOT-ROLLED STEEL SHEET AND METHOD FOR PRODUCING SAME | 2 |
Takashi Kawano | JP | Chiyoda-Ku | 2015-01-29 / 20150027600 - SI-CONTAINING HIGH-STRENGTH COLD ROLLED STEEL SHEET, METHOD OF PRODUCING THE SAME, AND AUTOMOTIVE MEMBERS (AS AMENDED) | 1 |
Yuji Kawano | JP | Kumagaya-Shi | 2015-09-17 / 20150260202 - PUMP DEVICE | 4 |
Masaki Kawano | JP | Tokyo-To | 2010-04-29 / 20100105901 - SYNTHESIS METHOD OF POLYMER COMPLEX CRYSTAL | 1 |
Hiroyuki Kawano | JP | Ashigarakami-Gun | 2015-04-16 / 20150103363 - IMAGE PROCESSING APPARATUS | 3 |
Kaori Kawano | JP | Chiyoda-Ku | 2014-07-03 / 20140182414 - STEEL FOR INDUCTION HARDENING AND CRANKSHAFT MANUFACTURED BY USING THE SAME | 1 |
Yoshihumi Kawano | JP | Miyazaki | 2011-01-27 / 20110022027 - DRUG-ELUTING CATHETER AND METHOD OF MANUFACTURING THE SAME | 1 |
Yuji Kawano | JP | Oita-Shi | 2015-04-30 / 20150114181 - METHOD FOR PRODUCING AQUEOUS SOLUTION OF PERRHENIC ACID FROM RHENIUM SULFIDE | 3 |
Shuichi Kawano | JP | Anpachi-Gun | 2015-01-15 / 20150017343 - DIE FOR EXTRUSION MOLDING, METHOD OF PRODUCING DIE FOR EXTRUSION MOLDING, EXTRUDER, AND METHOD OF PRODUCING HONEYCOMB STRUCTURED BODY | 3 |
Norio Kawano | JP | Yokohama | 2008-09-18 / 20080224376 - CLAMP DEVICE | 1 |
Yumiko Kawano | JP | Yamanashi-Ken | 2009-06-04 / 20090140353 - Method of Film Deposition and Film Deposition System | 1 |
Eisaku Kawano | JP | Tokorozawa-Shi | 2009-10-29 / 20090268594 - INFORMATION RECORDING MEDIUM, INFORMATION RECORDING DEVICE AND METHOD, AND COMPUTER PROGRAM | 1 |
Tomoki Kawano | JP | Fukuoka | 2015-06-04 / 20150151430 - ROBOT SYSTEM AND ARTICLE TRANSFER METHOD | 7 |
Naoya Kawano | JP | Chiba | 2013-01-31 / 20130030212 - COMPOUND HAVING ALICYCLIC STRUCTURE, (METH)ACRYLIC ACID ESTER, AND PROCESS FOR PRODUCTION OF THE (METH)ACRYLIC ACID ESTER | 4 |
Eisaku Kawano | JP | Saitama | 2009-12-24 / 20090317058 - INFORMATION RECORDING MEDIUM, INFORMATION RECORDING DEVICE AND METHOD, INFORMATION REPRODUCING DEVICE AND METHOD, AND COMPUTER PROGRAM | 9 |
Masayuki Kawano | JP | Kumamoto | 2008-09-11 / 20080218954 - DISPLAY DEVICE | 1 |
Kenji Kawano | JP | Tokyo | 2010-03-25 / 20100077204 - INFORMATION PROCESSING APPARATUS, MANAGEMENT APPARATUS, COMMUNICATION SYSTEM AND COMPUTER READABLE MEDIUM | 1 |
Shunji Kawano | JP | Kanagawa-Ken | 2009-05-21 / 20090126574 - MOISTURE SEPARATION HEATER | 1 |
Masaki Kawano | JP | Hiroshima-Shi | 2010-02-11 / 20100034660 - Part for rotary machine, and manufacturing method therefor | 2 |
Yuji Kawano | JP | Chiyoda-Ku | 2014-12-04 / 20140354270 - MAGNETIC POSITION DETECTION DEVICE | 9 |
Kenji Kawano | JP | Owariasahi-Shi | 2012-12-27 / 20120326484 - VEHICLE SEAT | 1 |
Masaki Kawano | JP | Chiba-Ken | 2011-04-28 / 20110098414 - Polymer Complex | 2 |
Masaya Kawano | JP | Kawasaki-Shi | 2009-11-19 / 20090283895 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kenji Kawano | JP | Atsugi-Shi | 2010-12-09 / 20100310206 - OPTICAL MODULATOR | 4 |
Kenji Kawano | JP | Kanagawa | 2016-01-28 / 20160028705 - COMMUNICATION SYSTEM AND ROUTER | 4 |
Taketo Kawano | JP | Kanagawa | 2012-07-12 / 20120177321 - LIGHT-RECEIVING DEVICE | 1 |
Hironobu Kawano | JP | Tokyo | 2009-03-12 / 20090066073 - SNOWBOARD | 1 |
Yumiko Kawano | JP | Yamanashi | 2013-07-18 / 20130183446 - METHOD FOR FORMING Ge-Sb-Te FILM AND STORAGE MEDIUM | 13 |
Ryusuke Kawano | JP | Obu-City | 2011-01-13 / 20110006917 - Parking aid system | 1 |
Takashi Kawano | JP | Kawasaki | 2012-02-09 / 20120031531 - HOT DIP GALVANIZED STEEL SHEET AND METHOD FOR MANUFACTURING SAME | 1 |
Hirokazu Kawano | JP | Tokyo | 2015-10-22 / 20150302065 - RELATED INFORMATION PRESENTATION DEVICE, AND RELATED INFORMATION PRESENTATION METHOD | 2 |
Hajime Kawano | JP | Tokyo | 2016-03-03 / 20160064182 - CHARGED PARTICLE BEAM APPARATUS AND IMAGE GENERATION METHOD | 12 |
Yoshihiko Kawano | JP | Miyazaki-City | 2012-07-05 / 20120172196 - PHOTOCATALYTIC MULTILAYER METAL COMPOUND THIN FILM AND METHOD FOR PRODUCING SAME | 1 |
Masahiro Kawano | JP | Tokyo | 2014-02-06 / 20140037313 - IMAGE FORMING APPARATUS | 10 |
Masahiro Kawano | JP | Kyoto-Shi | 2014-11-20 / 20140341348 - RADIOGRAPHIC APPARATUS | 3 |
Ryusuke Kawano | JP | Atsugi-Shi | 2010-04-29 / 20100105323 - Electric-Field Communication Device | 1 |
Noriyuki Kawano | JP | Tokyo | 2014-10-16 / 20140307341 - LENS HOLDING DEVICE | 9 |
Hiromichi Kawano | JP | Tokyo | 2009-08-20 / 20090208313 - TRANSPORT SYSTEM AND TRANSPORT METHOD | 2 |
Tomoaki Kawano | JP | Tokyo | 2012-02-23 / 20120046292 - DIACYLETHYLENEDIAMINE COMPOUND | 3 |
Masahiro Kawano | JP | Tokyo | 2014-02-06 / 20140037313 - IMAGE FORMING APPARATUS | 10 |
Seiji Kawano | JP | Hyogo | 2012-03-22 / 20120071541 - Micro-RNA Associated With Rheumatoid Arthritis | 2 |
Wakana Kawano | JP | Makinohara-Shi | 2012-10-11 / 20120255849 - SLIDE SWITCH | 1 |
Shinichi Kawano | JP | Osaka | 2012-10-25 / 20120268780 - INFORMATION PROCESSING APPARATUS AND IMAGE OUTPUT SYSTEM | 5 |
Shinichi Kawano | JP | Tokyo | 2016-04-21 / 20160110339 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 10 |
Katsunori Kawano | JP | Minamiashigara-Shi | 2009-05-14 / 20090121390 - METHOD FOR PRODUCING OPTICAL RECORDING MEDIUM | 3 |
Harumi Kawano | JP | Miyazaki | 2010-03-04 / 20100053827 - PROTECTION CIRCUIT | 1 |
Shinichi Kawano | JP | Nara | 2009-05-14 / 20090122342 - Information processing system, program, recording medium, and history information displaying method | 1 |
Taketo Kawano | JP | Yokohama-Shi | 2013-10-03 / 20130259439 - OPTICAL DEVICE | 1 |
Shinichi Kawano | JP | Kanagawa | 2008-10-23 / 20080263539 - SOFTWARE UPDATING SYSTEM, INFORMATION PROCESSING APPARATUS AND METHOD, RECORDING MEDIUM AND PROGRAM | 1 |
Tetsuo Kawano | JP | Kawasaki | 2009-06-25 / 20090164773 - DYNAMIC RECONFIGURATION SUPPORTING METHOD, DYNAMIC RECONFIGURATION SUPPORTING APPARATUS, AND DYNAMIC RECONFIGURATION SYSTEM | 1 |
Yoshikazu Kawano | JP | Hitachinaka-Shi | 2012-02-02 / 20120024552 - Inverter Device and Electrical Power Tool | 2 |
Daichi Kawano | JP | Osaka-Shi | 2013-05-30 / 20130135420 - OPTICAL SCANNING DEVICE, IMAGE FORMING APPARATUS WITH OPTICAL SCANNING DEVICE AND ABNORMALITY DETECTION METHOD FOR OPTICAL SCANNING DEVICE | 1 |
Yoichi Kawano | JP | Fukuoka Pref. | 2009-06-18 / 20090151839 - Rubber Composition For Adhering Steel Cord | 1 |
Taku Kawano | JP | Nagano-Ken | 2009-04-09 / 20090090749 - Method for preventing leaking of molten metal in injection molding of metal material | 1 |
Masaharu Kawano | JP | Osaka | 2016-04-28 / 20160117535 - NON-CONTACT COMMUNICATION METHOD DETERMINATION CIRCUIT, NON-CONTACT COMMUNICATION CIRCUIT, AND IC CARD | 1 |
Kimihiro Kawano | JP | Matsumoto-Shi | 2010-10-14 / 20100262937 - APPLICATION PROGRAM | 1 |
Yoichi Kawano | JP | Kawasaki-Shi | 2009-01-08 / 20090009384 - Radar Device and Processing Method of the Same | 1 |
Tetsuo Kawano | JP | Kanagawa | 2013-08-29 / 20130224895 - CBD (CHEMICAL BATH DEPOSITION) FILM FORMATION APPARATUS AND METHOD FOR PRODUCING BUFFER LAYER | 7 |
Tetsuo Kawano | JP | Kanagawa-Ken | 2013-10-17 / 20130269779 - PHOTOELECTRIC CONVERSION DEVICE AND SOLAR CELL HAVING THE SAME | 3 |
Yasushi Kawano | JP | Anjo-City | 2014-03-06 / 20140060484 - ELECTRIC ACTUATOR | 4 |
Yasuo Kawano | JP | Fukuoka | 2011-05-05 / 20110101041 - SLIDING NOZZLE DEVICE | 1 |
Hiroyuki Kawano | JP | Hyogo | 2014-12-18 / 20140367486 - LIQUID CONTAINER, ULTRASONIC ATOMIZATION DEVICE, AND ABSORPTION BODY | 1 |
Masatoshi Kawano | JP | Tottori | 2009-10-29 / 20090269525 - Weight for vibration motor | 1 |
Tatsuo Kawano | JP | Hitachinaka | 2015-06-11 / 20150159611 - High-Pressure Fuel Supply Pump Having Electromagnetically-Driven Intake Valve | 7 |
Katsunori Kawano | JP | Ashigarakami-Gun | 2011-03-03 / 20110051204 - IMAGE-READING APPARATUS | 2 |
Shinichi Kawano | JP | Tochigi | 2015-03-19 / 20150074985 - INSERTION METHOD AND INSERTION APPARATUS | 3 |
Takashi Kawano | JP | Kawagoe-Shi | 2014-08-28 / 20140238330 - AIR INTAKE SYSTEM FOR INTERNAL COMBUSTION ENGINE | 3 |
Yasuhiro Kawano | JP | Shiga | 2011-02-03 / 20110028697 - PROPHYLACTIC/THERAPEUTIC AGENT FOR INFECTIOUS DISEASE | 1 |
Akihiko Kawano | JP | Kashiwara-Shi | 2012-09-13 / 20120230850 - ELECTRIC PUMP UNIT | 2 |
Katsumi Kawano | JP | Kawasaki | 2014-02-06 / 20140039714 - SYSTEM CONTROL APPARATUS FOR EQUIPMENT | 1 |
Tetsuo Kawano | JP | Ashigarakami-Gun | 2014-07-03 / 20140186988 - CHEMICAL BATH DEPOSITION APPARATUS, METHOD OF FORMING BUFFER LAYER AND METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE | 13 |
Takashi Kawano | JP | Kanagawa | 2010-03-25 / 20100074971 - DOUBLE PREPARATION TYPE ANTIOXIDANT COMPOSITION AND ANTIOXIDATION PRODUCT COMPRISING THE SAME | 1 |
Takashi Kawano | JP | Chiba-Shi | 2015-11-05 / 20150314372 - IRON-BASED POWDER FOR POWDER METALLURGY | 4 |
Shin-Ichi Kawano | JP | Atsugi-Shi | 2011-10-27 / 20110259818 - FILTER MEDIA FOR LIQUID PURIFICATION TO REMOVE TRACE METALS | 1 |
Takashi Kawano | JP | Tokaimura | 2013-10-03 / 20130257949 - Ink-Jet Recording Apparatus and Printing Control Method | 1 |
Tetsuo Kawano | JP | Kitakyushu-Shi | 2010-01-21 / 20100014989 - PUMP JACK PUMP-OFF CONTROL METHOD AND PUMP JACK CONTROL APPARATUS | 1 |
Hajime Kawano | JP | Hitachinaka | 2014-03-20 / 20140077079 - ELECTRON BEAM IRRADIATION APPARATUS | 5 |
Yumi Kawanobe | JP | Yokohama-Shi | 2013-06-13 / 20130150603 - METHOD FOR PRODUCING REGENERATED CLAY, REGENERATED CLAY, AND METHOD FOR PRODUCING PURIFIED FATS AND OILS | 1 |
Shoko Kawanobe | JP | Tokyo | 2014-09-18 / 20140268856 - VEHICLE HEADLIGHT | 2 |
Hiroshi Kawanobe | JP | Kanagawa | 2016-03-24 / 20160088245 - SOLID-STATE IMAGING DEVICE, ELECTRONIC APPARATUS, LENS CONTROL METHOD, AND IMAGING MODULE | 1 |
Junichi Kawanobe | JP | Chiba-Ken | 2009-04-16 / 20090099275 - Photosensitive Resin Composition, and Photosensitive Film and Stencil for Screen Printing Using the Photosensitive Composition | 1 |
Kou Kawanobe | JP | Saitama | 2015-08-13 / 20150229551 - MANAGEMENT METHOD, MANAGEMENT DEVICE, AND MANAGEMENT SYSTEM | 3 |
Jun Kawanobe | JP | Miyagi | 2015-06-25 / 20150179466 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Yoshio Kawanobe | JP | Utsunomiya-Shi | 2009-03-19 / 20090075210 - EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE | 3 |
Naoya Kawanobe | JP | Kyoto-Shi | 2010-02-18 / 20100041474 - Game apparatus and storage medium storing game program | 1 |
Tadashi Kawanobe | JP | Hitachi | 2009-06-04 / 20090141498 - LEAD FRAME, METHOD OF MAKING THE SAME AND LIGHT RECEIVING/EMITTING DEVICE | 1 |
Yukihiko Kawanori | JP | Tokyo | 2016-05-05 / 20160126798 - MOTOR AND AIR-CONDITIONING APPARATUS | 3 |
Sei Kawao | JP | Kanagawa | 2015-11-19 / 20150332715 - TERMINAL PAD OF A FLEXURE FOR A HEAD SUSPENSION HAVING A PADDING PLATING AND METHOD OF FORMING THE TERMINAL PAD | 2 |
Mitsushi Kawao | JP | Hadano-Shi | 2009-02-19 / 20090045531 - Liquid substance supply device for vaporizing system, vaporizer, vaporization performance appraisal method | 2 |
Sei Kawao | JP | Aikoh-Gun | 2013-11-07 / 20130291376 - METHOD OF REMOVING SLIDER FROM FLEXURE WITHOUT LARGE DISPLACEMENT OF THE SLIDER | 3 |
Sei Kawao | JP | Aiko-Gun | 2016-03-10 / 20160071533 - INTERLEAVE CIRCUIT OF CONDUCTIVE CIRCUIT PORTION OF DISK DRIVE FLEXURE | 2 |
Hiroyasu Kawaoka | JP | Tokyo | 2013-08-22 / 20130215560 - SHOCK BUFFERING STRUCTURE OF ELECTRONIC DEVICE, AND ELECTRONIC DEVICE | 2 |
Akiyoshi Kawaoka | JP | Tokyo | 2014-12-18 / 20140366440 - METHOD FOR PRODUCING CLONE SEEDLINGS | 2 |
Yoshihiro Kawaoka | US | Madison | 2010-10-21 / 20100267116 - Filovirus vectors and noninfectious filovirus-based particles | 1 |
Hirokazu Kawaoka | JP | Nisshin-Shi | 2012-11-15 / 20120286793 - VEHICLE SYSTEM AND METHOD FOR DETECTING HYDROGEN SULFIDE | 4 |
Yasunori Kawaoka | JP | Aizuwakamatsu | 2014-06-19 / 20140170814 - BALL GRID ARRAY SEMICONDUCTOR DEVICE AND ITS MANUFACTURE | 2 |
Ryo Kawaoka | JP | Osaka-Shi | 2014-08-28 / 20140241740 - ABNORMALITY DETECTION METHOD AND ABNORMALITY DETECTION DEVICE FOR IMAGE FORMING APPARATUS, AND IMAGE FORMING APPARATUS | 1 |
Yoshihiro Kawaoka | US | Middleton | 2016-05-19 / 20160137987 - Recombinant Influenza Viruses for Vaccines and Gene Therapy | 27 |
Ryo Kawaoka | JP | Osaka | 2014-03-06 / 20140063525 - DISPLAY INPUT DEVICE, AND IMAGE FORMING APPARATUS INCLUDING DISPLAY PORTION | 1 |
Hirokazu Kawaoka | JP | Aichi | 2011-12-29 / 20110318500 - POWDER COATING APPARATUS AND POWDER COATING METHOD (AS AMENDED) | 2 |
Hirokazu Kawaoka | JP | Aichi-Ken | 2012-01-19 / 20120015220 - BATTERY AND BATTERY SYSTEM | 1 |
Shuhei Kawaoka | JP | Hiratsuka-Shi | 2014-05-01 / 20140116247 - REGENERATION CONTROL DEVICE AND REGENERATION CONTROL METHOD OF DIESEL PARTICULATE FILTER | 1 |
Akiyoshi Kawaoka | JP | Kita-Ku | 2012-09-27 / 20120240462 - METHOD FOR PRODUCING CLONE SEEDLINGS | 1 |
Aya Kawaoka | JP | Kiyosu-Shi | 2015-07-23 / 20150204494 - Light-Emitting Device and Method of Manufacturing the Same | 1 |
Shigeo Kawaoka | JP | Tokyo | 2014-11-20 / 20140340530 - INFORMATION PROCESSING APPARATUS AND CONTROL METHOD THEREOF | 3 |
Yoshihiro Kawaoka | JP | Tokyo | 2015-08-27 / 20150242752 - APPROVAL PREDICTION APPARATUS, APPROVAL PREDICTION METHOD, AND COMPUTER PROGRAM PRODUCT | 3 |
Yukinobu Kawaoka | JP | Higashihiroshima-Shi | 2011-01-13 / 20110005470 - HEATING APPARATUS | 1 |
Yoshiki Kawaoka | JP | Tokyo | 2012-04-26 / 20120098829 - THREE-DIMENSIONAL IMAGE DISPLAY DEVICE AND THREE-DIMENSIONAL IMAGE DISPLAY METHOD AND PROGRAM | 1 |
Hirokazu Kawaoka | JP | Nissin-Shi | 2012-12-27 / 20120328921 - CURRENT COLLECTOR AND METHOD FOR PRODUCING THE SAME, BATTERY AND METHOD FOR PRODUCING THE SAME | 1 |
Hiraku Kawaoto | JP | Tokyo | 2009-08-13 / 20090199653 - MULTI-PHASE FLOWMETER | 1 |
Hiraku Kawaoto | JP | Kanagawa | 2012-10-11 / 20120255367 - POSITIVE DISPLACEMENT GAS-LIQUID TWO-PHASE FLOWMETER AND MULTI PHASE FLOW RATE MEASUREMENT SYSTEM | 1 |
Kristen Elserougi Kawar | US | 2011-12-29 / 20110320998 - LIGHT-EMITTING DIODE SYSTEM DESIGNER | 1 | |
Ziad Kawar | US | Oklahoma City | 2014-03-13 / 20140072551 - Anti-P-Selectin Antibodies and Methods of Using The Same to Treat Inflammatory Diseases | 2 |
Gaith Kawar | US | Redwood City | 2015-12-03 / 20150348124 - Interactive Tool for Exploring Target Group | 2 |
Ziad S. Kawar | US | Oklahoma City | 2011-12-01 / 20110293617 - ANTI-P-SELECTIN ANTIBODIES AND METHODS OF THEIR USE AND IDENTIFICATION | 3 |
Toshiyuki Kawara | JP | Osaka | 2011-11-17 / 20110279642 - OPTICAL DISK FOR HIGH RESOLUTION AND THREE-DIMENSIONAL VIDEO RECORDING, OPTICAL DISK REPRODUCTION APPARATUS AND OPTICAL DISK RECORDING APPARATUS | 15 |
Shinshi Kawara | JP | Kawagoe-Shi | 2015-05-07 / 20150125985 - ETCHING FLUID AND PRODUCTION METHOD FOR SILICON-BASED SUBSTRATE USING SAME | 1 |
Hideyo Kawara | JP | Kahoku-Shi | 2014-09-18 / 20140270574 - IMAGE DATA PROCESSING APPARATUS, IMAGE DATA PROCESSING METHOD, AND COMPUTER-READABLE, NON-TRANSITORY MEDIUM | 1 |
Takeshi Kawara | JP | Okazaki-Shi | 2015-11-19 / 20150329139 - ELECTRIC POWER STEERING APPARATUS | 2 |
Satoshi Kawara | JP | Tokyo | 2014-11-20 / 20140344669 - DOCUMENT CONVERSION APPARATUS | 7 |
Satoshi Kawara | JP | Kawasaki-Shi | 2009-05-28 / 20090135448 - INFORMATION PROCESSING APPARATUS, PRINTING APPARATUS, CONTROL METHOD THEREFOR, INFORMATION PROCESSING SYSTEM, AND PROGRAM | 2 |
Toshiyuki Kawara | JP | Osaka | 2011-11-17 / 20110279642 - OPTICAL DISK FOR HIGH RESOLUTION AND THREE-DIMENSIONAL VIDEO RECORDING, OPTICAL DISK REPRODUCTION APPARATUS AND OPTICAL DISK RECORDING APPARATUS | 15 |
Hiroyuki Kawara | JP | Aichi | 2009-12-03 / 20090298628 - Hydraulic Auto-Tensioner | 1 |
Naoki Kawara | JP | Nagaokakyo-Shi | 2014-04-03 / 20140092526 - DIELECTRIC CERAMIC AND SINGLE-PLATE CAPACITOR | 1 |
Shingo Kawara | JP | Nagoya-Shi | 2014-09-25 / 20140286598 - SLIDING MEMBER AND MANUFACTURING METHOD THEREOF | 1 |
Kouichi Kawarabata | JP | Fukuoka | 2016-02-04 / 20160036715 - WIRELESS COMMUNICATION DEVICE, WIRELESS COMMUNICATION SYSTEM, AND COMMUNICATION CONTROL METHOD | 1 |
Satoshi Kawarabata | JP | Tokyo | 2016-01-28 / 20160023319 - LENS PROCESSING APPARATUS AND METHOD FOR LENS PROCESSING | 1 |
Shigeki Kawarabata | JP | Hiroshima | 2010-05-06 / 20100114004 - HEAT EXCHANGER FOR MEDICAL USE AND ARTIFICIAL HEART-LUNG MACHINE | 4 |
Shigeki Kawarabata | JP | Saeki-Gun | 2010-07-01 / 20100163163 - BLOOD FILTER DEVICE AND METHOD OF PRODUCING THE SAME | 1 |
Takeshi Kawarabayashi | JP | Aomori | 2011-10-06 / 20110243975 - TRANSFORMED SOYBEAN PLANT WHICH ACCUMULATES VACCINE, AND USE THEREOF | 1 |
Minoru Kawarabayashi | JP | Kawasaki | 2015-05-07 / 20150127931 - INFORMATION PROCESSING APPARATUS, BOOT UP METHOD, AND COMPUTER-READABLE STORAGE MEDIUM STORING BOOT UP PROGRAM | 1 |
Tomohiro Kawarabayashi | JP | Nagano | 2011-06-02 / 20110128025 - ELECTRICAL CONTACT MEMBER AND CONTACT PROBE | 3 |
Shigeyuki Kawarabayashi | JP | Kyoto | 2009-04-09 / 20090091756 - CORRELATOR | 1 |
Ken-Ichi Kawarabayashi | JP | Tokyo | 2015-10-01 / 20150278408 - INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 1 |
Minoru Kawarabayashi | JP | Anjo-Shi | 2010-11-18 / 20100291835 - FOAMED RESIN PRODUCT HAVING SHAPE-FORMABLE PROPERTIES, METHOD OF USING THE SAME AND CUSHION MATERIAL TO BE WORN BY HUMAN BODY | 1 |
Yutaka Kawarabayashi | JP | Kisarazu-Shi | 2013-11-28 / 20130316401 - Method for Modifying a Property of a Protein | 1 |
Kazuo Kawarabayashi | JP | Kyoto-Shi | 2014-07-24 / 20140203812 - ENERGY STORAGE UNIT CONNECTION INFORMATION ACQUIRING APPARATUS | 1 |
Mitsuyoshi Kawarabayashi | JP | Osaka | 2010-11-04 / 20100275882 - Fuel Supply Device For Engine | 3 |
Masahiro Kawarada | JP | Tokyo | 2016-03-10 / 20160071286 - IMAGE PROCESSING APPARATUS, IMAGING APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 12 |
Masaya Kawarada | JP | Kanagawa | 2016-03-17 / 20160080611 - IMAGING DEVICE, MEDIUM TYPE DETERMINING DEVICE, AND IMAGE FORMING APPARATUS | 5 |
Katsuyuki Kawarada | JP | Miyagi-Ken | 2011-04-28 / 20110098958 - AZIMUTH COMPUTING DEVICE, AZIMUTH COMPUTING METHOD, AZIMUTH COMPUTING PROGRAM, AND ELECTRONIC DEVICE | 3 |
Akira Kawarada | JP | Fuchu | 2015-11-19 / 20150333495 - PROTECTIVE RELAY SYSTEM AND PROTECTIVE RELAY DEVICE | 1 |
Hiroshi Kawarada | JP | Tokyo | 2014-01-30 / 20140031253 - Protein Detection Using Three-Dimensional Carbon Microarrays | 4 |
Hiroshi Kawarada | JP | Shinjuku-Ku | 2015-02-26 / 20150054000 - METHOD FOR TREATING SURFACE OF DIAMOND THIN FILM, METHOD FOR FORMING TRANSISTOR, AND SENSOR DEVICE | 1 |
Masahiro Kawarada | JP | Tokyo | 2016-03-10 / 20160071286 - IMAGE PROCESSING APPARATUS, IMAGING APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM | 12 |
Katsuyuki Kawarada | JP | Niigata-Ken | 2009-12-03 / 20090293295 - AZIMUTH CALCULATION PROGRAM AND ELECTRONIC COMPASS | 1 |
Masahiro Kawarada | JP | Ohta-Ku | 2013-02-14 / 20130039583 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD, AND COMPUTER PROGRAM FOR CAUSING COMPUTER TO EXECUTE CONTROL METHOD OF IMAGE PROCESSING APPARATUS | 1 |
Akira Kawarada | JP | Fuchu-Shi | 2014-02-20 / 20140050232 - SYNCHRONIZATION CONTROL SYSTEM | 1 |
Michimasa Kawaragi | JP | Shizuoka | 2012-02-02 / 20120024986 - Hand-Held Type Harmful Insect Exterminator | 1 |
Atsushi Kawarago | JP | Suntoh-Gun | 2010-01-07 / 20100001460 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS | 1 |
Atsushi Kawarago | JP | Suntou-Gun | 2014-12-25 / 20140376972 - SHUTTER MECHANISM, DEVELOPER ACCOMMODATING CONTAINER, PROCESS CARTRIDGE AND IMAGE FORMING APPARATUS | 7 |
Hisakatsu Kawarai | JP | Chiyoda-Ku | 2010-09-02 / 20100219164 - FLUID-QUALITY CONTROL METHOD, FLUID-QUALITY CONTROL APPARATUS, AND ELECTRIC-DISCHARGE MACHINING APPARATUS EMPLOYING THE SAME | 1 |
Maiko Kawarai | JP | Tokyo | 2012-06-07 / 20120137936 - TABLE AND TABLE SYSTEM | 4 |
Hiroyuki Kawarai | JP | Odawara-Shi | 2010-03-25 / 20100071651 - Synthetic resin tube structure | 1 |
Hiromi Kawarai | JP | Saitama-Shi | 2009-08-20 / 20090208156 - BEARING STRUCTURE | 2 |
Shinpei Kawarai | JP | Sagamihara-Shi | 2014-05-22 / 20140141432 - METHOD AND KIT FOR DIAGNOSING GLAUCOMA IN DOGS | 1 |
Mitsugu Kawarai | JP | Tokyo | 2015-02-26 / 20150055311 - ELECTRONIC COMPONENT WITH COIL, METHOD FOR MANUFACTURING THE SAME AND POWER SUPPLY MODULE | 8 |
Masashige Kawarai | JP | Kawasaki | 2012-10-04 / 20120250739 - TRANSMISSION DEVICE AND TRANSMISSION METHOD | 2 |
Mitsugu Kawarai | JP | Natori City | 2016-03-17 / 20160075058 - MANUFACTURING METHOD OF COIL COMPONENT AND COIL COMPONENT | 1 |
Mitsugu Kawarai | JP | Tokyo | 2015-02-26 / 20150055311 - ELECTRONIC COMPONENT WITH COIL, METHOD FOR MANUFACTURING THE SAME AND POWER SUPPLY MODULE | 8 |
Seigi Kawarai | JP | Ashiya-Shi | 2013-10-10 / 20130267627 - PRIMER FOR INK-JET RECORDING | 1 |
Mitsugu Kawarai | JP | Chuo-Ku | 2011-09-29 / 20110234354 - VARIABLE INDUCTOR | 2 |
Kazuaki Kawarai | JP | Utsunomiya-Shi | 2012-03-22 / 20120067039 - INDUSTRIAL MACHINE | 2 |
Kenichi Kawarai | JP | Kanagawa | 2011-03-17 / 20110064088 - COMMUNICATION APPARATUS AND COMMUNICATION CONTROLLING METHOD IN RING NETWORK | 1 |
Masako Kawarai | JP | Hitachinaka | 2013-06-13 / 20130145828 - COLUMN PACKING FOR LIQUID CHROMATOGRAPHY, SEPARATION COLUMN, AND LIQUID CHROMATOGRAPHY DEVICE | 2 |
Masashige Kawarai | JP | Saitama | 2013-12-12 / 20130330075 - TRANSMISSION APPARATUS AND TRANSMISSION METHOD | 1 |
Hisakatsu Kawarai | JP | Tokyo | 2012-10-11 / 20120255934 - LIQUID-QUALITY ADJUSTING APPARATUS, LIQUID-QUALITY ADJUSTING METHOD, AND WIRE ELECTRIC DISCHARGE MACHINING APPARATUS | 5 |
Yasuharu Kawarasaki | JP | Hyogo | 2015-12-03 / 20150346662 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Masataka Kawarasaki | JP | Tsukuba-Shi | 2009-11-05 / 20090275500 - PEPTIDE-CONTAINING FOOD INTAKE REGULATOR | 1 |
Masahide Kawarasaki | JP | Tokyo | 2016-03-17 / 20160080148 - METHOD FOR MAINTENANCE OR EXCHANGE OF ENCRYPTION FUNCTION IN STORAGE SYSTEM AND STORAGE DEVICE | 1 |
Masataka Kawarasaki | JP | Ibaraki | / - | 1 |
Yoshihiro Kawarasaki | JP | Kanagawa-Ken | 2009-08-06 / 20090194955 - VEHICLE WITH TWO PARALLEL WHEELS | 1 |
Yasuharu Kawarasaki | JP | Osaka | 2014-06-05 / 20140153983 - FIXING DEVICE AND IMAGE FORMING APPARATUS | 6 |
Masahide Kawarasaki | JP | Odawara | 2012-10-11 / 20120259944 - STORAGE APPARATUS AND ITS DATA PROCESSING METHOD | 1 |
Keiko Kawarasaki | JP | Shizuoka-Ken | 2010-07-01 / 20100163409 - POWER SUPPLY CONNECTION STRUCTURE AND ELECTROLYTIC PROCESSING DEVICE | 1 |
Ryoichi Kawarata | JP | Kanagawa | 2010-06-10 / 20100143854 - REACTOR EMPLOYING HIGH-TEMPERATURE AIR COMBUSTION TECHNOLOGY | 1 |
Yoriko Kawaratani | JP | Tokyo-To | 2014-07-10 / 20140194534 - REFRESHING CREAM FOUNDATION IN GEL FORM | 4 |
Yoriko Kawaratani | JP | Chuou-Ku | 2012-11-22 / 20120294817 - COSMETIC COMPOSITIONS HAVING LONG LASTING SHINE | 5 |
Yoriko Kawaratani | US | Carteret | 2012-04-19 / 20120095113 - REFRESHING CREAM FOUNDATION IN GEL FORM | 2 |
Yoriko Kawaratani | US | Cataret | 2011-01-27 / 20110021683 - COMPOSITION COMPRISING A POLYOL AND A OIL-SOLUBLE POLAR MODIFIED POLYMER | 1 |
Yoriko Kawaratani | US | Cranford | 2011-06-02 / 20110129431 - COMPOSITION CONTAINING A POLYORGANOSILOXANE POLYMER, A THICKENING AGENT AND AT LEAST ONE VOLATILE ALCOHOL | 1 |
Hideji Kawarazaki | JP | Hideji | 2010-04-29 / 20100102723 - PLASMA DISPLAY PANEL | 1 |
Kiyoshi Kawarazaki | JP | Aichi | 2010-03-11 / 20100063789 - COMPUTER-READABLE RECORDING MEDIUM WHICH STORES FABRIC MODEL GENERATION PROGRAM, FABRIC MODEL GENERATION APPARATUS AND FABRIC MODEL GENERATION METHOD | 5 |
Yoshiharu Kawarazaki | JP | Aichi | 2010-04-29 / 20100102880 - LOAD DRIVE DEVICE | 1 |
Hideji Kawarazaki | JP | Osaka | 2013-01-17 / 20130017751 - METHOD FOR PRODUCING PLASMA DISPLAY PANELAANM Gotou; MasashiAACI OsakaAACO JPAAGP Gotou; Masashi Osaka JPAANM Tsujita; TakujiAACI OsakaAACO JPAAGP Tsujita; Takuji Osaka JPAANM Kawarazaki; HidejiAACI OsakaAACO JPAAGP Kawarazaki; Hideji Osaka JPAANM Horikawa; KeijiAACI OsakaAACO JPAAGP Horikawa; Keiji Osaka JPAANM Koshio; ChiharuAACI OsakaAACO JPAAGP Koshio; Chiharu Osaka JPAANM Okumura; KanakoAACI OsakaAACO JPAAGP Okumura; Kanako Osaka JPAANM Miura; MasanoriAACI OsakaAACO JPAAGP Miura; Masanori Osaka JP | 20 |
Hiroshi Kawarazuka | JP | Saitama-Shi | 2008-11-06 / 20080273047 - VISUALIZING AGENT QUANTITY DISPLAY SYSTEM, IMAGE FORMING APPARATUS, IMAGE FORMING SYSTEM AND COMPUTER READABLE MEDIUM | 1 |
Hiroshi Kawarazuka | JP | Kanagawa | 2013-10-24 / 20130279942 - IMAGE FORMING APPARATUS | 1 |
Shunsuke Kawarazuka | JP | Tokyo | 2011-06-30 / 20110159958 - GAME DEVICE, METHOD FOR CONTROLLING GAME, GAME CONTROL PROGRAM AND COMPUTER READABLE RECORDING MEDIUM STORING PROGRAM | 1 |
Percy C. Kawas | US | Los Altos | 2011-06-16 / 20110140450 - Method and Apparatus for Wind Energy System | 1 |
Leen Kawas | US | Seattle | 2015-11-26 / 20150337024 - NOVEL LEAD COMPOUND FOR OTOPROTECTION: TARGETING HGF SIGNALING WITH DIHEXA | 1 |
Gulnar Kawas | US | Los Altos | 2012-07-26 / 20120187684 - DEVICE, SYSTEM AND METHOD TO LOWER STARTING TORQUE FOR ELECTRICAL SYSTEM | 3 |
Michael Kawas | US | Freehold | 2010-05-06 / 20100112543 - PROCESSING SOFT TISSUE, METHODS AND COMPOSITIONS RELATED THERETO | 1 |
Ban Kawas | US | Irvington | 2015-05-28 / 20150149255 - ROBUST PRICING SOLUTION FOR PRODUCTS AND SERVICES | 3 |
Percy Kawas | US | Los Altos | 2012-07-26 / 20120187684 - DEVICE, SYSTEM AND METHOD TO LOWER STARTING TORQUE FOR ELECTRICAL SYSTEM | 2 |
Leen H. Kawas | US | Pullman | 2014-04-03 / 20140094413 - HEPATOCYTE GROWTH FACTOR (HGF) MIMICS AS THERAPEUTIC AGENTS | 5 |
Ban Hashem Khalil Kawas | US | Yorktown Heights | 2014-05-29 / 20140149321 - ELECTRONIC REVENUE MANAGMENT FOR TRANSPORTATION NETWORKS | 2 |
Yasuki Kawasaka | JP | Hiroshima | 2009-09-03 / 20090219419 - Peripheral Light Amount Correction Apparatus, Peripheral Light Amount Correction Method, Electronic Information Device, Control Program and Readable Recording Medium | 1 |
Taishi Kawasaki | JP | Shiga-Ken | 2014-08-28 / 20140242372 - COATED FILM | 19 |
Shuji Kawasaki | JP | Tsukuba-Shi | 2011-06-23 / 20110153704 - Filter | 1 |
Shingo Kawasaki | JP | Kariya-Shi | 2015-10-15 / 20150291059 - CONTROL DEVICE FOR ELECTRIC ROTARY MACHINE | 2 |
Yoshihiro Kawasaki | JP | Yokosuka | 2016-01-28 / 20160029390 - RADIO COMMUNICATION APPARATUS, RADIO COMMUNICATION SYSTEM, AND RADIO COMMUNICATION METHOD | 19 |
Ko Kawasaki | JP | Kyoto | 2014-06-26 / 20140179864 - POWDERED POLYURETHANE UREA RESIN COMPOSITION FOR SLUSH MOLDING AND MANUFACTURING PROCESS THEREFOR | 1 |
Yoshiteru Kawasaki | JP | Osaka | 2011-05-26 / 20110122140 - DRAWING DEVICE AND DRAWING METHOD | 2 |
Takeshi Kawasaki | JP | Osaka | 2008-09-11 / 20080221775 - MULTI-CYLINDER ENGINE | 1 |
Toyotoshi Kawasaki | JP | Osaka | / - | 1 |
Akira Kawasaki | JP | Osaka | 2009-11-12 / 20090282350 - DISPLAY DEVICE, EXTERNAL CONNECTION DEVICE, AND SCREEN CONTROL METHOD | 1 |
Shozo Kawasaki | JP | Hitachinaka | 2016-05-19 / 20160141930 - Rotor for Electric Power Steering Motor, Electric Power Steering Motor with This, and Manufacturing Therefor | 10 |
Ken'Ichi Kawasaki | JP | Sakai | 2011-03-10 / 20110057063 - DUAL-BEARING REEL | 4 |
Masanori Kawasaki | JP | Osaka | 2013-01-24 / 20130022637 - RECOMBINANT BACULOVIRUS VACCINE | 2 |
Tomohiro Kawasaki | JP | Osaka | 2014-10-30 / 20140320878 - Image Forming with Save Mode for Reducing Color Material Cost | 9 |
Yukie Kawasaki | US | Logan | 2014-07-24 / 20140206533 - ORGANIC SYRINGOMYCIN METHODS AND COMPOSITIONS | 1 |
Nelson Yugi Kawasaki | BR | Campinas | 2012-10-18 / 20120261098 - HEAT EXCHANGER | 1 |
Shinichi Kawasaki | JP | Osaka | 2010-10-21 / 20100267553 - Tungsten-containing Mesoporous Silica Thin Film, Highly Hydrophilic Material Containing the Same, and Method for Producing Tungsten-Containing Mesoporous Silica Thin Film | 1 |
Yasuhiko Kawasaki | JP | Kanagawa | 2014-12-04 / 20140355216 - ELECTRONIC DEVICE | 2 |
Hiroaki Kawasaki | JP | Minato-Ku | 2014-08-07 / 20140220686 - METHOD FOR UNDIFFERENTIATED GROWTH OF MESENCHYMAL STEM CELL AND METHOD FOR CONCENTRATION OF MESENCHYMAL STEM CELL | 1 |
Sumitoshi Kawasaki | JP | Osaka | 2010-11-18 / 20100290331 - WIRING STRUCTURE AND OPTICAL DISK APPARATUS | 1 |
Tomio Kawasaki | JP | Osaka | 2010-12-30 / 20100331395 - DECOY-CONTAINING PHARMACEUTICAL COMPOSITIONS AND METHOD OF USING THE SAME | 1 |
Kenya Kawasaki | JP | Osaka | 2011-04-21 / 20110090409 - Projection Image Display Apparatus with Multi-Window Display Capability | 1 |
Kei Kawasaki | JP | Yokohama-Shi | 2014-05-22 / 20140139959 - EXCITATION INRUSH CURRENT SUPPRESSION DEVICE | 2 |
Hideki Kawasaki | JP | Ritto-Shi | 2013-03-21 / 20130068130 - WATER RESISTANT ALUMINUM PIGMENT DISPERSION, AQUEOUS INK COMPOSITION CONTAINING THE SAME, AND METHOD FOR MANUFACTURING WATER RESISTANT ALUMINUM PIGMENT DISPERSION | 3 |
Yoshiyasu Kawasaki | JP | Tokyo | 2016-01-07 / 20160002762 - HIGH-STRENGTH STEEL SHEET AND PRODUCTION METHOD THEREFOR AND HIGH-STRENGTH GALVANIZED STEEL SHEET AND PRODUCTION METHOD THEREFOR (AS AMENDED) | 10 |
Shigeru Kawasaki | JP | Yokohama-Shi | 2013-01-03 / 20130003141 - IMAGE READING APPARATUS | 1 |
Takeshi Kawasaki | JP | Yokohama-Shi | 2015-12-03 / 20150349070 - SEMICONDUCTOR DEVICE | 2 |
Yoshihiko Kawasaki | JP | Yokohama-Shi | / - | 1 |
Hideji Kawasaki | JP | Osaka | 2011-05-12 / 20110111809 - MOBILE TELEPHONE | 1 |
Yoshihiro Kawasaki | JP | Osaka | 2015-03-26 / 20150089516 - DISK-SHAPED INFORMATION RECORDING MEDIUM, DISK CARTRIDGE, AND INFORMATION RECORDING/REPRODUCING APPARATUS | 3 |
Osamu Kawasaki | JP | Kyoto-Shi | 2016-03-31 / 20160093448 - PHOTOCATALYST MATERIAL AND PHOTOCATALYST DEVICE | 3 |
Hitoshi Kawasaki | JP | Fukuoka-Ken | 2013-01-10 / 20130009292 - SEMICONDUCTOR DEVICE | 1 |
Hiroaki Kawasaki | JP | Chiba | 2016-04-28 / 20160114509 - INJECTION DEVICE IN MOLDING MACHINE | 4 |
Hirohisa Kawasaki | US | Ballston Spa | 2012-08-02 / 20120193751 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING | 4 |
Shozo Kawasaki | JP | Hitachinaka | 2016-05-19 / 20160141930 - Rotor for Electric Power Steering Motor, Electric Power Steering Motor with This, and Manufacturing Therefor | 10 |
Kana Kawasaki | JP | Kobe-Shi | 2015-07-30 / 20150210736 - METHOD OF RECOVERING PEPTIDE AND METHOD OF DETETING PEPTIDE | 2 |
Kaori Kawasaki | JP | Yokohama-Shi | 2013-01-24 / 20130020627 - SHIFT REGISTER MEMORY AND METHOD OF MANUFACTURING THE SAME | 2 |
Andrew Kawasaki | US | San Diego | 2014-07-31 / 20140212486 - ISOMETHEPTENE ISOMER | 1 |
Tetsu Kawasaki | JP | Tokyo | 2015-10-15 / 20150293484 - IMAGE FORMATION APPARATUS AND IMAGE FORMATION METHOD | 2 |
Takeshi Kawasaki | JP | Sakai-City | 2012-09-27 / 20120240879 - ENGINE | 1 |
Gaku Kawasaki | JP | Kanagawa | 2014-04-17 / 20140104446 - IMAGE-STABILIZATION APPARATUS AND CAMERA | 1 |
Mutsumi Kawasaki | JP | Tokyo | 2014-07-24 / 20140202481 - WIG BASE FOR A WIG, WHICH IS A TYPE OF COVERING WHOLE HEAD OF WEARER | 2 |
Takahiko Kawasaki | JP | Kanagawa | 2013-05-02 / 20130105836 - LIGHT EMITTING ELEMENT, METHOD OF MANUFACTURING THE SAME, AND LIGHT EMITTING DEVICE | 1 |
Shohei Kawasaki | JP | Tokyo | 2014-10-02 / 20140293475 - CPP-TYPE MAGNETORESISTIVE ELEMENT INCLUDING A REAR BIAS STRUCTURE AND LOWER SHIELDS WITH INCLINED MAGNETIZATIONS | 5 |
Yasutsugu Kawasaki | JP | Kanagawa | 2013-08-08 / 20130202767 - MALT FERMENTED BEVERAGE | 1 |
Yuichi Kawasaki | JP | Kanagawa | 2015-02-05 / 20150035782 - DISPLAY DEVICE WITH TOUCH PANEL, EVENT SWITCHING CONTROL METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 3 |
Atsuko Kawasaki | JP | Sagamihara-Shi | 2009-02-05 / 20090036629 - POLYSILAZANE PERHYDRIDE SOLUTION AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE USING THE SAME | 1 |
Dai Kawasaki | JP | Hitachi-Shi | 2010-10-14 / 20100260983 - PHOTOSENSITIVE RESIN COMPOSITION AND CIRCUIT FORMATION SUBSTRATE USING THE SAME | 1 |
Dai Kawasaki | JP | Ibaraki | 2012-12-27 / 20120328856 - PHOTOSENSITIVE RESIN COMPOSITION AND CIRCUIT FORMATION SUBSTRATE USING THE SAME | 4 |
Takashi Kawasaki | JP | Konanei-Shi | 2014-07-10 / 20140192424 - Imaging Lens, Imaging Apparatus and Mobile Terminal Device | 1 |
Hiroyuki Kawasaki | JP | Tokyo | 2016-02-25 / 20160052107 - POLISHING METHOD | 8 |
Nobuko Kawasaki | JP | Tokyo | 2014-09-04 / 20140247157 - BIOLOGICAL INFORMATION MONITORING SYSTEM | 2 |
Katsumi Kawasaki | JP | Tokyo | 2012-09-13 / 20120229354 - MAGNETIC MATERIAL FOR ANTENNAS, ANTENNA, AND WIRELESS COMMUNICATION DEVICE | 1 |
Haruhiko Kawasaki | JP | Atsugi-Shi | 2014-04-17 / 20140107880 - CONTROL SYSTEM FOR HYBRID CONSTRUCTION MACHINE | 10 |
Hiroaki Kawasaki | JP | Takasago-Shi | 2013-08-01 / 20130197190 - METHOD FOR PRODUCING SURFACTIN AND SALT THEREOF | 1 |
Tomohiro Kawasaki | JP | Kanagawa | 2016-05-05 / 20160124343 - IMAGE FORMING APPARATUS, IMAGE FORMING SYSTEM AND CONCENTRATION UNEVENNESS DETECTING METHOD | 4 |
Kuniyoshi Kawasaki | TH | Chonburi | 2009-05-07 / 20090118404 - Rubber Composition and Used Thereof | 1 |
Hiroaki Kawasaki | JP | Kobe-Shi | 2014-05-15 / 20140130590 - TIRE PRESSURE DECREASE DETECTION APPARATUS, METHOD, AND COMPUTER READABLE MEDIUM THEREFOR | 1 |
Hiromasa Kawasaki | JP | Tokyo | 2016-01-07 / 20160005203 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM | 6 |
Takuma Kawasaki | JP | Osaka | 2012-08-30 / 20120221491 - ELECTRIC POWER INTERCHANGE SYSTEM | 1 |
Yoshiyasu Kawasaki | JP | Chiba-Shi | 2014-12-11 / 20140360634 - HOT ROLLED STEEL SHEET FOR COLD ROLLED STEEL SHEET, HOT ROLLED STEEL SHEET FOR GALVANIZED STEEL SHEET, AND METHOD FOR PRODUCING THE SAME | 2 |
Seiji Kawasaki | JP | Hiroshima-Shi | 2015-12-10 / 20150352986 - VEHICLE SEAT | 7 |
Noriaki Kawasaki | JP | Sakai | 2012-08-30 / 20120217456 - METHOD FOR PRODUCING DISPERSION OF MICROPARTICLES OF INORGANIC OXIDE IN ORGANIC SOLVENT | 1 |
Toshifumi Kawasaki | JP | Toyota-Shi | 2016-04-28 / 20160114799 - VEHICLE TRAVEL CONTROL APPARATUS | 2 |
Yoshihiro Kawasaki | JP | Yokosuka | 2016-01-28 / 20160029390 - RADIO COMMUNICATION APPARATUS, RADIO COMMUNICATION SYSTEM, AND RADIO COMMUNICATION METHOD | 19 |
Kenta Kawasaki | JP | Aichi-Ken | 2009-07-02 / 20090165457 - Double redundancy electro hydrostatic actuator system | 2 |
Haruhiko Kawasaki | JP | Atsugi-Shi | 2014-04-17 / 20140107880 - CONTROL SYSTEM FOR HYBRID CONSTRUCTION MACHINE | 10 |
Nobuhide Kawasaki | JP | Saitama | 2010-02-25 / 20100048666 - NOVEL PHENYLACETIC ACID DERIVATIVE | 1 |
Cy Kawasaki | US | Renton | 2014-09-04 / 20140247063 - Earth Ground Tester With Remote Control | 1 |
Takashi Kawasaki | JP | Osaka-Shi | 2012-08-09 / 20120203370 - MANUFACTURING METHOD AND MANUFACTURING SYSTEM FOR PRODUCT | 1 |
Makoto Kawasaki | JP | Osaka | 2015-07-23 / 20150205508 - APPARATUS MANAGEMENT DEVICE | 2 |
Masanori Kawasaki | JP | Kanagawa | 2013-02-14 / 20130040951 - PROPHYLACTIC OR THERAPEUTIC AGENT FOR CANCER | 2 |
Yasuhiko Kawasaki | JP | Iwaki | 2012-08-02 / 20120198035 - INSTALL SYSTEM AND INSTALL METHOD | 1 |
Hirokazu Kawasaki | TW | Zhunan | 2012-08-02 / 20120196854 - PHARMACEUTICAL COMPOSITION COMPRISING AROMATIC HETEROCYCLIC COMPOUND | 1 |
Shuhei Kawasaki | JP | Susono-Shi | 2015-09-17 / 20150261131 - DEVELOPER CONTAINER, DEVELOPING DEVICE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 7 |
Hisanori Kawasaki | JP | Osaka-Shi | 2012-08-02 / 20120195043 - LIGHTING DEVICE | 1 |
Koji Kawasaki | JP | Anjo-City | 2012-12-06 / 20120309584 - POWER TRANSMISSION UNIT | 1 |
Taishi Kawasaki | JP | Shiga-Ken | 2014-08-28 / 20140242372 - COATED FILM | 19 |
Kenichi Kawasaki | JP | Tokyo | 2015-04-02 / 20150095593 - RECORDING APPARATUS | 21 |
Toshio Kawasaki | JP | Kawasaki | 2016-04-28 / 20160119172 - PEAK SUPPRESSION DEVICE | 29 |
Daisuke Kawasaki | JP | Minato-Ku | 2012-09-27 / 20120244420 - SECONDARY BATTERY | 3 |
Osamu Kawasaki | JP | Yamato-Shi | 2012-07-19 / 20120183665 - CHEESE-CONTAINING FOOD AND METHOD FOR PRODUCING SAME | 1 |
Yoshiyuki Kawasaki | JP | Takahama-Shi | 2012-12-20 / 20120319523 - MOTOR, AND MOTOR PRODUCTION METHOD | 3 |
Sakae Kawasaki | JP | Kanagawa-Ken | 2008-08-21 / 20080199310 - Axial flow turbine | 1 |
Yoshihiro Kawasaki | JP | Kasawaki | 2012-07-12 / 20120177096 - APPARATUS AND METHOD FOR WIRELESS COMMUNICATION | 1 |
Tomoyuki Kawasaki | JP | Tokyo | 2013-03-14 / 20130063205 - PROTECTION RELAY DEVICE AND PROTECTION RELAY METHOD | 2 |
Tomohiko Kawasaki | JP | Fukushima | 2009-03-19 / 20090075227 - ORTHODONTIC BRACKET AND CLIP RELEASE TOOL | 1 |
Mitsuharu Kawasaki | JP | Kyoto | 2010-02-04 / 20100027244 - FLUORESCENT LAMP, AND LIGHT EMITTING DEVICE AND DISPLAY DEVICE USING FLUORESCENT LAMP | 3 |
Mitsuharu Kawasaki | JP | Kyoto-Fu | 2009-01-29 / 20090027875 - FLUORESCENT LAMP, BACKLIGHT UNIT, AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Nelson Kawasaki | BR | Campinas-Sp | 2012-07-05 / 20120169178 - Systems, Methods, and Apparatus for Shorting Slip Rings of an Induction Motor | 3 |
Naruhiko Kawasaki | JP | Chiyoda-Ku | 2015-10-08 / 20150285373 - RANGE SWITCHING DEVICE | 3 |
Haruo Kawasaki | JP | Tsukuba-Shi | / - | 1 |
Yoshiyasu Kawasaki | JP | Hiroshima | 2012-05-17 / 20120118438 - HIGH-STRENGTH GALVANNEALED STEEL SHEET HAVING EXCELLENT FORMABILITY AND FATIGUE RESISTANCE AND METHOD FOR MANUFACTURING THE SAME | 4 |
Yoshiyasu Kawasaki | JP | Fukuyama | 2011-12-29 / 20110315279 - HIGH-STRENGTH GALVANIZED STEEL SHEET HAVING EXCELLENT FORMABILITY AND METHOD FOR MANUFACTURING THE SAME | 2 |
Toyokazu Kawasaki | JP | Wako-Shi | 2014-10-09 / 20140299095 - CONTROL APPARATUS FOR GENERAL-PURPOSE INTERNAL COMBUSTION ENGINE | 1 |
Manami Kawasaki | JP | Fujisawa-Shi | 2014-10-09 / 20140302602 - FILM-SHAPED TISSUE STORAGE TRANSPORT CONTAINER AND STORAGE TRANSPORT METHOD | 1 |
Takashi Kawasaki | JP | Omuta-Shi | 2012-10-11 / 20120258363 - POSITIVE-ELECTRODE MATERIAL FOR A LITHIUM ION SECONDARY BATTERY AND MANUFACTURING METHOD OF THE SAME | 2 |
Motonori Kawasaki | JP | Niigata | / - | 1 |
Tomohiro Kawasaki | JP | Osaka | 2014-10-30 / 20140320878 - Image Forming with Save Mode for Reducing Color Material Cost | 9 |
Masahiro Kawasaki | JP | Kawasaki | 2016-03-31 / 20160093229 - DISPLAY CONTROL METHOD, DISPLAY CONTROL APPARATUS, AND COMPUTER-READABLE RECORDING MEDIUM | 15 |
Tomohiro Kawasaki | JP | Yokohama | 2016-04-14 / 20160105508 - INFORMATION PROCESSING APPARATUS, DATA PROCESSING SYSTEM AND DATA PROCESSING MANAGEMENT METHOD | 4 |
Junko Kawasaki | JP | Ehime | 2015-12-24 / 20150368857 - PREPREG AND CARBON FIBER REINFORCED COMPOSITE MATERIALS | 8 |
Kenji Kawasaki | JP | Osaka | 2014-11-06 / 20140329952 - POLYCHLOROTRIFLUOROETHYLENE FILM AND BACKSIDE PROTECTIVE SHEET FOR SOLAR CELL | 1 |
Subaru Kawasaki | JP | Chiba | 2014-11-20 / 20140339472 - LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Daisuke Kawasaki | JP | Sagamihara-Shi | 2012-12-20 / 20120321940 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Kazunori Kawasaki | JP | Sendai-Shi | 2012-06-14 / 20120145038 - CLAY DISPERSION LIQUID, AND METHOD FOR PRODUCING THE SAME, CLAY FILM, AND METHOD FOR PRODUCING THE SAME, AND TRANSPARENT MATERIAL | 1 |
Koji Kawasaki | JP | Kyoto-Shi | 2015-08-13 / 20150228857 - NITRIDE SEMICONDUCTOR DEVICE | 2 |
Hisao Kawasaki | JP | Minato-Ku | 2013-02-14 / 20130037850 - SEMICONDUCTOR LIGHT-EMITTING ELEMENT, PROTECTIVE FILM OF SEMICONDUCTOR LIGHT-EMITTING ELEMENT, AND METHOD FOR FABRICATING SAME | 1 |
Sadahiro Kawasaki | JP | Tokyo | 2013-02-14 / 20130038248 - DRIFT-TUBE LINEAR ACCELERATOR | 1 |
Hideaki Kawasaki | JP | Niigata-Ken | 2013-02-14 / 20130038421 - MAGNETIC DETECTOR AND METHOD FOR MANUFACTURING THE SAME | 1 |
Eiichi Kawasaki | JP | Kanagawa | 2009-05-14 / 20090120352 - Semiconductor Single Crystal Manufacturing Device and Manufacturing Method | 1 |
Tatsuya Kawasaki | JP | Kanagawa | 2011-07-28 / 20110185126 - MULTIPROCESSOR SYSTEM | 4 |
Toshiyuki Kawasaki | JP | Kanagawa | 2011-01-13 / 20110007582 - INFORMATION RECORDING APPARATUS AND INFORMATION RECORDING METHOD, INFORMATION REPRODUCING METHOD AND FADE-IN MEMORY | 5 |
Hidetoshi Kawasaki | JP | Kanagawa | 2011-09-08 / 20110215496 - INJECTION MOLDING METHOD | 2 |
Takao Kawasaki | JP | Kanagawa | 2008-10-23 / 20080262054 - Pharmaceutical Composition Containing Thiazolidinedione Compound | 1 |
Toshihide Kawasaki | JP | Kanagawa | 2008-11-06 / 20080274445 - Reversal Magnetic Display Panel | 1 |
Yoshihiro Kawasaki | JP | Kanagawa | 2013-06-06 / 20130142107 - RADIO COMMUNICATION SYSTEM, BASE STATION, RELAY STATION, AND RADIO COMMUNICATION METHOD | 2 |
Yuusuke Kawasaki | JP | Inagi | 2010-10-28 / 20100270381 - RFID TAG | 1 |
Sakae Kawasaki | JP | Kanagawa | 2009-04-30 / 20090110550 - AXIAL FLOW TURBINE AND STAGE STRUCTURE THEREOF | 1 |
Yuji Kawasaki | JP | Kanagawa | 2013-06-13 / 20130147700 - REDUNDANCY SHIFT REGISTER CIRCUIT FOR DRIVER CIRCUIT IN ACTIVE MATRIX TYPE LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Ken-Ichi Kawasaki | JP | Kanagawa | 2010-03-04 / 20100056510 - Macrocyclic Compound | 2 |
Ritsuko Kawasaki | JP | Kanagawa | 2011-10-20 / 20110254068 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Taku Kawasaki | JP | Kanagawa | 2011-07-21 / 20110176100 - LATERAL-ELECTRIC-FIELD MODE LIQUID CRYSTAL DISPLAY DEVICE | 4 |
Toshiya Kawasaki | JP | Kanagawa | 2010-08-26 / 20100213407 - NIOBIUM MONOXIDE POWDER, NIOBIUM MONOOXIDE SINTERED BODY AND CAPACITOR USING THE SINTERED BODY | 2 |
Shozo Kawasaki | JP | Kanagawa | 2010-12-09 / 20100310152 - SUBSTRATE SURFACE INSPECTING APPARATUS AND SUBSTRATE SURFACE INSPECTING METHOD | 1 |
Kuniyoshi Kawasaki | JP | Kanagawa | 2011-02-17 / 20110040037 - RUBBER COMPOSITION AND USES THEREOF | 1 |
Toru Kawasaki | JP | Kanagawa | 2011-02-24 / 20110042749 - Semiconductor device and method of manufacturing semiconductor device | 1 |
Kaori Kawasaki | JP | Kanagawa | 2014-09-11 / 20140252450 - SEMICONDUCTOR STORAGE DEVICE AND MANUFACTURING METHOD OF SEMICONDUCTOR STORAGE DEVICE | 2 |
Shuji Kawasaki | JP | Bizen-Shi | 2012-05-31 / 20120132578 - MOLDED ACTIVATED CHARCOAL AND WATER PURIFIER INVOLVING SAME | 1 |
Taishi Kawasaki | JP | Maibara-Shi | 2016-04-14 / 20160101606 - COATED FILM | 6 |
Wataru Kawasaki | JP | Kawasaki-Shi | 2012-12-27 / 20120328284 - OPTICAL PACKET SWITCHING APPARATUS | 4 |
Takashi Kawasaki | JP | Chiyoda-Ku, Tokyo | 2016-02-11 / 20160041311 - Compound Eye Optical System And Imaging Device | 1 |
Eishi Kawasaki | JP | Kuwana-City | 2008-08-28 / 20080202249 - Semiconductor sensor and method of manufacturing the same | 2 |
Hiroichi Kawasaki | JP | Kagawa | 2010-08-26 / 20100213137 - SLUDGE THICKENING DEVICE AND SLUDGE THICKENING METHOD | 1 |
Hiroichi Kawasaki | JP | Kagawa-Ken | 2009-03-05 / 20090057235 - SLUDGE THICKENING DEVICE AND SLUDGE THICKENING METHOD | 1 |
Ryo Kawasaki | JP | Yokohama-Shi | 2016-05-05 / 20160127659 - IMAGE PICKUP APPARATUS INCLUDING INFRARED LIGHT REDUCTION SECTION, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM | 1 |
Hisao Kawasaki | JP | Tokyo | / - | 1 |
Junko Kawasaki | JP | Kyoto | 2010-05-13 / 20100120815 - STABLE SOLID PREPARATION CONTAINING 4,5-EPOXYMORPHINAN DERIVATIVE | 1 |
Hiroaki Kawasaki | JP | Nirasaki-Shi | 2014-12-25 / 20140374904 - SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING APPARATUS | 1 |
Junko Kawasaki | JP | Wakayama | 2009-01-22 / 20090019895 - Device, Method and Program for Designing Knit Product | 1 |
Junko Kawasaki | JP | Ehime | 2015-12-24 / 20150368857 - PREPREG AND CARBON FIBER REINFORCED COMPOSITE MATERIALS | 8 |
Keizo Kawasaki | JP | Hiroshima-City | 2014-06-26 / 20140175839 - UPPER VEHICLE-BODY STRUCTURE OF VEHICLE | 2 |
Hisanori Kawasaki | JP | Osaka | 2013-08-15 / 20130207542 - LIGHTING DEVICE | 2 |
Tutomu Kawasaki | JP | Osaka-Shi, Osaka | 2015-12-17 / 20150360100 - ROD-LIKE OBJECT FOR BALL GAMES AND METHOD FOR USING THE SAME | 1 |
Kazuhiro Kawasaki | JP | Tokyo | 2016-03-17 / 20160076117 - WELDING METHOD AND WELD STRUCTURE | 3 |
Yoji Kawasaki | JP | Toyonaka-City | 2008-10-02 / 20080242066 - Method Of Manufacturing Semiconductor | 1 |
Yoji Kawasaki | JP | Imabari-Shi | 2010-03-11 / 20100059767 - Surface Light-Emitting Device and Display Device Using the Same | 1 |
Yoji Kawasaki | JP | Ehime | 2010-03-11 / 20100060172 - Hollow Planar Illuminating Apparatus | 2 |
Hideya Kawasaki | JP | Suita-Shi | 2015-01-22 / 20150021470 - METHOD FOR IMAGING MASS ANALYSIS USING PHYSICAL VAPOR DEPOSITION OF PLATINUM NANOPARTICLES | 1 |
Satoshi Kawasaki | JP | Kanagawa | 2014-03-27 / 20140087768 - RECEIVER SYSTEM, LOCATION MANAGEMENT SYSTEM, AND METHOD OF RECEIVING LOCATION DATA | 13 |
Yasuaki Kawasaki | JP | Otawara-Shi | 2012-05-10 / 20120112084 - RADIATION DETECTION APPARATUS | 1 |
Shunji Kawasaki | JP | Hamamatsu-Shi | 2010-04-29 / 20100101642 - Large-Area Transparent Electroconductive Film and Method of Making the Same | 1 |
Hiroaki Kawasaki | JP | Tokyo | 2015-07-16 / 20150197727 - METHOD FOR OBTAINING MONOCYTES OR NK CELLS | 2 |
Kenichi Kawasaki | JP | Nagaokakyo-Shi | 2015-11-12 / 20150325374 - LAMINATED CERAMIC ELECTRONIC COMPONENT AND MANUFACTURING METHOD THEREFOR | 9 |
Koji Kawasaki | JP | Nagoya-Shi | 2015-04-23 / 20150108366 - Electron Beam Irradiation Device | 4 |
Shingo Kawasaki | JP | Tokyo | 2015-02-12 / 20150044427 - LABEL | 8 |
Kaoru Kawasaki | JP | Tokyo | 2016-05-12 / 20160130680 - COLD-ROLLED STEEL SHEET, GALVANIZED COLD-ROLLED STEEL SHEET AND METHOD OF MANUFACTURING THE SAME | 12 |
Nozomu Kawasaki | JP | Osaka | 2015-02-12 / 20150041007 - MULTI-DIRECTIONAL SELECTOR VALVE | 2 |
Nobuyuki Kawasaki | JP | Wako | 2016-03-24 / 20160083854 - DIFFERENTIAL PRESSURE WATER ELECTROLYSIS APPARATUS | 4 |
Mutsuo Kawasaki | JP | Osaka-Shi | 2013-03-14 / 20130065331 - MOUNTING METHOD FOR SEMICONDUCTOR LIGHT EMITTER | 1 |
Hideya Kawasaki | JP | Fukuoka-Shi | 2010-09-16 / 20100229684 - METAL FINE PARTICLES, COMPOSITION CONTAINING THE SAME, AND PRODUCTION METHOD FOR PRODUCING METAL FINE PARTICLES | 2 |
Asami Kawasaki | JP | Niigata-Shi | 2015-02-12 / 20150044700 - Anti-Gap43 Antibody | 1 |
Takahiro Kawasaki | JP | Omitama | 2013-06-13 / 20130146763 - Image Processing Device, Charged Particle Beam Device, Charged Particle Beam Device Adjustment Sample, and Manufacturing Method Thereof | 2 |
Yoji Kawasaki | JP | Ehime-Ken | 2013-01-03 / 20130001627 - LIGHT EMITTING DEVICE | 3 |
Charles N. Kawasaki | US | Portland | 2013-03-14 / 20130063073 - BATTERY EXCHANGE, RECHARGE AND RECYCLE APPARATUS, SYSTEM AND METHOD | 1 |
Shuhei Kawasaki | JP | Mishima-Shi | 2011-09-08 / 20110217060 - IMAGE FORMING APPARATUS | 5 |
Takayoshi Kawasaki | JP | Yokohama-Shi | 2013-03-14 / 20130063717 - LAMINATED STRUCTURE FOR MEASURING REFLECTED LIGHT INTENSITY, DEVICE CONTAINING LAMINATED STRUCTURE FOR MEASURING REFLECTED LIGHT INTENSITY, AND METHOD FOR MEASURING FILM THICKNESS AND/OR MASS AND/OR VISCOSITY OF THIN FILM | 1 |
Tomonori Kawasaki | JP | Tokyo | 2014-06-12 / 20140162532 - METHOD OF POLISHING ONE SIDE OF WAFER AND SINGLE SIDE POLISHING APPARATUS FOR WAFER | 2 |
Masashi Kawasaki | JP | Miyagi | 2012-07-19 / 20120181531 - SEMICONDUCTOR ELEMENT AND MANUFACTURING METHOD OF THE SAME | 17 |
Shin-Ichiro Kawasaki | JP | Miyagi | 2012-04-26 / 20120097751 - CARBON DIOXIDE COATING METHOD AND DEVICE THEREFOR | 1 |
Hiroshi Kawasaki | JP | Oota-Ku | 2015-02-26 / 20150056457 - Compositions and Methods for Making Them | 1 |
Syuji Kawasaki | JP | Tokyo | 2014-08-07 / 20140216545 - RECTANGULAR CONDUCTOR FOR SOLAR BATTERY, METHOD FOR FABRICATING SAME AND LEAD WIRE FOR SOLAR BATTERY | 2 |
Syuji Kawasaki | JP | Hitachi | 2016-03-17 / 20160079458 - SOLAR BATTERY RECTANGULAR CONDUCTOR, METHOD FOR FABRICATING SAME AND SOLAR BATTERY LEAD WIRE | 1 |
Kazuyoshi Kawasaki | JP | Osaka | 2012-04-19 / 20120095151 - COMPLEX-SHAPED FLUORORUBBER FORMED PRODUCT | 8 |
Yoshihiko Kawasaki | JP | Chuo-Ku | 2014-01-23 / 20140023986 - MOTORIZED SYRINGE FOR USE WITH TWO TYPES OF DENTAL ANESTHETIC SOLUTION-CONTAINING CARTRIDGES | 2 |
Toshiaki Kawasaki | JP | Osaka | 2015-03-19 / 20150078061 - SEMICONDUCTOR MEMORY DEVICE AND SEMICONDUCTOR DEVICE MOUNTING THE SEMICONDUCTOR MEMORY DEVICE | 8 |
Hidekazu Kawasaki | JP | Tokyo | 2015-12-31 / 20150380172 - PHOTOELECTRIC CONVERSION ELEMENT AND SOLAR CELL COMPRISING SAME | 12 |
Tadashi Kawasaki | JP | Tokyo | 2013-03-21 / 20130070089 - POSITION DETECTING DEVICE AND IMAGE PROCESSING SYSTEM | 1 |
Kiyohiro Kawasaki | JP | Osaka-Shi | 2013-09-12 / 20130235318 - ACTIVE MATRIX SUBSTRATE MANUFACTURING METHOD AND LIQUID CRYSTAL DISPLAY DEVICE MANUFACTURING METHOD | 1 |
Tatsuhiko Kawasaki | JP | Hitachinaka | 2015-03-05 / 20150064550 - PRISMATIC SECONDARY CELL | 3 |
Tatsuhiko Kawasaki | JP | Miyazaki | 2009-07-02 / 20090167174 - PLASMA DISPLAY PANEL | 2 |
Hiroaki Kawasaki | JP | Chiba-Ken | 2013-03-21 / 20130071510 - INJECTION DEVICE IN MOLDING MACHINE | 1 |
Tomoyoshi Kawasaki | JP | Himeji-Shi | 2012-03-29 / 20120077302 - LEAD WIRE CONNECTION APPARATUS AND CONNECTION METHOD OF SEMICONDUCTOR CELLS | 1 |
Kosei Kawasaki | JP | Sapporo-Shi | 2012-03-29 / 20120077205 - METHOD FOR PRODUCING COMPLEX OF BIOTIN-LABELED CYPRIDINA (CYPRIDINA NOCTILUCA) LUCIFERASE WITH STREPTOAVIDIN AND METHOD FOR STABILIZING THE SAME | 1 |
Tomoya Kawasaki | JP | Oita-Shi | 2015-04-02 / 20150091224 - RAW MATERIAL SUPPLY APPARATUS, RAW MATERIAL SUPPLY METHOD AND FLASH SMELTING FURNACE | 1 |
Yohei Kawasaki | JP | Saitama | 2011-06-23 / 20110147561 - SEAT RAIL OF MOTORCYCLE | 2 |
Ritsuya Kawasaki | JP | Kobe City | 2016-04-07 / 20160096925 - COVER MEMBER AND ELECTRONIC DEVICE | 5 |
Ritsuya Kawasaki | JP | Kobe-Shi | 2016-03-17 / 20160075913 - SOLUTION OF AROMATIC POLYAMIDE FOR PRODUCING DISPLAY ELEMENT, OPTICAL ELEMENT, ILLUMINATION ELEMENT OR SENSOR ELEMENT | 13 |
Ryouta Kawasaki | JP | Tokyo | 2015-04-09 / 20150100455 - FASHION COORDINATION ASSIST DEVICE, FASHION COORDINATION ASSIST SYSTEM, FASHION COORDINATION ASSIST METHOD, AND RECORDING MEDIUM | 1 |
Hideshi Kawasaki | JP | Machida-Shi | 2015-08-20 / 20150235899 - METHOD OF FORMING THROUGH WIRING | 2 |
Norioki Kawasaki | JP | Ikeda-Shi | 2014-09-18 / 20140275439 - BIODEGRADABLE POLYMER WITH CONTROLLED BIODEGRADABILITY | 2 |
Masanori Kawasaki | US | New York | 2015-04-16 / 20150105373 - NITROGENATED HETEROCYCLIC COMPOUND | 1 |
Isao Kawasaki | JP | Osaka | 2012-03-15 / 20120064241 - METHOD OF SURFACE-TREATING ALUMINUM HEAT EXCHANGERS FOR VEHICLES, AND METHOD OF MANUFACTURING THE HEAT EXCHANGERS | 1 |
Yuji Kawasaki | JP | Gifu | 2012-03-15 / 20120064148 - PH-RESPONSIVE LIPOSOME | 1 |
Takuma Kawasaki | JP | Ibaraki | 2009-10-22 / 20090263343 - Deodorized cloth and method for deodorizing cloth | 1 |
Kunihiko Kawasaki | JP | Saitama | 2012-10-04 / 20120249157 - TEST APPARATUS | 2 |
Aiichiro Kawasaki | JP | Kanagawa | 2012-10-04 / 20120250153 - TWO-LENS DEVICE AND STEREOSCOPIC IMAGING APPARATUS WITH TWO-LENS DEVICE | 2 |
Toshiyuki Kawasaki | JP | Yokohama-Shi | 2011-10-06 / 20110242321 - IMAGING APPARATUS | 2 |
Atsuko Kawasaki | JP | Yokohama-Shi | 2012-03-22 / 20120068291 - IMAGE SENSING DEVICE AND METHOD OF MANUFACTURING THE SAME | 5 |
Yukio Kawasaki | JP | Yokohama-Shi | 2010-12-02 / 20100306725 - APPARATUS AND METHOD FOR DESIGNING SEMICONDUCTOR INTEGRATED CIRCUIT, AND COMPUTER READABLE MEDIUM | 2 |
Katsumi Kawasaki | JP | Yokohama-Shi | 2009-07-09 / 20090175420 - X-ray generator | 1 |
Hirohisa Kawasaki | JP | Yokohama-Shi | 2013-11-28 / 20130313508 - VARIABLE RESISTANCE MEMORY AND METHOD OF MANUFACTURING THE SAME | 2 |
Hisashi Kawasaki | US | 2008-10-02 / 20080241895 - N-Acetyl-(R,S)-beta-Amino Acid Acylase Gene | 1 | |
Sakae Kawasaki | JP | Yokohama-Shi | 2013-07-25 / 20130189077 - STEAM TURBINE AND BLADE FOR STEAM TURBINE | 2 |
Shumpei Kawasaki | US | Los Altos | 2008-12-18 / 20080313383 - Processor for Virtual Machines and Method Therefor | 1 |
Allen Kawasaki | US | Hillsboro | 2009-07-16 / 20090179201 - Laser Chalcogenide Phase Change Device | 1 |
Keiji Kawasaki | JP | Yokohama-Shi | 2014-12-11 / 20140362405 - INFORMATION PROCESSING APPARATUS, RECORDING MEDIUM, AND CONTROL METHOD | 6 |
Andrew Mamoru Kawasaki | US | San Diego | 2009-08-06 / 20090198047 - 2'-Modified Oligonucleotides | 1 |
Cy Y. Kawasaki | US | Renton | 2012-02-02 / 20120024063 - System And Method Of Correlating The Orientation Of A Tri-Axial Accelerometer | 2 |
Hirohisa Kawasaki | US | Yorktown Heights | 2012-05-03 / 20120108016 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHODS WITH USING NON-PLANAR TYPE OF TRANSISTORS | 3 |
Charlie Kawasaki | US | Portland | 2013-03-21 / 20130070610 - MOBILE COMMUNICATIONS SYSTEM, SUCH AS A DEPLOYABLE SELF-CONTAINED PORTABLE SYSTEM | 3 |
Andrew M. Kawasaki | US | San Diego | 2015-11-26 / 20150336966 - SUBSTITUTED TRICYCLIC ACID DERIVATIVES AS S1P1 RECEPTOR AGONISTS USEFUL IN THE TREATMENT OF AUTOIMMUNE AND INFLAMMATORY DISORDERS | 5 |
Shota Kawasaki | JP | Tokyo | 2015-11-26 / 20150337785 - FUEL INJECTION VALVE | 2 |
Katsumasa Kawasaki | US | Los Gatos | 2013-08-22 / 20130213935 - SYNCHRONIZED RADIO FREQUENCY PULSING FOR PLASMA ETCHING | 2 |
Masahiko Kawasaki | JP | Tsukuba-Shi | 2013-03-28 / 20130079468 - PRESSURE-SENSITIVE ADHESIVE COMPOSITION FOR OPTICAL FILMS AND PRESSURE-SENSITIVE ADHESION TYPE OPTICAL FILM | 1 |
Katsuji Kawasaki | JP | Hyogo | 2014-04-03 / 20140090301 - DOOR SUSPENSION DEVICE AND DOOR APPARATUS COMPRISING THE SAME | 1 |
Mitsutoshi Kawasaki | JP | Toyota-Shi | 2008-10-02 / 20080241300 - INJECTION MOLD NOZZLE STRUCTURE | 1 |
Hiroaki Kawasaki | JP | Haga-Gun | 2015-05-07 / 20150123878 - INFORMATION DISPLAY DEVICE | 1 |
Tatsuya Kawasaki | JP | Osaka | 2013-04-04 / 20130083258 - DISPLAY DEVICE EQUIPPED WITH TOUCH SENSOR | 1 |
Yasunari Kawasaki | JP | Chiba | 2009-12-17 / 20090310919 - OPTICAL CONNECTOR STOP RING, OPTICAL FIBER CORD WITH OPTICAL CONNECTOR USING THE SAME AND METHOD FOR MANUFACTURING OPTICAL FIBER CORD WITH OPTICAL CONNECTOR | 2 |
Yasunari Kawasaki | JP | Matsudo-Shi | 2011-09-08 / 20110217007 - OPTICAL CONNECTOR KIT | 2 |
Akihiko Kawasaki | JP | Hyogo | 2010-05-06 / 20100108515 - Polyacrylamide gel for electrophoresis, polyacrylamide gel electrophoresis method using the same, method of producing the same, and acrylamide compound | 1 |
Atsuko Kawasaki | JP | Hyogo | 2015-07-30 / 20150210992 - METHOD FOR PRODUCTION OF RECOMBINANT HUMAN ALPHA-GALACTOSIDASE A | 3 |
Tomomi Kawasaki | JP | Hyogo | 2011-03-17 / 20110065149 - METHOD OF PRODUCING FUSED PROTEIN | 1 |
Satoshi Kawasaki | JP | Hyogo | 2010-08-19 / 20100206444 - RUBBER COMPOSITION FOR TIRE AND PNEUMATIC TIRE | 1 |
Kaoru Kawasaki | JP | Hyogo | 2014-07-17 / 20140199487 - METHOD FOR PRODUCING HIGH-STRENGTH HOT-DIP GALVANNEALED STEEL SHEET | 5 |
Akihiro Kawasaki | JP | Hyogo | 2009-07-09 / 20090176171 - IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD | 2 |
Yasuhiro Kawasaki | JP | Shizuoka | 2012-02-23 / 20120043150 - MOTORCYCLE | 1 |
Satoshi Kawasaki | JP | Kanagawa | 2014-03-27 / 20140087768 - RECEIVER SYSTEM, LOCATION MANAGEMENT SYSTEM, AND METHOD OF RECEIVING LOCATION DATA | 13 |
Yuji Kawasaki | JP | Fukuoka | 2015-11-05 / 20150317889 - ALARM NOTIFYING SYSTEM | 4 |
Isao Kawasaki | JP | Nishio-City | 2012-02-16 / 20120038240 - FUEL PUMP AND METHOD OF MAKING THE SAME | 1 |
Tomohiro Kawasaki | JP | Otawara-Shi | 2014-10-09 / 20140303493 - SURGERY ASSISTING APPARATUS | 12 |
Shota Kawasaki | JP | Chiyoda-Ku | 2015-05-21 / 20150136877 - FUEL INJECTION VALVE | 1 |
Kazushige Kawasaki | JP | Shiga | 2008-11-06 / 20080272862 - Electrically Optimized and Structurally Protected Via Structure for High Speed Signals | 1 |
Kazushige Kawasaki | JP | Nagahama | 2010-01-21 / 20100017158 - GENERATING WORST CASE BIT PATTERNS FOR SIMULTANEOUS SWITCHING NOISE (SSN) IN DIGITAL SYSTEMS | 1 |
Kazushige Kawasaki | JP | Kyoto-Shi | 2012-05-31 / 20120132463 - PRINTED WIRING BOARD AND METHOD FOR MANUFACTURING THE SAME | 2 |
Youichi Kawasaki | JP | Tokyo | 2013-04-18 / 20130092196 - METHOD FOR STERILIZING AND WASHING A CONTAINER | 1 |
Hiromichi Kawasaki | JP | Shunan-Shi | / - | 1 |
Hiromichi Kawasaki | JP | Shunan | 2015-08-06 / 20150221477 - PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 2 |
Hiromichi Kawasaki | JP | Ibaraki | 2014-11-06 / 20140329290 - NOVEL BRANCHED POLYETHYLENE GLYCOL AND USE THEREOF | 1 |
Hiromichi Kawasaki | JP | Tokyo | 2016-01-28 / 20160027621 - PLASMA PROCESSING APPARATUS AND SAMPLE STAGE FABRICATING METHOD | 7 |
Yoichi Kawasaki | JP | Kawasaki-Shi | 2015-03-19 / 20150078096 - LEVEL SHIFT CIRCUIT AND SEMICONDUCTOR DEVICE | 1 |
Toru Kawasaki | JP | Kawasaki-Shi | 2013-03-14 / 20130064012 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Kyouhei Kawasaki | JP | Kawasaki-Shi | 2015-07-09 / 20150191093 - CHARGING PORT STRUCTURE | 3 |
Katsuhiko Kawasaki | JP | Kawasaki-Shi | 2013-06-06 / 20130145307 - DISPLAY APPARATUS AND DISPLAY METHOD | 2 |
Hiroki Kawasaki | JP | Kawasaki-Shi | 2015-09-24 / 20150268904 - COMMUNICATION APPARATUS, CONTROL METHOD THEREOF, PRINTING APPARATUS, AND STORAGE MEDIUM | 5 |
Takashi Kawasaki | JP | Ichihara-City | 2015-12-10 / 20150352747 - BLADDER FOR TIRE VULCANIZATION | 3 |
Hiraku Kawasaki | JP | Kanagawa | 2015-06-11 / 20150160167 - ULTRASONIC INSPECTION DEVICE AND METHOD | 1 |
Kaori Kawasaki | JP | Kanagawa-Ken | 2013-08-29 / 20130221423 - NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME | 2 |
Naoki Kawasaki | JP | Kariya-Shi | 2016-05-19 / 20160137202 - TRAVEL LANE MARKING RECOGNITION APPARATUS | 27 |
Tomoki Kawasaki | JP | Otokuni-Gun | 2009-01-08 / 20090008754 - RESIN-SEALED SEMICONDUCTOR DEVICE, LEADFRAME WITH DIE PADS, AND MANUFACTURING METHOD FOR LEADFRAME WITH DIE PADS | 1 |
Minoru Kawasaki | JP | Tokyo | 2014-02-27 / 20140053687 - METHOD OF RECOVERING PGM | 2 |
Tatsuhiko Kawasaki | JP | Hitachinaka-Shi | 2012-03-15 / 20120062238 - Battery Controller and Voltage Abnormality Detection Method | 2 |
Hisashi Kawasaki | JP | Osaka | 2015-07-02 / 20150183812 - PYRIMIDINE COMPOUND AND MEDICAL USE THEREOF | 1 |
Tatsuya Kawasaki | JP | Tokyo | 2011-06-16 / 20110143117 - STOCK SHAPE MACHINING AND PRODUCTION PROCESS THEREOF | 1 |
Norihiro Kawasaki | JP | Chiyoda-Ku | 2008-11-20 / 20080288606 - Information Notification System and Information Notification Method | 1 |
Takashi Kawasaki | JP | Akiruno | 2013-10-17 / 20130275646 - BUS CIRCUIT AND SEMICONDUCTOR DEVICE | 1 |
Takeru Kawasaki | JP | Hiroshima | 2014-02-13 / 20140044679 - Agent for Preventing Bacterial Wilt Disease, and Method for Preventing Bacterial Wilt Disease | 1 |
Shinji Kawasaki | JP | Wako-Shi | 2015-07-23 / 20150203170 - BRAKE CALIPER | 1 |
Kana Kawasaki | JP | Kobe | 2015-07-23 / 20150203533 - METHOD OF RECOVERING PEPTIDE AND METHOD OF DETECTING PEPTIDE | 1 |
Masayuki Kawasaki | JP | Kanagawa | 2012-01-12 / 20120009881 - MOBILE COMMUNICATION DEVICE AND COMMUNICATION CONTROL METHOD | 1 |
Masahiro Kawasaki | JP | Tokyo | 2013-10-31 / 20130287456 - RECORDING SHEET FOR WET ELECTROPHOTOGRAPHY | 3 |
Takashi Kawasaki | JP | Inukami-Gun | 2014-02-13 / 20140041899 - HARNESS COVERS FOR VEHICLE DOORS | 1 |
Tetsu Kawasaki | JP | Nirasaki-Shi | 2012-01-12 / 20120006362 - SUBSTRATE CLEANING DEVICE AND SUBSTRATE CLEANING METHOD | 1 |
Tomoya Kawasaki | JP | Toyota-Shi | 2014-08-21 / 20140232587 - FRONT STRUCTURE AND REAR STRUCTURE OF VEHICLE | 10 |
Shinji Kawasaki | JP | Nagoya-City | 2016-02-18 / 20160046531 - JOINED BODY AND METHOD FOR PRODUCING THE SAME | 12 |
Hiroshi Kawasaki | JP | Kagoshima-Shi | 2015-08-06 / 20150221093 - IMAGE PROCESSING SYSTEM, AND IMAGE PROCESSING METHOD | 1 |
Yuuji Kawasaki | JP | Fukuoka | 2012-01-05 / 20120002975 - WIRELESS MICROPHONE | 1 |
Junichi Kawasaki | JP | Itano-Gun | 2012-12-13 / 20120316180 - LOW HYGROSCOPIC ARIPIPRAZOLE DRUG SUBSTANCE AND PROCESSES FOR THE PREPARATION THEREOF | 6 |
Katsumasa Kawasaki | US | San Jose | 2015-03-12 / 20150072530 - METHODS FOR ETCHING MATERIALS USING SYNCHRONIZED RF PULSES | 3 |
Hironori Kawasaki | JP | Tokyo | 2012-03-08 / 20120059279 - MEDICAL GUIDE WIRE | 2 |
Koji Kawasaki | JP | Nishio-Shi | 2009-07-30 / 20090189458 - VEHICLE POWER SUPPLY APPARATUS AND VEHICLE WINDOW MEMBER | 1 |
Koji Kawasaki | JP | Matsumoto-Shi | 2015-04-23 / 20150109362 - PRINTER AND PRINTER CONTROL METHOD | 3 |
Koji Kawasaki | JP | Anjo-Shi | 2015-09-24 / 20150266528 - TRAVELING BODY | 14 |
Masashi Kawasaki | JP | Osaka | 2011-04-21 / 20110092520 - PROCESS FOR PRODUCING BICYCLOANILINE DERIVATIVES | 1 |
Shinpei Kawasaki | JP | Hiratsuka-Shi, Kanagawa | 2015-08-27 / 20150240459 - Communication Device and Working Vehicle Provided with the Same | 1 |
Akira Kawasaki | JP | Yokohama-Shi | 2014-05-15 / 20140133313 - TERMINAL SELECTION METHOD, NETWORK DEVICE, AND RADIO TERMINAL | 2 |
Takahiro Kawasaki | JP | Kawasaki-Shi | 2013-05-09 / 20130113494 - CHUCK MECHANISM OF CHARGE-DISCHARGE TEST DEVICE FOR THIN SECONDARY BATTERY | 2 |
Terufumi Kawasaki | JP | Hitachinaka | 2009-06-04 / 20090142244 - TREATMENT METHOD FOR DECOMPOSING PERFLUOROCOMPOUND, DECOMPOSING CATALYST AND TREATMENT APPARATUS | 2 |
Masashi Kawasaki | JP | Sendai | 2011-12-15 / 20110305890 - FERRITE MATERIAL HAVING COMPOSITION GRADIENT FOR MEASURING MAGNETO-OPTICAL-EFFECT PROPERTIES AND METHOD FOR EVALUATING PROPERTIES OF FERRITE | 1 |
Tomoya Kawasaki | JP | Miyoshi-Shi | 2015-06-25 / 20150175063 - VEHICLE PERIPHERY ALERT DEVICE | 6 |
Atsuko Kawasaki | JP | Kanagawa | 2013-05-23 / 20130128092 - CAMERA MODULE | 1 |
Kosei Kawasaki | JP | Hokkaido | 2009-10-22 / 20090263880 - MUTANT LUCIFERASE | 1 |
Kojiro Kawasaki | JP | Osaka | 2013-05-23 / 20130129312 - DATA PROCESSING DEVICE | 1 |
Ryouichi Kawasaki | JP | Gunma | 2009-01-22 / 20090022032 - Optical Pickup Unit and Optical Disk Apparatus | 1 |
Souzou Kawasaki | JP | Iwaki-Shi | 2013-05-23 / 20130129433 - CUTTING INSERT AND ROTARY CUTTING TOOL | 1 |
Akiko Kawasaki | JP | Yokohama-Shi | / - | 1 |
Kojiro Kawasaki | JP | Katano-Shi | 2011-06-16 / 20110142425 - INFORMATION RECORDING MEDIUM, AND APPARATUS AND METHOD FOR RECORDING INFORMATION TO INFORMATION RECORDING MEDIUM | 1 |
Yoshitaka Kawasaki | JP | Mie | 2011-06-16 / 20110143245 - FUEL CELL SYSTEM | 1 |
Masahiro Kawasaki | GB | Cambridge | 2009-12-03 / 20090294852 - Electronic device | 1 |
Haruhiko Kawasaki | JP | Kanagawa | 2015-10-01 / 20150275938 - CONTROL SYSTEM FOR HYBRID CONSTRUCTION MACHINE | 8 |
Hiroyuki Kawasaki | JP | Takasago-Shi | 2014-01-23 / 20140020999 - CIRCUIT BREAKING UNIT AND RAILCAR INCLUDING THE SAME | 2 |
Takashi Kawasaki | JP | Susono-City | 2014-01-09 / 20140007723 - DRIVE FORCE TRANSMISSION APPARATUS | 1 |
Masashi Kawasaki | JP | Ibaraki | 2010-05-20 / 20100124544 - CRYSTALLINE FORMS OF DIHYDROPYRAZOLOPYRIMIDINONE | 3 |
Masashi Kawasaki | JP | Sendai-Shi | 2012-10-18 / 20120261658 - ZnO-BASED SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 5 |
Yuichi Kawasaki | JP | Saitama | 2013-10-03 / 20130257416 - THROTTLE OPENING DETECTION DEVICE | 4 |
Hiroshi Kawasaki | JP | Ooamishirasato | 2008-09-04 / 20080211382 - Image display device | 1 |
Hiroshi Kawasaki | JP | Ebina | 2008-12-25 / 20080314625 - Printed Circuit Board and Method of Manufacturing the Same | 1 |
Hiroshi Kawasaki | JP | Saitama | 2009-04-16 / 20090097039 - 3-Dimensional Shape Measuring Method and Device Thereof | 1 |
Hiroshi Kawasaki | JP | Tokyo | 2016-02-18 / 20160047030 - VAPOR DEPOSITION MASK, VAPOR DEPOSITION MASK PREPARATION BODY, METHOD FOR PRODUCING VAPOR DEPOSITION MASK, AND METHOD FOR PRODUCING ORGANIC SEMICONDUCTOR ELEMENT | 4 |
Hiroshi Kawasaki | JP | Chiba | 2014-06-05 / 20140155307 - BIODEGRADABLE LUBRICATING OIL COMPOSITION | 4 |
Kengo Kawasaki | JP | Itami-Shi | 2010-04-22 / 20100098728 - Immunostimulating composition containing Lactic Acid bacteria | 1 |
Hiroshi Kawasaki | JP | Osaka | 2010-07-22 / 20100184048 - EPIDERMAL GROWTH FACTOR (EGF) EXPRESSION AND/OR POLYMORPHISMS THEREOF FOR PREDICTING THE RISK OF DEVELOPING CANCER | 2 |
Hiroshi Kawasaki | JP | Ichihara-Shi | 2015-12-31 / 20150376536 - WATER-BASED METAL WORKING OIL AGENT | 4 |
Motoko Kawasaki | JP | Osaka | 2014-08-28 / 20140240822 - INFRARED-REFLECTIVE FILM | 3 |
Hiroshi Kawasaki | JP | Kawasaki | 2010-11-18 / 20100291873 - COMMUNICATION TERMINAL DEVICE AND TRIPARTITE COMMUNICATION METHOD | 1 |
Hiroshi Kawasaki | JP | Saitama-Shi | 2010-09-16 / 20100231583 - IMAGE PROCESSING APPARATUS, METHOD AND PROGRAM | 2 |
Hiroshi Kawasaki | JP | Saitama-City | 2011-04-07 / 20110081072 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND PROGRAM | 1 |
Yoshihiro Kawasaki | JP | Kawasaki | 2016-05-19 / 20160142949 - RADIO COMMUNICATION SYSTEM, BASE STATION, MOBILE STATION, AND RADIO COMMUNICATION METHOD | 92 |
Taku Kawasaki | JP | Kawasaki | 2009-05-21 / 20090128754 - MANUFACTURING METHOD THEREOF AND LIQUID CRYSTAL DISPLAY PANEL | 1 |
Koichi Kawasaki | JP | Tokyo | 2015-10-01 / 20150281919 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 5 |
Shintaro Kawasaki | JP | Aichi-Ken | 2011-11-17 / 20110280768 - AMMONIA SUPPLY DEVICE, AMMONIA SUPPLY METHOD AND EXHAUST GAS PURIFICATION SYSTEM | 1 |
Takashi Kawasaki | JP | Suginami-Ku, Tokyo | 2016-05-19 / 20160139362 - Imaging Lens And Imaging Device | 1 |
Shinji Kawasaki | JP | Niiza-Shi | 2015-10-15 / 20150291250 - BRAKE CALIPER ARRANGEMENT STRUCTURE FOR MOTORCYCLE | 1 |
Yoji Kawasaki | JP | Kanagawa | 2011-11-03 / 20110269282 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Kensuke Kawasaki | JP | Okayama | 2011-11-03 / 20110269824 - CANCER CELL DEATH INDUCING AGENT HAVING EFFECTS OF POTENTIATING ANTICANCER DRUG AGAINST ANTICANCER-DRUG-RESISTANT CANCER | 1 |
Toru Kawasaki | JP | Hitachi | 2011-11-03 / 20110268242 - Hydrogen and Oxygen Recombination Catalyst, Recombination Apparatus, and Nuclear Plant | 1 |
Yohsuke Kawasaki | JP | Minamitsuru-Gun | 2010-07-01 / 20100164502 - COLD CATHODE IONIZATION VACUUM GAUGE WITH TRIGGER PLATE | 1 |
Yohsuke Kawasaki | JP | Yamanashi | 2011-11-17 / 20110279127 - COLD CATHODE IONIZATION VACUUM GAUGE, VACUUM PROCESSING APPARATUS HAVING THE SAME, DISCHARGE STARTING AUXILIARY ELECTRODE USED FOR THE SAME, AND METHOD OF MEASURING PRESSURE USING THE SAME | 5 |
Takashi Kawasaki | JP | Tokyo | 2014-11-20 / 20140342231 - COMPOSITE PARTICLES, METHOD FOR PRODUCING SAME, ELECTRODE MATERIAL FOR SECONDARY BATTERIES, AND SECONDARY BATTERY | 16 |
Kan Kawasaki | JP | Tokyo-To | 2009-01-08 / 20090008192 - ELEVATOR | 2 |
Hirotaka Kawasaki | JP | Aichi-Ken | 2011-10-27 / 20110258781 - BREATHABLE CUSHION AND METHOD OF MANUFACTURING THE SAME | 1 |
Takeshi Kawasaki | JP | Tokyo | 2015-08-20 / 20150234881 - Person Authentication Dictionary Update Method, Person Authentication Dictionary Update Apparatus, Recording Medium, and Person Authentication System | 3 |
Masashi Kawasaki | JP | Wako-Shi | 2011-10-20 / 20110253204 - Solar Cell | 1 |
Kaori Kawasaki | JP | Matsudo-Shi | 2010-01-21 / 20100014033 - BASE MATERIAL FOR DISPLAY PANEL, METHOD FOR MANUFACTURING THE BASE MATERIAL, AND DISPLAY PANEL | 1 |
Shinji Kawasaki | JP | Saitama | 2009-01-22 / 20090020347 - SADDLE SEAT TYPE FUEL-CELL ELECTRIC VEHICLE | 1 |
Shinji Kawasaki | JP | Kyoto-Shi | 2009-08-06 / 20090195824 - IMAGE FORMING DEVICE | 4 |
Shinji Kawasaki | JP | Nagoya-City | 2016-02-18 / 20160046531 - JOINED BODY AND METHOD FOR PRODUCING THE SAME | 12 |
Yoshi Kawasaki | US | Woodstock | 2011-10-13 / 20110250250 - COMPOSITIONS AND METHODS FOR SPF ENHANCEMENT BY HIGH CONCENTRATION COSMETIC POWDER FORMULATIONS | 1 |
Goroh Kawasaki | JP | Kawasaki-Shi | 2010-09-09 / 20100226034 - MAGNETIC HEAD, MAGNETIC DISK DEVICE, AND MANUFACTURING METHOD OF MAGNETIC HEAD | 1 |
Goroh Kawasaki | JP | Kawasaki | 2010-03-18 / 20100067143 - MAGNETIC DISK APPARATUS | 1 |
Shinpei Kawasaki | JP | Hiratsuka-Shi | 2015-03-19 / 20150081177 - Communication Device and Working Vehicle Provided with the Same | 5 |
Kotaro Kawasaki | JP | Osaka-Shi | 2008-11-20 / 20080284086 - SHEET-FEEDING DEVICE, AND IMAGE FORMING APPARATUS PROVIDED WITH THE SAME | 4 |
Mamoru Kawasaki | JP | Nagoya-Shi | 2015-10-01 / 20150273750 - MOLD-CLAMPING DEVICE, INJECTION-MOLDING DEVICE, AND METHOD FOR OPENING AND CLOSING MOLD | 3 |
Kiyohiro Kawasaki | JP | Hirakata-Shi | 2011-10-13 / 20110250713 - ACTIVE MATRIX SUBSTRATE MANUFACTURING METHOD AND LIQUID CRYSTAL DISPLAY DEVICE MANUFACTURING METHOD | 2 |
Hiroaki Kawasaki | JP | Osaka | 2016-01-28 / 20160028289 - ELECTRIC MOTOR AND ELECTRICAL DEVICE EQUIPPED WITH ELECTRIC MOTOR | 12 |
Haruhiko Kawasaki | JP | Kanagawa | 2015-10-01 / 20150275938 - CONTROL SYSTEM FOR HYBRID CONSTRUCTION MACHINE | 8 |
Hiroo Kawasaki | JP | Ayabe-Shi | 2008-10-16 / 20080255898 - RISK MONITORING APPARATUS, RISK MONITORING SYSTEM, AND RISK MONITORING METHOD | 1 |
Hiroo Kawasaki | JP | Nirasaki | 2010-07-01 / 20100163188 - MOUNTING TABLE STRUCTURE AND PROCESSING APPARATUS | 1 |
Hiroo Kawasaki | JP | Nirasaki-Shi | 2010-09-09 / 20100224620 - PLANE HEATER | 5 |
Hiroo Kawasaki | JP | Yamanashi | 2011-01-13 / 20110005686 - LOADING TABLE STRUCTURE AND PROCESSING DEVICE | 1 |
Tomohide Kawasaki | JP | Mishima-Shi | 2014-11-20 / 20140343815 - VEHICLE CONTROL SYSTEM | 7 |
Kiwamu Kawasaki | JP | Nagoya-Shi | 2008-11-27 / 20080292728 - Cosmetic composition | 1 |
Hiroaki Kawasaki | JP | Hyogo | 2010-01-21 / 20100016634 - METHOD FOR STABILIZING CARBODIIMIDE DERIVATIVE AND STABILIZED COMPOSITION THEREOF | 1 |
Hiroaki Kawasaki | JP | Ashiya-Shi | 2012-12-06 / 20120304754 - SYSTEM, METHOD, AND PROGRAM FOR DETECTING DEFLATED TIRES | 8 |
Hiroaki Kawasaki | JP | Osaka | 2016-01-28 / 20160028289 - ELECTRIC MOTOR AND ELECTRICAL DEVICE EQUIPPED WITH ELECTRIC MOTOR | 12 |
Masashi Kawasaki | JP | Kyoto | 2010-09-16 / 20100230671 - ZNO-BASED SEMICONDUCTOR AND ZNO-BASED SEMICONDUCTOR DEVICE | 1 |
Kazuhiko Kawasaki | JP | Kyoto | 2009-03-19 / 20090072741 - CERAMIC METAL HALIDE LAMP HAVING RATED LAMP WATTAGE OF GREATER THAN OR EQUAL TO 450 W | 1 |
Kazuhiko Kawasaki | JP | Ibaraki | 2010-02-04 / 20100026983 - LIGHTWAVE INTERFEROMETRIC DISTANCE MEASURING METHOD AND APPARATUS | 2 |
Kazuhiko Kawasaki | JP | Hitachinaka-Shi | 2012-06-21 / 20120153560 - Sheet-Supplying Device | 3 |
Kazuhiko Kawasaki | JP | Tsukuba | 2009-01-22 / 20090021747 - Shape measuring apparatus | 1 |
Kazuhiko Kawasaki | JP | Tsukuba-Shi | 2011-01-13 / 20110007324 - PROBE MICROSCOPE | 3 |
Nobuko Kawasaki | JP | Kusatsu-Shi, Shiga | 2015-12-03 / 20150344567 - iPS/ES CELL-SPECIFIC ANTIBODY HAVING CYTOTOXICITY TO TARGET CELLS AND USE THEREOF | 1 |
Kenji Kawasaki | JP | Tokyo | 2015-07-02 / 20150183555 - POUCH CONTAINER | 21 |
Kazushige Kawasaki | JP | Tokyo | 2015-12-10 / 20150357789 - SEMICONDUCTOR LASER DEVICE AND MANUFACTURING METHOD THEREOF | 16 |
Kazuhiro Kawasaki | JP | Kanagawa-Ken | 2013-11-14 / 20130300405 - QUENCHING DEPTH MEASUREMENT METHOD AND QUENCHING DEPTH MEASUREMENT APPARATUS | 1 |
Haruhiko Kawasaki | JP | Sakai | 2009-03-12 / 20090068715 - METHOD OF PRODUCING BIO-ETHANOL | 1 |
Motoko Kawasaki | JP | Ibaraki-Shi | 2015-01-22 / 20150022879 - INFRARED REFLECTIVE FILM | 5 |
Rika Kawasaki | JP | Nasushiobara-Shi | 2011-09-22 / 20110229603 - NEW LACTIC ACID BACTERIA STRAIN,FERMENTED FOOD OR DRINK, AND METHOD FOR PRODUCING THE FERMENTED FOOD OR DRINK | 1 |
Haruhiko Kawasaki | JP | Osaka | 2009-03-19 / 20090075353 - METHOD OF PRODUCING BIO-ETHANOL | 1 |
Haruhiko Kawasaki | JP | Tokyo | 2011-11-03 / 20110268588 - CONTROLLER OF HYBRID CONSTRUCTION MACHINE | 7 |
Nozomi Kawasaki | JP | Utsunomiya-Shi | 2008-09-25 / 20080230257 - GROMMET AND ASSEMBLING METHOD THEREFOR | 1 |
Shingo Kawasaki | JP | Tokyo | 2015-02-12 / 20150044427 - LABEL | 8 |
Shingo Kawasaki | JP | Kariya-City | 2010-05-27 / 20100127649 - POWER CONTROLLER FOR ELECTRIC VEHICLE | 3 |
Daisuke Kawasaki | JP | Tokyo | 2016-01-28 / 20160028123 - NONAQUEOUS ELECTROLYTE SOLUTION SECONDARY BATTERY | 26 |
Akiko Kawasaki | JP | Utsunomiya-Shi | 2014-08-07 / 20140219940 - Skin-Lightening Agent | 2 |
Takeshi Kawasaki | JP | Kanagawa | 2012-12-13 / 20120313719 - OSCILLATOR CIRCUIT | 1 |
Shusaku Kawasaki | JP | Osaka | 2014-10-02 / 20140293510 - ELECTRIC STORAGE DEVICE AND METHOD OF MANUFACTURE THEREOF | 12 |
Takuji Kawasaki | JP | Ebina-Shi | 2009-06-25 / 20090160166 - AIRBAG APPARATUS AND METHODS | 1 |
Hisao Kawasaki | JP | Kanagawa-Ken | 2015-12-03 / 20150348841 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 14 |
Hiroharu Kawasaki | JP | Miyaki-Gun | 2009-01-15 / 20090017368 - Power Supply Pack Structure | 1 |
Tomoya Kawasaki | JP | Aichi-Ken | 2008-10-30 / 20080269992 - Collision prediction apparatus | 1 |
Tomoya Kawasaki | JP | Toyota-Shi | 2014-08-21 / 20140232587 - FRONT STRUCTURE AND REAR STRUCTURE OF VEHICLE | 10 |
Naoki Kawasaki | JP | Kariya-City | 2016-03-10 / 20160071415 - PARKING SPACE RECOGNITION APPARATUS AND PARKING SPACE RECOGNITION SYSTEM | 2 |
Yoshiaki Kawasaki | JP | Susono-Shi | 2010-09-09 / 20100227264 - Photoreceptor, Method Of Manufacturing Same, Image Formation Method, Image Forming Apparatus, And Process Cartridge | 4 |
Takashi Kawasaki | JP | Koganei-Shi | 2014-05-01 / 20140118834 - Compound-Eye Unit | 2 |
Yoshiaki Kawasaki | JP | Shizuoka | 2014-06-19 / 20140170544 - ELECTROPHOTOGRAPHIC PHOTOCONDUCTOR AND METHOD FOR PRODUCING THE SAME | 6 |
Yoshiaki Kawasaki | US | Woodstock | 2014-12-11 / 20140363387 - COSMETIC COMPOSITIONS AND METHODS FOR ENHANCED UV PROTECTION | 6 |
Norihito Kawasaki | US | San Diego | 2013-06-27 / 20130164364 - LIPOSOME TARGETING COMPOUNDS AND RELATED USES | 1 |
Koji Kawasaki | JP | Kariya-Shi | 2015-08-06 / 20150217495 - SENSOR UNIT | 4 |
Takeshi Kawasaki | JP | Musashino | 2013-03-21 / 20130068949 - CHARGED PARTICLE BEAM DEVICE PROVIDED WITH AUTOMATIC ABERRATION CORRECTION METHOD | 14 |
Koichi Kawasaki | JP | Kanagawa | 2013-10-31 / 20130284406 - TEMPERATURE CONTROL DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Wataru Kawasaki | JP | Kanagawa | 2012-12-13 / 20120315039 - OPTICAL COMMUNICATION DEVICE AND SIGNAL ADJUSTING METHOD | 2 |
Yasuaki Kawasaki | JP | Nasushiobara-Shi | 2011-09-01 / 20110211673 - RADIATION DETECTION APPARATUS AND RADIOGRAPHIC APPARATUS | 1 |
Yuusuke Kawasaki | JP | Tokorozawa City | 2013-10-31 / 20130284126 - BRUSHCUTTER | 1 |
Hidekuni Kawasaki | JP | Wako | 2010-09-09 / 20100223967 - STEERING LOCK STRUCTURE FOR VEHICLE WITH SADDLE | 1 |
Kenichi Kawasaki | JP | Kamakura-Shi | 2010-10-28 / 20100274026 - Virus therapeutic drug | 1 |
Kenichi Kawasaki | JP | Kanagawa | 2010-06-17 / 20100152457 - Intermediate compound for synthesis of viridiofungin a derivative | 3 |
Kenichi Kawasaki | JP | Kanagawa-Ken | 2009-12-10 / 20090306043 - PYRROLIDINE ETHER DERIVATIVES AS NK3 RECEPTOR ANTAGONISTS | 2 |
Kenichi Kawasaki | US | San Diego | 2009-11-12 / 20090280769 - SYSTEM AND METHOD FOR STANDBY MODE IN DIRECTIONAL SIGNAL RECEIVER | 1 |
Kenichi Kawasaki | JP | Fujisawa-Shi | 2009-02-12 / 20090042896 - PYRROLIDINE ARYL-ETHER AS NK3 RECEPTOR ANTAGONISTS | 1 |
Toshiharu Kawasaki | JP | Tokyo | 2010-03-04 / 20100055361 - HEAT SHRINKABLE MULTILAYER FILM | 1 |
Kaoru Kawasaki | JP | Tokyo | 2016-05-12 / 20160130680 - COLD-ROLLED STEEL SHEET, GALVANIZED COLD-ROLLED STEEL SHEET AND METHOD OF MANUFACTURING THE SAME | 12 |
Satoshi Kawasaki | JP | Tokyo | 2015-08-20 / 20150234680 - TASK CONTROL DEVICE | 4 |
Akiko Kawasaki | JP | Tokyo | 2011-03-10 / 20110059957 - BENZENE OR THIOPHENE DERIVATIVE AND USE THEREOF AS VAP-1 INHIBITOR | 2 |
Shintaro Kawasaki | JP | Kariya-Shi | 2009-07-16 / 20090178556 - METHOD FOR SEPARATING GAS COMPONENTS AND SEPARATOR FOR THE SAME | 1 |
Ryoichi Kawasaki | JP | Lsesaki-Shi | 2011-08-25 / 20110205879 - OPTICAL PICK-UP DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Osamu Kawasaki | JP | Hiroshima | 2011-08-25 / 20110205465 - PLANAR LIGHT SOURCE, DISPLAY DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Tomihisa Kawasaki | JP | Tokyo | 2011-08-25 / 20110207655 - CYCLIC DEPSIPEPTIDE COMPOUND AND USE THEREOF | 2 |
Isao Kawasaki | JP | Tokyo | 2011-01-27 / 20110019452 - AC-DC CONVERTER AND COMPRESSOR DRIVING APPARATUS AND AIR CONDITIONING APPARATUS USING THE SAME | 1 |
Yoshihiro Kawasaki | JP | Tokyo | 2015-12-24 / 20150371766 - COIL COMPONENT AND METHOD OF PRODUCING THE SAME | 3 |
Yoshiyasu Kawasaki | JP | Tokyo | 2016-01-07 / 20160002762 - HIGH-STRENGTH STEEL SHEET AND PRODUCTION METHOD THEREFOR AND HIGH-STRENGTH GALVANIZED STEEL SHEET AND PRODUCTION METHOD THEREFOR (AS AMENDED) | 10 |
Yumiko Kawasaki | JP | Yokohama-Shi | 2013-10-24 / 20130280399 - MALT BEVERAGE HAVING REDUCED WORT OFF-FLAVOR AND PROCESS FOR PRODUCTION THEREOF | 1 |
Mitsuhiro Kawasaki | JP | Tokyo | 2012-11-08 / 20120279258 - METHOD OF DEHYDRATING AND SINTERING POROUS PREFORM FOR OPTICAL FIBER AND DEHYDRATION-SINTERING FURNACE | 3 |
Yoko Kawasaki | JP | Tokyo | 2014-07-03 / 20140186433 - Cosmetic Treatment System and Methods | 2 |
Toshimitsu Kawasaki | JP | Tokyo | 2010-10-07 / 20100254039 - LIBRARY DEVICE, METHOD OF TAKING OUT DATA CARTRIDGE, AND METHOD OF RECEIVING THE DATA CARTRIDGE | 3 |
Kimihiko Kawasaki | JP | Tokyo | 2012-05-31 / 20120132831 - ENERGY APPLICATION DEVICE AND ENERGY APPLICATION METHOD | 2 |
Muneo Kawasaki | JP | Tokyo | 2010-08-19 / 20100211511 - ARTICLE RESIDUAL VALUE PREDICTING DEVICE | 1 |
Shumpei Kawasaki | JP | Tokyo | 2012-01-26 / 20120023281 - Single-chip microcomputer | 4 |
Shinichiro Kawasaki | JP | Kamakura-Shi | 2011-08-18 / 20110202928 - RESOURCE MANAGEMENT METHOD AND EMBEDDED DEVICE | 1 |
Masanori Kawasaki | JP | Osaka-Shi | 2011-08-18 / 20110201086 - METHOD FOR PRODUCING RECOMBINANT VIRUS | 1 |
Atsushi Kawasaki | JP | Tokyo | 2015-07-30 / 20150210512 - MOVING HANDRAIL FOR PASSENGER CONVEYOR, AND DEVICE FOR MANUFACTURING MOVING HANDRAIL FOR PASSENGER CONVEYOR | 4 |
Takafumi Kawasaki | JP | Tokyo | 2013-02-28 / 20130050854 - LENS BARREL AND IMAGING APPARATUS | 4 |
Takao Kawasaki | JP | Tokyo | 2010-06-24 / 20100154757 - ENGINE INTAKE QUANTITY CONTROL APPARATUS | 1 |
Daisuke Kawasaki | JP | Tokyo | 2016-01-28 / 20160028123 - NONAQUEOUS ELECTROLYTE SOLUTION SECONDARY BATTERY | 26 |
Takuya Kawasaki | JP | Tokyo | 2012-03-29 / 20120075344 - INFORMATION DISPLAY DEVICE | 4 |
Jun Kawasaki | JP | Tokyo | 2009-11-12 / 20090280570 - METHOD FOR TESTING EFFICACY OF ANTITHROMBOTIC AGENT | 1 |
Hisashi Kawasaki | JP | Tokyo | 2009-10-15 / 20090258398 - Process for the Production of beta-Amino Acids Using Acylase | 1 |
Hiroyuki Kawasaki | JP | Tokyo | 2016-02-25 / 20160052107 - POLISHING METHOD | 8 |
Yoshinobu Kawasaki | JP | Tokyo | / - | 1 |
Kenichi Kawasaki | JP | Echizen-Shi | 2014-10-23 / 20140312744 - MULTILAYER ELECTRONIC COMPONENT AND METHOD FOR MANUFACTURING THE SAME | 30 |
Yuichi Kawasaki | JP | Tokyo | 2009-06-11 / 20090149634 - POROUS BODY COMPRISING APATITE/COLLAGEN COMPOSITE FIBERS AND ITS PRODUCTION METHOD | 1 |
Shinya Kawasaki | JP | Tokyo | 2015-08-27 / 20150245315 - MULTICAST TRANSMISSION TERMINAL, MULTICAST RECEPTION TERMINAL, MULTICAST SYSTEM, COMPUTER READABLE STORAGE DEVICE, MULTICAST TRANSMISSION METHOD, AND MULTICAST RECEPTION METHOD | 7 |
Koh Kawasaki | JP | Tokyo | 2009-02-19 / 20090048223 - Sulfonamide compound | 1 |
Junichi Kawasaki | JP | Tokyo | 2015-05-07 / 20150126609 - PHARMACEUTICAL COMPOSITION COMPRISING 11-DEOXY -PROSTAGLANDIN COMPOUND AND METHOD FOR STABILIZING THE COMPOUND | 3 |
Makoto Kawasaki | JP | Tokyo | 2009-01-15 / 20090019240 - Information processing device, information processing method, and computer program | 1 |
Somei Kawasaki | JP | Saitama-Shi | 2015-02-12 / 20150042256 - SERVO APPARATUS, AND CONTROLLING METHOD OF SERVO APPARATUS | 15 |
Takahiko Kawasaki | JP | Tokyo | 2013-07-18 / 20130181249 - LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME, AND LIGHT EMITTING UNIT | 2 |
Hidekazu Kawasaki | JP | Tokyo | 2015-12-31 / 20150380172 - PHOTOELECTRIC CONVERSION ELEMENT AND SOLAR CELL COMPRISING SAME | 12 |
Mitsuo Kawasaki | JP | Kyoto-Shi | 2013-10-17 / 20130271841 - RAMAN SCATTERING LIGHT ENHANCING DEVICE | 1 |
Masahiro Kawasaki | JP | Himeji-Shi | 2013-10-17 / 20130271841 - RAMAN SCATTERING LIGHT ENHANCING DEVICE | 1 |
Ritsuya Kawasaki | JP | Kobe-Shi | 2016-03-17 / 20160075913 - SOLUTION OF AROMATIC POLYAMIDE FOR PRODUCING DISPLAY ELEMENT, OPTICAL ELEMENT, ILLUMINATION ELEMENT OR SENSOR ELEMENT | 13 |
Sachiko Kawasaki | JP | Tokyo | 2015-11-19 / 20150333586 - PERMANENT MAGNET TYPE MOTOR AND ELECTRIC POWER STEERING APPARATUS | 5 |
Kazuhiro Kawasaki | JP | Kanagawa | 2015-10-29 / 20150306696 - WELDING EQUIPMENT FOR METALLIC MATERIALS AND METHOD FOR WELDING METALLIC MATERIALS | 3 |
Ryoichi Kawasaki | JP | Gunma | 2012-07-05 / 20120170441 - OPTICAL PICKUP APPARATUS | 17 |
Takehiko Kawasaki | JP | Tokyo | 2010-09-02 / 20100221030 - Sheet material identifying apparatus, sheet material treating apparatus and sheet material identifying Method | 1 |
Kosei Kawasaki | JP | Tokyo | 2009-09-10 / 20090224414 - EVAPORATIVE HUMIDIFIER | 1 |
Kiyoshi Kawasaki | JP | Tokyo | 2009-08-13 / 20090201365 - Skin Condition Diagnosis System And Counseling System For Beauty | 1 |
Kunihiko Kawasaki | JP | Tokyo | 2009-05-07 / 20090115563 - Laminated inductor and method of manufacture of same | 4 |
Toru Kawasaki | JP | Tokyo | 2009-01-29 / 20090030041 - N-substituted N-(4-piperidinyl) Amide Derivative | 1 |
Mitsuo Kawasaki | JP | Tokyo | 2008-10-30 / 20080266722 - THIN-FILM MAGNETIC HEAD | 1 |
Yoshiyasu Kawasaki | JP | Chiba | 2015-02-12 / 20150044503 - METHOD FOR MANUFACTURING HIGH STRENGTH GALVANIZED STEEL SHEET AND HIGH STRENGTH GALVANIZED STEEL SHEET | 5 |
Takashi Kawasaki | JP | Toyota-Shi | 2009-05-21 / 20090132154 - CONTROL APPARATUS FOR INTERNAL COMBUSTION ENGINE | 1 |
Takashi Kawasaki | JP | Miyazaki | 2009-10-15 / 20090256478 - PLASMA DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME | 1 |
Takashi Kawasaki | JP | Osaka | 2015-06-11 / 20150159757 - GLAND PACKING | 6 |
Takashi Kawasaki | JP | Fukuoka | 2011-11-24 / 20110287247 - COMPOSITE PARTICLES, PROCESS FOR PRODUCING THE COMPOSITE PARTICLES, HOLLOW PARTICLES, PROCESS FOR PRODUCING THE HOLLOW PARTICLES, AND USE OF THE HOLLOW PARTICLES | 2 |
Takashi Kawasaki | JP | Machida-Shi | 2010-10-14 / 20100261007 - SILICON PARTICLE, SILICON PARTICLE SUPERLATTICE AND METHOD FOR PRODUCING THE SAME | 2 |
Takashi Kawasaki | JP | Chiba | 2010-12-23 / 20100323141 - ACRYLIC RUBBER | 1 |
Takashi Kawasaki | JP | Aichi-Ken | 2010-07-01 / 20100167368 - PROCESS FOR PRODUCTION OF MONOSACCHARIDE AND PROCESS FOR PRODUCTION OF ETHANOL BOTH UTILIZING CELLULOSE-BASED SUBSTANCE | 1 |
Takashi Kawasaki | JP | Susono-Shi | 2015-05-14 / 20150128911 - INTERNAL COMBUSTION ENGINE PROVIDED WITH VARIABLE COMPRESSION RATIO MECHANISM | 6 |
Takashi Kawasaki | JP | Hitachi | 2010-09-30 / 20100244687 - PLASMA DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yoshinao Kawasaki | JP | Kumage-Gun | 2009-09-03 / 20090220322 - Vacuum Processing Apparatus And Semiconductor Manufacturing Line Using The Same | 1 |
Kazuyoshi Kawasaki | JP | Osaka | 2012-04-19 / 20120095151 - COMPLEX-SHAPED FLUORORUBBER FORMED PRODUCT | 8 |
Ken'Ichi Kawasaki | JP | Osaka | 2012-09-06 / 20120223173 - FISHING REEL | 3 |
Nobuyuki Kawasaki | JP | Shioya-Gun | 2011-07-28 / 20110180398 - WATER ELECTROLYSIS APPARATUS | 1 |
Haruhisa Kawasaki | JP | Gifu-Shi | 2013-10-24 / 20130278500 - SIDE-TYPE FORCE SENSE INTERFACE | 2 |
Tomonori Kawasaki | JP | Omura-Shi | 2010-04-15 / 20100093177 - METHOD OF CLEANING SEMICONDUCTOR WAFER AND SEMICONDUCTOR WAFER | 1 |
Tomonori Kawasaki | JP | Nagasaki | 2012-12-06 / 20120305187 - ETCHING METHOD AND ETCHING APPARATUS OF SEMICONDUCTOR WAFER | 3 |
Tomonori Kawasaki | JP | Hiratsuka-Shi | 2009-02-12 / 20090042482 - ROUGH POLISHING METHOD OF SEMICONDUCTOR WAFER AND POLISHING APPARATUS OF SEMICONDUCTOR WAFER | 1 |
Kaoru Kawasaki | JP | Himeji-Shi | 2008-12-18 / 20080308200 - Steel Sheet Excellent in Workability and Method for Producing the Same | 2 |
Takehiko Kawasaki | JP | Atsugi-Shi | 2009-01-08 / 20090007630 - SHEET MATERIAL DISCRIMINATION APPARATUS, SHEET MATERIAL INFORMATION OUTPUT APPARATUS, AND IMAGE FORMING APPARATUS | 2 |
Keiko Kawasaki | JP | Haga-Gun | 2010-09-16 / 20100233742 - Method of Quantifying Autoinducer-2 | 1 |
Yukio Kawasaki | JP | Toyama | 2010-08-12 / 20100202848 - MACHINE TOOL | 1 |
Yuji Kawasaki | JP | Atsugi | 2010-10-28 / 20100271471 - INFORMATION PROCESSING SYSTEM | 1 |
Yoshinori Kawasaki | JP | Anpachi-Gun | 2008-08-21 / 20080199154 - Apparatus and method with frame-by-frame display control | 1 |
Yoshiki Kawasaki | JP | Toyota-Shi | 2013-04-18 / 20130091970 - FREE-FORM SURFACE GEAR | 2 |
Yoshihiro Kawasaki | JP | Ome | 2010-07-22 / 20100181647 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 2 |
Yogo Kawasaki | JP | Ogaki | 2012-03-22 / 20120067633 - MULTI-LAYER PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING MULTI-LAYER PRINTED CIRCUIT BOARD | 2 |
Yogo Kawasaki | JP | Ogaki-Shi | 2008-11-20 / 20080283282 - MULTI-LAYER PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING MULTI-LAYER PRINTED CIRCUIT BOARD | 1 |
Yasuhiko Kawasaki | JP | Hino-Shi | / - | 1 |
Yasuhiko Kawasaki | JP | Higashiyamato-Shi | 2012-01-05 / 20120000687 - Waterproof Structure and Electronic Equipment | 3 |
Wataru Kawasaki | JP | Kawasaki | 2014-12-04 / 20140355980 - OPTICAL COMMUNICATION APPARATUS AND CONTROL METHOD THEREOF | 5 |
Toshiya Kawasaki | JP | Chiba | 2009-02-05 / 20090035592 - COMPOUND OXIDE FILM AND METHOD FOR MANUFACTURING SAME, AND DIELECTRIC MATERIAL, PIEZOELECTRIC MATERIAL, CAPACITOR, PIEZOELECTRIC ELEMENT AND ELECTRONIC DEVICE WHICH INCLUDE COMPOUND OXIDE FILM | 1 |
Tomohiro Kawasaki | JP | Sagamihara-Shi | 2013-06-06 / 20130141741 - IMAGE FORMING APPARATUS | 2 |
Tomohiro Kawasaki | JP | Fuchu-Cho | 2010-08-05 / 20100193340 - POWER SEAT CONTROL UNIT | 1 |
Tomohiro Kawasaki | JP | Otawara-Shi | 2014-10-09 / 20140303493 - SURGERY ASSISTING APPARATUS | 12 |
Tetsuo Kawasaki | JP | Katano-Shi | 2009-05-07 / 20090115554 - ELECTRONIC PART AND ELECTRONIC EQUIPMENT WITH ELECTRONIC PART | 1 |
Takeshi Kawasaki | JP | Kawasaki | 2016-02-11 / 20160044636 - APPARATUS AND METHOD FOR DISTRIBUTING DATA VIA DIFFERENT COMMUNICATION METHODS | 5 |
Takeshi Kawasaki | JP | Kudamatsu-Shi | 2008-10-02 / 20080236965 - TRANSPORTATION DEVICE | 2 |
Takayuki Kawasaki | JP | Inuyama-Shi | 2009-05-21 / 20090126434 - PRESS MACHINE, AND METHOD FOR CONTROLLING PRESS MACHINE | 1 |
Takayuki Kawasaki | JP | Matsubara-Shi | 2009-07-30 / 20090190009 - Solid-state image capturing apparatus, mounting method of solid-state image capturing apparatus, manufacturing method of solid-state image capturing apparatus, and electronic information device | 1 |
Takafumi Kawasaki | JP | Utsunomiya-Shi | 2008-09-04 / 20080212061 - ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD | 1 |
Susumu Kawasaki | JP | Tsukuba | 2010-04-15 / 20100092946 - Genetic methods for speciating Campylobacter | 2 |
Subaru Kawasaki | JP | Ichihara-Shi | 2011-02-24 / 20110043747 - Liquid Crystal Composition and Liquid Crystal Display Device | 1 |
Subaru Kawasaki | JP | Chiba | 2014-11-20 / 20140339472 - LIQUID CRYSTAL COMPOSITION AND LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Somei Kawasaki | JP | Saitama-Ken | 2009-03-12 / 20090066613 - DISPLAY APPARATUS | 1 |
Shuichi Kawasaki | JP | Aichi | 2009-10-08 / 20090249800 - LOW-TEMPERATURE-SLUSH-FLUID PRODUCING APPARATUS | 1 |
Shuichi Kawasaki | JP | Aichi-Ken | 2009-07-02 / 20090165640 - Booster pump and low-temperature-fluid storage tank having the same | 1 |
Shuichi Kawasaki | JP | Nasushiobara-Shi | 2010-12-30 / 20100331700 - ULTRASONIC DIAGNOSIS APPARATUS, AUTOMATIC SUPPORT APPARATUS, AND AUTOMATIC SUPPORT METHOD | 3 |
Shuichi Kawasaki | JP | Shimonoseki-Shi | 2009-06-18 / 20090152447 - Photo Detector and Photo Detection Apparatus Provided with Photo Detector | 1 |
Shinya Kawasaki | JP | Sagamihara-Shi | 2012-08-09 / 20120200685 - ENDOSCOPE SCOPE AND WIRELESS ENDOSCOPE SYSTEM | 6 |
Shinichiro Kawasaki | JP | Kawasaki | 2010-08-05 / 20100197357 - Portable Terminal | 2 |
Seiji Kawasaki | JP | Hiroshima | 2012-02-23 / 20120042994 - MANUFACTURING METHOD OF HIGH-STRENGTH AND HIGH-TOUGHNESS THIN STEEL AND HEAT TREATMENT APPARATUS | 5 |
Satoshi Kawasaki | JP | Kobe-Shi | 2010-05-06 / 20100113663 - RUBBER COMPOSITION FOR BASE TREAD AND TIRE | 1 |
Satoru Kawasaki | JP | Mobara | 2013-09-12 / 20130235308 - Display Device | 4 |
Ryoichi Kawasaki | JP | Isesaki-Shi | 2012-11-01 / 20120275289 - LIGHT EMITTING DEVICE, OPTICAL PICKUP APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 20 |
Osamu Kawasaki | JP | Osaka-Shi | 2015-11-12 / 20150323711 - SCATTERER SUBSTRATE | 3 |
Osamu Kawasaki | JP | Mihara-Shi | 2009-07-02 / 20090168406 - PLANAR LIGHT SOURCE, DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Noboru Kawasaki | JP | Chiba | 2011-01-13 / 20110008630 - HYDROPHILIC FILM | 1 |
Naoya Kawasaki | JP | Kosai-Shi | 2010-09-30 / 20100243321 - Motor cable assembly and method of manufacturing cable main body of the same | 1 |
Naoto Kawasaki | JP | Yokohama | 2011-09-01 / 20110213895 - APPARATUS FOR SUPPORTING CREATION OF ACCESS PATH FROM HOST TO LOGICAL VOLUME | 2 |
Naoki Kawasaki | JP | Kariya-Shi | 2016-05-19 / 20160137202 - TRAVEL LANE MARKING RECOGNITION APPARATUS | 27 |
Mitsuo Kawasaki | JP | Niigata-Ken | 2008-10-30 / 20080266720 - THIN FILM MAGNETIC HEAD AND METHOD OF MANUFACTURING THE SAME | 1 |
Mitsuo Kawasaki | JP | Kyoto | 2009-11-26 / 20090291302 - Method for producing nanometer-size wires and nanometer-size wire | 2 |
Minoru Kawasaki | JP | Aichi | / - | 1 |
Michikata Kawasaki | JP | Gyoda-Shi | 2011-03-03 / 20110051325 - POWER SUPPLY SYSTEM | 1 |
Masayuki Kawasaki | JP | Sakai-Shi | 2011-03-24 / 20110067892 - Dozer Apparatus | 1 |
Masayuki Kawasaki | JP | Saitama | 2009-05-14 / 20090122121 - INK STORAGE CONTAINER | 1 |
Masanori Kawasaki | JP | Tokushima-Shi | 2010-09-16 / 20100233202 - NOVEL VIRAL VECTOR | 1 |
Masanori Kawasaki | JP | Tokushima | 2015-08-13 / 20150224099 - ANTITUBERCULOUS COMPOSITION COMPRISING OXAZOLE COMPOUNDS | 2 |
Masaki Kawasaki | JP | Aichi | 2010-02-04 / 20100028175 - ELECTRIC COMPRESSOR FOR VEHICLE AIR CONDITIONER | 2 |
Masahiro Kawasaki | JP | Ibaraki | 2010-09-16 / 20100233569 - ELECTROLYTE MULTILAYER MEMBRANE FOR SOLID POLYMER FUEL CELL, MEMBRANE-ELECTRODE ASSEMBLY, AND FUEL CELL | 1 |
Masahiro Kawasaki | JP | Tsukuba | 2010-04-15 / 20100090220 - THIN FILM TRANSISTOR AND SEMICONDUCTOR DEVICE USING THE SAME | 1 |
Masaaki Kawasaki | JP | Chiba | 2009-01-22 / 20090023619 - Viscosity modifier of lubricating oil for power transmission system and lubricating oil composition for power transmission system | 1 |
Manabu Kawasaki | JP | Otsu-Shi | 2011-01-20 / 20110014448 - Paste composition and dielectric composition using the same | 1 |
Kazuyoshi Kawasaki | JP | Settsu-Shi | 2011-01-27 / 20110021690 - FLUORINE-CONTAINING ELASTOMER COMPOSITION | 1 |
Kazuya Kawasaki | JP | Saitama | 2010-12-16 / 20100317126 - AGGLUTINATION ASSAY METHOD IN POROUS MEDIUM LAYER | 1 |
Kazuo Kawasaki | JP | Niwa-Gun | 2010-03-11 / 20100061830 - PROCESSING MACHINE | 1 |
Kazuhiro Kawasaki | JP | Hiratsuka-Shi | 2009-06-25 / 20090159157 - HEAT TREATING DEVICE AND HEAT TREATING METHOD | 1 |
Junichi Kawasaki | JP | Toyama-Shi | 2014-05-01 / 20140121827 - SUBSTRATE PROCESSING APPARATUS AND METHOD OF PROCESSING ERROR OF SUBSTRATE PROCESSING APPARATUS | 3 |
Junichi Kawasaki | JP | Tokushima-Shi | 2010-05-27 / 20100130508 - PHARMACEUTICAL COMPOSITION COMPRISING 2,3-DIHYDRO-6-NITROIMIDAZO [2,1-B] OXAZOLE DERIVATIVES | 1 |
Junichi Kawasaki | JP | Chiyoda-Ku | 2012-09-13 / 20120232139 - COMPOSITION FOR OCULAR TOPICAL ADMINISTRATION TREATMENT OCULAR HYPERTENSION AND GLAUCOMA | 2 |
Hisashi Kawasaki | JP | Takatsuki | 2010-09-23 / 20100240613 - PYRIMIDINE COMPOUND AND MEDICAL USE THEREOF | 2 |
Hiroyuki Kawasaki | JP | Miyagi | 2011-03-10 / 20110059285 - OPTICAL RECORDING MEDIUM, AND METHOD FOR PRODUCING OPTICAL RECORDING MEDIUM | 1 |
Hiroki Kawasaki | JP | Okayama | 2008-10-02 / 20080242899 - Process for Production of Purified Alcohols | 1 |
Hirokazu Kawasaki | JP | Shizuoka | 2009-10-08 / 20090252723 - Remedy for endometriosis | 1 |
Hidetoshi Kawasaki | JP | Odawara-Shi | 2014-10-30 / 20140319778 - GASKET DEVICE FOR PRE-FILLED SYRINGE | 4 |
Daisuke Kawasaki | JP | Chuo-Ku | 2010-06-10 / 20100143366 - ANTITUMOR AGENT | 2 |
Akira Kawasaki | JP | Fukuoka | 2008-11-06 / 20080271234 - SIPHON JET TOILET | 1 |
Akihiko Kawasaki | JP | Amagasaki-Shi | 2009-07-23 / 20090185181 - Method for measuring a surface plasmon resonance and noble metal compound used for the same | 1 |
Akihiko Kawasaki | JP | Yamato | 2009-11-19 / 20090287654 - Device for identifying electronic file based on assigned identifier | 3 |
Kenji Kawasaki | JP | Anjo-Shi | 2009-07-02 / 20090167102 - Starter motor | 1 |
Kenji Kawasaki | JP | Hitachi | 2009-05-14 / 20090121718 - PROBE FOR NMR MEASUREMENT | 3 |
Kenji Kawasaki | JP | Hyogo | 2011-08-25 / 20110206525 - TURBINE ROTOR AND MANUFACTURING METHOD OF TURBINE ROTOR | 5 |
Kenji Kawasaki | JP | Tokyo | 2015-07-02 / 20150183555 - POUCH CONTAINER | 21 |
Kenji Kawasaki | JP | Settsu-Shi | 2011-03-31 / 20110073167 - POLYCHLOROTRIFLUOROETHYLENE FILM AND BACKSIDE PROTECTIVE SHEET FOR SOLAR CELL | 2 |
Youji Kawasaki | JP | Utsunomiya-Shi | 2016-04-21 / 20160108142 - COMPOUND, PHOTOCURABLE COMPOSITION, AND METHODS FOR PRODUCING PATTERNED FILM, OPTICAL COMPONENT, CIRCUIT BOARD, ELECTRONIC COMPONENT BY USING THE PHOTOCURABLE COMPOSITION, AND CURED PRODUCT | 6 |
Hiroaki Kawasaki | JP | Nirasaki City | 2015-09-24 / 20150270166 - Method of Fabricating Semiconductor Device Including A Substrate Having Copper Interconnects | 2 |
Akihisa Kawasaki | JP | Kanagawa | 2015-12-10 / 20150356359 - PARKING ASSISTANCE SYSTEM AND PARKING ASSISTANCE METHOD | 2 |
Koji Kawasaki | JP | Anjo-Shi | 2015-09-24 / 20150266528 - TRAVELING BODY | 14 |
Daisuke Kawasaki | JP | Kanagawa | 2013-09-19 / 20130244086 - NEGATIVE ELECTRODE FOR SECONDARY BATTERY AND METHOD FOR MANUFACTURING THE SAME, AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Akiko Kawasaki | JP | Haga-Gun | 2015-08-27 / 20150238404 - Transglutaminase Activator | 2 |
Masashi Kawasaki | US | 2011-07-21 / 20110175090 - SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE | 1 | |
Masahiro Kawasaki | US | Peabody | 2011-07-21 / 20110174971 - Phase contrast imaging and preparing a tem therefor | 1 |
Hiroaki Kawasaki | JP | Nagoya-Shi | 2014-03-20 / 20140079325 - IMAGE INFORMATION PROCESSING SYSTEM, IMAGE INFORMATION PROCESSOR AND RECORDING MEDIA | 6 |
Hisao Kawasaki | JP | Kawasaki-City | 2008-09-25 / 20080230813 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR | 1 |
Hisao Kawasaki | JP | Kanagawa-Ken | 2015-12-03 / 20150348841 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 14 |
Hisao Kawasaki | FR | Grenoble | 2010-12-02 / 20100301487 - IMPROVEMENTS IN OR RELATING TO INTEGRATED CIRCUIT RELIABILITY | 1 |
Hisao Kawasaki | JP | Yokohama-City | 2010-12-16 / 20100314769 - FOR REDUCING ELECTROMIGRATION EFFECT IN AN INTEGRATED CIRCUIT | 1 |
Takehiko Kawasaki | JP | Kamakura-Shi | 2014-11-13 / 20140331491 - ELECTROMECHANICAL TRANSDUCER | 12 |
Akihiro Kawasaki | JP | Kanagawa | 2013-11-28 / 20130316275 - ELECTROPHOTOGRAPHIC PHOTORECEPTOR, IMAGE FORMING APPARATUS, AND PROCESS CARTRIDGE | 4 |
Masahiro Kawasaki | JP | Saitama | 2011-05-26 / 20110122280 - APPARATUS FOR DETECTING IN-FOCUS STATE | 1 |
Kenichi Kawasaki | JP | Nagaokakyo-Shi | 2015-11-12 / 20150325374 - LAMINATED CERAMIC ELECTRONIC COMPONENT AND MANUFACTURING METHOD THEREFOR | 9 |
Keizo Kawasaki | JP | Hiroshima | 2012-08-16 / 20120205944 - VEHICLE-BODY FRONT STRUCTURE | 2 |
Sadahiko Kawasaki | JP | Toki-City | 2011-03-17 / 20110065058 - ROTARY KILN AND PRODUCT | 2 |
Ryoichi Kawasaki | JP | Isesaki-Shi | 2012-11-01 / 20120275289 - LIGHT EMITTING DEVICE, OPTICAL PICKUP APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 20 |
Hiroaki Kawasaki | JP | Ashiya-Shi | 2012-12-06 / 20120304754 - SYSTEM, METHOD, AND PROGRAM FOR DETECTING DEFLATED TIRES | 8 |
Miho Kawasaki | JP | Nagano | 2011-06-02 / 20110128107 - CHIP INDUCTOR AND MANUFACTURING METHOD THEREOF | 1 |
Kenichiro Kawasaki | JP | Osaka | 2013-08-01 / 20130194642 - LIGHT GUIDING MEMBER, IMAGE READING DEVICE, AND IMAGE FORMING APPARATUS INCLUDING THE IMAGE READING DEVICE | 3 |
Koji Kawasaki | JP | Nishio-City | 2016-05-05 / 20160122018 - Observation Device | 1 |
Toshimitsu Kawasaki | JP | Shinagawa-Ku | 2011-06-09 / 20110131782 - METHOD OF REMOVING A CARTRIDGE | 1 |
Tetsu Kawasaki | JP | Nirasaki City | 2009-09-03 / 20090220892 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND RESIST COATING AND DEVELOPING SYSTEM | 1 |
Kenichi Kawasaki | JP | Kawasaki | 2015-01-29 / 20150028909 - SEMICONDUCTOR DEVICE | 21 |
Kiyohiro Kawasaki | JP | Osaka | 2011-08-11 / 20110195534 - Liquid Crystal Display Device and a Manufacturing Method of the Same | 3 |
Toshiaki Kawasaki | JP | Osaka | 2015-03-19 / 20150078061 - SEMICONDUCTOR MEMORY DEVICE AND SEMICONDUCTOR DEVICE MOUNTING THE SEMICONDUCTOR MEMORY DEVICE | 8 |
Naohiro Kawasaki | JP | Osaka | 2010-09-09 / 20100226104 - ELECTRICAL EQUIPMENT ASSEMBLY AND OUTDOOR UNIT OF AIR CONDITIONER DISPOSED WITH THE ELECTRICAL EQUIPMENT ASSEMBLY | 3 |
Takayuki Kawasaki | JP | Osaka | 2009-10-22 / 20090261440 - MICROLENS UNIT AND IMAGE SENSOR | 3 |
Yutaro Kawasaki | JP | Osaka | 2009-02-12 / 20090041943 - METHOD FOR FORMING BRIGHT COATING FILM | 1 |
Tetsuo Kawasaki | JP | Osaka | 2014-05-29 / 20140144237 - ELASTIC WAVE SENSOR | 2 |
Masako Kawasaki | JP | Tokyo | 2014-05-22 / 20140140967 - PLURIPOTENT STEM CELL-DERIVED BROWN ADIPOCYTES, PLURIPOTENT STEM CELL-DERIVED CELL AGGREGATE, METHOD FOR PRODUCING SAME, AND CELL THERAPY AND MEDICAL THERAPY THEREFOR | 1 |
Tokio Kawasaki | JP | Osaka | 2008-11-27 / 20080291709 - Switching power supply apparatus | 1 |
Masaki Kawasaki | JP | Osaka | 2008-10-09 / 20080246396 - Electroluminescent Element | 1 |
Nozomu Kawasaki | JP | Takatsuki-Shi | 2008-10-02 / 20080236116 - Dust Collecting Mechanism | 1 |
Kawasaki Jukogyo Kabushiki Kaisha | JP | Hyogo | 2013-07-04 / 20130167805 - FUEL SUPPLY STRUCTURE | 8 |
Kawasaki Jukogyo Kabushiki Kaisha | JP | Kobe-Shi | 2013-07-04 / 20130168039 - AIR GUIDE STRUCTURE OF RADIATOR IN STRADDLE VEHICLE | 2 |
Kawasaki Jukogyo Kabushiki Kaisha | JP | Hyogo | 2013-07-04 / 20130167805 - FUEL SUPPLY STRUCTURE | 8 |
Takeshi Kawasato | JP | Chiyoda-Ku | 2016-02-25 / 20160056458 - PRODUCTION METHOD OF LITHIUM-CONTAINING COMPOSITE OXIDE AND LITHIUM-CONTAINING COMPOSITE OXIDE | 2 |
Takashi Kawasato | JP | Tokyo | 2012-04-19 / 20120095672 - METHOD FOR LOCATING ROAD SHAPES USING ERRONEOUS MAP DATA | 2 |
Takeshi Kawasato | JP | Chigasaki-Shi | 2011-11-24 / 20110287321 - SURFACE MODIFIED LITHIUM-CONTAINING COMPOSITE OXIDE FOR CATHODE ACTIVE MATERIAL FOR LITHIUM ION SECONDARY BATTERY AND ITS PRODUCTION PROCESS | 6 |
Hironobu Kawasato | JP | Chiba | 2009-08-13 / 20090202786 - Photosensitive Resin Composition and Circuit Substrate Employing the Same | 1 |
Takayuki Kawasato | JP | Osaka | 2013-05-30 / 20130135670 - IMAGE FORMING SYSTEM, SERVER APPARATUS AND IMAGE FORMING APPARATUS | 2 |
Takeshi Kawasato | JP | Tokyo | 2014-07-31 / 20140212758 - CATHODE ACTIVE MATERIAL FOR LITHIUM ION SECONDARY BATTERY, AND PROCESS FOR ITS PRODUCTION | 5 |
Hisao Kawasato | JP | Tokyo | 2015-05-07 / 20150122879 - INFORMATION COMMUNICATION METHOD AND INFORMATION COMMUNICATION APPARATUS | 3 |
Yasuyuki Kawasato | JP | Kitaibaraki | 2009-12-03 / 20090293478 - CONDENSER AND STEAM TURBINE POWER PLANT | 1 |
Ryuji Kawase | JP | Hyogo | 2015-10-01 / 20150280285 - ACCUMULATOR SYSTEM | 2 |
Takahiro Kawase | JP | Osaka-Shi | 2011-11-24 / 20110288315 - PROCESS FOR PRODUCTION OF OPTICALLY ACTIVE COMPOUND | 1 |
Kenichi Kawase | JP | Tokyo | 2016-04-14 / 20160104894 - ANODE AND BATTERY USING THE SAME | 1 |
Kei Kawase | JP | Nagoya-Shi | 2013-10-03 / 20130255458 - CUTTING PLOTTER AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 5 |
Hidemichi Kawase | JP | Tokyo | 2015-07-16 / 20150200916 - Information Administration System | 1 |
Shigeru Kawase | JP | Osaka | 2013-03-14 / 20130065990 - ROSIN-MODIFIED PHENOLIC RESIN, PROCESS FOR PRODUCTION THEREOF, VARNISH FOR PRINTING INK, AND PRINTING INK | 2 |
Kazuhiko Kawase | JP | Inagi | 2015-07-30 / 20150210502 - PAPER SHEET HANDLING APPARATUS AND FOREIGN BODY SENSING MECHANISM | 1 |
Tomoyuki Kawase | JP | Nigata | 2012-01-05 / 20120003144 - BONE TISSUE REGENERATION WITH CALCIFIED SUBSTANCE PRODUCED BY CULTURED CELLS | 1 |
Satomi Kawase | US | 2015-08-13 / 20150229006 - SEALED BATTERY AND MANUFACTURING METHOD OF SEALED BATTERY | 1 | |
Satomi Kawase | JP | Miyoshi-Shi | 2015-08-13 / 20150229006 - SEALED BATTERY AND MANUFACTURING METHOD OF SEALED BATTERY | 2 |
Shido Kawase | JP | Kyoto | 2014-01-30 / 20140030749 - MODIFIED GLUCOSE DEHYDROGENASE | 1 |
Akio Kawase | JP | Fujisawa | 2009-10-08 / 20090253430 - Base Station Controller and Method for Restricting Access | 2 |
Yusuke Kawase | JP | Ashiya-Shi | 2015-05-14 / 20150133656 - NOVEL BICYCLIC THIAZOLE COMPOUNDS | 2 |
Atsuya Kawase | JP | Ako-Shi (hyogo) | 2010-07-22 / 20100183877 - MAGNESIUM OXIDE PARTICLE AGGREGATE AND METHOD FOR PRODUCING THE SAME | 1 |
Kodo Kawase | JP | Aichi-Ken | 2010-07-29 / 20100187089 - COLLECTION-AMOUNT DETECTION METHOD FOR PARTICULATE MATTERS AND COLLECTION-AMOUNT DETECTION APPARATUS THEREFOR AND EXHAUST-GAS CONVERTING APPARATUS | 1 |
Satomi Kawase | JP | Aichin-Ken | 2010-08-12 / 20100203371 - BATTERY | 1 |
Tsuyoshi Kawase | JP | Kofu-Shi | 2010-08-26 / 20100213072 - METHOD OF MANUFACTURING CHROMIUM PLATED ARTICLE AND CHROMIUM PLATING APPARATUS | 1 |
Masaya Kawase | JP | Osaka | 2010-10-21 / 20100267627 - SUPPORT FOR PROTEIN TRANSFER, PROTEIN TRANSFER AGENT USING THE SUPPORT, PROTEIN TRANSFER METHOD, CELL HAVING PROTEIN TRANSFERRED THEREINTO AND METHOD OF PRODUCING THE SAME | 1 |
Eihachiro Kawase | JP | Kyoto | 2015-01-29 / 20150031062 - METHOD FOR SORTING OF PLURIPOTENT CELLS | 2 |
Harumi Kawase | JP | Makinohara-Shi | 2014-02-13 / 20140043811 - LED LIGHTING UNIT | 3 |
Kei Kawase | JP | Kanagawa | 2012-08-30 / 20120218276 - Image Data Transmission Apparatus and Method for Image Display System | 1 |
Keigo Kawase | JP | Osaka | 2014-08-21 / 20140232931 - IMAGING SYSTEM AND IMAGING METHOD | 1 |
Shido Kawase | JP | Uji-Shi | 2012-12-06 / 20120309072 - METHOD FOR PURIFYING PROTEIN AND GLUCOSE DEHYDROGENASE | 1 |
Takeo Kawase | JP | Nagano | 2009-04-02 / 20090087751 - Solid electrolyte material of conducting lithium ion, battery device using the solid electrolyte material and all-solid lithium secondary battery provided with the battery device | 1 |
Yuichi Kawase | JP | Saitama | 2011-12-01 / 20110291524 - PIEZOELECTRIC DEVICES INCLUDING FREQUENCY-ADJUSTMENT UNITS | 1 |
Shoji Kawase | JP | Wako-Shi | 2012-08-23 / 20120210704 - EXHAUST MUFFLER FOR GENERAL-PURPOSE ENGINE | 1 |
Nobuhiro Kawase | JP | Makinohara-Shi | 2012-08-23 / 20120211260 - SHIELD WIRE, METHOD FOR PROCESSING TERMINAL TREATMENT OF BRAID OF THE SAME AND APPARATUS FOR PROCESSING TERMINAL TREATMENT OF BRAID OF THAT | 2 |
Yoshitaka Kawase | JP | Yokohama-Shi | 2014-03-13 / 20140070384 - STACKED SEMICONDUCTOR DEVICE AND PRINTED CIRCUIT BOARD | 2 |
Yoshlaki Kawase | US | New York | 2013-10-31 / 20130287739 - SERCA2 THERAPEUTIC COMPOSITIONS AND METHODS OF USE | 1 |
Haruhisa Kawase | US | Rochester Hills | 2012-11-29 / 20120297726 - Decorative Molding With Film | 8 |
Masayasu Kawase | JP | Yokohama-Shi | 2014-03-06 / 20140063955 - STORAGE DEVICE AND CONTROL METHOD OF NONVOLATILE MEMORY | 1 |
Kunihiro Kawase | JP | Gifu | 2012-02-16 / 20120040703 - BROADCASTING METHOD, ACCESS CONTROL APPARATUS AND RADIO APPARATUS | 2 |
Masayuki Kawase | JP | Ota-Ku | 2012-11-22 / 20120294690 - TOOL CUTTING APPARATUS AND TOOL CUTTING METHOD FOR WORKPIECE | 1 |
Yoshiyasu Kawase | JP | Mie | 2016-03-24 / 20160081973 - ACTIVATOR OF MITOCHONDRIA | 1 |
Michael Lee Kawase | CA | Oakville | 2010-12-23 / 20100325709 - Method to store and distribute digital entertainment media data on a portable non-volatile solid-state storage device with a customer pre-selected security indentifier and content management system | 1 |
Tomoyuki Kawase | JP | Shiga | 2015-01-29 / 20150028809 - CHARGING CABLE | 5 |
Eiji Kawase | JP | Kokubunji-Shi | 2011-09-08 / 20110216748 - WIRELESS COMMUNICATION APPARATUS AND WIRELESS COMMUNICATION METHOD | 1 |
Mitsuo Kawase | JP | Aichi-Ken | 2014-07-24 / 20140206567 - Method for Detecting Target Nucleic Acid | 1 |
Masayasu Kawase | JP | Yokohama | 2015-06-25 / 20150179234 - SEMICONDUCTOR SYSTEM AND POWER SOURCE CHIP | 1 |
Yosuke Kawase | JP | Gotemba-Shi | 2015-05-07 / 20150128298 - UROKINASE-TYPE PLASMINOGEN ACTIVATOR TRANSGENIC MOUSE | 1 |
Takaaki Kawase | JP | Tokyo | 2016-02-04 / 20160034271 - APPARATUS AND METHOD FOR SUPPORTING SHARING OF SOURCE CODE | 6 |
Harumi Kawase | JP | Shizuoka | 2012-02-16 / 20120037402 - ELECTRIC WIRE HOLDER | 1 |
Maiko Kawase | JP | Tokyo | 2013-04-18 / 20130093781 - EXAMINATION INFORMATION DISPLAY DEVICE AND METHOD | 2 |
Yasuhito Kawase | JP | Tokyo | 2015-05-21 / 20150136582 - GAS-LIQUID CONTACT DEVICE, DISTILLATION DEVICE, AND HEAT EXCHANGE DEVICE | 1 |
Taijun Kawase | JP | Tokyo | 2015-05-21 / 20150136582 - GAS-LIQUID CONTACT DEVICE, DISTILLATION DEVICE, AND HEAT EXCHANGE DEVICE | 1 |
Tsutomu Kawase | JP | Atsugi | 2014-03-13 / 20140069837 - INDICATOR FOR AN IMPACT DETECTOR, AN IMPACT DETECTOR, AND A PACKAGING CONTAINER | 1 |
Koji Kawase | TW | Taichung | 2013-10-17 / 20130273780 - CONNECTOR | 2 |
Kenji Kawase | JP | Kanagawa | 2014-05-01 / 20140123277 - MOBILE TERMINAL APPARATUS, NON-TRANSITORY COMPUTER READABLE MEDIUMS, SIGNAL PROCESSING METHOD, DOCUMENT STORAGE SERVER, AND DOCUMENT MANAGEMENT SYSTEM | 1 |
Minoru Kawase | JP | Kanagawa | 2014-03-13 / 20140070367 - SEMICONDUCTOR DEVICE | 1 |
Mitsuo Kawase | JP | Ghita-Shi | 2012-08-02 / 20120196765 - METHOD FOR DETECTION OR ANALYSIS OF TARGET SEQUENCE IN GENOMIC DNA | 1 |
Hidemichi Kawase | JP | Chuo-Ku | 2012-12-06 / 20120306870 - THREE DIMENSIONAL COORDINATE LOCATION DEVICE, METHOD FOR SAME, AND PROGRAM | 1 |
Haruhisa Kawase | US | Rochester Hills | 2012-11-29 / 20120297726 - Decorative Molding With Film | 8 |
Takeo Kawase | JP | Suwa-Shi | 2015-03-26 / 20150086874 - ELECTROCHEMICAL DEVICE | 17 |
Akira Kawase | JP | Osaka | 2016-02-18 / 20160047947 - CONCAVE AND CONVEX SURFACE OBJECT AND METHOD OF FABRICATING SAME | 12 |
Tatsuya Kawase | JP | Tokyo | 2016-05-19 / 20160141284 - SEMICONDUCTOR DEVICE | 7 |
Atsuya Kawase | JP | Ako-Shi | 2010-10-21 / 20100266845 - Magnesium Oxide Powder | 1 |
Kazumasa Kawase | JP | Tokyo | 2009-03-12 / 20090065942 - SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Mika Kawase | JP | Tokyo | 2009-07-02 / 20090169470 - PROCESS FOR PRODUCTION OF IRON OXYHYDROXIDE PARTICLES | 2 |
Ayako Kawase | JP | Gamou-Gun | 2010-11-11 / 20100287126 - BATTERY LEARNING SYSTEM | 4 |
Junya Kawase | JP | Yokohama-Shi | 2016-05-12 / 20160133429 - X-RAY GENERATING APPARATUS AND RADIOGRAPHY SYSTEM USING THE SAME | 7 |
Tomohiro Kawase | JP | Osaka | 2013-03-07 / 20130056362 - MANUFACTURE METHOD OF BUILDUP CIRCUIT BOARD | 6 |
Toshimitsu Kawase | JP | Ebina-Shi | 2011-06-23 / 20110148278 - DISPLAY APPARATUS | 6 |
Akira Kawase | JP | Hyogo | 2011-11-24 / 20110285281 - PLASMA DISPLAY PANEL | 8 |
Akira Kawase | JP | Tokyo | 2014-01-23 / 20140024817 - CRYSTAL OF SPIROKETAL DERIVATIVES AND PROCESS FOR PREPARATION OF SPIROKETAL DERIVATIVES | 5 |
Tomonori Kawase | JP | Tokyo | 2009-08-27 / 20090213937 - Image processing apparatus and method, program, and recording medium | 2 |
Reiko Kawase | JP | Tokyo | 2008-09-04 / 20080215335 - COMPUTER, DISPLAY CONTROL DEVICE, POINTER POSITION CONTROL METHOD, AND PROGRAM | 1 |
Satoshi Kawase | JP | Tokyo | 2008-09-18 / 20080227543 - Calculation control method, storage medium, and game device | 1 |
Kei Kawase | JP | Tokyo | 2015-01-22 / 20150021777 - MOUNTING STRUCTURE AND MOUNTING STRUCTURE MANUFACTURING METHOD | 2 |
Atsushi Kawase | JP | Tokyo | 2008-11-27 / 20080291616 - Information Processing Apparatus | 1 |
Yuichi Kawase | JP | Tokyo | 2012-03-15 / 20120061593 - Charged-Particle Beam Lithographic Apparatus and Lithographic Method Therefor | 2 |
Kenichiro Kawase | JP | Aichi-Ken | 2011-06-23 / 20110146242 - MALFUNCTION DIAGNOSTIC DEVICE FOR EXHAUST GAS CONTROL DEVICE | 1 |
Yuki Kawase | JP | Tokyo | 2009-04-23 / 20090105563 - BIOSENSOR AND COMPONENT CONCENTRATION MEASURING APPARATUS | 1 |
Naoto Kawase | JP | Tokyo | 2015-05-14 / 20150131767 - CONSTRUCTION METHOD, TUBULAR MEMBER, AND NUCLEAR POWER PLANT | 6 |
Takeshi Kawase | JP | Tokyo | 2009-05-07 / 20090117644 - RECOMBINANT HSV USEFUL FOR TREATMENT OF HUMAN GLIOMA | 1 |
Tsuyoshi Kawase | JP | Tokyo | 2009-06-04 / 20090140284 - Transparent Inorganic Oxide Dispersion and Iorganic Oxide Particle-Containing Resin Composition, Composition for Sealing Light Emitting Element and Light Emitting element, Hard Coat Film and Optical Functional Film and Optical Component, and Method for Producing Inorganic Oxide Pariticle-Containing Resin | 1 |
Mitsuo Kawase | JP | Chita-Shi | 2016-02-04 / 20160032367 - Method for Detection of Target Nucleic Acid | 2 |
Kazunori Kawase | JP | Osaka-Shi | 2012-07-12 / 20120176122 - CONTACT PROBE, LINKED BODY OF CONTACT PROBES, AND MANUFACTURING METHODS THEREOF | 1 |
Yoshiyuki Kawase | JP | Kyoto-Shi | 2009-09-17 / 20090233322 - COLORIMETRIC METHOD AND REAGENT USED FOR THE SAME | 1 |
Koichi Kawase | JP | Iyo-Gun | 2014-10-09 / 20140299253 - PREPREG PRODUCTION METHOD | 1 |
Masamiki Kawase | JP | Tokyo | 2015-07-09 / 20150192753 - IMAGING APPARATUS AND IMAGING SIGNAL CORRECTING METHOD | 5 |
Junichi Kawase | JP | Tokyo | 2011-07-21 / 20110176164 - Image forming apparatus | 1 |
Masahiro Kawase | JP | Hyogo | 2014-06-05 / 20140155416 - SUBSTITUTED PYRAZOLO[1,5-A] PYRIMIDINES AS CALCIUM RECEPTOR MODULATING AGENTS | 2 |
Hirofumi Kawase | JP | Tokyo | 2010-02-25 / 20100046736 - VOICE COMMUNICATION SYSTEM, EQUIPMENT AND PROGRAM | 1 |
Takahiko Kawase | JP | Tokyo | 2011-09-29 / 20110232700 - ENDOSCOPE CLEANING INSTRUMENT AND ENDOSCOPE CLEANING APPARATUS | 2 |
Kenichiro Kawase | JP | Okazaki-Shi | 2016-04-21 / 20160108858 - CONTROL APPARATUS AND CONTROL METHOD FOR INTERNAL COMBUSTION ENGINE | 3 |
Tomohiro Kawase | JP | Hirakata-Shi | 2010-09-02 / 20100219081 - COPPER ELECTROPLATING BATH | 2 |
Takeyuki Kawase | JP | Yamanashi | 2013-09-26 / 20130247370 - ELECTRONIC COMPONENT MOUNTING SYSTEM AND ELECTRONIC COMPONENT MOUNTING METHOD | 11 |
Nobuyuki Kawase | JP | Osaka-Shi | 2013-04-25 / 20130099816 - ACTIVE MATRIX SUBSTRATE, DISPLAY DEVICE, AND METHOD FOR TESTING THE ACTIVE MATRIX SUBSTRATE OR THE DISPLAY DEVICE | 2 |
Yoshiyuki Kawase | JP | Nagoya | 2009-07-30 / 20090189583 - SWITCHING POWER SUPPLY WITH SWITCHING CIRCUITS | 1 |
Yuki Kawase | JP | Kanagawa | 2014-07-17 / 20140200635 - PHOTOTHERAPY DEVICE | 3 |
Yasuhiro Kawase | JP | Miyagi | 2012-10-04 / 20120247961 - METAL OXIDE FILM, LAMINATE, METAL MEMBER AND PROCESS FOR PRODUCING THE SAME | 3 |
Yoshimasa Kawase | JP | Yokohama-Shi | 2009-05-07 / 20090114853 - MANUFACTURING SYSTEM FOR SEMICONDUCTOR DEVICE CAPABLE OF CONTROLLING VARIATION IN ELECTRICAL PROPERTY OF ELEMENT IN WAFER SURFACE AND METHOD FOR MANUFACTURING THE SEMICONDUCTOR DEVICE | 1 |
Tsutomu Kawase | JP | Kanagawa | 2016-02-11 / 20160044419 - ENERGY CONVERSION APPARATUS AND SPEAKER STRUCTURE | 10 |
Atsushi Kawase | JP | Osaka-Fu | 2009-04-16 / 20090098222 - Accelerating Agent of Calcium Absorption | 1 |
Tomohiro Kawase | JP | Kyoto-Shi | 2013-11-07 / 20130297230 - Data-Processing System For Chromatographic Mass Spectrometry | 7 |
Yoshitaka Kawase | JP | Nara-Shi | 2009-04-16 / 20090098475 - TONER, METHOD OF MANUFACTURING THE SAME, TWO-COMPONENT DEVELOPER, DEVELOPING DEVICE, AND IMAGE FORMING APPARATUS | 2 |
Tomohiro Kawase | JP | Hyogo | 2012-05-10 / 20120112135 - METHOD AND APPARATUS FOR PRODUCING SEMICONDUCTOR CRYSTAL, AND SEMICONDUCTOR CRYSTAL | 3 |
Korehito Kawase | JP | Kanagawa-Ken | 2010-11-25 / 20100294248 - Carburetor with acceleration pump | 1 |
Tatsuaki Kawase | JP | Miyagi-Ken | 2012-06-21 / 20120155074 - STRAIGHT-TUBE LED LAMP SWITCH DEVICE AND STRAIGHT-TUBE LED LAMP USING THE SAME | 2 |
Takeyuki Kawase | JP | Yamanashi | 2013-09-26 / 20130247370 - ELECTRONIC COMPONENT MOUNTING SYSTEM AND ELECTRONIC COMPONENT MOUNTING METHOD | 11 |
Akira Kawase | JP | Hyogo | 2011-11-24 / 20110285281 - PLASMA DISPLAY PANEL | 8 |
Shinichi Kawase | JP | Ichinomiya | 2009-03-26 / 20090079652 - Portable remote controller for tire status detector | 1 |
Kodo Kawase | JP | Aichi | 2013-09-19 / 20130240736 - ELECTROMAGNETIC WAVE MEASURING APPARATUS, MEASURING METHOD, PROGRAM, AND RECORDING MEDIUM | 3 |
Yasuhiro Kawase | JP | Kitakyushu-Shi | 2014-11-27 / 20140349105 - AGGLOMERATED BORON NITRIDE PARTICLES, COMPOSITION CONTAINING SAID PARTICLES, AND THREE-DIMENSIONAL INTEGRATED CIRCUIT HAVING LAYER COMPRISING SAID COMPOSITION | 3 |
Yasuhiro Kawase | JP | Fukuoka | 2010-12-30 / 20100330390 - STRUCTURAL MEMBER TO BE USED IN APPARATUS FOR MANUFACTURING SEMICONDUCTOR OR FLAT DISPLAY, AND METHOD FOR PRODUCING THE SAME | 4 |
Eiji Kawase | JP | Hiki-Gun | 2010-12-30 / 20100330242 - METHOD OF PREPARING BAKED EGG | 2 |
Hisashi Kawase | JP | Nishio-City | 2012-12-27 / 20120330511 - STEERING CONTROL SYSTEM | 2 |
Yasushi Kawase | JP | Nanae | 2012-03-22 / 20120069670 - Semiconductor Integrated Circuit Device for Driving Liquid Crystal Display | 2 |
Masayuki Kawase | JP | Ibaraki | 2011-01-27 / 20110022538 - PROCESS MANAGEMENT SUPPORT SYSTEM AND SIMULATION METHOD | 1 |
Takeo Kawase | JP | Suwa | 2011-02-10 / 20110032180 - DISPLAY DEVICE, METHOD OF MANUFACTURING DISPLAY DEVICE AND ELECTRONIC APPARATUS | 2 |
Shin-Ichiro Kawase | JP | Hiroshima | 2011-08-04 / 20110190481 - EXTRACTION METHOD FOR SIALIC ACID-CONTAINING COMPOUND FROM PLANT | 2 |
Yutaka Kawase | JP | Osaka | 2015-08-27 / 20150242007 - INPUT DEVICE AND METHOD | 2 |
Yosuke Kawase | JP | Shizuoka | 2009-03-26 / 20090083863 - p300 transgenic animal | 1 |
Tomomi Kawase | JP | Matsumoto-Shi | 2009-03-12 / 20090068351 - Method for disposing material by droplet ejection, display device, method for manufacturing display device, and electronic apparatus | 1 |
Kodo Kawase | JP | Saitama | 2011-02-17 / 20110037001 - TERAHERTZ BEAM SCANNING APPARATUS AND METHOD THEREOF | 1 |
Mitsuo Kawase | JP | Aichi | 2011-02-24 / 20110046015 - PEPTIDE IMMOBILIZATION SOLUTION AND USE THEREOF | 1 |
Ryuuji Kawase | JP | Hyogo | 2015-11-12 / 20150321575 - VEHICULAR BATTERY SYSTEM AND VEHICLE EQUIPPED WITH SAME | 1 |
Tomoyuki Kawase | JP | Niigata | 2013-11-21 / 20130310815 - FIBRIN-GEL COMPRESSION DEVICE | 2 |
Kyoichi Kawase | JP | Niigata-Ken | 2009-01-15 / 20090017279 - ELECTROMAGNETIC WAVE SUPPRESSING SHEET | 1 |
Yohei Kawase | JP | Chiba | 2013-12-26 / 20130344701 - METHODS FOR HIGH TEMPERATURE ETCHING A HIGH-K GATE STRUCTURE | 2 |
Junichi Kawase | JP | Hiroshima-Ken | 2008-12-04 / 20080300825 - System for fabricating corrugated board | 2 |
Yoshiyuki Kawase | JP | Nagoya-City | 2015-06-11 / 20150162815 - SWITCHING POWER SUPPLY DEVICE, ELECTRIC DEVICE, AND VEHICULAR DEVICE | 3 |
Tomomi Kawase | JP | Matsumoto | 2014-01-16 / 20140015897 - HEAD UNIT, DROPLET EJECTION APPARATUS, METHOD OF MANUFACTURING PANEL FROM BASE, IMAGE DISPLAY APPARATUS AND ELECTRONIC APPARATUS | 4 |
Tomoo Kawase | JP | Aichi-Ken | 2013-08-29 / 20130224080 - HONEYCOMB STRUCTURE BODY | 5 |
Tatsuo Kawase | JP | Kuwana-Shi | 2008-09-04 / 20080212906 - FLUID LUBRICATED BEARING DEVICE | 1 |
Masahiro Kawase | JP | Higashimatsuyama-Shi | 2012-12-27 / 20120326716 - METHOD OF DETECTING MAGNETIC FIELD GENERATED FROM CURRENT AND ESTIMATING CURRENT AMOUNT | 1 |
Masaki Kawase | JP | Tokyo | 2014-08-21 / 20140231058 - FALLING FILM HEAT EXCHANGER, ABSORPTION REFRIGERATOR SYSTEM, SHIP, OFFSHORE STRUCTURE AND UNDERWATER OFFSHORE STRUCTURE | 2 |
Atsushi Kawase | JP | Osaka-Shi | 2011-02-24 / 20110045014 - ACCELERATION AGENT OF CALCIUM ABSORPTION | 1 |
Yasuhiro Kawase | JP | Nishio-Shi | 2014-06-05 / 20140152084 - ROTATING PUMP AND BRAKE SYSTEM USING SAME | 3 |
Satoshi Kawase | JP | Kawasaki-Shi | 2011-03-03 / 20110049174 - ELECTRONIC APPARATUS WITH DETACHABLE AND OPENABLE LID | 1 |
Satomi Kawase | JP | Aichi-Ken | 2011-05-12 / 20110111275 - BATTERY | 4 |
Fumitoshi Kawase | JP | Toyama | 2010-01-07 / 20100003770 - ELEMENTAL ANALYSIS METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 1 |
Makoto Kawase | JP | Ibaraki | 2012-04-05 / 20120083595 - RICE BLAST SUSCEPTIBILITY GENE Pi21, RESISTANCE GENE pi21, AND USES THEREOF | 3 |
Tsutomu Kawase | JP | Atsugi-Shi | 2014-11-20 / 20140340198 - PACKAGE INCLUDING RFID TAG, THE RFID TAG, AND RFID SYSTEM | 2 |
Tomomi Kawase | JP | Fujimi-Machi | 2011-04-21 / 20110090293 - DROPLET DISCHARGE APPARATUS | 2 |
Kinya Kawase | JP | Niigata-Shi | 2015-10-08 / 20150283609 - RAW MATERIAL POWDER FOR POWDER METALLURGY | 2 |
Ren Kawase | JP | Osaka | 2010-08-05 / 20100196583 - RICE BRAN-LIKE COMPOSITION AND FOOD | 1 |
Nobuo Kawase | JP | Yokohama-Shi | 2012-02-09 / 20120034759 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Yasuhiro Kawase | JP | Nishio-City | 2014-06-26 / 20140178236 - ROTARY PUMP AND BRAKE DEVICE HAVING THE SAME | 2 |
Daisuke Kawase | JP | Mito | 2010-11-18 / 20100289148 - SEMICONDUCTOR POWER MODULE | 1 |
Atsushi Kawase | JP | Kanagawa-Ken | 2011-01-06 / 20110004429 - MONITOR OF ASSEMBLED BATTERY | 1 |
Yoshinori Kawase | JP | Hamamatsu | 2009-12-17 / 20090310800 - Apparatus for Editing Configuration Data of Digital Mixer | 1 |
Takaharu Kawase | JP | Tokyo | 2012-12-27 / 20120324811 - REINFORCED CONCRETE PARTITION BODY | 1 |
Akiko Kawase | JP | Matsumoto-Shi | 2013-02-14 / 20130038839 - OPTICAL DEVICE, METHOD FOR PRODUCING OPTICAL DEVICE, AND PROJECTION-TYPE IMAGING APPARATUS | 1 |
Tatsuya Kawase | JP | Kanagawa | 2015-10-15 / 20150292907 - ROTATION DETECTOR AND ROTATION DETECTION METHOD | 4 |
Yuichi Kawase | JP | Sayama-Shi | 2011-10-27 / 20110260586 - Piezoelectric Device with Tuning-fork type Piezoelectric Vibrating Piece | 1 |
Masahito Kawase | JP | Shizuoka | 2014-11-27 / 20140345259 - STRADDLE-TYPE VEHICLE | 1 |
Natsuko Kawase | JP | Kanagawa | 2011-09-22 / 20110229219 - IMAGE FORMING APPARATUS | 10 |
Tomohiro Kawase | JP | Kyoto | 2016-04-21 / 20160110042 - INFORMATION PROCESSING APPARATUS AND COMPUTER-READABLE STORAGE MEDIUM RECORDING INFORMATION PROCESSING PROGRAM | 3 |
Masahiko Kawase | JP | Omihachiman-Shi | 2009-12-24 / 20090316752 - TEMPERATURE SENSOR WITH LEADS | 1 |
Takeyuki Kawase | JP | Saga | 2009-12-10 / 20090300908 - ELECTRONIC COMPONENT MOUNTER AND MOUNTING METHOD | 5 |
Yoshitaka Kawase | JP | Osaka | 2011-07-21 / 20110177451 - METHOD FOR MANUFACTURING CAPSULE TONER | 17 |
Satomi Kawase | JP | Aichi | 2014-12-18 / 20140370370 - BATTERY CONTAINER AND ITS MANUFACTURING METHOD | 1 |
Nobuhiro Kawase | JP | Makinohara | 2010-01-07 / 20100000788 - Shield wire, method for processing terminal treatment of braid of the same and apparatus for processing terminal treatment of braid of that | 1 |
Fumiyuki Kawase | JP | Ogaki-Shi | 2016-05-05 / 20160126048 - MULTIPOLAR FUSIBLE LINK | 1 |
Yoshiaki Kawase | US | New York | 2011-10-20 / 20110256101 - SERCA2 THERAPEUTIC COMPOSITIONS AND METHODS OF USE | 1 |
Tomo Kawase | JP | Aki-Gun | 2013-05-30 / 20130135033 - TOUCH SENSOR | 1 |
Tomoo Kawase | JP | Ama-Gun | 2013-08-08 / 20130199165 - ELECTRICALLY HEATED CATALYST DEVICE | 5 |
Kouji Kawase | JP | Tokyo | 2011-06-23 / 20110146891 - PROCESS FOR MANUFACTURING DEAERATING HOLLOW FIBER MODULE | 1 |
Shinichi Kawase | JP | Ichinomiya-Shi | 2010-05-06 / 20100109858 - RECEIVER FOR TIRE CONDITION MONITORING APPARATUS | 1 |
Tooru Kawase | JP | Osaka | 2011-06-23 / 20110148951 - PLASMA DISPLAY PANEL DRIVING METHOD AND PLASMA DISPLAY APPARATUS | 4 |
Masahiro Kawase | JP | Chichibu-Shi | 2014-12-25 / 20140375305 - MEASUREMENT MODULE, ELECTRONIC APPARATUS, POWER SUPPLY TAP, POWER SUPPLY UNIT, AND BUILT-IN MEASUREMENT MODULE | 1 |
Daisuke Kawase | JP | Yokohama-Shi | 2013-05-30 / 20130136458 - OPTICAL TRANSCEIVER HAVING ENHANCED EMI TOLERANCE | 2 |
Hiroshi Kawase | JP | Iwaki-City | 2009-10-22 / 20090265727 - DISC DEVICE | 1 |
Tomohito Kawase | JP | Kyoto | 2015-07-30 / 20150214428 - LIGHT EMITTING DEVICE | 3 |
Hajime Kawase | JP | Yokkaichi-Shi | 2013-05-23 / 20130126234 - ELECTRIC WIRE WITH TERMINAL AND CONNECTOR | 1 |
Kouji Kawase | JP | Kahoku-Shi | 2014-03-06 / 20140063573 - PAPER CONVEYING APPARATUS | 1 |
Daisuke Kawase | JP | Ichikawa-Shi | 2014-05-15 / 20140132924 - OPHTHALMIC APPARATUS AND ALIGNMENT DETERMINATION METHOD | 3 |
Kenichi Kawase | JP | Fukushima | 2016-05-12 / 20160133941 - ANODE AND BATTERY | 69 |
Yuko Kawase | JP | Kanagawa | 2016-01-07 / 20160004190 - CLEANING BLADE, PROCESS CARTRIDGE, AND IMAGE FORMING APPARATUS | 2 |
Yusuke Kawase | JP | Tokyo | 2015-11-05 / 20150318175 - LASER ANNEALING DEVICE, AND METHOD OF PRODUCING SEMICONDUCTOR DEVICE | 2 |
Tomohiro Kawase | JP | Itami-Shi | 2016-05-19 / 20160138186 - SILICON CARBIDE SINGLE-CRYSTAL SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 17 |
Yasuhiro Kawase | JP | Minato-Ku | 2012-09-27 / 20120240479 - POLISHING SLURRY FOR SILICON CARBIDE AND POLISHING METHOD THEREFOR | 1 |
Yoshitsugu Kawase | JP | Yokkaichi | 2016-05-19 / 20160139000 - TESTING DEVICE FOR THIN-WALLED LARGE BEARING | 1 |
Kouji Kawase | TW | Taichung | 2013-10-17 / 20130273754 - CONNECTOR | 1 |
Eiichi Kawase | JP | Hiroshima-Shi | 2015-02-19 / 20150047562 - APPLICATION APPARATUS | 1 |
Akiko Kawase | JP | Kamiina-Gun | 2012-10-18 / 20120262674 - OPTICAL DEVICE, PROJECTION-TYPE IMAGING APPARATUS, AND METHOD FOR PRODUCING OPTICAL DEVICE | 1 |
Kouji Kawase | TW | Taichung R.o.c. | 2013-08-01 / 20130196547 - CONNECTOR AND MATING CONNECTOR | 1 |
Shigefumi Kawase | JP | Tokyo | 2013-12-05 / 20130322845 - STORAGE MEDIUM STORING INFORMATION PROCESSING PROGRAM, INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING SYSTEM, AND PANORAMIC VIDEO DISPLAY METHOD | 3 |
Shoji Kawase | JP | Ise-Shi | 2012-03-29 / 20120075810 - METHOD OF PRODUCING ELECTRONIC MODULE, AND ELECTRONIC MODULE | 1 |
Akiko Kawase | JP | Matsumoto | 2015-10-01 / 20150274992 - TREATMENT LIQUID AND RECORDING METHOD | 3 |
Atsushi Kawase | JP | Kanagawa | 2012-02-16 / 20120038325 - CHARGE CONTROL DEVICE AND METHOD FOR SECONDARY BATTERY MODULE | 2 |
Tsutomu Kawase | JP | Tokyo | 2014-04-24 / 20140111306 - LOCKING MECHANISM AND LOCKING SYSTEM | 1 |
Makoto Kawase | JP | Kanagawa | 2013-08-29 / 20130224621 - MOLTEN CARBONATE FUEL CELL | 3 |
Mitsuhiro Kawase | JP | Kanagawa | 2009-05-28 / 20090135331 - BACKLIGHT DEVICE AND LIQUID CRYSTAL DISPLAY APPARATUS | 2 |
Junichi Kawase | JP | Kanagawa | 2015-12-03 / 20150343816 - ELECTRONIC DEVICE AND IMAGE FORMING APPARATUS | 6 |
Yuki Kawase | JP | Kashiwara-Shi | 2015-08-27 / 20150244196 - Charger Holder | 1 |
Kazushi Kawase | JP | Kanagawa | 2015-03-19 / 20150079969 - MOBILE TERMINAL CONTROL APPARATUS | 3 |
Kenichi Kawase | JP | Kanagawa | 2015-08-27 / 20150243977 - ACTIVE MATERIAL, ELECTRODE, SECONDARY BATTERY, BATTERY PACK, ELECTRIC VEHICLE, ELECTRIC POWER STORAGE SYSTEM, ELECTRIC POWER TOOL, AND ELECTRONIC APPARATUS | 1 |
Tsutomu Kawase | JP | Kanagawa | 2016-02-11 / 20160044419 - ENERGY CONVERSION APPARATUS AND SPEAKER STRUCTURE | 10 |
Masato Kawase | JP | Kobe-Shi | 2015-04-09 / 20150099823 - TREAD RUBBER COMPOSITION AND PNEUMATIC TIRE | 1 |
Yoichi Kawase | JP | Nagaokakyo-Shi | 2012-03-22 / 20120068127 - BARIUM TITANATE-BASED SEMICONDUCTOR CERAMIC COMPOSITION AND BARIUM TITANATE-BASED SEMICONDUCTOR CERAMIC DEVICE | 1 |
Takeo Kawase | GB | Cambridge | 2008-10-09 / 20080246704 - Display device | 1 |
Masaaki Kawase | US | Edina | 2009-05-14 / 20090123298 - METHOD TO PROLONG LIFETIME OF DIAPHRAGM PUMP | 1 |
Daisuke Kawase | JP | Konan-Shi | 2016-04-07 / 20160096275 - ROBOT OPERATION APPARATUS, ROBOT SYSTEM, AND ROBOT OPERATION PROGRAM | 3 |
Tetsuya Kawase | JP | Azumino | 2016-03-31 / 20160089797 - ROBOT | 2 |
Eiji Kawase | JP | Tokyo | 2014-02-13 / 20140044010 - WIRELESS COMMUNICATION DEVICE, METHOD FOR SETTING COMMUNICATION CONFIGURATION, AND PROGRAM FOR SETTING COMMUNICATION CONFIGURATION | 1 |
Shoji Kawase | JP | Nagahama-Shi | 2012-02-23 / 20120045569 - COATING APPARATUS, METHOD FOR PRODUCING ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER AND METHOD FOR MASS-PRODUCING ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBERS | 1 |
Takao Kawase | JP | Tokyo | 2014-01-02 / 20140004937 - DEVICE FOR PROVIDING A GAME CONTENT | 1 |
Nozomu Kawasetsu | JP | Tokyo | 2014-01-30 / 20140027960 - METHOD FOR MANUFACTURING COMPOSITE MATERIAL | 5 |
Nozomu Kawasetsu | JP | Nagasaki | 2012-04-19 / 20120090718 - TUBE BODY FOR PRESSURE TRANSDUCER | 3 |
Nozomu Kawasetsu | JP | Nagasaki-Ken | 2011-05-05 / 20110100541 - APPARATUS AND METHOD FOR MANUFACTURING FIBER REINFORCED PLASTIC STRUCTURE | 1 |
Nozomu Kawasetsu | JP | Minato-Ku | 2012-06-07 / 20120141282 - WIND-TURBINE ROTOR BLADE | 2 |
Nozomu Kawasetsu | JP | Nagasaki-Shi, Nagasaki | 2012-04-19 / 20120093656 - WIND TURBINE BLADE AND METHOD OF MANUFACTURING WIND TURBINE BLADE | 1 |
Shinta Kawasetsu | JP | Kobe-Shi | 2009-01-08 / 20090012676 - VEHICLE AND METHOD OF DETERMINING WHETHER OR NOT TO STOP ENGINE MOUNTED IN VEHICLE | 1 |
Sameer Kawash | US | Orlando | 2016-03-10 / 20160070262 - SYSTEMS AND METHODS FOR CONTROLLING THE TRANSPORTATION OF VEHICLES | 3 |
Mitsumasa Kawashiki | JP | Kitakyushu | 2015-03-19 / 20150077715 - PROJECTOR AND METHOD FOR COMPENSATING FOR AMOUNT OF LASER LIGHT | 1 |
Hiroyuki Kawashima | JP | Tokyo | 2011-05-12 / 20110111150 - BRIGHTENING INK COMPOSITION FOR PRINTING, PAPER CONTAINER MATERIAL USING THE BRIGHTENING INK COMPOSITION, AND HEAT INSULATING FOAMED PAPER CONTAINER | 10 |
Takuya Kawashima | JP | Ueda | 2011-11-17 / 20110282086 - POLYORGANOSILOXANE COMPOSITION, CURED PRODUCT OF THE COMPOSITION, AND METHOD FOR PRODUCING THE COMPOSITION | 1 |
Tadasu Kawashima | JP | Tochigi | 2010-05-27 / 20100129582 - ANISOTROPIC ELECTRICALLY CONDUCTIVE ADHESIVE FILM AND METHOD FOR MANUFACTURING SAME | 4 |
Yasuhiro Kawashima | JP | Okazaki-Shi | 2011-10-06 / 20110242942 - DISTANCE SENSOR FOR VEHICLE WITH ELECTRICAL CONNECTOR | 2 |
Sosuke Kawashima | JP | Fujisawa-Shi | 2009-10-29 / 20090268994 - Rolling Apparatus, Method of Manufacturing Thereof and Method of Use Thereof | 1 |
Ryuta Kawashima | JP | Miyanagi | 2013-05-23 / 20130131461 - ELECTROENCEPHALOGRAM ELECTRODE UNIT FOR SMALL ANIMALS AND MEASUREMENT SYSTEM USING THE SAME | 1 |
Toshiyuki Kawashima | JP | Iwate | 2013-05-23 / 20130131609 - THREE-WAY STOPCOCK UNIT, CHECK VALVE, AND FLUID SUBSTANCE TRANSFER DEVICE | 1 |
Ikue Kawashima | JP | Yokohama-Shi | / - | 1 |
Yasukuni Kawashima | JP | Tochigi | 2012-01-26 / 20120017588 - Engine | 2 |
Shingo Kawashima | JP | Osaka-Shi | 2014-01-16 / 20140014951 - SEMICONDUCTOR DEVICE | 2 |
Takashi Kawashima | JP | Shizuoka | 2015-10-22 / 20150301245 - NEAR-INFRARED-ABSORBING COMPOSITION, NEAR-INFRARED CUT-OFF FILTER USING SAME, MANUFACTURING METHOD THEREFOR, CAMERA MODULE, AND MANUFACTURING METHOD THEREFOR | 2 |
Mutsumi Kawashima | JP | Nisshin-Shi | 2016-04-28 / 20160114663 - VEHICLE OUTER PLATE MEMBER MADE OF RESIN AND VEHICLE BACK DOOR | 1 |
Tetsuya Kawashima | JP | Matsumoto-Shi | 2011-12-08 / 20110298439 - DIGITALLY CONTROLLED INTEGRATED DC-DC CONVERTER WITH TRANSIENT SUPPRESSION | 1 |
Mitsuko Kawashima | JP | Saitama-Ken | 2008-11-20 / 20080287431 - Heterocyclic Compound and Anti-Malignant-Tumor Agent Containing the Same as Active Ingredient | 1 |
Seiko Kawashima | JP | Kanagawa | 2014-02-13 / 20140043803 - LIGHT-EMITTING MODULE AND LIGHTING APPARATUS | 2 |
Shunji Kawashima | JP | Saitama | 2011-12-15 / 20110303469 - VEHICLE SEAT LOAD SENSOR | 1 |
Yoshitada Kawashima | JP | Sapporo | 2015-09-17 / 20150261017 - OPTICAL TRANSMISSION DEVICE | 1 |
Kunihiko Kawashima | JP | Tokyo | 2015-09-17 / 20150258876 - FRAME BODY-EQUIPPED VEHICLE WINDOW PLATE-LIKE BODY, AND METHOD FOR ASSEMBLING FRAME BODY-EQUIPPED VEHICLE WINDOW PLATE-LIKE BODY | 1 |
Shoji Kawashima | JP | Tokyo | 2013-05-23 / 20130126793 - VAPOR-GROWN CARBON FIBER AGGREGATE | 1 |
Seiko Kawashima | JP | Yokosuka-Shi | 2014-09-25 / 20140286040 - Lamp and Luminaire | 15 |
Hiroaki Kawashima | JP | Saitama | 2012-05-17 / 20120120654 - LIGHTING APPLIANCE AND PROCESS FOR MANUFACTURING THE SAME | 2 |
Tetsuya Kawashima | JP | Tokyo | 2016-03-31 / 20160093788 - Cooling Structure of Heating Element and Power Conversion Device | 4 |
Hideki Kawashima | JP | Tokyo | 2015-09-03 / 20150249235 - ELECTRONIC APPARATUS HAVING LOCK MECHANISM FOR LOCKING BATTERY ACCOMMODATED IN BATTERY COMPARTMENT | 1 |
Hideo Kawashima | JP | Chiba | 2010-03-04 / 20100056589 - PLANT DISEASE DAMAGE CONTROL COMPOSITION AND PLANT DISEASE DAMAGE PREVENTION AND CONTROL METHOD | 1 |
Tatsuo Kawashima | JP | Chiba | 2010-06-10 / 20100143321 - THERAPEUTIC COMPOSITION FOR INTERSTITUAL PNEUMONIA | 1 |
Toshiaki Kawashima | JP | Chiba | 2010-07-15 / 20100176756 - BRUSHLESS MOTOR | 1 |
Hirokazu Kawashima | JP | Chiba | 2014-06-19 / 20140167033 - OXIDE SINTERED BODY AND SPUTTERING TARGET | 17 |
Yoshimi Kawashima | JP | Chiba | 2010-05-06 / 20100112397 - CATALYST PRECURSOR SUBSTANCE, AND CATALYST USING THE SAME | 2 |
Tsutomu Kawashima | JP | Nara | 2014-11-06 / 20140329167 - FUEL CELL GAS DIFFUSION LAYER AND METHOD OF MANUFACTURING SAME | 4 |
Katsuyoshi Kawashima | JP | Suita-Shi | 2009-03-26 / 20090082463 - N-substituted phenylacetamide derivative and pharmaceutical composition containing the same | 1 |
Tetsuro Kawashima | JP | Osaka-Shi | 2014-10-16 / 20140306397 - SHEET FEEDING APPARATUS AND IMAGE FORMING APPARATUS INCLUDING SAME | 2 |
Takanori Kawashima | JP | Anjo-Shi, Aichi | 2013-06-20 / 20130154084 - SEMICONDUCTOR MODULE | 2 |
Shinichiro Kawashima | JP | Kanagawa | 2014-08-21 / 20140234626 - RESIN PARTICLE AND METHOD FOR PRODUCING THE SAME | 10 |
Takaaki Kawashima | JP | Hyogo | 2014-03-27 / 20140083816 - COMBINATION WEIGHER | 2 |
Masahiro Kawashima | JP | Kodaira-Shi | 2013-11-14 / 20130303686 - NATURAL RUBBER, RUBBER COMPOSITION USING THE SAME, AND TIRE | 1 |
Fumiyuki Kawashima | JP | Chiba-Ken | 2010-08-05 / 20100193001 - THERMOELECTRIC CONVERSION MODULE, AND HEAT EXCHANGER, THERMOELECTRIC TEMPERATURE CONTROL DEVICE AND THERMOELECTRIC GENERATOR EMPLOYING THE SAME | 2 |
Kazumi Kawashima | JP | Fukuoka | 2010-11-25 / 20100295192 - AERATION APPARATUS | 1 |
Noriyuki Kawashima | JP | Kanagawa | 2013-06-06 / 20130143357 - METHOD OF FORMING ORGANIC THIN FILM AND ORGANIC THIN FILM FORMING APPARATUS, AS WELL AS METHOD OF MANUFACTURING ORGANIC DEVICE | 9 |
Syouji Kawashima | JP | Saga | 2011-03-17 / 20110063799 - FAN AND ELECTRONIC DEVICE EQUIPPED WITH THE SAME | 1 |
Katsuhiko Kawashima | JP | Hyogo | 2013-10-31 / 20130285749 - HIGH-FREQUENCY POWER AMPLIFIER | 2 |
Noriko Kawashima | JP | Ikoma-Ahi | 2011-06-09 / 20110136794 - NOVEL PYRROLE DERIVATIVE HAVING, AS SUBSTITUENTS, UREIDO GROUP, AMINOCARBONLY GROUP AND BICYCLIC GROUP WHICH MAY HAVE SUBSTITUENT | 1 |
Masayuki Kawashima | JP | Tokyo | 2015-08-20 / 20150234273 - NEGATIVE PHOTOSENSITIVE RESIN COMPOSITION, CURED RESIN FILM, PARTITION WALLS AND OPTICAL ELEMENT | 2 |
Yoshihiro Kawashima | JP | Kanagawa | 2015-12-10 / 20150358501 - APPARATUS, METHOD, AND STORAGE MEDIUM OF PROGRAM | 1 |
Takashi Kawashima | JP | Shizuoka-Ken | 2011-12-22 / 20110311776 - RESIN COMPOSITION FOR LASER ENGRAVING, RELIEF PRINTING PLATE PRECURSOR FOR LASER ENGRAVING, RELIEF PRINTING PLATE AND METHOD FOR PRODUCING RELIEF PRINTING PLATE | 5 |
Takashi Kawashima | JP | Nagoya-City | 2010-07-29 / 20100188074 - STROKE SENSOR AND ROTATION ANGLE SENSOR | 3 |
Takashi Kawashima | JP | Osaka | 2011-12-01 / 20110296307 - OBJECT SELECTING APPARATUS, OBJECT SELECTING PROGRAM, INTEGRATED CIRCUIT USED FOR THE OBJECT SELECTING APPARATUS, AND OBJECT SELECTING METHOD | 3 |
Takashi Kawashima | JP | Saitma | 2009-10-29 / 20090270240 - COVER COATING COMPOSITION FOR GLASS LINING | 1 |
Takashi Kawashima | JP | Wakayama | 2009-10-22 / 20090264332 - Detergent Composition for Automatic Dishwashing Machines | 1 |
Motoko Kawashima | JP | Adachi-Ku | 2015-08-06 / 20150216406 - APPARATUS AND METHOD FOR MEASURING EYE'S MOISTURE | 1 |
Takuya Kawashima | JP | Ishikawa | 2015-10-15 / 20150294673 - SPEECH AUDIO ENCODING DEVICE, SPEECH AUDIO DECODING DEVICE, SPEECH AUDIO ENCODING METHOD, AND SPEECH AUDIO DECODING METHOD | 16 |
Yuki Kawashima | JP | Osaka-Shi | 2015-08-06 / 20150219973 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Tomomichi Kawashima | JP | Numazu-Shi | 2016-02-18 / 20160048104 - IMAGE FORMING APPARATUS | 8 |
Shoichi Kawashima | JP | Kawasaki-Shi | 2014-11-06 / 20140327015 - METHOD OF PRODUCING MICROSTRUCTURE OF NITRIDE SEMICONDUCTOR AND PHOTONIC CRYSTAL PREPARED ACCORDING TO THE METHOD | 9 |
Tetsuya Kawashima | JP | Nagano | 2015-07-30 / 20150214845 - CONTROL DEVICE FOR SWITCHING POWER SOURCE | 1 |
Hiroshi Kawashima | JP | Tokyo | 2015-09-17 / 20150261242 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, PROGRAM, AND POWER STORAGE APPARATUS MANAGEMENT SYSTEM | 23 |
Nan Kawashima | JP | Chiba-Shi | 2010-07-15 / 20100176874 - VOLTAGE DETECTION CIRCUIT | 3 |
Yoshiyuki Kawashima | JP | Hitachinaka | 2010-08-12 / 20100202205 - SEMICONDUCTOR DEVICE | 2 |
Yoshiyuki Kawashima | JP | Tokyo | 2016-04-28 / 20160118394 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 17 |
Yoshiyuki Kawashima | JP | Kanagawa | 2016-02-11 / 20160043098 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 16 |
Yasuki Kawashima | JP | Shiga | 2011-03-03 / 20110052857 - ORGANIC EL LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE ORGANIC EL LIGHT-EMITTING DEVICE | 1 |
Eric H. Kawashima | CH | Geneva | 2013-08-22 / 20130217586 - METHOD OF NUCLEIC ACID AMPLIFICATION | 3 |
Takeshi Kawashima | JP | Nagoya-Shi | 2013-05-09 / 20130112384 - HEAT EXCHANGER | 1 |
Yasutoyo Kawashima | JP | Chiba | 2011-06-09 / 20110136994 - OLEFIN POLYMERIZATION CATALYST AND PRODUCTION PROCESS OF OLEFIN POLYMER | 1 |
Sari Kawashima | JP | Fukuoka | 2015-07-23 / 20150205317 - POWER DISTRIBUTION MANAGEMENT APPARATUS AND ABNORMALITY DETECTION METHOD | 2 |
Tomohito Kawashima | JP | Kanagawa-Ken | 2011-09-15 / 20110223319 - METHOD OF FABRICATING ELECTROLUMINESCENCE DISPLAY | 1 |
Jun Kawashima | JP | Ichihara-Chi | 2011-09-15 / 20110224390 - PROCESS FOR PRODUCING COPOLYMER | 1 |
Akemi Kawashima | JP | Ibaraki | 2011-09-15 / 20110223098 - TITANIUM OXIDE AND METHOD OF PRODUCING THE SAME | 1 |
Shinichi Kawashima | JP | Tokyo | 2016-02-11 / 20160042609 - GAMING MACHINE EXECUTING NORMAL GAME AND FEATURE GAME SHIFTED FROM NORMAL GAME, AND METHOD OF CONTROLLING GAMING MACHINE | 4 |
Takahiro Kawashima | JP | Aichi-Ken | 2012-01-19 / 20120016261 - HOLLOW MICROTUBE STRUCTURE, PRODUCTION METHOD THEREOF AND BIOPSY DEVICE | 1 |
Koji Kawashima | JP | Tokyo | 2016-05-12 / 20160134167 - ROTATING ELECTRIC MACHINE WITH SHAFT-SEAL DEVICES MOUNTED THEREON | 3 |
Keiichi Kawashima | JP | Tokyo | 2011-04-07 / 20110079877 - MOUNTING CIRCUIT SUBSTRATE | 2 |
Tomonao Kawashima | JP | Tokyo | 2015-01-22 / 20150025315 - TREATMENT INSTRUMENT INSERTION AUXILIARY AND TREATMENT INSTRUMENT INSERTION METHOD | 7 |
Masahiko Kawashima | JP | Tokyo | 2011-02-24 / 20110045287 - SEALING RESIN SHEET | 2 |
Shin Kawashima | JP | Tokyo | 2010-07-01 / 20100163202 - Press Felt for Papermaking | 2 |
Kenji Kawashima | JP | Yokohama-Shi | 2014-08-07 / 20140222208 - Maneuvering system having inner force sense presenting function | 3 |
Kenji Kawashima | JP | Ikoma-Shi | 2014-08-28 / 20140243320 - NOVEL COMPOUND HAVING PARP INHIBITORY ACTIVITY | 12 |
Kenji Kawashima | JP | Kanagawa | 2013-11-28 / 20130312563 - MANEUVERING SYSTEM HAVING INNER FORCE SENSE PRESENTING FUNCTION | 2 |
Junichi Kawashima | JP | Tokyo | 2013-03-28 / 20130078006 - DEVELOPING DEVICE AND IMAGE FORMING APPARATUS | 3 |
Yasuki Kawashima | JP | Tokyo | 2015-12-10 / 20150357305 - ORGANIC EL LUMINESCENT DEVICE | 2 |
Hiroyasu Kawashima | JP | Tokyo | 2010-02-25 / 20100047096 - TURBO VACUUM PUMP AND SEMICONDUCTOR MANUFACTURING APPARATUS HAVING THE SAME | 2 |
Yasushi Kawashima | JP | Tokyo | 2011-06-02 / 20110130292 - ROOM-TEMPERATURE SUPERCONDUCTOR, PERFECT CONDUCTOR, PROTONIC CONDUCTOR, FERROMAGNETIC BODY, ELECTROMAGNETIC COIL, AND METHOD FOR PRODUCING THESE MATERIALS | 2 |
Keisuke Kawashima | JP | Tokyo | 2016-01-07 / 20160005267 - GAMING MACHINE | 5 |
Nobuyuki Kawashima | JP | Tokyo | 2013-01-17 / 20130018094 - Prophylactic or Therapeutic Agent For Gum Disease or Apical PeriodontitisAANM Kawashima; NobuyukiAACI TokyoAACO JPAAGP Kawashima; Nobuyuki Tokyo JPAANM Suzuki; NoriyukiAACI TokyoAACO JPAAGP Suzuki; Noriyuki Tokyo JP | 2 |
Tooru Kawashima | JP | Yokohama | 2008-12-04 / 20080301161 - Method and system for data processing with high availability | 1 |
Tooru Kawashima | JP | Mito | 2009-12-10 / 20090303845 - OPTICAL DISC APPARATUS AND REPRODUCTION CONTROL METHOD | 1 |
Kazuya Kawashima | JP | Fukuoka | 2015-07-02 / 20150188669 - COMMUNICATION CONTROL METHOD, NETWORK SYSTEM, AND COMMUNICATION DEVICE | 8 |
Toshiyuki Kawashima | JP | Tokyo | 2009-11-12 / 20090280483 - Methods for Screening Interleukin-6 (IL-6) Signal Transduction Inhibitors | 1 |
Naoyuki Kawashima | JP | Tokyo | 2009-09-17 / 20090231518 - OPTICAL FILM, POLARIZATION PLATE AND LIQUID CRYSTAL DISPALY | 3 |
Jun Kawashima | JP | Tokyo | 2009-09-17 / 20090232162 - COMMUNICATION APPARATUS AND METHOD | 2 |
Mototaka Kawashima | JP | Tokyo | 2009-02-19 / 20090047529 - Gas Barrier Film and Method for Producing the Same | 1 |
Hideyoshi Kawashima | JP | Tokyo | 2013-12-26 / 20130344260 - MOLDED RESIN PRODUCT AND PROCESS FOR SURFACE TREATMENT THEREOF | 2 |
Seiichiro Kawashima | JP | Tokyo | 2008-11-20 / 20080287431 - Heterocyclic Compound and Anti-Malignant-Tumor Agent Containing the Same as Active Ingredient | 1 |
Takuya Kawashima | JP | Tokyo | 2008-10-02 / 20080237760 - Substrate for Transparent Electrodes | 1 |
Yasutoyo Kawashima | US | Pasadena | 2015-04-16 / 20150105572 - TRANSITION METAL COMPLEX | 7 |
Manabu Kawashima | JP | Tokyo | 2012-05-03 / 20120105671 - IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND IMAGING APPARATUS | 4 |
Kouki Kawashima | JP | Tokyo | 2014-05-15 / 20140130709 - PHOTOCURABLE INK JET INK | 6 |
Hiroki Kawashima | JP | Tokyo | 2015-05-21 / 20150141887 - ELECTROMAGNETIC VALVE SYSTEM | 3 |
Tsutomu Kawashima | JP | Tokyo | 2009-06-11 / 20090146405 - KNEE PROTECTOR STRUCTURE FOR VEHICLE | 1 |
Hiroaki Kawashima | JP | Tokyo | 2015-07-09 / 20150190881 - FRICTION JOINING METHOD AND JOINED STRUCTURE | 4 |
Atsushi Kawashima | JP | Kumamoto | 2016-03-03 / 20160064437 - SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME, AND IMAGING APPARATUS | 3 |
Hidekazu Kawashima | JP | Kyoto | 2012-02-02 / 20120029209 - METHOD FOR SYNTHESIZING [18F]SFB USING MICROSYNTHESIS TECHNIQUE | 1 |
Akira Kawashima | JP | Sapporo-Shi | / - | 1 |
Yui Kawashima | JP | Takasago-Shi | 2015-02-12 / 20150044290 - TREATMENT METHOD USING LIQUID FOOD COMPOSITION | 4 |
Yuta Kawashima | JP | Kanagawa | 2015-10-22 / 20150301496 - TONER CONVEYANCE DEVICE AND IMAGE FORMING APPARATUS INCORPORATING SAME | 3 |
Moriya Kawashima | JP | Yokohama-Shi | 2010-04-29 / 20100107290 - NEW GUINEA IMPATIENS PLANT NAMED 'SAKIMP008' | 1 |
Moriya Kawashima | NL | Amstelveen | 2011-12-15 / 20110307984 - New Guinea impatiens plant named 'SAKIMP021' | 3 |
Kouki Kawashima | JP | Inagi-Shi | 2013-04-18 / 20130095236 - INKJET INK AND INKJET IMAGE FORMATION METHOD USING SAME | 1 |
Shoichi Kawashima | JP | Sagamihara-Shi | 2013-06-27 / 20130163630 - TWO-DIMENSIONAL PHOTONIC CRYSTAL SURFACE EMITTING LASER | 1 |
Koji Kawashima | JP | Kanagawa | 2014-04-17 / 20140107898 - HYBRID WORK MACHINE AND METHOD OF CONTROLLING SAME | 7 |
Taiga Kawashima | JP | Yokohama-Shi | 2013-10-31 / 20130286919 - BASE STATION AND CONTROL METHOD THEREOF | 1 |
Nobuhiro Kawashima | JP | Kawasaki-Shi | 2013-10-31 / 20130286792 - ELECTRONIC APPARATUS AND CONTROL METHOD FOR ELECTRONIC APPARATUS | 1 |
Ai Kawashima | JP | Tokyo | 2015-05-21 / 20150137802 - SPECIMEN AND CURRENT MEASURING METHOD | 1 |
Miyoko Kawashima | JP | Haga-Gun | 2012-12-27 / 20120327208 - IMAGING APPARATUS | 12 |
Miyoko Kawashima | JP | Utsonomiya-Shi | 2008-08-21 / 20080198350 - Multiple exposure method | 1 |
Yasunari Kawashima | JP | Chiba-Shi | 2010-11-04 / 20100278031 - ULTRAVIOLET-CURABLE COMPOSITION FOR OPTICAL DISK AND OPTICAL DISK | 1 |
Kenji Kawashima | JP | Meguro-Ku Tokyo | 2015-12-03 / 20150342442 - ENDOSCOPE OPERATION SYSTEM | 1 |
Ko Kawashima | JP | Musashino-Shi | 2015-05-07 / 20150127038 - SURGICAL SYSTEM | 1 |
Daiichiro Kawashima | JP | Kiyosu-Shi | 2016-04-21 / 20160111776 - RADIO WAVE TRANSMISSIVE COVER | 6 |
Yukihiro Kawashima | JP | Fujisawa-Shi | 2013-04-11 / 20130086885 - SYSTEM FOR INJECTING FUEL INTO EXHAUST PIPE | 2 |
Toshiyuki Kawashima | US | Solana Beach | 2014-12-18 / 20140371177 - TREATMENT OF CANCER, INFLAMMATORY DISEASE, AND AUTOIMMUNE DISEASE | 2 |
Nobuhiro Kawashima | JP | Tokyo | 2014-02-06 / 20140036431 - PIEZOELECTRIC ACTUATOR AND ELECTRONIC DEVICE HAVING PIEZOELECTRIC ACTUATOR MOUNTED THEREON | 4 |
Naoto Kawashima | JP | Yokohama | 2014-04-03 / 20140093056 - CALL EVALUATION DEVICE AND CALL EVALUATION METHOD | 9 |
Tadasu Kawashima | JP | Kanuma-Shi | 2012-12-06 / 20120305178 - ANISOTROPIC ELECTRICALLY CONDUCTIVE ADHESIVE FILM AND METHOD FOR MANUFACTURING SAME | 1 |
Shingo Kawashima | JP | Osaka | 2012-05-24 / 20120127416 - LIQUID CRYSTAL DISPLAY DEVICE | 3 |
Nobuhiro Kawashima | JP | Kanagawa | 2014-01-23 / 20140022026 - OSCILLATOR | 15 |
Yasuo Kawashima | JP | Aichi | 2012-03-08 / 20120059132 - POLYLACTIC ACID-BASED RESIN COMPOSITION AND METHOD FOR MANUFACTURING THE SAME | 1 |
Naoki Kawashima | JP | Okazaki-Shi | 2013-03-28 / 20130076124 - POWER SWITCHING APPARATUS | 1 |
Hiromichi Kawashima | JP | Ehime-Ken | 2008-11-27 / 20080290801 - Metal Halide Lamp, Metal Halide Lamp Lighting Device and Headlight | 2 |
Hachiro Kawashima | JP | Nagasaki | 2009-12-24 / 20090318572 - APPARATUS AND PROCESS FOR PRODUCTION OF LIQUID FUEL FROM BIOMASS | 1 |
Katsura Kawashima | JP | Osaka | 2012-03-15 / 20120064291 - ELECTRICALLY CONDUCTIVE PASTE COMPOSITION AND ELECTRICALLY CONDUCTIVE FILM FORMED BY USING THE SAME | 1 |
Masatomo Kawashima | JP | Gifu-Ken | 2012-03-15 / 20120064357 - TYRE BEAD WIRE AND PROCESS FOR PRODUCTION THEREOF | 1 |
Shinichi Kawashima | JP | Kakogawa-Shi | 2012-12-06 / 20120308792 - RED FLUORESCENCE CONVERSION COMPOSITION AND RED FLUORESCENCE CONVERSION FILM | 1 |
Junichi Kawashima | JP | Koto-Ku | 2013-10-24 / 20130281404 - COMPOSITION CONTAINING 2-ACYL-LYSOPHOSPHATIDYLSERINE AND METHOD FOR PRODUCING THE SAME | 1 |
Yoshiyuki Kawashima | JP | Tokyo | 2016-04-28 / 20160118394 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 17 |
Yujiro Kawashima | JP | Ibaraki-Shi | 2014-08-07 / 20140220438 - NONAQUEOUS ELECTROLYTE SECONDARY BATTERY AND CATHODE SHEET THEREFOR | 1 |
Masatoshi Kawashima | JP | Hamura | 2011-08-25 / 20110204908 - SEMICONDUCTOR DEVICE | 1 |
Hiroshi Kawashima | JP | Miyagi | 2015-04-16 / 20150102893 - COIL PART | 1 |
Ikuo Kawashima | JP | Tokyo | 2014-08-07 / 20140219988 - RRECOMBINANT HUMAN SAPOSIN B PROTEIN CONTAINING PHOSPHORYLATED GLUCOSE RING AND USE THEREOF | 1 |
Seiko Kawashima | JP | Kanagawa-Ken | 2014-08-21 / 20140232259 - LIGHT-EMITTING CIRCUIT AND LUMINAIRE | 2 |
Keiichiro Kawashima | JP | Okazaki-Shi | 2012-03-22 / 20120068562 - ROTARY ELECTRICAL APPARATUS | 1 |
Hiroyuki Kawashima | JP | Shizuoka-Ken | 2012-03-22 / 20120070706 - EXHAUST SYSTEM FOR BATTERY IN VEHICLE | 1 |
Takahiro Kawashima | JP | Kawasaki | 2012-03-22 / 20120072607 - COMMUNICATION APPARATUS, SYSTEM, METHOD, AND RECORDING MEDIUM OF PROGRAM | 1 |
Kazuhito Kawashima | JP | Okazaki-Shi | 2009-06-25 / 20090158722 - EMISSION CONTROL SYSTEM | 4 |
Hironobu Kawashima | JP | Toyota-Shi | 2014-04-24 / 20140111122 - ELECTRICAL STORAGE SYSTEM, AND CONTROL METHOD FOR ELECTRICAL STORAGE SYSTEM | 1 |
Kiyotaka Kawashima | JP | Tokyo | 2015-04-02 / 20150094887 - DEVICE ALIGNMENT IN INDUCTIVE POWER TRANSFER SYSTEMS | 1 |
Yui Kawashima | JP | Yokohama-Shi | 2013-03-21 / 20130071892 - PROCESS FOR PRODUCTION OF POLYHYDROXYALKANOIC ACID USING GENETICALLY MODIFIED MICROORGANISM HAVING ENOYL-CoA HYDRATASE GENE INTRODUCED THEREIN | 1 |
Yasutoyo Kawashima | JP | Ichihara | 2011-01-27 / 20110021726 - PREPOLYMERIZATION CATALYST COMPONENT AND PROCESS FOR PRODUCING THE SAME | 5 |
Yasutoyo Kawashima | JP | Ichihara-Shi | 2011-02-17 / 20110040059 - ETHYLENE-a-OLEFIN COPOLYMER AND MOLDED ARTICLE | 6 |
Tomohito Kawashima | JP | Kanagawa | 2015-08-13 / 20150228892 - STORAGE DEVICE | 2 |
Tetsuya Kawashima | JP | Hitachi | 2012-11-01 / 20120273893 - SEMICONDUCTOR DEVICE AND POWER SUPPLY DEVICE USING THE SAME | 6 |
Tetsuya Kawashima | JP | Hitachinaka | 2012-11-01 / 20120273892 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD OF THE SAME | 3 |
Tetsuya Kawashima | JP | Tokai | 2011-09-15 / 20110220979 - SEMICONDUCTOR DEVICE AND MULTI-LAYERED WIRING SUBSTRATE | 3 |
Tetsuya Kawashima | JP | Hyogo | 2011-03-03 / 20110054164 - PRODUCTION PROCESS OF ETHYNYLTHYMIDINE COMPOUNDS FROM 5-METHYLURIDINE AS A STARTING MATERIAL | 1 |
Tetsuya Kawashima | JP | Matsumoto City | 2015-01-22 / 20150023066 - CONTROL DEVICE OF A SWITCHING POWER SUPPLY | 9 |
Yasuhiro Kawashima | JP | Kanagawa | 2011-03-31 / 20110074878 - IMAGE FORMING APPARATUS | 5 |
Reiji Kawashima | JP | Shiga | 2011-02-17 / 20110038192 - CONVERTER CONTROL METHOD | 5 |
Masafumi Kawashima | JP | Kariya-City | 2015-09-10 / 20150251578 - VEHICLE SEAT AIR-CONDITIONING DEVICE | 5 |
Mitsunobu Kawashima | JP | Kurashiki-Shi | 2016-02-04 / 20160030627 - KIT FOR ADHERING BIOLOGICAL HARD TISSUES | 4 |
Mitsunobu Kawashima | JP | Okayama | 2012-02-02 / 20120027829 - DENTIN MINERALIZING AGENT AND METHOD FOR PRODUCTION THEREOF | 2 |
Masanobu Kawashima | JP | Shizuoka | 2009-08-06 / 20090199291 - Communication apparatus, a firewall control method, and a firewall control program | 1 |
Naomichi Kawashima | JP | Yokkaichi-Shi | 2015-03-05 / 20150064988 - CONNECTOR | 1 |
Yasuhiro Kawashima | JP | Okazaki-City | 2013-03-07 / 20130055809 - VEHICULAR DISTANCE SENSOR APPARATUS | 3 |
Masahiro Kawashima | JP | Tokyo | 2012-10-18 / 20120262556 - DETECTING CROSSTALK ON A DISPLAY SURFACE COMPARTMENTALIZED INTO DISPLAY REGIONS BY IMAGE PATTERNS CONTAINED IN AN INPUT IMAGE SIGNAL TO A DISPLAY DEVICE | 1 |
Mitsunobu Kawashima | JP | Tainai-Shi | 2016-02-11 / 20160038382 - DENTAL CURABLE COMPOSITION | 1 |
Tomoya Kawashima | JP | Tokyo | 2014-07-17 / 20140199528 - METHOD FOR PRODUCING PATTERN PHASE DIFFERENCE FILM, PATTERN PHASE DIFFERENCE FILM, AND IMAGE DISPLAY DEVICE | 2 |
Chie Kawashima | JP | Azumino | 2010-07-22 / 20100185608 - INFORMATION RETRIEVAL DEVICE, INFORMATION RETRIEVAL SYSTEM, INFORMATION RETRIEVAL PROGRAM AND INFORMATION RETRIEVAL METHOD | 1 |
Yoshitada Kawashima | JP | Kawasaki | 2011-08-11 / 20110194802 - MACH-ZEHNDER MODULATOR | 1 |
Takashi Kawashima | JP | Kariya-Shi | 2016-02-04 / 20160036359 - INVERTER APPARATUS | 2 |
Shinichiro Kawashima | JP | Kanagawa | 2014-08-21 / 20140234626 - RESIN PARTICLE AND METHOD FOR PRODUCING THE SAME | 10 |
Shoichiro Kawashima | JP | Yokahama | 2013-09-05 / 20130229849 - NONVOLATILE LATCH CIRCUIT AND MEMORY DEVICE | 1 |
Hiroshi Kawashima | US | Sunrise | 2014-09-25 / 20140283325 - WINDSHIELD WIPER CONNECTOR | 2 |
Shuichi Kawashima | JP | Tokyo | 2013-02-28 / 20130050731 - Job Setting Registration Device, Image Processing Apparatus, Tangible Computer-Readable Recording Medium and Method for Registering Job Setting | 2 |
Yasuaki Kawashima | JP | Kawasaki-Shi | 2015-10-15 / 20150296097 - IMAGE PROCESSING APPARATUS, METHOD FOR CONTROLLING IMAGE PROCESSING APPARATUS, AND STORAGE MEDIUM | 7 |
Shoichiro Kawashima | JP | Yokohama | 2015-08-20 / 20150235689 - SEMICONDUCTOR MEMORY DEVICE AND CONTROL METHOD THEREOF | 4 |
Shoichiro Kawashima | JP | Kawasaki | 2009-07-02 / 20090168577 - SEMICONDUCTOR STORAGE DEVICE, AND DATA READING METHOD | 1 |
Kouji Kawashima | JP | Yokohama-Shi | 2013-03-07 / 20130058608 - OPTICAL WAVEGUIDE AND ARRAYED WAVEGUIDE GRATING | 1 |
Tadashi Kawashima | JP | Tokyo | 2012-05-10 / 20120112319 - EPITAXIAL SILICON WAFER AND METHOD FOR MANUFACTURING SAME | 2 |
Osamu Kawashima | JP | Tokyo | 2012-05-10 / 20120114299 - DISC REPRODUCTION APPARATUS | 1 |
Yoshihiro Kawashima | JP | Musashimurayama | 2013-03-07 / 20130058253 - NETWORK MANAGEMENT SYSTEM AND INTERNETWORKING UNIT | 1 |
Takeshi Kawashima | JP | Okayama | 2011-02-24 / 20110044949 - ONCOLYTIC VIRUS REPLICATING SELECTIVELY IN TUMOR CELLS | 1 |
Takeshi Kawashima | JP | Tokyo | 2014-11-06 / 20140327015 - METHOD OF PRODUCING MICROSTRUCTURE OF NITRIDE SEMICONDUCTOR AND PHOTONIC CRYSTAL PREPARED ACCORDING TO THE METHOD | 8 |
Takeshi Kawashima | JP | Nisshin-City | 2014-07-31 / 20140210604 - CONTROL SYSTEM FOR CONTROLLING DISPLAY DEVICE | 16 |
Hideo Kawashima | JP | Aichi | 2014-03-27 / 20140083375 - POWER TOOL | 1 |
Yoshio Kawashima | JP | Osaka | 2015-12-17 / 20150364681 - NONVOLATILE STORAGE DEVICE AND METHOD OF PRODUCING THE DEVICE | 40 |
Hidemitsu Kawashima | JP | Aichi | 2013-02-28 / 20130054095 - POSITION DETECTION SYSTEM AND POSITION DETERMINATION METHOD | 1 |
Takashi Kawashima | JP | Saitama | 2012-05-17 / 20120118202 - COVER COATING COMPOSITION FOR GLASS LINING | 1 |
Keiichiro Kawashima | JP | Chiryu-Shi | 2012-05-17 / 20120118695 - CLUTCH DEVICE FOR VEHICLE | 1 |
Hajime Kawashima | JP | Tokyo | 2015-01-15 / 20150013530 - MUSICAL SOUND EMISSION APPARATUS, ELECTRONIC MUSICAL INSTRUMENT, MUSICAL SOUND EMITTING METHOD, AND STORAGE MEDIUM | 1 |
Mitsuaki Kawashima | JP | Tainai | 2012-06-14 / 20120146754 - POWER DISTRIBUTION TRANSFORMER AND TANK THEREFOR | 2 |
Yoshichika Kawashima | JP | Gunma-Ken | 2013-08-29 / 20130221779 - ELECTRIC MOTOR AND REDUCTION MOTOR | 1 |
Yoshiya Kawashima | JP | Kawasaki | 2008-08-21 / 20080197381 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Tetsuya Kawashima | JP | Nakagun Tokaimura Muramatsu | 2013-08-15 / 20130207256 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Noriyoshi Kawashima | JP | Ibaraki-Shi | 2011-01-13 / 20110008949 - ADHESIVE SHEET FOR DICING SEMICONDUCTOR WAFER AND METHOD FOR DICING SEMICONDUCTOR WAFER USING THE SAME | 2 |
Noriyoshi Kawashima | JP | Aichi-Ken | 2008-10-02 / 20080238137 - Automobile weather strip | 1 |
Takeshi Kawashima | JP | Hiratsuka-Shi | 2014-12-25 / 20140377459 - SEMICONDUCTOR DBR, SEMICONDUCTOR LIGHT-EMITTING DEVICE, SOLID-STATE LASER, PHOTOACOUSTIC APPARATUS, IMAGE-FORMING APPARATUS, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DBR | 1 |
Masahisa Kawashima | JP | Tokyo | 2011-07-28 / 20110185171 - CERTIFICATE AUTHENTICATING METHOD, CERTIFICATE ISSUING DEVICE, AND AUTHENTICATION DEVICE | 1 |
Yuji Kawashima | JP | Tokyo | 2012-12-27 / 20120328017 - VIDEO DECODER AND VIDEO DECODING METHOD | 2 |
Emi Kawashima | JP | Sodegaura-Shi | 2013-10-10 / 20130264565 - SEMICONDUCTOR THIN FILM, THIN FILM TRANSISTOR AND PRODUCTION METHOD THEREFOR | 1 |
Hitoshi Kawashima | JP | Tsukuba-Shi | 2011-07-28 / 20110182545 - WAVELENGTH DOMAIN OPTICAL SWITCH | 1 |
Katsuhiko Kawashima | JP | Nukata-Gun | 2011-07-28 / 20110180915 - ELECTRONIC DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Yasutake Kawashima | JP | Warabi | 2014-12-11 / 20140362286 - MINIATURE IMAGING AND DECODING MODULE | 1 |
Hirokazu Kawashima | JP | Chiba | 2014-06-19 / 20140167033 - OXIDE SINTERED BODY AND SPUTTERING TARGET | 17 |
Takashi Kawashima | JP | Nagoya | 2014-12-04 / 20140356657 - BATTERY PACK AND BATTERY PACK APPARATUS | 1 |
Yasuhiro Kawashima | JP | Tokyo | 2014-12-18 / 20140371573 - METHOD OF IDENTIFYING THE SPATIAL DISTRIBUTION OF AREAS IN A SENSORY AREA OF BRAIN, PROGRAM, AND RECORDING MEDIUM THEREFOR | 5 |
Yasuhiro Kawashima | JP | Atsugi-Shi | 2009-05-14 / 20090122122 - IMAGE FORMING APPARATUS INCLUDING RECORDING HEAD | 1 |
Yuki Kawashima | JP | Osaka-Shi | 2015-08-06 / 20150219973 - LIQUID CRYSTAL DISPLAY DEVICE | 8 |
Nobuaki Kawashima | JP | Kawasaki | 2010-07-08 / 20100174398 - POSITION MEASURING APPARATUS AND COATING APPARATUS | 1 |
Takeshi Kawashima | JP | Chiyoda-Ku | 2013-02-07 / 20130034909 - DIAGNOSIS METHOD AND DIAGNOSIS APPARATUS FOR OIL-FILLED ELECTRICAL APPARATUS | 1 |
Keiko Kawashima | JP | Numazu-Shi | 2009-03-26 / 20090082348 - INDAZOLE DERIVATIVES | 2 |
Yusuke Kawashima | JP | Tokyo | 2013-09-12 / 20130236984 - METHOD FOR CONCENTRATION OF LOW-MOLECULAR-WEIGHT PROTEINS AND PEPTIDES IN BODY FLUID SAMPLE | 1 |
Naoki Kawashima | JP | Tokyo | 2014-06-12 / 20140163777 - VEHICLE | 3 |
Takashi Kawashima | JP | Haibara-Gun | 2016-03-17 / 20160077256 - NEAR-INFRARED-ABSORBING COMPOSITION, NEAR-INFRARED CUT-OFF FILTER AND PRODUCTION METHOD USING SAME, AND CAMERA MODULE | 9 |
Toru Kawashima | JP | Tokyo | 2012-10-25 / 20120271804 - DATA ACCESS DEVICE, DATA ACCESS METHOD AND DATA ACCESS PROGRAM | 1 |
Noriaki Kawashima | JP | Fuji-Shi | 2013-10-03 / 20130260116 - METHOD FOR MANUFACTURING IMPREGNATION-COATING TYPE JAPANESE PAPER MASKING TAPE BASE MATERIAL | 1 |
Tadayuki Kawashima | JP | Iruma-Gun | 2013-02-07 / 20130032600 - Valve For Container Filled With Halogen Gas Or Halogen Compound Gas | 1 |
Keisuke Kawashima | JP | Kodaira-Shi | 2012-06-21 / 20120152425 - RUN FLAT TIRE | 1 |
Toshiyuki Kawashima | JP | Hamamatsu-Shi | 2016-04-14 / 20160104547 - METHOD OF DETERMINING NUCLEAR FUSION IRRADIATION COORDINATES, DEVICE FOR DETERMINING NUCLEAR FUSION IRRADIATION COORDINATES, AND NUCLEAR FUSION DEVICE | 7 |
Yuji Kawashima | JP | Oume-Shi | 2012-06-21 / 20120155537 - Moving Picture Decoding Device and Moving Picture Decoding Method | 1 |
Yoshichika Kawashima | JP | Kiryu-Shi | 2016-05-12 / 20160134073 - ELECTRIC MOTOR | 14 |
Takeshi Kawashima | JP | Tokyo | 2014-11-06 / 20140327015 - METHOD OF PRODUCING MICROSTRUCTURE OF NITRIDE SEMICONDUCTOR AND PHOTONIC CRYSTAL PREPARED ACCORDING TO THE METHOD | 8 |
Nobuhiro Kawashima | JP | Kanagawa | 2014-01-23 / 20140022026 - OSCILLATOR | 15 |
Tetsuya Kawashima | JP | Matsumoto City | 2015-01-22 / 20150023066 - CONTROL DEVICE OF A SWITCHING POWER SUPPLY | 9 |
Manabu Kawashima | JP | Kangawa | 2013-09-26 / 20130253108 - RESIN COMPOSITION AND RESIN MOLDED PRODUCT | 1 |
Keisuke Kawashima | JP | Ichihara-Shi | 2013-01-31 / 20130030136 - OPTICAL MATERIAL AND MOLDED PRODUCT THEREOF | 1 |
Manabu Kawashima | JP | Kanagawa | 2016-03-31 / 20160090472 - RESIN COMPOSITION AND RESIN MOLDED ARTICLE | 5 |
Ikue Kawashima | JP | Kanagawa | 2013-11-14 / 20130305249 - ELECTRONIC INFORMATION TERMINAL AND ELECTRONIC INFORMATION SYSTEM | 9 |
Osamu Kawashima | JP | Kawasaki-Shi | 2015-10-01 / 20150280481 - ELECTRONIC DEVICE, AND CAPACITOR CAPACITANCE DETECTION METHOD APPLIED TO THE SAME | 2 |
Susumu Kawashima | JP | Atsugi | 2015-10-29 / 20150310793 - Input/output Device and Method for Driving Input/output Device | 3 |
Ichiro Kawashima | JP | Hitachinaka | 2008-11-20 / 20080285841 - IMAGE PROCESSING UNIT FOR WAFER INSPECTION TOOL | 1 |
Yutaka Kawashima | JP | Kanagawa-Ken | 2010-06-17 / 20100153610 - BUS ARBITER AND BUS SYSTEM | 1 |
Yukio Kawashima | JP | Tochigi | 2009-05-07 / 20090118678 - INJECTION NEEDLE | 1 |
Yuki Kawashima | JP | Saitama | 2012-09-27 / 20120242718 - METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY APPARATUS | 4 |
Yuki Kawashima | JP | Yokohama-Shi, Kanagawa | 2009-10-01 / 20090244102 - LCD, LIQUID CRYSTAL DISPLAY DEVICE, AND THEIR DRIVE METHOD | 1 |
Yuji Kawashima | JP | Hamura-Shi | 2012-06-14 / 20120147968 - Moving Picture Decoding Device and Moving Picture Decoding Method | 7 |
Yuji Kawashima | JP | Ome-Shi | 2008-08-21 / 20080198928 - INFORMATION PROCESSING APPARATUS AND INTER-PREDICTION MODE DETERMINING METHOD | 1 |
Yoshiaki Kawashima | JP | Gifu-Shi | 2008-10-09 / 20080248119 - Production method of drug containing composite particle | 1 |
Yasuyuki Kawashima | JP | Kobe-Shi | 2014-10-02 / 20140295488 - BLOOD CELL ANALYZER AND BLOOD CELL ANALYZING METHOD | 6 |
Yasuyuki Kawashima | JP | Kobe | 2014-05-08 / 20140127794 - BACTERIA MEASURING APPARATUSES | 2 |
Yasushi Kawashima | JP | Mie-Ken | 2010-08-26 / 20100213812 - SPARK PLUG FOR INTERNAL COMBUSTION ENGINES AND METHOD FOR MANUFACTURING THE SPARK PLUG | 1 |
Tsuyoshi Kawashima | JP | Nagoya-Shi | 2013-03-21 / 20130070275 - IMAGE PROCESSING APPARATUS | 5 |
Tosikazu Kawashima | JP | Kadoma-Shi | 2010-01-28 / 20100020550 - Illumination device including a detachable sensor | 1 |
Toshiyuki Kawashima | JP | Saitama | 2009-08-20 / 20090206236 - Illumination device and display device | 1 |
Toru Kawashima | JP | Kawasaki-Shi | 2015-07-30 / 20150215508 - IMAGING APPARATUS | 5 |
Toru Kawashima | JP | Mito | 2009-06-25 / 20090161506 - Optical Disc Apparatus and Method for Controlling Overwrite Power | 2 |
Takuya Kawashima | JP | Kohtoh-Ku | 2009-07-30 / 20090191350 - FILM FORMING APPARATUS AND FILM FORMING METHOD | 3 |
Takayuki Kawashima | JP | Hamamatsu-Shi | 2008-11-20 / 20080284892 - Imaging apparatus | 1 |
Takayuki Kawashima | JP | Shizuoka | 2008-10-23 / 20080259197 - IMAGING APPARATUS AND GAIN ADJUSTING METHOD FOR THE SAME | 1 |
Takahiro Kawashima | JP | Shimane | 2011-04-21 / 20110090716 - DC-DC CONVERTER WITH SNUBBER CIRCUIT | 1 |
Takahiro Kawashima | JP | Matsue-Shi | 2015-02-19 / 20150048810 - BIDIRECTIONAL DC-DC CONVERTER | 2 |
Takahiro Kawashima | JP | Hyogo | / - | 1 |
Takahiro Kawashima | JP | Chiryu-Shi | 2009-01-22 / 20090020367 - Oil Pan Structure for Internal Combustion Engine | 1 |
Takahiro Kawashima | JP | Ota-Shi | 2011-10-20 / 20110255565 - LASER LIGHT DETECTION CIRCUIT | 2 |
Takahiro Kawashima | JP | Hamamatsu-Shi | 2009-01-15 / 20090018843 - SPEECH PROCESSOR AND COMMUNICATION TERMINAL DEVICE | 1 |
Tadashi Kawashima | JP | Aichi-Ken | 2009-10-08 / 20090253740 - SUBSTITUTED N-BICYCLICALKYL BICYCLICCARBOXYAMIDE COMPOUNDS | 1 |
Shoichi Kawashima | JP | Kawasaki-Shi | 2014-11-06 / 20140327015 - METHOD OF PRODUCING MICROSTRUCTURE OF NITRIDE SEMICONDUCTOR AND PHOTONIC CRYSTAL PREPARED ACCORDING TO THE METHOD | 9 |
Shinji Kawashima | JP | Ohtsu-Shi | 2008-11-06 / 20080272753 - REGULATOR CIRCUIT | 1 |
Shinji Kawashima | JP | Shiga | 2008-08-28 / 20080204101 - Hysteresis characteristic input circuit including resistors capable of suppressing penetration current | 1 |
Shingo Kawashima | JP | Mie | 2009-04-16 / 20090096759 - TOUCH PANEL, DISPLAY DEVICE AND TOUCH PANEL MANUFACTURING METHOD | 1 |
Shigeki Kawashima | JP | Hiratsuka-Shi | 2009-07-09 / 20090173272 - Apparatus for pulling single crystal by CZ method | 1 |
Seiichi Kawashima | JP | Mobara | 2009-05-14 / 20090121996 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Satoshi Kawashima | JP | Kitasaku-Gun | 2013-05-30 / 20130135898 - PLANAR ILLUMINATION DEVICE AND METHOD OF PRODUCING SAME | 4 |
Satoshi Kawashima | JP | Fukuroi City | 2009-03-12 / 20090067153 - BACKLIGHTED KEYBOARD AND METHOD USING PATTERNED LIGHT GUIDE | 1 |
Noriyuki Kawashima | JP | Saitama-Ken | 2009-12-03 / 20090294068 - Laminator | 1 |
Norio Kawashima | JP | Mito | 2012-09-27 / 20120243653 - CONTROL ROD FOR BOILING WATER REACTOR AND METHOD OF MANUFACTURING CONTROL ROD | 3 |
Naoki Kawashima | JP | Nagoya-Shi | 2012-03-29 / 20120076886 - METHOD OF EVALUATING ADHESION PROPERTY, LOW-ADHESION MATERIAL, AND MOLD FOR MOLDING RESIN | 3 |
Munenari Kawashima | JP | Yokosuka-Shi | 2010-03-18 / 20100066452 - WIDEBAND LOW-NOISE AMPLIFIER | 1 |
Minoru Kawashima | JP | Yamaguchi | 2011-10-06 / 20110240463 - BIPOLAR MEMBRANE AND METHOD OF MANUFACTURING THE SAME | 2 |
Masatoshi Kawashima | JP | Hamamatsu-Shi | 2010-07-08 / 20100174391 - Remote Control System and Relay Unit | 1 |
Masato Kawashima | JP | Hiroshima-Ken | 2008-11-20 / 20080287431 - Heterocyclic Compound and Anti-Malignant-Tumor Agent Containing the Same as Active Ingredient | 1 |
Masao Kawashima | JP | Shizuoka | 2011-04-28 / 20110097028 - Cage for rolling bearing and rolling bearing | 1 |
Masanori Kawashima | JP | Yokohama | 2010-04-29 / 20100106710 - STREAM DATA PROCESSING METHOD AND SYSTEM | 2 |
Masami Kawashima | JP | Oura-Gun | 2010-09-23 / 20100236925 - CERAMIC STRUCTURE AND GAS SENSOR INCLUDING THE CERAMIC STRUCTURE | 2 |
Masami Kawashima | JP | Ooizumi | 2009-12-17 / 20090312938 - GAS SENSOR, OXYGEN SENSOR AND AIR-FUEL RATIO CONTROL SYSTEM | 1 |
Masahiro Kawashima | JP | Yokohama-Shi | 2011-04-07 / 20110082246 - PRESSURE-SENSITIVE ADHESIVE CONTAINING NEAR INFRARED ABSORBING COLORING MATTER | 1 |
Masahiro Kawashima | JP | Ibaraki-Ken | 2013-06-20 / 20130156312 - Image Processing Device and Image Processing Method | 3 |
Masahiko Kawashima | JP | Suzuka | 2010-11-04 / 20100276325 - OXYGEN INDICATOR AND PACKAGE | 1 |
Kouji Kawashima | JP | Hyogo-Ken | 2011-03-03 / 20110054727 - VEHICLE CONTROL APPARATUS AND VEHICLE CONTROL METHOD | 1 |
Koji Kawashima | JP | Yokosuka-Shi | 2011-01-06 / 20110000722 - HYBRID OPERATING MACHINE | 1 |
Koji Kawashima | JP | Mie | 2010-10-14 / 20100261567 - ECCENTRICALLY OSCILLATING SPEED REDUCER | 1 |
Kazuhiro Kawashima | JP | Kasugai-Shi | 2010-10-28 / 20100271158 - POWDER FOR MAGNETIC CORE, METHOD FOR MANUFACTURING POWDER FOR MAGNETIC CORE, AND DUST CORE | 3 |
Jun Kawashima | JP | Ichihara | 2008-09-04 / 20080214746 - PROCESS FOR PRODUCING ETHYLENE-ALPHA-OLEFIN COPOLYMER | 1 |
Hitoshi Kawashima | JP | Tsukuba | 2013-10-17 / 20130272650 - WAVELENGTH CROSS CONNECT DEVICE | 2 |
Hiroyuki Kawashima | JP | Shizuoka | 2009-02-12 / 20090041843 - PHARMACEUTICAL PREPARATION HAVING EXCELLENT PHOTOSTABILITY | 1 |
Hiroyuki Kawashima | JP | Warabi-Shi | 2008-10-02 / 20080239528 - DEFORMABLE MIRROR APPARATUS | 2 |
Hiroki Kawashima | JP | Minato-Ku | 2009-01-15 / 20090018901 - INFORMATION OUTPUT NETWORK SYSTEM | 1 |
Hirokazu Kawashima | JP | Sodegaura-Shi | 2014-03-27 / 20140084289 - THIN-FILM TRANSISTOR | 7 |
Hidetoshi Kawashima | JP | Ebina-Shi | 2011-03-24 / 20110069325 - IMAGE PROCESSING APPARATUS, IMAGE FORMING APPARATUS, IMAGE PROCESSING METHOD AND COMPUTER READABLE MEDIUM | 3 |
Hideki Kawashima | JP | Kawasaki-Shi | 2012-02-02 / 20120027494 - SHEET STORAGE CASSETTE AND PRINTING APPARATUS | 4 |
Hajime Kawashima | JP | Inagi | 2011-09-22 / 20110229037 - CHARACTER RECOGNITION APPARATUS AND CHARACTER RECOGNITION METHOD | 3 |
Daiichiro Kawashima | JP | Aichi-Ken | 2010-06-03 / 20100133894 - Vehicle Wheel | 4 |
Ayato Kawashima | JP | Ehime | 2009-01-22 / 20090023938 - PROCESS FOR PRODUCTION OF FATTY ACID ALKYL ESTER AND PRODUCTION APPARATUS FOR FATTY ACID ALKYL ESTER | 1 |
Akihiro Kawashima | JP | Chiryu-Shi | 2010-12-09 / 20100308621 - STRUCTURE OF MOUNTING IMPACT ABSORPTION MATERIAL FOR USE WITH VEHICLE | 1 |
Shingo Kawashima | KR | Yongin-City | 2012-01-05 / 20120001893 - PIXEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE SAME | 5 |
Tadashi Kawashima | GB | Kent | 2011-01-27 / 20110021514 - AMIDE DERIVATIVES AS ION-CHANNEL LIGANDS AND PHARMACEUTICAL COMPOSITIONS AND METHODS OF USING THE SAME | 1 |
Koji Kawashima | US | San Diego | 2010-02-25 / 20100050228 - DTV with detachable HDMI inputs | 1 |
Isamu Kawashima | JP | Mitaka-Shi | 2011-02-03 / 20110024020 - TAPE ADHERING METHOD AND TAPE ADHERING DEVICE | 1 |
Toyohisa Kawashima | JP | Aichi | 2011-08-04 / 20110190981 - CONTROL SYSTEM FOR AIR CONDITIONING APPARATUS | 2 |
Naoki Kawashima | JP | Aichi | 2009-04-30 / 20090107361 - Low-Adhesion Material, Resin Molding Die, and Soil Resistant Material | 3 |
Masaki Kawashima | JP | Aichi | 2009-06-25 / 20090162581 - Resin Member Fitting Structure and Passenger Compartment Antenna Device | 2 |
Koichiro Kawashima | JP | Aichi | 2010-09-30 / 20100246326 - ULTRASONIC EXPLORATION METHOD AND ULTRASONIC EXPLORATION APPARATUS | 1 |
Kazuhiro Kawashima | JP | Aichi | 2011-02-03 / 20110024000 - POWDER FOR DUST CORE AND METHOD FOR PRODUCING THE SAME | 1 |
Toshitaka Kawashima | JP | Kanagawa | 2012-07-12 / 20120175611 - METHOD OF MANUFACTURING THIN FILM TRANSISTOR, THIN FILM TRANSISTOR, AND DISPLAY UNIT | 9 |
Takeaki Kawashima | JP | Ashigarakami-Gun | 2014-10-02 / 20140290059 - ANISOTROPIC CONDUCTIVE FILM AND METHOD OF MAKING CONDUCTIVE CONNECTION | 1 |
Toshikazu Kawashima | JP | Hirakata | 2010-04-15 / 20100092094 - Brightness detection system | 1 |
Koji Kawashima | JP | Yokohama-Shi | 2013-10-24 / 20130279854 - OPTICAL WAVEGUIDE AND ARRAYED WAVEGUIDE GRATING | 2 |
Yusuke Kawashima | JP | Kanagawa-Ken | 2011-07-21 / 20110177601 - METHOD FOR CONCENTRATION OF LOW-MOLECULAR-WEIGHT PROTEINS AND PEPTIDES IN BODY FLUID SAMPLE | 1 |
Toshiyuki Kawashima | JP | Shizuoka | 2011-07-21 / 20110176574 - SOLID-STATE LASER DEVICE | 1 |
Kiyotaka Kawashima | JP | Utsunomiya-Shi | 2013-08-15 / 20130207608 - VEHICLE CHARGING SYSTEM | 1 |
Yuusuke Kawashima | JP | Yamanashi | 2014-09-25 / 20140288692 - CUTTING CONDITION DISPLAY DEVICE | 1 |
Ikuo Kawashima | JP | Kounosu-Shi | 2010-11-18 / 20100291059 - PHARMACEUTICAL COMPOSITION FOR ENZYME REPLACEMENT THERAPY | 2 |
Akihiro Kawashima | JP | Odawara-Shi | 2012-07-05 / 20120171274 - TRANSDERMAL PATCH | 1 |
Atsumichi Kawashima | JP | Fukushima | 2012-09-27 / 20120244410 - SECONDARY BATTERY, ELECTRONIC DEVICE, ELECTRIC POWER TOOL, ELECTRICAL VEHICLE, AND ELECTRIC POWER STORAGE SYSTEM | 16 |
Haruna Kawashima | JP | Haga-Gun | 2016-02-11 / 20160041472 - LIGHT SOURCE APPARATUS, ILLUMINATION DEVICE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD | 4 |
Takeaki Kawashima | JP | Miyagi | 2013-10-17 / 20130273136 - SUSTAINED DRUG DELIVERY SYSTEM | 2 |
Kayoko Kawashima | JP | Hyogo | 2014-10-09 / 20140302040 - Novel Antibodies and Uses Thereof | 2 |
Tomomichi Kawashima | JP | Numazu-Shi | 2016-02-18 / 20160048104 - IMAGE FORMING APPARATUS | 8 |
Hirofumi Kawashima | JP | Tokyo | 2015-01-22 / 20150022062 - QUARTZ CRYSTAL UNIT, QUARTZ CRYSTAL OSCILLATOR AND ELECTRONIC APPARATUS | 25 |
Shigeki Kawashima | JP | Kanagawa | 2008-12-18 / 20080311021 - Apparatus for pulling single crystal by CZ method | 2 |
Toshitsugu Kawashima | JP | Kanagawa | 2010-06-03 / 20100134157 - PLL circuit and method of cotrolling the same | 2 |
Yoshitsugu Kawashima | JP | Kanagawa | 2014-01-30 / 20140027928 - SEMICONDUCTOR DEVICE HAVING CRACK-RESISTING RING STRUCTURE AND MANUFACTURING METHOD THEREOF | 7 |
Yoshinari Kawashima | JP | Kanagawa | 2011-03-03 / 20110051250 - OPTICAL ELEMENT, AND PROCESSING APPARATUS AND METHOD FOR REDUCING REFLECTION | 3 |
Isamu Kawashima | JP | Kanagawa | 2011-02-03 / 20110023828 - ENGINE | 4 |
Miyoko Kawashima | JP | Haga-Gun | 2012-12-27 / 20120327208 - IMAGING APPARATUS | 12 |
Tetsuji Kawashima | JP | Kanagawa | 2010-10-07 / 20100254252 - RECORDING MEDIUM, RECORDING APPARATUS AND RECORDING METHOD | 2 |
Sosuke Kawashima | JP | Kanagawa | 2010-09-09 / 20100226603 - Rolling Device and Using Method Thereof | 1 |
Naohiko Kawashima | JP | Kyoto-Shi | 2014-05-01 / 20140121791 - SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING SYSTEM, CONTROL METHOD FOR SUBSTRATE PROCESSING APPARATUS AND STORAGE MEDIUM | 1 |
Mika Kawashima | JP | Kanagawa | 2010-08-05 / 20100199387 - GENE HAVING ENDOREDUPLICATION PROMOTING ACTIVITY | 2 |
Toshihiro Kawashima | JP | Tokyo | 2012-08-02 / 20120193065 - INSPECTION APPARATUS AND INSPECTION METHOD FOR HEAT TRANSFER TUBE | 1 |
Masanori Kawashima | JP | Kanagawa | 2010-03-18 / 20100070869 - NETWORK MANAGEMENT SYSTEM, DISPLAY METHOD, AND PROGRAM | 1 |
Takashi Kawashima | JP | Aichi-Ken | 2014-12-25 / 20140375240 - ELECTRIC COMPRESSOR FOR VEHICLE | 2 |
Akihiro Kawashima | JP | Kanagawa | 2012-05-10 / 20120114738 - TRANSDERMAL PATCH | 3 |
Yuki Kawashima | JP | Kanagawa | 2009-03-12 / 20090066896 - DISPLAY DEVICE | 1 |
Masahiro Kawashima | JP | Kanagawa | 2009-01-29 / 20090029135 - PRESSURE-SENSITIVE ADHESIVE CONTAINING NEAR INFRARED ABSORBING COLORING MATTER | 1 |
Hironori Kawashima | JP | Kanagawa | 2011-02-03 / 20110027542 - EXPOSURE APPARATUS AND EXPOSURE METHOD | 1 |
Toshitaka Kawashima | JP | Kanagawa | 2012-07-12 / 20120175611 - METHOD OF MANUFACTURING THIN FILM TRANSISTOR, THIN FILM TRANSISTOR, AND DISPLAY UNIT | 9 |
Yoshiya Kawashima | JP | Kanagawa | 2012-04-26 / 20120098060 - SEMICONDUCTOR DEVICE | 5 |
Noriyuki Kawashima | JP | Kanagawa | 2013-06-06 / 20130143357 - METHOD OF FORMING ORGANIC THIN FILM AND ORGANIC THIN FILM FORMING APPARATUS, AS WELL AS METHOD OF MANUFACTURING ORGANIC DEVICE | 9 |
Ikue Kawashima | JP | Kanagawa | 2013-11-14 / 20130305249 - ELECTRONIC INFORMATION TERMINAL AND ELECTRONIC INFORMATION SYSTEM | 9 |
Hidetoshi Kawashima | JP | Kanagawa | 2016-03-24 / 20160086065 - IMAGE FORMING APPARATUS AND IMAGE PROCESSING DEVICE | 13 |
Yasunari Kawashima | JP | Kanagawa | 2008-09-25 / 20080231223 - Rotor Driving Control Device and Image Forming Apparatus | 1 |
Hideyuki Kawashima | JP | Kanagawa | 2008-09-11 / 20080219442 - Information processing apparatus and method, and a program | 1 |
Koichi Kawashima | JP | Toyama | 2011-04-14 / 20110084277 - SEMICONDUCTOR MEMORY DEVICE AND ITS MANUFACTURING METHOD | 4 |
Ryuta Kawashima | JP | Miyagi | 2015-08-27 / 20150238108 - EYEWEAR | 4 |
Koichi Kawashima | JP | Hyogo | 2010-11-25 / 20100295111 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 2 |
Koichi Kawashima | JP | Hachioji-Shi | 2009-04-23 / 20090105730 - MEDICAL LIGATING APPARATUS | 1 |
Koichi Kawashima | JP | Tokyo | 2008-10-30 / 20080269558 - ENDOSCOPIC INSTRUMENT | 1 |
Yousuke Kawashima | JP | Kawasaki | 2010-12-30 / 20100329069 - SEMICONDUCTOR MEMORY DEVICE | 1 |
Takeshi Kawashima | JP | Nisshin-City | 2014-07-31 / 20140210604 - CONTROL SYSTEM FOR CONTROLLING DISPLAY DEVICE | 16 |
Yoshiyuki Kawashima | JP | Kanagawa | 2016-02-11 / 20160043098 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 16 |
Shoji Kawashima | JP | Kanagawa | 2014-02-13 / 20140045378 - Electrical Connector Assembly and Housing | 1 |
Shinji Kawashima | JP | Kanagawa | 2014-04-24 / 20140112681 - IMAGE FORMING APPARATUS AND SEPARATION DEVICE FOR TONER COLLECTION | 1 |
Makoto Kawashima | JP | Kasugai-Shi | 2012-08-23 / 20120213473 - ROTARY OPTICAL LINK JOINT | 1 |
Ryouji Kawashima | JP | Kanagawa | 2013-10-24 / 20130281155 - SYSTEM, ELECTRONIC DEVICE, AND CHARGER | 1 |
Tsuneo Kawashima | JP | Fukuoka | 2010-09-30 / 20100247914 - Porous Silica-Based Particles Having Smooth Surface, Method for Production Thereof and Cosmetic Comprising Such Particles | 1 |
Kiyoharu Kawashima | JP | Osaka | 2012-08-23 / 20120215190 - PAPER DIAPER | 1 |
Takenori Kawashima | JP | Kyoto | 2014-08-21 / 20140232212 - MOTOR | 1 |
Seiko Kawashima | JP | Yokosuka-Shi | 2014-09-25 / 20140286040 - Lamp and Luminaire | 15 |
Kenji Kawashima | JP | Tokyo | 2016-02-11 / 20160037998 - Endoscopic Operating System and Endoscopic Operation Program | 6 |
Yoshichika Kawashima | JP | Kiryu-Shi | 2016-05-12 / 20160134073 - ELECTRIC MOTOR | 14 |
Hiroshi Kawashima | JP | Saitama-Ken | 2011-04-14 / 20110083653 - CARTRIDGE-BASED AIR GUN | 1 |
Hiroshi Kawashima | JP | Takasago-Shi | 2010-09-30 / 20100242949 - SUNLIGHT COLLECTING HEAT RECEIVER | 1 |
Hiroshi Kawashima | JP | Osaka | 2015-07-02 / 20150182486 - COMPOSITION CONTAINING DIHOMO-y-LINOLENIC ACID (DGLA) AS THE ACTIVE INGREDIENT | 5 |
Hiroshi Kawashima | JP | Hyogo | 2011-06-02 / 20110130293 - SUPERCONDUCTION APPARATUS | 6 |
Hiroshi Kawashima | JP | Takatsuki-Shi | 2010-12-16 / 20100317622 - Producing Method of Phospholipids Including Long-Chain Polyunsaturated Fatty Acids as Constituents, and Use of Such Phospholipids | 2 |
Kenji Kawashima | JP | Nara | 2011-05-26 / 20110124668 - NOVEL INDOLE DERIVATIVE HAVING, CARBAMOYL GROUP, UREIDO GROUP AND SUBSTITUTED OXY GROUP | 1 |
Hiroshi Kawashima | JP | Takasago-Shi, Hyogo | 2010-04-29 / 20100102762 - POWER CONVERTER | 1 |
Hiroshi Kawashima | JP | Tokyo | 2015-09-17 / 20150261242 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, PROGRAM, AND POWER STORAGE APPARATUS MANAGEMENT SYSTEM | 23 |
Yasutake Kawashima | JP | Saitama Pref. | 2015-03-19 / 20150076232 - Image Reading Device Capable of Producing Illumination Including a Continuous, Low-Intensity Level Illumination Component and One or More Pulsed, High-Intensity Level Illumination Components | 3 |
Masanori Kawashima | JP | Tokyo | 2013-01-10 / 20130011553 - PROCESSES FOR PREPARING COATED PRINTING PAPER | 1 |
Tadaomi Kawashima | JP | Chiba | 2011-10-20 / 20110256611 - INTERFERON BETA PRODUCTION PROMOTER AND A METHOD FOR PRODUCING THEREOF | 2 |
Hidemitsu Kawashima | JP | Seto-Shi | 2013-01-10 / 20130014213 - VEHICLE CONTROL SYSTEM AND AUTHENTICATION METHOD | 5 |
Takashi Kawashima | JP | Haibara-Gun | 2016-03-17 / 20160077256 - NEAR-INFRARED-ABSORBING COMPOSITION, NEAR-INFRARED CUT-OFF FILTER AND PRODUCTION METHOD USING SAME, AND CAMERA MODULE | 9 |
Hiroyuki Kawashima | JP | Kumamoto | 2014-09-25 / 20140284670 - SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING DEVICE, METHOD FOR MANUFACTURING SOLID-STATE IMAGING ELEMENT, AND SEMICONDUCTOR DEVICE | 2 |
Hidemitsu Kawashima | JP | Seto-City | 2009-01-15 / 20090015387 - CONTROL SYSTEM AND METHOD, FIXED RADIO COMMUNICATION DEVICE AND METHOD, AND PORTABLE RADIO COMMUNICATION DEVICE AND METHOD | 1 |
Hidemitsu Kawashima | JP | Kasugai-Shi | 2009-01-15 / 20090018734 - CONTROL DEVICE AND METHOD | 1 |
Seiko Kawashima | JP | Ome-Shi | 2009-06-25 / 20090160792 - PORTABLE DEVICE | 2 |
Ken Kawashima | JP | Tokyo | 2013-10-17 / 20130275949 - TESTING OPERATIONS OF SOFTWARE | 2 |
Hidetoshi Kawashima | JP | Kanagawa | 2016-03-24 / 20160086065 - IMAGE FORMING APPARATUS AND IMAGE PROCESSING DEVICE | 13 |
Tetsuya Kawashima | JP | Nakagun | 2016-02-18 / 20160049315 - SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 1 |
Takanori Kawashima | JP | Anjo-Shi | 2014-07-17 / 20140197525 - POWER MODULE | 6 |
Katsushi Kawashima | JP | Osaka-Shi | 2013-01-03 / 20130004647 - PROCESSED SOYBEAN HAVING REDUCED UREASE CONTENT AND MANUFACTURING METHOD OF THE SAME | 1 |
Yuki Kawashima | JP | Koshigaya-Shi | 2013-08-01 / 20130194320 - METHOD FOR DRIVING LIQUID CRYSTAL DISPLAY APPARATUS | 1 |
Kenji Kawashima | JP | Ikoma-Shi | 2014-08-28 / 20140243320 - NOVEL COMPOUND HAVING PARP INHIBITORY ACTIVITY | 12 |
Takahiro Kawashima | JP | Tokyo | 2011-06-16 / 20110144936 - PHOTOELECTRIC SMOKE SENSOR | 1 |
Miyoko Kawashima | JP | Tokyo | 2015-10-15 / 20150293342 - IMAGE CAPTURING APPARATUS AND IMAGE CAPTURING METHOD | 2 |
Yoshiaki Kawashima | JP | Osaka | 2009-02-26 / 20090052204 - Surface Light Emitting Apparatus | 1 |
Toshikazu Kawashima | JP | Osaka | 2013-12-19 / 20130334983 - LIGHTING SYSTEM | 3 |
Keisuke Kawashima | JP | Kawasaki-Shi | 2013-12-05 / 20130319594 - CORD FOR REINFORCING RUBBER ARTICLES, AND PNEUMATIC TIRE | 1 |
Kaoru Kawashima | JP | Saitama-Shi | 2013-12-05 / 20130323391 - GRAIN FLOUR AND APPLIED FOODS | 1 |
Hideki Kawashima | JP | Mitaka-Shi | 2011-10-27 / 20110259440 - FRICTIONAL RESISTANCE REDUCTION DEVICE FOR SHIP | 1 |
Takaaki Kawashima | JP | Anjo | 2013-12-05 / 20130324352 - CARRIER | 1 |
Hiroto Kawashima | JP | Shizuoka-Ken | 2008-11-20 / 20080287431 - Heterocyclic Compound and Anti-Malignant-Tumor Agent Containing the Same as Active Ingredient | 1 |
Masahiro Kawashima | JP | Osaka | 2011-01-20 / 20110012920 - COLOR CONVERSION DEVICE, COLOR CONVERSION TABLE AND COLOR CONVERSION METHOD | 2 |
Aki Kawashima | JP | Osaka | 2016-04-14 / 20160102045 - METHOD FOR SYNTHESIZING OPTICALLY ACTIVE a-AMINO ACID USING CHIRAL METAL COMPLEX COMPRISING AXIALLY CHIRAL N-(2-ACYLARYL)-2-[5,7-DIHYDRO-6H-DIBENZO[c,e]AZEPIN-6-YL] ACETAMIDE COMPOUND AND AMINO ACID | 2 |
Koji Kawashima | JP | Osaka | 2014-05-15 / 20140130851 - TACKY SHEET FOR PROTECTING BACK FACE OF SOLAR BATTERY MODULE, AND SOLAR BATTERY MODULE USING THE SAME | 3 |
Reiji Kawashima | JP | Kusatsu-Shi | 2016-03-10 / 20160070241 - CONTROL DEVICE | 2 |
Eric H. Kawashima | US | Pacific Grove | 2015-05-14 / 20150133320 - METHOD OF NUCLEIC ACID AMPLIFICATION | 4 |
Yoshimi Kawashima | JP | Sodegaura-Shi | 2010-07-08 / 20100172826 - REFORMING CATALYST FOR HYDROCARBON, METHOD FOR PRODUCING HYDROGEN USING SUCH REFORMING CATALYST, AND FUEL CELL SYSTEM | 1 |
Yasutake Kawashima | JP | Saitama | 2013-12-12 / 20130329123 - INFORMATION DISPLAY DEVICE AND DISPLAY DRIVING METHOD | 1 |
Yuki Kawashima | JP | Tokyo | 2015-10-01 / 20150272835 - METHOD FOR PRODUCING CAROTENOID-CONTAINING COMPOSITION, AND CAROTENOID-CONTAINING COMPOSITION | 2 |
Hiroaki Kawashima | JP | Kyoto | 2013-04-11 / 20130091515 - DEGREE OF INTEREST ESTIMATING DEVICE AND DEGREE OF INTEREST ESTIMATING METHOD | 2 |
Kayoko Kawashima | JP | Osaka | 2009-12-31 / 20090325288 - METHOD FOR INDUCING DIFFERENTIATION OF PLURIPOTENT STEM CELLS INTO CARDIOMYOCYTES | 1 |
Yuki Kawashima | JP | Osaka | 2015-01-22 / 20150022771 - LIQUID CRYSTAL DISPLAY PANEL | 4 |
Tsutomu Kawashima | JP | Osaka | 2008-12-11 / 20080305384 - ELECTRODE-MEMBRANE-FRAME ASSEMBLY FOR FUEL CELL, POLYELECTROLYTE FUEL CELL AND MANUFACTURING METHOD THEREFOR | 1 |
Keiji Kawashima | JP | Osaka | 2009-09-17 / 20090231351 - SEMICONDUCTOR MEMORY DEVICE HAVING DATA ROTATION/INTERLEAVE FUNCTION | 1 |
Katsuhiko Kawashima | JP | Osaka | 2009-11-26 / 20090288862 - Wired circuit board and producing method thereof | 1 |
Noriyoshi Kawashima | JP | Osaka | 2010-10-07 / 20100255299 - METHOD OF APPLYING PRESSURE-SENSITIVE ADHESIVE SHEET FOR SEMICONDUCTOR WAFER PROTECTION AND PRESSURE-SENSITIVE ADHESIVE SHEET FOR SEMICONDUCTOR WAFER PROTECTION FOR USE IN THE APPLICATION METHOD | 2 |
Takahiro Kawashima | JP | Osaka | 2015-07-09 / 20150194475 - THIN-FILM SEMICONDUCTOR DEVICE, ORGANIC EL DISPLAY DEVICE, AND MANUFACTURING METHODS THEREOF | 37 |
Kouji Kawashima | JP | Kanagawa | 2011-10-13 / 20110249213 - LIQUID CRYSTAL DISPLAY APPARATUS | 1 |
Sakae Kawashima | JP | Fussa-Shi | 2013-05-02 / 20130108905 - SECONDARY BATTERY UNIT | 1 |
Ichiro Kawashima | JP | Osaka | 2012-12-20 / 20120323583 - COMMUNICATION TERMINAL AND COMMUNICATION METHOD | 4 |
Yasuji Kawashima | JP | Osaka | 2010-11-11 / 20100282430 - DEVICE FOR PRODUCING METAL SAMPLE AND PROCESS FOR PRODUCING METAL SAMPLE | 1 |
Naoto Kawashima | JP | Osaka | 2011-01-20 / 20110014908 - RADIO EQUIPMENT CONTROLLER, BASE TRANSCEIVER STATION, AND METHOD FOR RELAYING DATA | 1 |
Kazuhito Kawashima | JP | Tokyo | 2015-10-15 / 20150295293 - POWER CONTROLLING APPARATUS | 1 |
Yasutake Kawashima | JP | Warabi-Shi | 2015-10-15 / 20150294128 - OPTICAL INFORMATION READING DEVICE | 1 |
Tetsuro Kawashima | JP | Osaka | 2015-04-02 / 20150090562 - CONVEYING ROLLER UNIT, SHEET CONVEYING DEVICE, AND IMAGE PROCESSING APPARATUS | 6 |
Takashi Kawashima | JP | Nagoya-Shi | 2011-11-17 / 20110278910 - RUSTPROOF COVER FOR AUTOMOBILE DISK BRAKE | 1 |
Yasutake Kawashime | JP | Saitama | 2012-10-18 / 20120261474 - OPTICAL-INFORMATION-READING APPARATUS | 1 |
Kayoko Kawashimi | JP | Hyogo | 2014-07-31 / 20140213634 - METHOD FOR PROLIFERATION CARDIOMYOCYTES USING MICRO-RNA | 3 |
Takashi Kawashimo | JP | Tokyo | 2015-12-03 / 20150348115 - CONTENT OUTPUT APPARATUS, CONTENT OUTPUT METHOD, COMMUNICATION TERMINAL, AND COMPUTER-READABLE MEDIUM | 2 |
Satoshi Kawashimo | JP | Fussa-Shi | 2014-05-01 / 20140118198 - ELECTRONIC APPARATUS | 1 |
Satoshi Kawashimo | JP | Tokyo | 2012-10-04 / 20120249601 - DISPLAY CONTROL APPARATUS, ELECTRONIC DEVICE, AND COMPUTER PROGRAM PRODUCT | 1 |
Satoshi Kawashimo | JP | Oume-Shi | 2014-09-25 / 20140287689 - INFORMATION PROCESSING APPARATUS AND COMMUNICATION CONTROL METHOD | 2 |
Satoshi Kawashimo | JP | Ome-Shi | 2014-01-02 / 20140004795 - ELECTRONIC APPARATUS AND NON-CONTACT COMMUNICATION CONTROL METHOD | 3 |
Takashi Kawashimo | JP | Fussa-Shi | 2016-03-24 / 20160088349 - Information Output Apparatus And Computer Readable Medium | 1 |
Shinya Kawashiri | JP | Osaka | 2011-12-29 / 20110316309 - Waterproof Structure for Outer Roof | 4 |
Hideki Kawashiri | JP | Aichi | 2011-01-13 / 20110006454 - METHOD OF PRODUCING COMPOSITE PLANT FIBER MATERIAL | 1 |
Shinya Kawashiri | JP | Osaka-Shi | 2012-08-30 / 20120217071 - WORKING VEHICLE | 1 |
Satoshi Kawashiri | JP | Niiza-Shi | 2015-09-17 / 20150263151 - SEMICONDUCTOR DEVICE | 4 |
Fumiyoshi Kawashiro | JP | Kanagawa | 2013-01-03 / 20130005090 - METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE HAVING A HEAT SPREADER | 9 |
Fumiyoshi Kawashiro | JP | Kawasaki | 2010-06-10 / 20100144136 - SEMICONDUCTOR DEVICE WITH SOLDER BALLS HAVING HIGH RELIABILITY | 1 |
Fumiyoshi Kawashiro | JP | Kanagawa | 2013-01-03 / 20130005090 - METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE HAVING A HEAT SPREADER | 9 |
Seiji Kawashita | JP | Osaka | 2016-02-18 / 20160046641 - SUBSTITUTED SPIROPYRIDO[1,2-a]PYRAZINE DERIVATIVE AND PHARMACEUTICAL USE OF SAME AS HIV INTEGRASE INHIBITOR | 4 |
Mamoru Kawashita | JP | Shiga | 2010-08-12 / 20100203252 - METHOD FOR TREATING WEB, TREATMENT TANK, CONTINUOUS ELECTROPLATING APPARATUS, AND METHOD FOR PRODUCING PLATING FILM-COATED PLASTIC FILM | 2 |
Michihiro Kawashita | JP | Hitachinaka | 2015-09-10 / 20150255374 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 8 |
Masakazu Kawashita | JP | Kanagawa | 2013-09-12 / 20130235419 - IMAGE FORMING APPARATUS AND INFORMATION PROCESSING APPARATUS | 10 |
Norihito Kawashita | JP | Osaka | 2012-06-21 / 20120156242 - An Antigenic Peptide Derived From Influenza Virus And A Method For Selecting Anti-Influenza Virus Antibody | 1 |
Naoki Kawashita | JP | Toyama-Shi | 2015-08-13 / 20150225248 - METHOD FOR PRODUCING PURIFIED ALKALI SILICATE AQUEOUS SOLUTION AND SILICA SOL | 2 |
Kazushige Kawashita | JP | Osaka | 2011-10-13 / 20110250408 - ADHESIVE PRODUCT, AND TRANSFER TOOL | 5 |
Kazuyuki Kawashita | JP | Kakegawa-Shi | 2015-09-03 / 20150248980 - RELAY FIXING STRUCTURE | 4 |
Masakazu Kawashita | JP | Kanagawa | 2013-09-12 / 20130235419 - IMAGE FORMING APPARATUS AND INFORMATION PROCESSING APPARATUS | 10 |
Kazuyuki Kawashita | JP | Shizuoka | 2010-03-04 / 20100055962 - Electric connection box | 1 |
Rimpei Kawashita | JP | Takasago-Shi | 2010-02-04 / 20100024206 - SPINDLE INCLINATION DETECTOR AND MACHINE TOOL INCLUDING THE SAME | 1 |
Yoshio Kawashita | JP | Kanagawa-Ken | 2010-05-27 / 20100127698 - MAGNETOSTRICTIVE STRESS SENSOR | 1 |
Yoshio Kawashita | JP | Kamakura-Shi | 2014-11-27 / 20140349099 - MOLDED RARE-EARTHRARE-EARTH MAGNET AND LOW TEMPERATURE SOLIDIFICATION MOLDING METHOD | 3 |
Ichiro Kawashita | JP | Hyogo | 2012-09-27 / 20120241283 - MONEY HANDLING APPARATUS | 1 |
Rimpei Kawashita | JP | Hyogo | 2011-01-20 / 20110014031 - SEAL MEMBER, STEAM TURBINE, AND METHOD OF AVOIDING RESONANCE | 2 |
Naoya Kawashita | JP | Osaka | 2015-05-21 / 20150139627 - MOTION PICTURE PLAYBACK APPARATUS AND METHOD FOR PLAYING BACK MOTION PICTURE | 1 |
Katsushi Kawashita | JP | Nishinomiya-City | 2010-11-25 / 20100296610 - DEMODULATION DEVICE | 1 |
Ikuo Kawashita | JP | Hiroshima | 2009-07-02 / 20090169075 - Image processing method and image processing apparatus | 1 |
Yoshihide Kawashita | JP | Osaka | 2016-05-05 / 20160126392 - SOLAR-CELL MODULE | 1 |
Norihito Kawashita | JP | Suita-Shi | 2014-03-27 / 20140086927 - NOVEL EPITOPE AND MECHANISM OF ANTIGEN-ANTIBODY INTERACTION IN AN INFLUENZA VIRUS | 1 |
Yoshihide Kawashita | JP | Nara City | 2013-05-16 / 20130122632 - METHOD OF MANUFACTURING SOLAR CELL MODULE | 1 |
Tsubasa Kawashita | JP | Chiryu-City | 2015-12-31 / 20150382502 - ELECTRONIC DEVICE FOR VEHICLE | 2 |
Hidemori Kawashita | JP | Nara-Shi | 2014-06-12 / 20140159322 - TAP HOLDER | 1 |
Mitsuya Kawashita | JP | Osaka | 2013-03-14 / 20130064113 - TRANSMISSION APPARATUS AND TRANSMISSION METHOD | 2 |
Taro Kawashita | JP | Tokyo | 2014-04-17 / 20140105468 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND COMPUTER PROGRAM | 2 |
Masakazu Kawashita | JP | Ebina-Shi | 2010-09-30 / 20100250811 - INTERRUPT CONTROLLER AND IMAGE-FORMING DEVICE | 1 |
Yuka Kawashita | JP | Kobe-Shi | 2010-03-04 / 20100056815 - METHOD FOR PRODUCING PROPYLENE OXIDE | 1 |
Masakazu Kawashita | JP | Kyoto | 2010-09-30 / 20100247739 - Titanium oxide-organic polymer conjunction suitable for artificial bone | 1 |
Mitsuya Kawashita | JP | Kawanishi | 2016-04-21 / 20160109900 - TRANSMISSION DEVICE AND TIME SYNCHRONIZATION METHOD | 2 |
Rimpei Kawashita | JP | Tokyo | 2014-04-17 / 20140105701 - ANTI-VIBRATION MEMBER AND CUTTING TOOL | 2 |
Mamoru Kawashita | JP | Otsu-Shi | 2015-03-05 / 20150060263 - VACUUM FILM DEPOSITION DEVICE AND VACUUM FILM DEPOSITION METHOD | 4 |
Michihiro Kawashita | JP | Hitachinaka | 2015-09-10 / 20150255374 - SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING SAME | 8 |
Hiroshi Kawashita | JP | Fukaya-Shi | 2009-12-31 / 20090321505 - PACKING CONTAINER AND ASSEMBLING METHOD THEREOF | 1 |
Yoshio Kawashita | JP | Yokosuka-Shi | 2011-06-02 / 20110128106 - Rare earth magnet having high strength and high electrical resistance | 1 |
Naohiro Kawaski | JP | Osaka | 2009-10-22 / 20090260382 - ELECTRIC EQUIPMENT ASSEMBLY, AND OUTDOOR UNIT OF AIR CONDITIONER AND AIR CONDITIONER PROVIDED WITH THE SAME | 1 |
Yudai Kawasoe | JP | Kyoto | 2016-05-12 / 20160133991 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND METHOD FOR PRODUCING NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 8 |
Nobuaki Kawasoe | JP | Kawasaki | 2009-09-03 / 20090222597 - DATA TRANSFER DEVICE | 1 |
Nobuaki Kawasoe | JP | Yokohama | 2015-05-28 / 20150147071 - FREQUENCY OFFSET ESTIMATION CIRCUIT AND FREQUENCY OFFSET ESTIMATION METHOD | 4 |
Yoshirou Kawasoe | JP | Osaka | 2013-12-05 / 20130321710 - IMAGE DISPLAY DEVICE AND TELEVISION RECEIVER | 2 |
Tomoyuki Kawasoe | JP | Yokohama-Shi | 2009-02-19 / 20090047312 - Microgel and external compositions containing the same | 1 |
Tomoyuki Kawasoe | JP | Kanagawa | 2012-12-06 / 20120309660 - CLEANSING COMPOSITION, METHOD OF GENERATING FOAM, FOAM, AND METHOD OF CLEANSING HAIR | 4 |
Suguru Kawasoe | JP | Yokohama | 2013-12-12 / 20130328603 - CURRENT OUTPUT CONTROL DEVICE, CURRENT OUTPUT CONTROL METHOD, DIGITALLY CONTROLLED OSCILLATOR, DIGITAL PLL, FREQUENCY SYNTHESIZER, DIGITAL FLL, AND SEMICONDUCTOR DEVICE | 1 |
Yujiro Kawasoe | JP | Itami | 2015-08-20 / 20150235926 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 4 |
Tomoyuki Kawasoe | JP | Osaka | 2011-03-24 / 20110072351 - INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING PROGRAM, AND COMPUTER-READABLE STORGAE MEDIUM HAVING INFORMATION PROCESSING PROGRAM STORED THEREON | 1 |
Suguru Kawasoe | JP | Miyazaki | 2012-10-25 / 20120268096 - VOLTAGE BOOSTER SYSTEM AND SEMICONDUCTOR CHIP | 1 |
Shinya Kawasoe | JP | Chiyoda-Ku | 2013-02-21 / 20130043613 - Method for Producing Polyolefin Microporous Membrane | 1 |
Shinya Kawasoe | JP | Tokyo | 2010-06-03 / 20100136410 - SEPARATOR FOR LITHIUM ION SECONDARY BATTERY AND METHOD FOR MANUFACTURING THE SAME | 1 |
Hiroyuki Kawasoe | JP | Sakai-Shi | 2015-08-06 / 20150219041 - ENGINE | 1 |
Hiroyuki Kawasoe | JP | Osaka | 2014-08-28 / 20140238367 - SPARK-IGNITION ENGINE | 1 |
Takaya Kawasoe | JP | Toyama | 2013-03-28 / 20130075962 - METHOD FOR PRODUCING PRODUCT HAVING UNEVEN MICROSTRUCTURE ON SURFACE THEREOF, MOLD RELEASE TREATMENT METHOD, AND ACTIVE ENERGY RAY CURABLE RESIN COMPOSITION FOR MOLD SURFACE RELEASE TREATMENT | 1 |
Yoshiyuki Kawasoe | JP | Saga-Shi | 2014-05-08 / 20140125376 - GENERATED POWER OUTPUT MEASURING APPARATUS | 1 |
Yudai Kawasoe | JP | Kyoto | 2016-05-12 / 20160133991 - NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND METHOD FOR PRODUCING NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 8 |
Naoji Kawasoko | JP | Kashihara-Shi | 2014-05-29 / 20140147197 - COUPLING STRUCTURE FOR COUPLING SHAFT TO UNIVERSAL JOINT YOKE, COUPLING METHOD FOR COUPLING SHAFT TO UNIVERSAL JOINT YOKE, AND INTERMEDIATE SHAFT | 3 |
Seigo Kawasue | JP | Aichi | 2015-12-10 / 20150354433 - Method for Installing Inlet Pipe and Method for Supporting Inlet Pipe | 1 |
Yasufumi Kawasuji | JP | Tochigi-Ken | 2015-07-02 / 20150188277 - SLAB AMPLIFIER, AND LASER APPARATUS AND EXTREME ULTRAVIOLET LIGHT GENERATION APPARATUS INCLUDING SLAB AMPLIFIER | 2 |
Takashi Kawasuji | JP | Osaka-Shi | 2012-08-16 / 20120208998 - POLYCYCLIC CARBAMOYLPYRIDONE DERIVATIVE HAVING HIV INTEGRASE INHIBITORY ACTIVITY | 3 |
Takashi Kawasuji | JP | Osaka | 2016-05-19 / 20160137666 - SUBSTITUTED CYCLOPENTA[4,5]OXAZOLO[3,2-a]PYRIDO[1,2-d]PYRAZINES AS HIV INTEGRASE INHIBITORS | 14 |
Yasufumi Kawasuji | JP | Oyama-Shi | 2013-05-09 / 20130114215 - LASER APPARATUS | 1 |
Takashi Kawasuji | JP | Osaka | 2016-05-19 / 20160137666 - SUBSTITUTED CYCLOPENTA[4,5]OXAZOLO[3,2-a]PYRIDO[1,2-d]PYRAZINES AS HIV INTEGRASE INHIBITORS | 14 |
Takashi Kawasuji | JP | Toyonaka-Shi | 2015-12-17 / 20150361093 - HIV REPLICATION INHIBITOR | 1 |
Yasufumi Kawasuji | JP | Tochigi | 2015-12-03 / 20150351211 - EXTREME ULTRAVIOLET LIGHT GENERATING APPARATUS, METHOD OF GENERATING EXTREME ULTRAVIOLET LIGHT, CONCENTRATED PULSED LASER LIGHT BEAM MEASURING APPARATUS, AND METHOD OF MEASURING CONCENTRATED PULSED LASER LIGHT BEAM | 2 |
Atsushi Kawasumi | JP | Kawasaki | 2016-02-04 / 20160035405 - SEMICONDUCTOR DEVICE CAPABLE OF REDUCING POWER CONSUMPTION | 2 |
Atsushi Kawasumi | JP | Sumoto City | 2010-08-26 / 20100213891 - BATTERY PACK UPDATING METHOD | 2 |
Ikue Kawasumi | JP | Saitama-Ken | 2009-08-06 / 20090198430 - Control apparatus and method and control unit | 2 |
Masaya Kawasumi | JP | Anjyo-Shi | 2013-03-14 / 20130065114 - POLYMER ELECTROLYTE, MANUFACTURING METHOD FOR POLYMER ELECTROLYTE, IMIDE MONOMER, AND BATTERY | 3 |
Akito Kawasumi | JP | Susono-Shi | 2016-04-14 / 20160104909 - METHOD OF MANUFACTURING UNIT FUEL CELL | 2 |
Kazuhito Kawasumi | JP | Kariya-Shi | 2015-12-31 / 20150380729 - LITHIUM-ION SECONDARY BATTERY AND METHOD FOR PRODUCING THE SAME | 14 |
Kenta Kawasumi | JP | Shizuoka | 2010-07-08 / 20100170973 - PULVERIZING AND COARSE POWDER CLASSIFYING APPARATUS AND FINE POWDER CLASSIFYING APPARATUS | 1 |
Yusuke Kawasumi | JP | Sendai-Shi | 2016-04-21 / 20160106388 - BREAST CANCER DETECTION SYSTEM, BREAST CANCER DETECTION METHOD, BREAST CANCER DETECTION PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM HAVING BREAST CANCER DETECTION PROGRAM RECORDED THEREON | 1 |
Masaaki Kawasumi | JP | Aichi | 2010-05-13 / 20100115981 - SPRINKLE GUIDE OF WATER TRICKLE ICE-MAKING MACHINE | 1 |
Kazuo Kawasumi | JP | Chino-Shi | 2015-09-24 / 20150266035 - FLUID INJECTION DEVICE | 19 |
Yoshiyuki Kawasumi | JP | Osaka-Shi | 2014-09-18 / 20140275344 - EPOXY RESIN COMPOSITION, AND METHOD FOR PRODUCING THE SAME | 1 |
Kazuo Kawasumi | JP | Chino | 2014-04-17 / 20140105772 - FLUID TRANSPORTING DEVICE OF THE PERISTALTIC TYPE WITH A PUSH PIN AND PUSH PLATE ARRANGEMENT | 16 |
Masanori Kawasumi | JP | Kanagawa | 2011-10-27 / 20110262179 - CHARGING DEVICE, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS, AND TONER | 9 |
Masaaki Kawasumi | JP | Toyoake | 2009-07-09 / 20090173090 - Down Flow Type Ice Making Machine | 2 |
Atsushi Kawasumi | JP | Hyogo | 2013-01-03 / 20130002261 - BATTERY PACK | 3 |
Kenji Kawasumi | JP | Kanagawa | 2015-11-19 / 20150331736 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND INFORMATION PROCESSING METHOD | 6 |
Masahiro Kawasumi | JP | Shizuoka | 2009-05-07 / 20090116915 - MACHINE TOOL | 1 |
Katsuyuki Kawasumi | JP | Kakegawa-Shi | 2013-08-22 / 20130213106 - DIMPLE-FORMING BURNISHING TOOL AND DIMPLE-FORMING BURNISHING METHOD | 2 |
Masaya Kawasumi | JP | Anjo-Shi | 2009-04-09 / 20090093607 - Crosslinked type layered metal phosphonate compound, production process therefor, non-crosslinked type layered metal phosphonate compound, production process therefor, as well as stock solution | 1 |
Hiroaki Kawasumi | JP | Tokyo | 2014-12-18 / 20140372502 - COMMUNICATION DEVICE SEARCHING METHOD, COMMUNICATION DEVICE, AND AD HOC NETWORK SYSTEM | 9 |
Atsushi Kawasumi | JP | Kawasaki-Shi | 2014-03-13 / 20140071745 - MAGNETORESISTIVE MEMORY DEVICE | 18 |
Takuro Kawasumi | JP | Ebina-Shi | 2009-12-03 / 20090299588 - CONTROL DEVICE AND CONTROL METHOD FOR CONTINUOUSLY VARIABLE TRANSMISSION | 1 |
Tatsuya Kawasumi | JP | Kawasaki | 2009-08-06 / 20090196188 - FRAME COUNTER CORRECTION APPARATUS, OPPOSING APPARATUS, AND FRAME COUNTER CORRECTION METHOD | 1 |
Tatsuya Kawasumi | JP | Yokohama | 2016-04-07 / 20160099831 - TRANSMITTER AND TRANSMISSION SYSTEM | 1 |
Kazuo Kawasumi | JP | Chino | 2014-04-17 / 20140105772 - FLUID TRANSPORTING DEVICE OF THE PERISTALTIC TYPE WITH A PUSH PIN AND PUSH PLATE ARRANGEMENT | 16 |
Kazuo Kawasumi | JP | Nagano | 2009-12-17 / 20090312708 - Fluid transportation system and method of setting fluid ejection amount | 1 |
Takehito Kawasumi | JP | Utsunomiya-Shi | 2012-10-18 / 20120262679 - ILLUMINATION OPTICAL SYSTEM AND IMAGE PROJECTION APPARATUS | 2 |
Yasuyuki Kawasumi | JP | Musashino-Shi | 2014-04-03 / 20140092644 - SWITCHING POWER SUPPLY DEVICE AND METHOD FOR CIRCUIT DESIGN OF THE SWITCHING POWER SUPPLY DEVICE | 2 |
Ryoichi Kawasumi | JP | Toride-Shi | 2016-04-28 / 20160116862 - DEVELOPING APPARATUS | 6 |
Shinji Kawasumi | JP | Saitama-Ken | 2013-07-18 / 20130180501 - ENGINE CONTROL UNIT, ENGINE CONTROL SYSTEM AND ENGINE CONTROL METHOD | 2 |
Kazuhito Kawasumi | JP | Kariya-Shi | 2015-12-31 / 20150380729 - LITHIUM-ION SECONDARY BATTERY AND METHOD FOR PRODUCING THE SAME | 14 |
Shinji Kawasumi | JP | Hanno-Shi | 2014-02-06 / 20140035503 - THREE-PHASE MOTOR DRIVING APPARATUS AND THREE-PHASE MOTOR DRIVING METHOD | 3 |
Atsushi Kawasumi | JP | Sumoto-Shi | 2012-11-22 / 20120293132 - REMAINING CAPACITY CALCULATION METHOD, BATTERY PACK PRE-SHIPMENT ADJUSTMENT METHOD, REMAINING CAPACITY CALCULATING DEVICE AND BATTERY PACK | 2 |
Katsuaki Kawasumi | JP | Nagoya-Shi | 2014-07-24 / 20140206908 - METHOD FOR PRODUCING POLYCYCLIC AROMATIC COMPOUND SUBSTITUTED BY ARYL GROUP | 1 |
Katuhiko Kawasumi | JP | Tokyo | 2011-10-20 / 20110256685 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 1 |
Tamio Kawasumi | JP | Chiba-Shi | 2013-11-28 / 20130314644 - POLARIZING DIFFUSER FILM, METHOD FOR PRODUCING POLARIZING DIFFUSER FILM, AND LIQUID CRYSTAL DISPLAY DEVICE COMPRISING POLARIZING DIFFUSER FILM | 6 |
Akito Kawasumi | JP | Toyota-Shi | 2014-02-13 / 20140045101 - FUEL CELL MODULE AND FUEL CELL STACK | 4 |
Hiroaki Kawasumi | JP | Tokyo | 2014-12-18 / 20140372502 - COMMUNICATION DEVICE SEARCHING METHOD, COMMUNICATION DEVICE, AND AD HOC NETWORK SYSTEM | 9 |
Takayuki Kawasumi | JP | Kanagawa | 2015-09-10 / 20150255950 - SEMICONDUCTOR LIGHT-EMITTING ELEMENT, MANUFACTURING METHOD OF SEMICONDUCTOR LIGHT-EMITTING ELEMENT, AND SEMICONDUCTOR DEVICE | 7 |
Masanori Kawasumi | JP | Kanagawa | 2011-10-27 / 20110262179 - CHARGING DEVICE, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS, AND TONER | 9 |
Katsuyuki Kawasumi | JP | Uozu-Shi | 2012-06-14 / 20120149288 - COMBINED PROCESSING TOOL | 1 |
Masanori Kawasumi | JP | Yokohama-Shi | 2011-01-20 / 20110013950 - IMAGE FORMING APPARATUS | 3 |
Ikue Kawasumi | JP | Saitama | 2011-02-24 / 20110041480 - EXHAUST PURIFICATION APPARATUS FOR INTERNAL COMBUSTION ENGINE | 4 |
Takehito Kawasumi | JP | Saitama-Shi | 2015-11-26 / 20150338729 - ILLUMINATION OPTICAL SYSTEM AND IMAGE PROJECTION APPARATUS | 3 |
Michinori Kawasumi | JP | Toyota-Shi | 2010-12-09 / 20100308621 - STRUCTURE OF MOUNTING IMPACT ABSORPTION MATERIAL FOR USE WITH VEHICLE | 2 |
Tetsunori Kawasumi | JP | Nagoya-Shi | 2013-07-11 / 20130174984 - REACTIVE-SPECIES SUPPLY DEVICE AND SURFACE TREATMENT APPARATUS | 2 |
Hiroaki Kawasumi | JP | Chiyoda-Ku | 2015-04-16 / 20150103649 - COMMUNICATION SYSTEM, CONGESTION AVOIDANCE METHOD THEREOF, AND METER READING SYSTEM USING COMMUNICATION SYSTEM | 1 |
Hisashi Kawasumi | JP | Osaka-Shi | 2010-09-09 / 20100228026 - NOVEL MALONIC ACID SULFONAMIDE DERIVATIVE AND PHARMACEUTICAL USE THEREOF | 1 |
Akihiro Kawata | JP | Nukata-Gun | 2015-06-11 / 20150162664 - Antenna | 1 |
Kentaro Kawata | JP | Suntou-Gun | 2013-04-04 / 20130084098 - DEVELOPING DEVICE AND CLEANING DEVICE | 4 |
Keiichi Kawata | JP | Kariya-Shi | 2011-08-04 / 20110188958 - CUTTING METHOD | 1 |
Hideaki Kawata | JP | Matsudo-Shi | 2015-08-27 / 20150240870 - SLIDING BEARING ASSEMBLY | 18 |
Takuya Kawata | JP | Atsugi | 2016-02-25 / 20160056410 - Display Device, Manufacturing Method Thereof, and Electronic Device | 15 |
Shogo Kawata | JP | Tokyo | 2015-02-05 / 20150040166 - CONTROL DEVICE, CONTROL METHOD, PROGRAM, AND CONTROL SYSTEM | 3 |
Motoshige Kawata | JP | Tsukuba-Shi | 2015-02-12 / 20150047066 - PLANT HAVING INCREASED RESISTANCE OR SUSCEPTIBILITY TO 4-HPPD INHIBITOR | 1 |
Hisashi Kawata | JP | Osaka-Shi | 2015-07-16 / 20150196541 - PHARMACEUTICAL FORMULATIONS COMPRISING A PYRIDYLAMINOACETIC ACID COMPOUND | 1 |
Takahiro Kawata | JP | Higashimurayama | 2012-01-19 / 20120013403 - AMPLIFIER CIRCUIT | 2 |
Masanobu Kawata | JP | Tokyo | 2013-09-26 / 20130251610 - METHOD FOR PRODUCING LITHIUM CARBONATE | 2 |
Yutaka Kawata | JP | Kobe-Shi | 2012-11-29 / 20120302377 - Golf Ball with Non-Circular Dimples Having Circular Arc-Shaped Outer Peripheral Edges | 1 |
Hirotsugu Kawata | JP | Hyogo | 2011-04-21 / 20110090568 - RESIN PARTICLE HAVING MANY RECESSES ON THE SURFACE THEREOF | 1 |
Mazakazu Kawata | JP | Tochigi | 2011-12-29 / 20110316127 - SPACER FORMATION FILM, SEMICONDUCTOR WAFER AND SEMICONDUCTOR DEVICE | 1 |
Yoshimasa Kawata | JP | Hamamatsu | 2011-04-07 / 20110079712 - Optical Microscope | 1 |
Masatoshi Kawata | JP | Gunma | 2011-01-13 / 20110008561 - SHEET FOR PACKAGING ELECTRONIC PART | 1 |
Mitsuo Kawata | JP | Sodegaura-Shi Chiba | 2010-12-09 / 20100310842 - Film for thermal sterilization packaging | 1 |
Osamu Kawata | JP | Shiga-Ken | 2010-10-28 / 20100273909 - URETHANE (METH)ACRYLATE OBLGOMER AND SHEET USING THE SAME | 1 |
Yutaka Kawata | JP | Takasago | 2010-10-21 / 20100263381 - Premixed combustion burner for gas turbine | 1 |
Wataru Kawata | JP | Kashiwa-Shi | 2010-10-07 / 20100252980 - SHEET PROCESSING APPARATUS AND IMAGE FORMING APPARATUS PROVIDED WITH THE SAME | 6 |
Masaru Kawata | JP | Minato-Ku | 2011-10-27 / 20110261062 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 1 |
Go Kawata | JP | Kawasaki Kanagawa | 2015-12-03 / 20150349753 - INTEGRATION CIRCUIT | 2 |
Hiroyuki Kawata | JP | Chiryu-City | 2016-02-04 / 20160036305 - DRIVER UNIT AND ELECTRIC POWER STEERING DEVICE INCLUDING THE DRIVER UNIT | 9 |
Yoshimichi Kawata | JP | Neyagawa-Shi | 2010-09-23 / 20100238062 - REMOTE CONTROLLER | 1 |
Toshihiko Kawata | JP | Kanagawa-Ken | 2010-09-16 / 20100231459 - Communication Device | 1 |
Sousaku Kawata | JP | Kanuma-Shi | 2010-08-19 / 20100211001 - BIOPOLYMER POWDER GELATING/JETTING APPARATUS | 3 |
Susumu Kawata | JP | Tokyo | 2015-11-12 / 20150320301 - ENDOSCOPE APPARATUS | 10 |
Shinji Kawata | JP | Kyoto | 2016-04-21 / 20160111868 - OVERCURRENT PROTECTION CIRCUIT AND SWITCHING POWER APPARATUS USING THE SAME | 10 |
Yutaka Kawata | JP | Hyogo-Ken | 2010-08-05 / 20100196615 - METHOD FOR FORMING AN OXIDATION-RESISTANT FILM | 1 |
Souichi Kawata | JP | Fukuoka | 2010-07-22 / 20100183061 - Radio Communication Apparatus | 1 |
Toshitsugu Kawata | JP | Aki-Gun | 2010-06-24 / 20100158982 - SHEET FOR GUIDING REGENERATION OF MESENCHYMAL TISSUE AND PRODUCTION METHOD THEREOF | 1 |
Ryo Kawata | JP | Kyoto | 2010-06-03 / 20100138937 - Method for diagnosis of severity and prediction of recurrence in eosinophilic inflammatory disease | 1 |
Shigehisa Kawata | JP | Ikoma-Shi | 2010-05-27 / 20100132057 - GENETICALLY MODIFIED ANIMAL FOR USE IN EVALUATING HARMFULNESS OF TEST SUBSTANCE | 1 |
Masao Kawata | JP | Wako-Shi | 2015-07-23 / 20150207120 - Electric Storage Apparatus | 2 |
Hideaki Kawata | JP | Matsudo-Shi | 2015-08-27 / 20150240870 - SLIDING BEARING ASSEMBLY | 18 |
Takashi Kawata | JP | Mie | 2009-01-22 / 20090023860 - HYDROGENATED AROMATIC VINYL COPOLYMER AND MOLDED ARTICLE PRODUCED FROM THE SAME | 1 |
Kohei Kawata | JP | Hyogo | 2012-07-05 / 20120167776 - COOKING DEVICE | 4 |
Hiroyuki Kawata | JP | Ibaraki | 2011-04-14 / 20110086974 - MANUFACTURING METHOD FOR PHENOLIC NOVOLAC RESIN AND RESIN-COATED SAND | 1 |
Kazuhisa Kawata | JP | Hyogo | 2008-12-18 / 20080308195 - Steel For Springs, Process Of Manufacture For Spring Using This Steel, And Spring Made From Such Steel | 1 |
Yoshikazu Kawata | JP | Ikeda-Shi | 2014-12-11 / 20140363863 - PROCESS FOR PRODUCING 3-HYDROXYBUTYRIC ACID OR SALT THEREOF | 2 |
Masaru Kawata | JP | Nagano | 2010-01-14 / 20100011285 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, INFORMATION PROCESSING SYSTEM, AND PROGRAM | 2 |
Mitsuo Kawata | JP | Chiba | 2009-12-24 / 20090317615 - PACKAGING PROPYLENE RESIN COMPOSITION | 1 |
Yasuyuki Kawata | JP | Kyoto | 2013-11-21 / 20130305890 - NC LATHE AND ACCESSORY | 2 |
Kenji Kawata | JP | Inuyama-Shi | 2011-03-03 / 20110052870 - Method of Manufacturing Microstructure and Substrate Provided with the Microstructure | 2 |
Hidenori Kawata | JP | Chino-Shi | 2010-03-04 / 20100051948 - THIN FILM TRANSISTOR, ELECTRO-OPTIC DEVICE, AND ELECTRONIC APPARATUS | 1 |
Takefumi Kawata | JP | Tsukuba-Shi | 2011-02-10 / 20110033778 - POLYMER ELECTROLYTE MEMBRANE | 3 |
Satoshi Kawata | JP | Osaka-Shi | 2014-03-06 / 20140063226 - MICROSCOPE | 2 |
Naoyuki Kawata | JP | Oyama-Shi | 2011-02-03 / 20110025010 - LINK PART FOR VEHICLE | 1 |
Masatoshi Kawata | JP | Tokyo | 2009-10-01 / 20090246518 - COVER FILM | 1 |
Tadashi Kawata | JP | Tokyo | 2015-02-26 / 20150055678 - INFORMATION ACQUISITION DEVICE FOR OBJECT TO BE MEASURED | 5 |
Keiichi Kawata | JP | Tokyo | 2011-12-22 / 20110308462 - APPARATUS FOR PRODUCING ALIGNED CARBON NANOTUBE AGGREGATES | 3 |
Masao Kawata | JP | Saitama | 2016-02-04 / 20160036105 - ENERGY STORAGE APPARATUS | 7 |
Atsumi Kawata | JP | Hiratsuka | 2010-12-09 / 20100308230 - DETECTOR ARRAY SUBSTRATE AND NUCLEAR MEDICINE DIAGNOSIS DEVICE USING SAME | 1 |
Yasuhiro Kawata | JP | Anan-Shi | 2016-03-10 / 20160072257 - SEMICONDUCTOR LASER ELEMENT, METHODS OF MANUFACTURING THE SAME AND SEMICONDUCTOR LASER DEVICE | 4 |
Tomohisa Kawata | JP | Osaka-Shi | 2015-08-20 / 20150230751 - INFORMATION MANAGEMENT APPARATUS, INFORMATION MANAGEMENT METHOD, INFORMATION MANAGEMENT SYSTEM, STETHOSCOPE, INFORMATION MANAGEMENT PROGRAM, MEASUREMENT SYSTEM, CONTROL PROGRAM, AND RECORDING MEDIUM | 6 |
Yuichi Kawata | JP | Ebina-Shi | 2010-09-30 / 20100250811 - INTERRUPT CONTROLLER AND IMAGE-FORMING DEVICE | 1 |
Hidenobu Kawata | JP | Kanagawa | 2012-12-27 / 20120327283 - SOLID-STATE IMAGING DEVICE AND METHOD OF EVALUATING BLOOMING | 2 |
Takashi Kawata | JP | Tokyo | 2012-11-29 / 20120301523 - W/O EMULSION COSMETIC | 3 |
Eiji Kawata | JP | Kisaradu-Shi | 2010-09-09 / 20100227383 - GENE DETECTION AND DETERMINATION APPARATUS, GENE REACTOR, AND INCUBATOR | 1 |
Tsutomu Kawata | JP | Ibaraki | 2010-09-09 / 20100227835 - SUBSTITUTED LIPOSACCHARIDES USEFUL IN THE TREATMENT AND PREVENTION OF ENDOTOXEMIA | 2 |
Takahiro Kawata | JP | Saitama-City | 2010-08-26 / 20100213696 - SEATBELT HOLDING STRUCTURE | 1 |
Akiyoshi Kawata | JP | Ibaraki | 2010-08-19 / 20100210820 - PROTEIN REFOLDING COLUMN FILLER AND COLUMN | 1 |
Kazuharu Kawata | JP | Mogami-Gun | 2016-02-04 / 20160037262 - VIBRATING BODY FOR SPEAKER DEVICE AND SPEAKER DEVICE | 1 |
Masahiro Kawata | JP | Hachioji-Shi | 2010-07-01 / 20100166980 - INLINE VACUUM PROCESSING APPARATUS, METHOD OF CONTROLLING THE SAME, AND INFORMATION RECORDING MEDIUM MANUFACTURING METHOD | 1 |
Isao Kawata | JP | Kawasaki-Shi | 2012-09-27 / 20120242255 - NEW IRIDIUM COMPLEX AND ORGANIC LIGHT-EMITTING DEVICE CONTAINING SAME | 3 |
Atsushi Kawata | JP | Shizuoka | 2011-09-08 / 20110219152 - DATA TRANSFER CONTROL APPARATUS | 2 |
Shuichi Kawata | JP | Nagaokakyo-Shi | 2015-07-30 / 20150211940 - THERMISTOR DEVICE | 1 |
Emiko Kawata | JP | Yokohama-Shi | 2012-07-12 / 20120174940 - HAIR TREATMENT COMPOSITION | 2 |
Tetsuo Kawata | JP | Ibaraki-Shi | 2010-04-29 / 20100101643 - COMPOUND, PHOTOELECTRIC CONVERTER AND PHOTOELECTROCHEMICAL CELL | 1 |
Hitomi Kawata | JP | Saitama | 2010-03-18 / 20100066264 - PORTABLE TERMINAL, METHOD OF DRIVING CONTROL OF LIGHT EMITTING BODY USED FOR THE SAME AND PROGRAM THEREOF | 1 |
Masaaki Kawata | JP | Ibaraki | 2010-03-18 / 20100067809 - STRUCTURE DETERMINATION SYSTEM, STRUCTURE DETERMINATION METHOD, AND PROGRAM | 1 |
Hirotaka Kawata | JP | Suwa-Shi | 2015-12-17 / 20150362637 - ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS | 4 |
Susumu Kawata | JP | Shibuya-Ku | 2010-02-04 / 20100029098 - Electrical Connector | 1 |
Toshimichi Kawata | JP | Aichi-Ken | 2010-01-21 / 20100015434 - Assembling structure of a plated resin article | 1 |
Yoshinobu Kawata | JP | Higashine | 2010-01-07 / 20100002334 - SPINDLE SYSTEM, ELECTROMAGNETIC CONVERSION PROPERTY EVALUATING APPARATUS AND INFORMATION STORAGE DEVICE | 1 |
Masaki Kawata | JP | Fukushima | 2009-12-17 / 20090311608 - ANODE AND SECONDARY BATTERY | 1 |
Hiroto Kawata | JP | Ehime | 2013-05-23 / 20130130191 - OPTICAL TOMOGRAPHY IMAGE ACQUISITION DEVICE | 2 |
Hideo Kawata | JP | Tokyo | 2009-06-25 / 20090161708 - Optical Communication Light Source Unit and Wavelength Monitoring Control Method | 1 |
Takuya Kawata | JP | Atsugi | 2016-02-25 / 20160056410 - Display Device, Manufacturing Method Thereof, and Electronic Device | 15 |
Sumio Kawata | JP | Yamagata-Shi | 2013-05-09 / 20130116148 - LIVER DISEASE MARKER, METHOD AND APPARATUS FOR MEASURING THE SAME, AND METHOD FOR ASSAYING PHARMACEUTICAL PREPARATION | 1 |
Masaki Kawata | JP | Yasu-Shi | 2009-10-22 / 20090260856 - ELECTRONIC COMPONENT MODULE | 1 |
Yutaka Kawata | JP | Nishi-Ku | 2009-10-22 / 20090264219 - Wood club | 2 |
Toshio Kawata | JP | Okayama | 2012-02-09 / 20120035187 - ANTI-NEURODEGENERATIVE DISEASE AGENT | 1 |
Tsutomu Kawata | JP | Tsukuba-Shi | 2009-08-27 / 20090215795 - CYCLIC AMIDINE DERIVATIVES | 1 |
Shogo Kawata | JP | Kanagawa | 2014-09-04 / 20140247008 - INFORMATION PROCESSING APPARATUS, PROGRAM, AND INFORMATION PROCESSING SYSTEM | 5 |
Susumu Kawata | JP | Hachioji-Shi | 2009-08-20 / 20090207242 - SIGNAL PROCESSING SYSTEM | 1 |
Yoshio Kawata | JP | Toyama | 2009-08-13 / 20090200258 - CAP LOCKING DEVICE | 1 |
Masaki Kawata | JP | Fujinomiya-Shi | 2009-07-23 / 20090184446 - METHOD AND SYSTEM FOR STRETCHING POLYMER FILM | 1 |
Yutaka Kawata | JP | Hyogo | 2009-07-09 / 20090176059 - Thermal Barrier Coating Member, Method for Producing the Same, Thermal Barrier Coating Material, Gas Turbine, and Sintered Body | 1 |
Hisao Kawata | JP | Takasaki-Shi | 2009-05-21 / 20090128077 - THERMALLY ACTUATED OVERLOAD TRIPPING DEVICE | 1 |
Satoshi Kawata | JP | Saitama | 2009-03-12 / 20090067028 - OPTICAL NEAR-FIELD DISTRIBUTION TRANSFER DEVICE | 1 |
Yoshito Kawata | JP | Hyogo | 2009-02-19 / 20090047438 - Method and apparatus for forming waterproof film | 1 |
Kozo Kawata | JP | Hyogo | 2009-02-12 / 20090041357 - FACE IMAGE DETECTING DEVICE, FACE IMAGE DETECTING METHOD, AND FACE IMAGE DETECTING PROGRAM | 2 |
Satoru Kawata | JP | Gunma | 2009-02-12 / 20090042035 - ADHESIVE SHEET AND PROCESS FOR PRODUCING ELECTRIC COMPONENTS USING THE SHEET | 1 |
Yuki Kawata | JP | Tokyo | 2016-03-03 / 20160063765 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 5 |
Tsunehiro Kawata | JP | Mishima-Gun | 2016-02-11 / 20160042848 - R-T-B BASED SINTERED MAGNET | 4 |
Satofumi Kawata | JP | Tokyo | 2016-03-24 / 20160081957 - Agent for Preventing and/or Treating Veisalgia | 8 |
Eiji Kawata | JP | Tokyo | 2014-09-04 / 20140248704 - PIPETTE TIP SET TO BE USED IN DISPENSING DEVICE AND METHOD FOR PERFORATING REAGENT CARTRIDGE FILM USING SAME | 6 |
Ayuka Kawata | JP | Kanagawa | 2012-02-16 / 20120038325 - CHARGE CONTROL DEVICE AND METHOD FOR SECONDARY BATTERY MODULE | 1 |
Hideji Kawata | JP | Akishima-Shi | 2009-01-15 / 20090019406 - VERIFICATION APPARATUS AND VERIFICATION METHOD | 1 |
Masao Kawata | JP | Tokyo | 2015-05-28 / 20150147613 - POWER STORAGE MODULE | 2 |
Tsunehiro Kawata | JP | Saitama-Ken | 2008-12-18 / 20080308193 - Heat-Resistant Cast Iron And Exhaust Equipment Member Formed Thereby | 1 |
Kentaro Kawata | JP | Numazu-Shi | 2014-09-25 / 20140286667 - IMAGE FORMING APPARATUS | 2 |
Katsura Kawata | JP | Saitama | 2008-09-11 / 20080217168 - Electrode for electrolysis and electrolysis unit | 1 |
Takahiro Kawata | JP | Oume | 2011-08-04 / 20110187326 - Battery Apparatus, Battery Control Apparatus, and Motor Drive Unit | 2 |
Katsura Kawata | JP | Gunma | 2008-09-11 / 20080220314 - Catalyst layer for fuel cells and fuel cell using the same | 1 |
Toshitsugu Kawata | JP | Hiroshima | 2008-09-11 / 20080220521 - SHEET FOR GUIDING REGENERATION OF MESENCHYMAL TISSUE AND PRODUCTION METHOD THEREOF | 1 |
Koichi Kawata | JP | Utsunomiya-Shi | 2008-08-28 / 20080203616 - Method of and apparatus for manufacturing shaft | 1 |
Masakazu Kawata | JP | Tokyo | 2012-02-09 / 20120032284 - FILM FOR RESIN SPACER, LIGHT-RECEIVING DEVICE AND METHOD FOR MANUFACTURING SAME, AND MEMS DEVICE AND METHOD FOR MANUFACTURING SAME | 7 |
Masayuki Kawata | JP | Chiba-Shi | 2011-09-29 / 20110235473 - Switch structure, and chronograph mechanism and electronic timepiece using the same | 3 |
Yasushi Kawata | JP | Tokyo | 2016-05-05 / 20160124259 - DISPLAY DEVICE | 7 |
Kentaro Kawata | JP | Ebina, Kanagawa | 2014-07-03 / 20140182680 - ELECTROLYTE FORMULATIONS | 1 |
Kentarou Kawata | JP | Suntou-Gun | 2012-02-23 / 20120045230 - IMAGE FORMING APPARATUS | 2 |
Mitsuhiro Kawata | JP | Tokyo | 2014-10-16 / 20140305838 - PACKING DEVICE | 2 |
Teppei Kawata | JP | Kanagawa | 2016-04-07 / 20160098003 - FIXING DEVICE AND IMAGE FORMING APPARATUS INCLUDING SAME | 54 |
Kenji Kawata | JP | Hirakata-Shi | 2014-08-28 / 20140239016 - Medicine Supply Apparatus | 3 |
Shigeru Kawata | JP | Kanagawa | 2008-12-11 / 20080304027 - Cooling apparatus and substrate treating apparatus | 1 |
Seiji Kawata | JP | Kanagawa | 2013-10-03 / 20130256508 - PHOTOELECTRIC CONVERSION DEVICE | 2 |
Yuji Kawata | JP | Otsu-Shi | 2014-01-09 / 20140009961 - WHITE REFLECTIVE FILM FOR EDGE-LIGHT TYPE BACKLIGHT, AND LIQUID CRYSTAL DISPLAY BACKLIGHT USING SAME | 2 |
Kohei Kawata | JP | Shiga | 2015-05-07 / 20150123538 - MAGNETRON | 1 |
Kengo Kawata | JP | Ishikawa | 2013-12-19 / 20130335787 - OVERHEAD IMAGE READING APPARATUS | 2 |
Kouji Kawata | JP | Shizuoka | 2015-05-07 / 20150122062 - ACTUATOR | 1 |
Etsuya Kawata | JP | Kanagawa | 2009-01-29 / 20090025781 - NOVEL AMINO GROUP-CONTAINING HETEROCYCLIC DERIVATIVES AND SENSITIZING DYES FOR PHOTOELECTRIC CONVERSION CONTAINING THE HETEROCYCLIC DERIVATIVES | 1 |
Miyuki Kawata | JP | Tokyo | 2015-05-07 / 20150121872 - Steam Turbine Power Plant and Method for Activating Steam Turbine Power Plant | 1 |
Jun Kawata | JP | Osaka | 2012-09-06 / 20120223458 - METHOD OF MAKING MULTILAYER CONTAINER | 1 |
Hiroyuki Kawata | JP | Chiryu-Shi | 2012-09-06 / 20120223624 - MOTOR AND MOTOR FOR ELECTRIC POWER STEERING | 2 |
Hiroyuki Kawata | JP | Chiyoda-Ku | 2014-07-10 / 20140193665 - GALVANNEALED LAYER AND STEEL SHEET COMPRISING THE SAME, AND METHOD FOR PRODUCING THE SAME | 2 |
Akihiko Kawata | JP | Susono-Shi | 2013-02-21 / 20130042830 - VALVE OPERATING APPARATUS FOR INTERNAL COMBUSTION ENGINE | 2 |
Yuki Kawata | JP | Himeji-Shi | 2013-03-28 / 20130079507 - SEPARATING AGENT FOR OPTICAL ISOMERS | 1 |
Yuichi Kawata | JP | Kanagawa | 2015-09-24 / 20150271484 - IMAGE CAPTURING APPARATUS AND IMAGE CAPTURING METHOD | 9 |
Hiroyuki Kawata | JP | Tokyo | 2016-03-24 / 20160082543 - SPOT-WELDED JOINT AND SPOT WELDING METHOD | 14 |
Teppei Kawata | JP | Kanagawa | 2016-04-07 / 20160098003 - FIXING DEVICE AND IMAGE FORMING APPARATUS INCLUDING SAME | 54 |
Yasushi Kawata | JP | Minato-Ku | 2016-05-12 / 20160131939 - REFLECTIVE TYPE DISPLAY DEVICE | 4 |
Kentaro Kawata | JP | Kanagawa | 2015-10-29 / 20150310998 - COBALT COMPLEXES WITH TRICYANOBORATE OR DICYANOBORATE COUNTER-ANIONS FOR ELECTROCHEMICAL OR OPTOELECTRONIC DEVICES | 3 |
Satofumi Kawata | JP | Tokyo | 2016-03-24 / 20160081957 - Agent for Preventing and/or Treating Veisalgia | 8 |
Kentarou Kawata | JP | Numazu-Shi | 2011-06-23 / 20110150519 - IMAGE FORMING APPARATUS | 2 |
Hiroshi Kawata | JP | Ibaraki | 2012-08-16 / 20120209134 - CLASSIFICATION ESTIMATING SYSTEM AND CLASSIFICATION ESTIMATING PROGRAM | 1 |
Kentaro Kawata | JP | Kanagawa Pref. | 2013-11-07 / 20130292601 - Additives for Dye-Sensitized Solar Cells | 4 |
Hiroyuki Kawata | JP | Kawasaki | 2009-04-02 / 20090085561 - METHOD AND APPARATUS FOR TESTING A MAGNETIC HEAD | 1 |
Ken Kawata | JP | Minami-Ashigara-Shi | 2014-01-09 / 20140012028 - COMPOUND | 4 |
Ken Kawata | JP | Ashigarakami-Gun | 2012-08-09 / 20120201962 - COMPOSITION, COMPOUND AND FILM FORMING METHOD | 1 |
Yuichi Kawata | JP | Kanagawa | 2015-09-24 / 20150271484 - IMAGE CAPTURING APPARATUS AND IMAGE CAPTURING METHOD | 9 |
Muneyasu Kawata | JP | Tokyo | 2015-04-23 / 20150109068 - CONNECTION STRUCTURE CONNECTING HIGH FREQUENCY CIRCUIT AND WAVEGUIDE AND MANUFACTURING METHOD FOR SAME | 1 |
Yasushi Kawata | JP | Ageo-Shi | 2014-04-03 / 20140093988 - METHOD OF MANUFACTURING DISPLAY DEVICE | 10 |
Yasushi Kawata | JP | Tottori-Shi | 2010-11-25 / 20100298184 - SOLVENT COMPOSITION | 1 |
Hirotsugu Kawata | JP | Kanagawa | 2013-05-02 / 20130108081 - AMPLIFIER | 1 |
Hiroyuki Kawata | JP | Chiryu-City | 2016-02-04 / 20160036305 - DRIVER UNIT AND ELECTRIC POWER STEERING DEVICE INCLUDING THE DRIVER UNIT | 9 |
Akihiro Kawata | JP | Kawanishi-Shi | 2013-05-09 / 20130114882 - SYSTEM, DEVICE, AND METHOD FOR ASSISTING VISUAL CHECK OPERATION OF INSPECTION RESULT | 3 |
Eiji Kawata | JP | Kisarazu-Shi, | 2012-07-19 / 20120184025 - NUCLEIC ACID ANALYZER | 1 |
Masao Kawata | JP | Wako | 2012-03-15 / 20120064386 - BATTERY MODULE | 2 |
Kentaro Kawata | JP | Ebina | 2015-11-26 / 20150340165 - ADDITIVES FOR DYE-SENSITIZED SOLAR CELLS | 5 |
Koji Kawata | JP | Osaka | 2013-12-26 / 20130342212 - DIAGNOSTIC DEVICE FOR DEGRADATION OF RECHARGEABLE LI-ION BATTERY | 1 |
Jun Kawata | JP | Fujisawa-Shi | 2016-05-19 / 20160139464 - DISPLAY APPARATUS | 2 |
Toshio Kawata | JP | Okayama-Shi | 2014-04-03 / 20140094490 - ANTI-NEURODEGENERATIVE DISEASE AGENT | 1 |
Osamu Kawata | JP | Otsu-Shi | 2014-04-24 / 20140110048 - URETHANE (METH)ACRYLATE OLIGOMER AND SHEET USING THE SAME | 1 |
Yuko Kawata | JP | At | 2014-05-01 / 20140117285 - LIQUID CRYSTAL COMPOSITION, LIQUID CRYSTAL ELEMENT, AND LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Yuko Kawata | JP | Atsugi | 2015-08-06 / 20150221875 - ORGANIC COMPOUND, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE | 24 |
Masakazu Kawata | JP | Shinagawa-Ku | 2012-09-13 / 20120228782 - METHOD FOR MANUFACTURING ELECTRONIC DEVICE, ELECTRONIC DEVICE, METHOD FOR MANUFACTURING ELECTRONIC DEVICE PACKAGE AND ELECTRONIC DEVICE PACKAGE | 4 |
Masatoshi Kawata | JP | Isesaki-Shi | 2013-11-07 / 20130295307 - LAMINATED SHEET FOR PACKAGING ELECTRONIC COMPONENT AND MOLDED BODY THEREOF | 5 |
Joji Kawata | JP | Osaka | 2014-08-21 / 20140234611 - ETHYLENE-PROPYLENE-DIENE RUBBER FOAMED MATERIAL, PRODUCING METHOD THEREOF, AND SEALING MATERIAL | 6 |
Masakazu Kawata | JP | Utsunomiya-Shi | 2013-01-10 / 20130009172 - METHOD OF MANUFACTURE OF LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING ELEMENT MANUFACTURED THEREBY | 1 |
Tomoaki Kawata | JP | Tokyo | 2015-10-01 / 20150282309 - CONDUCTIVE PASTE AND CERAMIC SUBSTRATE MANUFACTURED USING THE SAME | 3 |
Keisuke Kawata | JP | Osaka | 2014-10-09 / 20140303792 - SYSTEM AND METHOD FOR DERIVING STORAGE TANK OPERATION PLAN | 1 |
Norio Kawata | JP | Tokyo | 2014-01-30 / 20140027325 - PACKAGE FOR ORAL TOBACCO PRODUCT AND THE ORAL TOBACCO PRODUCT | 7 |
Yoshihiro Kawata | JP | Tokyo | 2016-03-31 / 20160090440 - Epoxy Resin Composition For Transparent Sheets And Cured Product Thereof | 3 |
Satoshi Kawata | JP | Yokohama-Shi | 2014-07-03 / 20140185956 - DEVICE AND METHOD OF IMAGE PROCESSING | 2 |
Ken Kawata | JP | Kanagawa | 2012-07-19 / 20120184474 - COMPLEX ALCOHOL ESTER COMPOSITION, METHOD FOR PRODUCTION SAME, AND USE OF SAME | 5 |
Haruo Kawata | JP | Kanagawa | 2011-04-07 / 20110081784 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE | 1 |
Go Kawata | JP | Kawasaki | 2016-03-24 / 20160084964 - PHOTON DETECTING ELEMENT, PHOTON DETECTING DEVICE, AND RADIATION ANALYZING DEVICE | 9 |
Hidetaka Kawata | JP | Suwa-Shi | 2016-05-12 / 20160129696 - CARTRIDGE AND PRINTING MATERIAL SUPPLY SYSTEM | 14 |
Go Kawata | JP | Kawasaki-Shi | 2015-08-13 / 20150226863 - RADIATION DETECTION APPARATUS | 2 |
Satoshi Kawata | JP | Wako-Shi | 2012-06-28 / 20120160058 - PHOTOREDUCTION PROCESSING METHOD OF THREE-DIMENSIONAL METAL NANOSTRUCTURE | 1 |
Norio Kawata | JP | Sumida-Ku | 2012-04-12 / 20120085360 - ORAL TOBACCO PRODUCT | 1 |
Masayuki Kawata | JP | Ashigarakami-Gun | 2012-04-12 / 20120088969 - ENDOSCOPE APPARATUS | 2 |
Hidetaka Kawata | JP | Suwa-Shi | 2016-05-12 / 20160129696 - CARTRIDGE AND PRINTING MATERIAL SUPPLY SYSTEM | 14 |
Yuji Kawata | JP | Otsu | 2014-10-30 / 20140320000 - PLANAR LIGHT EMITTING DEVICE AND FRONT FILM | 1 |
Go Kawata | JP | Kawasaki | 2016-03-24 / 20160084964 - PHOTON DETECTING ELEMENT, PHOTON DETECTING DEVICE, AND RADIATION ANALYZING DEVICE | 9 |
Tomonori Kawata | JP | Ome-Shi | 2012-10-04 / 20120250274 - Wiring Substrate and Electronic Device | 1 |
Shinji Kawata | JP | Kyoto | 2016-04-21 / 20160111868 - OVERCURRENT PROTECTION CIRCUIT AND SWITCHING POWER APPARATUS USING THE SAME | 10 |
Yoshihiro Kawata | JP | Kita-Ku | 2013-12-05 / 20130323994 - Epoxy Resin Composition For Transparent Sheets And Cured Product Thereof | 2 |
Masakazu Kawata | JP | Tochigi | 2012-01-19 / 20120012989 - METHOD OF MANUFACTURING SEMICONDUCTOR WAFER BONDING PRODUCT, SEMICONDUCTOR WAFER BONDING PRODUCT AND SEMICONDUCTOR DEVICE | 2 |
Masayoshi Kawata | JP | Saitama | 2012-10-18 / 20120261560 - LIGHT GUIDE, ILLUMINATION APPARATUS, AND ELECTRONIC APPARATUS | 1 |
Kentaro Kawata | JP | Osaka | 2014-01-16 / 20140015705 - TRANSMITTING ELECTRIC POWER USING ELECTROMAGNETIC WAVES | 1 |
Hiromitsu Kawata | JP | Kanagawa | 2011-12-15 / 20110306615 - NOVEL IMIDAZOLIDINE DERIVATIVE AND USE THEREOF | 1 |
Atsushi Kawata | JP | Kanagawa | 2016-05-12 / 20160134416 - DATA TRANSMISSION/RECEPTION SYSTEM, TRANSMISSION APPARATUS AND RECEPTION APPARATUS | 2 |
Satoshi Kawata | JP | Kobe | 2012-04-19 / 20120093430 - IMAGE PROCESSING METHOD AND DEVICE | 1 |
Isamu Kawata | JP | Osaka | 2014-12-25 / 20140377676 - FUEL CELL SYSTEM | 5 |
Hiroyuki Kawata | JP | Tokyo | 2016-03-24 / 20160082543 - SPOT-WELDED JOINT AND SPOT WELDING METHOD | 14 |
Hikoyuki Kawata | JP | Kawasaki | 2013-02-14 / 20130041645 - RECORDING MEDIUM IN WHICH CIRCUIT SIMULATOR PROGRAM IS STORED, AND DEVICE AND METHOD FOR GENERATING EYE PATTERN | 1 |
Satoshi Kawata | JP | Kawasaki-Shi | 2013-02-21 / 20130044956 - IMAGE PROCESSING APPARATUS AND METHOD | 1 |
Yuko Kawata | JP | Atsugi | 2015-08-06 / 20150221875 - ORGANIC COMPOUND, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE | 24 |
Koichiro Kawata | JP | Hamamatsu-Shi | 2016-01-28 / 20160023713 - Motorcycle | 5 |
Shinji Kawata | JP | Osaka | 2012-05-24 / 20120129812 - NOVEL THYROID HORMONE BETA RECEPTOR AGONIST | 1 |
Susumu Kawata | JP | Tokyo | 2015-11-12 / 20150320301 - ENDOSCOPE APPARATUS | 10 |
Kenichi Kawata | JP | Osaka | 2010-05-13 / 20100115800 - HYDRAULIC UNIT AND CONSTRUCTION MACHINE INCLUDING THE SAME | 1 |
Satoshi Kawata | JP | Osaka | 2014-01-02 / 20140002819 - OPTICAL MICROSCOPE AND SPECTROMETRY METHOD | 6 |
Naritoshi Kawata | JP | Osaka | 2009-10-29 / 20090270286 - Synthetic Lubricating Oil | 2 |
Hideaki Kawata | JP | Osaka | 2009-02-26 / 20090053643 - Magnetic mono-component toner for developing electrostatic latent image and image forming method | 1 |
Kyozo Kawata | JP | Osaka | 2008-10-30 / 20080269509 - Oxidation of Alcohol with Use of Hydrogen Peroxide and Tungsten Catalyst | 1 |
Tetsuo Kawata | JP | Osaka | 2010-04-29 / 20100101650 - COMPOUND, PHOTOELECTRIC CONVERSION DEVICE AND PHOTOELECTROCHEMICAL BATTERY | 1 |
Kayoko Kawata | JP | Tokyo | 2013-04-25 / 20130101153 - INSERTION-HOLE BLOCKAGE-RATE EVALUATION SYSTEM, INSERTION-HOLE BLOCKAGE-RATE EVALUATION METHOD, AND INSERTION-HOLE BLOCKAGE-RATE EVALUATION PROGRAM | 4 |
Yoshihisa Kawata | JP | Kanagawa | 2013-03-14 / 20130065455 - Contact Holder | 1 |
Mika Kawata | JP | Tokyo | 2015-02-26 / 20150058270 - OPERATION PLAN DECISION METHOD AND OPERATION PLAN DECISION SYSTEM | 1 |
Yasushi Kawata | JP | Saitama-Ken | 2012-05-17 / 20120119217 - NONPLANAR DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 1 |
Hideki Kawata | US | Richmond | 2009-05-14 / 20090122572 - Apparatus configured to provide functional and aesthetic lighting from a fan | 1 |
Yasushi Kawata | JP | Ageo-Shi | 2014-04-03 / 20140093988 - METHOD OF MANUFACTURING DISPLAY DEVICE | 10 |
Yoshio Kawatake | JP | Osaka | / - | 1 |
Ryuichi Kawatake | JP | Aiko-Gun | 2013-03-28 / 20130074992 - METHOD OF MANUFACTURING METAL PART | 1 |
Yoshio Kawatake | JP | Osaka-Shi | 2015-01-22 / 20150023625 - ROLLER BEARING CAGE AND MANUFACTURING METHOD THEREFOR AS WELL AS ROLLER BEARING MANUFACTURING METHOD | 1 |
Yutaka Kawatake | JP | Kadoma-Shi | 2015-12-24 / 20150372300 - NICKEL COMPOSITE HYDROXIDE PARTICLE AND PROCESS FOR PRODUCING THE SAME, POSITIVE ELECTRODE ACTIVE MATERIAL FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY AND PROCESS FOR PRODUCING THE SAME, AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY | 1 |
Ryuichi Kawatake | JP | Kanagawa | 2013-01-10 / 20130010393 - CONNECTING STRUCTURE FOR A PLATE MATERIAL AND AN OPPOSITE MEMBER BETWEEN WHICH A RESIN SEAT IS INTERPOSED AND HEAD SUSPENSION WITH THE CONNECTING STRUCTURE | 1 |
Satoshi Kawatake | JP | Okayama | 2010-07-22 / 20100184860 - Novel Carboxylic Acid and Antidepressant Composition Containing the Same as Active Ingredient | 1 |
Takahiko Kawatani | JP | Kanagawa | 2009-08-27 / 20090216759 - METHOD AND VECTOR ANALYSIS FOR A DOCUMENT | 1 |
Toru Kawatani | JP | Kanagawa | 2009-01-29 / 20090025370 - EXHAUST GAS PURIFICATION DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Satoshi Kawatani | JP | Chiba-Shi | 2015-04-02 / 20150091908 - PLAN DISPLAY DEVICE AND PLAN DISPLAY PROGRAM | 2 |
Yasuhiro Kawatani | JP | Minami-Ashigara-Shi | 2016-03-31 / 20160093322 - MAGNETIC TAPE | 1 |
Akihiko Kawatani | JP | Kobe-Shi | 2014-04-24 / 20140113783 - SEMICONDUCTIVE ROLLER | 6 |
Makoto Kawatani | JP | Wako-Shi | 2013-07-11 / 20130177950 - PROCESS FOR PRODUCING REVEROMYCIN A OR A SYNTHETIC INTERMEDIATE THEREOF, PROCESS FOR PRODUCING COMPOUNDS CONTAINING A SPIROKETAL RING AND NOVEL ANTINEOPLASTICS, FUNGICIDES AND THERAPEUTIC AGENTS FOR BONE DISORDERS | 1 |
Tetsuya Kawatani | JP | Kanagawa | 2016-03-03 / 20160059173 - GAS PURIFICATION DEVICE AND IMAGE FORMING APPARATUS | 2 |
Katsuji Kawatani | JP | Tokyo | 2012-12-20 / 20120318727 - MEDICAL DEVICE AND HOLLOW FIBER MEMBRANE-BASED MEDICAL DEVICE | 1 |
Tetsuya Kawatani | JP | Ebina | 2010-06-24 / 20100158557 - COOLING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Shinji Kawatani | JP | Wako-Shi | 2015-05-14 / 20150129326 - INVERTED PENDULUM CONTROL TYPE MOVING BODY | 12 |
Shinji Kawatani | JP | Wako-Shi | 2015-05-14 / 20150129326 - INVERTED PENDULUM CONTROL TYPE MOVING BODY | 12 |
Hirokazu Kawatani | JP | Kahoku-Shi | 2014-10-02 / 20140294303 - IMAGE PROCESSING APPARATUS, REGION DETERMINING METHOD, AND COMPUTER-READABLE, NON-TRANSITORY MEDIUM | 4 |
Shinji Kawatani | JP | Saitama | 2012-05-24 / 20120126589 - VEHICLE SEAT STRUCTURE | 1 |
Yutaka Kawatate | JP | Osaka | 2014-07-03 / 20140186709 - POSITIVE ELECTRODE ACTIVE MATERIAL FOR NONAQUEOUS ELECTROLYTE SECONDARY BATTERY, MANUFACTURING METHOD OF SAME, AND NONAQUEOUS ELECTROLYTE SECONDARY BATTERY USING SAME | 3 |
Osamu Kawatate | JP | Osaka | / - | 1 |
Yutaka Kawatate | JP | Kadoma-Shi | 2012-11-22 / 20120292561 - POSITIVE ELECTRODE ACTIVE MATERIAL FOR NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY, METHOD FOR PRODUCING SAME AND NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY USING SAME | 2 |
Shinichi Kawate | JP | Sagamihara-Shi | 2010-03-18 / 20100066235 - IMAGE DISPLAY APPARATUS | 1 |
Tomohiko Kawate | US | Cambridge | 2016-02-04 / 20160031874 - SUBSTITUTED AMINOTHIAZOLES FOR THE TREATMENT OF TUBERCULOSIS | 3 |
Yoshihisa Kawate | JP | Tokyo | 2014-08-28 / 20140242827 - Electrical Connector | 2 |
Yasunori Kawate | JP | Kobe-Shi | 2014-10-02 / 20140294673 - SAMPLE ANALYZER, TRANSPORTING APPARATUS, AND LID PLACING TRAY | 3 |
Kohichiro Kawate | JP | Tokyo | 2016-01-07 / 20160007453 - HIGH THERMAL CONDUCTIVITY PREPREG, PRINTED WIRING BOARD AND MULTILAYER PRINTED WIRING BOARD USING THE PREPREG, AND SEMICONDUCTOR DEVICE USING THE MULTILAYER PRINTED WIRING BOARD | 10 |
Toshiya Kawate | JP | Kyoto | 2014-08-07 / 20140217552 - VARIABLE CAPACITANCE DEVICE | 2 |
Hiroyuki Kawate | JP | Yamanashi-Ken | 2014-03-06 / 20140061961 - Method for Manufacturing Liquid Container, and Liquid Container | 2 |
Etsuo Kawate | JP | Ibaraki | 2014-01-02 / 20140002825 - OPTICAL CHARACTERISTIC MEASURING APPARATUS | 1 |
Hiroyuki Kawate | JP | Hokuto | 2015-09-17 / 20150258803 - LIQUID STORAGE CONTAINER | 7 |
Hiroyuki Kawate | JP | Hokuto-Shi | 2015-11-12 / 20150321481 - Packaging Tray and Packaging Body | 9 |
Hiroyuki Kawate | JP | Hokuto-Shi | 2015-11-12 / 20150321481 - Packaging Tray and Packaging Body | 9 |
Keith Kawate | US | Attleboro Falls | 2015-10-08 / 20150287559 - CIRCUIT INTERRUPTION DEVICE WITH CONSTRICTIVE ARC EXTINGUISHING FEATURE | 3 |
Kohichiro Kawate | JP | Tokyo | 2016-01-07 / 20160007453 - HIGH THERMAL CONDUCTIVITY PREPREG, PRINTED WIRING BOARD AND MULTILAYER PRINTED WIRING BOARD USING THE PREPREG, AND SEMICONDUCTOR DEVICE USING THE MULTILAYER PRINTED WIRING BOARD | 10 |
Kohichiro Kawate | JP | Machida-City | 2015-11-19 / 20150329740 - Semiconductor Package Resin Composition and Usage Method Thereof | 2 |
Yuta Kawate | JP | Chofu-Shi | 2014-07-24 / 20140205148 - VIDEO SEARCH DEVICE, VIDEO SEARCH METHOD, RECORDING MEDIUM, AND PROGRAM | 1 |
Nanae Kawate | JP | Tokyo | 2013-12-26 / 20130345382 - NORBORNENE-TYPE FORMATE MONOMERS AND POLYMERS AND OPTICAL WAVEGUDIES FORMED THEREFROM | 1 |
Takashi Kawate | JP | Tokyo | 2014-08-07 / 20140218264 - ROTMAN LENS | 1 |
Kohichiro Kawate | JP | Sagamihara-City | 2014-04-10 / 20140096622 - FILM LAMINATE BODY FOR PRESSURE SENSITIVE FINGERPRINT SENSOR | 1 |
Takashi Kawate | JP | Kanagawa | 2010-07-22 / 20100186081 - Portable Communication Terminal and Use-Enabled/Disabled Control Method | 1 |
Keith W. Kawate | US | Attleboro Falls | 2014-07-10 / 20140195177 - NOISE PROPAGATION IMMUNITY OF A MULTI-STRING ARC FAULT DETECTION DEVICE | 5 |
Yoshihisa Kawate | JP | Kanagawa | 2010-08-05 / 20100197368 - CABLE HARNESS BODY | 1 |
Hiroyuki Kawate | JP | Matsumoto-Shi | 2013-11-21 / 20130307906 - RECORDING MATERIAL DELIVERY SYSTEM FOR RECORDING MATERIAL-CONSUMING APPARATUS; CIRCUIT BOARD; STRUCTURAL BODY; AND INK CARTRIDGE | 2 |
Toshiya Kawate | JP | Nagaokakyo-Shi | 2012-10-04 / 20120250265 - CIRCUIT MODULE MANUFACTURING METHOD, CIRCUIT MODULE, AND ELECTRONIC APPARATUS INCLUDING CIRCUIT MODULE | 1 |
Hiroyuki Kawate | JP | Chino-Shi | 2011-09-29 / 20110234718 - LIQUID CONTAINER | 2 |
Kawate W. Kawate | US | Attleboro Falls | 2008-08-28 / 20080204049 - Microprocessor-Based Capacitance Measurement | 1 |
Takashi Kawate | JP | Yokohama | 2012-10-04 / 20120249439 - MOBILE ELECTRONIC DEVICE | 1 |
Yoshihisa Kawate | JP | Kanagawa Pref | 2008-11-20 / 20080283280 - Method for Connecting Printed Circuit Boards | 1 |
Fumitaka Kawate | JP | Kanagawa | 2012-08-02 / 20120195576 - RECORDING APPARATUS, REPRODUCTION APPARATUS, AND FILE MANAGEMENT METHOD | 4 |
Hiroyuki Kawate | JP | Shiojiri-Shi | 2009-10-01 / 20090244223 - LIQUID CONTAINER AND MEMBRANE VALVE | 1 |
Yasunori Kawate | JP | Kakogawa | 2009-12-24 / 20090317860 - STANDARD MATERIAL FOR A PARTICLE ANALYZER | 1 |
Toshimitsu Kawate | US | Portland | 2009-04-23 / 20090104645 - Vectors And Methods For Identifying Proteins Amenable To Crystallization | 1 |
Ryusuke Kawate | JP | Tokyo | 2016-05-19 / 20160142225 - COMMUNICATION SYSTEM, COMMUNICATION APPARATUS, AND PROTECTION METHOD | 1 |
Amit Kawatkar | IN | Bangalore | 2014-07-03 / 20140182290 - MULTI-STAGE TURBOCHARGED ENGINE | 1 |
Aarti Sameer Kawatkar | US | Newton | 2013-12-19 / 20130338119 - Pyrrolidinone Benzenesulfonamide Derivatives as Modulators of Ion Channels | 10 |
Aarti S. Kawatkar | US | Newton | 2009-12-31 / 20090326023 - Indane Derivatives as Modulators of ION Channels | 1 |
Aarti Sameer Kawatkar | US | Newton | 2013-12-19 / 20130338119 - Pyrrolidinone Benzenesulfonamide Derivatives as Modulators of Ion Channels | 10 |
Aarti S. Kawatkar | US | San Diego | 2009-01-08 / 20090012117 - Bicyclic derivatives as modulators of ion channels | 1 |
Aarti Sameer Kawatkar | US | San Diego | 2010-05-27 / 20100130548 - BIPHENYL DERIVATIVES AS MODULATORS OF VOLTAGE GATED ION CHANNELS | 1 |
Shinichi Kawato | JP | Osaka-Shi | 2016-05-05 / 20160122861 - RESTRICTING PLATE UNIT, VAPOR DEPOSITION UNIT, AND VAPOR DEPOSITION DEVICE | 5 |
Yuichi Kawato | JP | Hyogo | 2016-01-28 / 20160029483 - COPPER PARTICULATE DISPERSION, CONDUCTIVE FILM FORMING METHOD, AND CIRCUIT BOARD | 8 |
Takashi Kawato | JP | Anjo-Shi | 2014-05-29 / 20140145474 - VEHICLE SUNROOF APPARATUS | 4 |
Masatoshi Kawato | JP | Kameyama-Shi | 2014-03-13 / 20140070428 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Yoshiaki Kawato | JP | Anjo-Shi | 2010-01-14 / 20100009620 - INSIDE AIR/OUTSIDE AIR SWITCHING DEVICE | 1 |
Eizo Kawato | JP | Kyoto | 2013-10-10 / 20130265810 - HIGH-FREQUENCY POWER SUPPLY | 3 |
Seiichi Kawato | JP | Tokyo | 2010-04-15 / 20100094045 - METHOD FOR PRODUCING AT LEAST ONE OF ALPHA, BETA-UNSATURATED ALDEHYDE AND ALPHA, BETA-UNSATURATED CARBOXYLIC ACID | 2 |
Suguru Kawato | JP | Tokyo | 2009-03-12 / 20090070089 - Method of Analyzing Cell or the Like Having Linear Shape, Method of Analyzing Nerve Cell and Apparatus and Program for Performing These Methods | 1 |
Mitsuo Kawato | JP | Kyoto | 2015-10-15 / 20150294074 - BRAIN ACTIVITY TRAINING APPARATUS AND BRAIN ACTIVITY TRAINING SYSTEM | 2 |
Tatsuya Kawato | JP | Tokushima | 2016-05-19 / 20160137704 - MODIFIED BIOTIN, MUTANT STREPTAVIDIN, AND USE THEREOF | 1 |
Yuichi Kawato | JP | Hyogo | 2016-01-28 / 20160029483 - COPPER PARTICULATE DISPERSION, CONDUCTIVE FILM FORMING METHOD, AND CIRCUIT BOARD | 8 |
Hiroki Kawato | JP | Tokyo | 2014-09-25 / 20140286147 - PLASMON GENERATOR HAVING FLARE SHAPED SECTION | 2 |
Seiichi Kawato | JP | Hiroshima | 2013-08-08 / 20130204030 - METHOD FOR PRODUCING CATALYST | 2 |
Nobuo Kawato | JP | Fukuoka | 2010-09-16 / 20100234498 - INTERNAL MOLD RELEASE AGENT FOR PRODUCTION OF POLYTHIOURETHANE OPTICAL MATERIAL | 3 |
Hiroshi Kawato | JP | Chiba | 2011-05-12 / 20110110107 - HOUSING FOR LIGHTING DEVICE AND LIGHTING DEVICE EQUIPPED WITH SAME | 11 |
Akio Kawato | JP | Komatsu-Shi | 2010-09-23 / 20100236903 - PANEL-CARRYING-OUT APPARATUS | 2 |
Nobuo Kawato | JP | Kurume-Shi, Fukuoka | 2015-11-05 / 20150315327 - PROCESS FOR PRODUCING POLYURETHANE RESIN | 1 |
Masahiro Kawato | JP | Minato-Ku | 2010-01-07 / 20100005465 - VIRTUAL MACHINE LOCATION SYSTEM, VIRTUAL MACHINE LOCATION METHOD, PROGRAM, VIRTUAL MACHINE MANAGER, AND SERVER | 1 |
Eizo Kawato | JP | Kyoto Pref. | 2011-09-29 / 20110235033 - EMISSION SPECTROPHOTOMETER | 1 |
Haruko Kawato | JP | Tokyo | 2009-12-17 / 20090312310 - Imidazothiazole derivatives | 1 |
Shinichi Kawato | JP | Osaka | 2016-02-04 / 20160036008 - METHOD OF MANUFACTURING FILM FORMATION SUBSTRATE, AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE | 52 |
Yayoi Kawato | JP | Kawasaki-Shi, Kanagawa | 2012-04-12 / 20120088737 - NOVEL ACYL GUANIDINE DERIVATIVES | 1 |
Masatoshi Kawato | JP | Kameyama Mie | 2015-09-17 / 20150262975 - MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE | 1 |
Yayoi Kawato | JP | Kawasaki-Shi | 2014-10-09 / 20140303122 - CASR AGONISTS | 5 |
Nobuo Kawato | JP | Kureme-Shi | 2011-03-17 / 20110065884 - POLYMERIZATION CATALYST FOR POLYTHIOURETHANE OPTICAL MATERIAL, POLYMERIZABLE COMPOSITION CONTAINING THE SAME, POLYTHIOURETHANE RESIN OBTAINED FROM THE COMPOSITION, AND PROCESS FOR PRODUCING THE RESIN | 1 |
Eizo Kawato | JP | Kyoto-Shi | 2010-12-09 / 20100309031 - TIME-OF-FLIGHT MEASURING DEVICE | 1 |
Koji Kawato | JP | Tokyo | 2011-08-04 / 20110188833 - DISC PLAYBACK DEVICE AND DISC PLAYBACK METHOD | 1 |
Mitsuo Kawato | JP | Soraku-Gun | 2015-10-01 / 20150272461 - BRAIN ACTIVITY ANALYZING APPARATUS, BRAIN ACTIVITY ANALYZING METHOD AND BIOMARKER APPARATUS | 2 |
Nobuo Kawato | JP | Kurume-Shi | 2015-10-15 / 20150293265 - POLYMERIZABLE COMPOSITION FOR OPTICAL MATERIAL | 4 |
Yoshiaki Kawato | JP | Sendai | 2011-01-13 / 20110007417 - THIN FILM PERPENDICULAR MAGENTIC RECORDING HEAD, THEIR FABRICATION PROCESS AND MAGENTIC DISK DRIVE USING IT | 2 |
Masahiro Kawato | JP | Tokyo | 2016-04-21 / 20160112525 - DISTRIBUTION CONTROL DEVICE AND METHOD FOR SAME, PUSH DISTRIBUTION SYSTEM, AND STORAGE MEDIUM | 7 |
Shinjiro Kawato | JP | Tokyo | 2012-05-10 / 20120114208 - IMAGE MATCHING DEVICE AND PATIENT POSITIONING DEVICE USING THE SAME | 1 |
Yoshimi Kawato | JP | Ibaraki | 2010-11-11 / 20100282673 - METHOD AND APPARATUS FOR TREATING NITRATE WASTE LIQUID | 1 |
Hiroyuki Kawato | JP | Tokyo | 2011-12-01 / 20110296318 - Virtual Reality Space Provision System, Virtual Reality Space Provision Method and Program | 1 |
Yukimasa Kawato | US | Bloomington | 2013-11-28 / 20130314882 - DYNAMIC LOOP WITH FOLD | 1 |
Susumu Kawato | JP | Nara | 2015-12-03 / 20150349500 - POLARIZED RESIN FILM AND PROCESS FOR PRODUCING SAME | 1 |
Yoshiaki Kawato | JP | Odawara | 2011-12-01 / 20110292545 - MAGNETIC HEAD AND MAGNETIC DISK DRIVE TO BE USED FOR SHINGLED RECORDING METHOD | 2 |
Shinichi Kawato | JP | Osaka | 2016-02-04 / 20160036008 - METHOD OF MANUFACTURING FILM FORMATION SUBSTRATE, AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE | 52 |
Seiichi Kawato | JP | Minato-Ku | 2012-07-19 / 20120184771 - METHOD FOR PRODUCING UNSATURATED ALDEHYDE AND UNSATURATED CARBOXYLIC ACID | 1 |
Norihiro Kawatoko | JP | Kawasaki-Shi | 2015-07-09 / 20150191009 - ADJUSTMENT METHOD OF PRINTING POSITIONS, PRINTING APPARATUS AND PRINTING SYSTEM | 5 |
Norhiro Kawatoko | JP | Yokohama-Shi | 2012-11-01 / 20120274949 - INKJET PRINTING APPARATUS AND PRINT DATA GENERATING METHOD | 1 |
Osamu Kawatoko | JP | Kawasaki-Shi | 2015-12-24 / 20150369580 - SEMICONDUCTOR INTEGRATED CIRCUIT AND POSITION DETECTOR | 5 |
Osamu Kawatoko | JP | Toride | 2011-11-03 / 20110266424 - OPTICAL ENCODER | 1 |
Makoto Kawatoko | JP | Onga | 2014-09-25 / 20140286168 - TRANSMISSION CONTROL METHOD AND NODE | 1 |
Norihiro Kawatoko | JP | Yokohama-Shi | 2016-04-07 / 20160096364 - PRINTING APPARATUS AND DRIVING CONTROL METHOD FOR PRINTHEAD | 25 |
Makoto Kawatsu | JP | Hekinan-City | 2010-11-04 / 20100276031 - Fluid charging system, movable object, supply facilitly, and method for controlling fluid charge | 2 |
Hajime Kawatsu | JP | Toyonaka-Shi | 2013-07-11 / 20130175378 - VERTICAL MILL ROLLER | 1 |
Kenji Kawatsu | JP | Machida-Shi | 2009-05-14 / 20090121421 - POST-PROCESSING APPARATUS AND IMAGE FORMING SYSTEM | 1 |
Masayoshi Kawatsu | JP | Hitachinaka-Shi | 2016-05-19 / 20160138511 - CONTROL DEVICE FOR INTERNAL COMBUSTION ENGINE | 1 |
Hirotaka Kawatsu | JP | Wako-Shi | 2011-02-03 / 20110024256 - MULTIPLATE CLUTCH | 3 |
Tomoyuki Kawatsu | JP | Nanto-City | 2015-07-23 / 20150202797 - WIRE SAW AND WORKPIECE MACHINING METHOD EMPLOYING SAME | 1 |
Sonomi Kawatsu | JP | Tokyo | 2009-12-24 / 20090319659 - SOURCE DETECTION DEVICE FOR DETECTING A SOURCE OF SENDING A VIRUS AND/OR A DNS ATTACK LINKED TO AN APPLICATION, METHOD THEREOF, AND PROGRAM THEREOF | 1 |
Hirotaka Kawatsu | JP | Saitama | 2010-04-01 / 20100078286 - Multi-plate clutch | 1 |
Hajime Kawatsu | JP | Osaka | 2010-07-29 / 20100189588 - IRON-BASED CORROSION RESISTANT WEAR RESISTANT ALLOY AND DEPOSIT WELDING MATERIAL FOR OBTAINING THE ALLOY | 1 |
Hiroyuki Kawatsu | JP | Anjo | / - | 1 |
Yusuke Kawatsu | JP | Tokyo | 2016-01-07 / 20160004484 - PRINT CONTROL METHOD AND RECORDING MEDIUM STORING PRINT CONTROL PROGRAM | 4 |
Hiroyuki Kawatsu | JP | Takahama-Shi | 2010-09-30 / 20100243386 - ELECTRIC PARKING BRAKE APPARATUS HAVING EMERGENCY RELEASE FUNCTION FOR VEHICLE | 1 |
Kenji Kawatsu | JP | Toyohashi-Shi | 2011-11-17 / 20110281709 - SHEET FOLDING DEVICE AND SHEET POST-PROCESSING DEVICE USING SAME | 1 |
Hirotaka Kawatsu | JP | Wako | 2009-03-26 / 20090078526 - MULTIPLE DISK CLUTCH | 1 |
Shigehisa Kawatsuru | JP | Yokosuka-Shi | 2013-09-26 / 20130250586 - Lighting Device | 4 |
Shigehisa Kawatsuru | JP | Kanagawa | 2014-02-13 / 20140042911 - LIGHTING CONTROL SYSTEM AND LIGHTING CONTROL METHOD | 1 |
Shigehisa Kawatsuru | JP | Yokosuka | 2014-10-09 / 20140300277 - LIGHTING CONTROL SYSTEM AND LIGHTING CONTROL METHOD | 3 |
Shigehisa Kawatsuru | JP | Kanagawa-Ken | 2013-03-21 / 20130070460 - LIGHTING APPARATUS | 1 |
Hiroshi Kawauchi | JP | Nagareyama-Shi | 2011-07-21 / 20110176216 - OPTICAL ELEMENT, METHOD OF MANUFACTURING OPTICAL ELEMENT, AND IMAGING OPTICAL SYSTEM | 1 |
Kiyoto Kawauchi | JP | Tokyo | 2015-09-10 / 20150256554 - ATTACK ANALYSIS SYSTEM, COOPERATION APPARATUS, ATTACK ANALYSIS COOPERATION METHOD, AND PROGRAM | 3 |
Hiroyasu Kawauchi | JP | Aichi | 2009-12-10 / 20090301870 - ELECTROCHEMICAL DEVICE AND EXHAUSTGAS PURIFICATION APPARATUS | 2 |
Naoto Kawauchi | JP | Hyogo | 2012-04-12 / 20120089247 - WORKPIECE MEASURING DEVICE, COLLISION PREVENTING DEVICE, AND MACHINE TOOL | 1 |
Akihisa Kawauchi | JP | Tokyo | 2013-08-01 / 20130193277 - BRANCHING DEVICE AND TRACK TRANSPORTATION SYSTEM | 1 |
Hideki Kawauchi | JP | Shioya-Gun | 2013-01-31 / 20130026406 - VALVE DEVICE | 2 |
Yoshikazu Kawauchi | JP | Nagoya-Shi | 2014-10-02 / 20140292173 - SHEET FEEDING APPARATUS AND IMAGE READING APPARATUS | 3 |
Yasuyuki Kawauchi | JP | Sakai-Shi | 2014-01-30 / 20140027558 - ELONGATED SHEET FEEDING REEL | 1 |
Hiroyasu Kawauchi | JP | Kariya-Shi | 2009-06-11 / 20090145108 - EXHAUST GAS PURIFYING SYSTEM | 3 |
Takehiro Kawauchi | JP | Toyohashi-Shi | 2011-06-16 / 20110144262 - SYNTHETIC POLYMERS CONTROLLED IN SPIRAL STRUCTURE, HOST-GUEST COMPOUNDS PREPARED BY USING THE SAME, AND PROCESSES FOR PRODUCTION OF BOTH | 1 |
Takahiro Kawauchi | JP | Fukushima-Ken | 2009-03-05 / 20090059372 - OPTICAL IMAGE STABILIZER | 1 |
Hiroshi Kawauchi | JP | Kariya | 2012-05-31 / 20120136897 - SEARCHING DEVICE, SEARCHING METHOD AND COMPUTER-READABLE STORAGE MEDIUM | 18 |
Hidetoshi Kawauchi | JP | Kanagawa | 2015-05-28 / 20150146825 - SIGNAL PROCESSING DEVICE, SIGNAL PROCESSING METHOD, RECEIVING DEVICE, AND RECEIVING METHOD | 24 |
Yuki Kawauchi | JP | Tokyo | 2013-08-22 / 20130214717 - POWER CONVERSION APPARATUS | 1 |
Ryo Kawauchi | JP | Wako-Shi | 2015-03-12 / 20150069730 - LOCK DEVICE | 1 |
Hironobu Kawauchi | JP | Kyoto-Shi | 2010-12-23 / 20100320873 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SEMICONDUCTOR DEVICE | 1 |
Koichi Kawauchi | JP | Hyogo | 2009-03-05 / 20090059665 - Semiconductor Memory | 1 |
Takashi Kawauchi | JP | Tokyo | 2016-03-17 / 20160078761 - Mobile Terminal | 1 |
Motonori Kawauchi | JP | Shizuoka | 2015-05-07 / 20150122062 - ACTUATOR | 1 |
Takeshi Kawauchi | JP | Okayama-City | 2016-02-11 / 20160041487 - FERRITE PARTICLES AND ELECTROPHOTOGRAPHIC DEVELOPMENT CARRIER USING SAME, ELECTROPHOTOGRAPHIC DEVELOPER AND METHOD OF MANUFACTURING FERRITE PARTICLES | 11 |
Ikuo Kawauchi | JP | Shizuoka | 2011-06-23 / 20110146516 - METHOD OF PREPARING LITHOGRAPHIC PRINTING PLATE | 4 |
Naoto Kawauchi | JP | Tokyo | 2014-11-27 / 20140349527 - TERMINAL CONNECTING-AND-FIXING STRUCTURE | 1 |
Tatsuro Kawauchi | JP | Niihama-Shi | 2014-10-02 / 20140290197 - HONEYCOMB STRUCTURE | 1 |
Yoshikazu Kawauchi | JP | Nagoya | 2015-10-01 / 20150281488 - Image Reader and Computer-Readable Medium for the Same | 1 |
Yoshihiro Kawauchi | JP | Hino-Shi | 2013-12-19 / 20130336312 - COMMUNICATION SYSTEM, DATACENTER APPARATUS, AND CONTROL METHOD USED IN DATACENTER APPARATUS | 2 |
Ryoko Kawauchi | JP | Miyagi | 2011-06-02 / 20110128905 - RELAY SYSTEM AND WIRELESS COMMUNICATION SYSTEM | 1 |
Hideo Kawauchi | JP | Tokyo | 2015-07-23 / 20150205261 - ILLUMINATION DEVICE AND IMAGE RECORDING MEDIUM | 1 |
Masahiro Kawauchi | JP | Fuchu-Shi | 2011-11-24 / 20110288387 - Transmissivity adjustment apparatus, observation apparatus and obsevation system | 1 |
Hiroshi Kawauchi | JP | Kariya Aichi | 2012-04-05 / 20120084311 - SEARCH SUPPORT DEVICE, SEARCH SUPPORT METHOD AND COMPUTER-READABLE STORAGE MEDIUM | 1 |
Hironobu Kawauchi | JP | Kyoto | 2011-04-21 / 20110089503 - SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SEMICONDUCTOR DEVICE | 3 |
Yasushi Kawauchi | JP | Tokyo | 2010-08-05 / 20100197862 - RUBBER COMPOUND AND MOLDED ARTICLE | 1 |
Ikuo Kawauchi | JP | Shiuoka | 2011-01-27 / 20110017084 - IMMERSION TYPE AUTOMATIC DEVELOPING APPARATUS FOR LITHOGRAPHIC PRINTING PLATE AND AUTOMATIC DEVELOPING METHOD | 1 |
Hideki Kawauchi | JP | Nikko-Shi | 2016-03-31 / 20160091097 - PRESSURE REDUCING VALVE | 1 |
Shouji Kawauchi | JP | Osaka | 2010-02-04 / 20100029631 - CARBOXYLIC ACID COMPOUNDS AND MEDICINAL COMPOSITIONS CONTAINING THE SAME AS THE ACTIVE INGREDIENT | 1 |
Yousuke Kawauchi | JP | Fukuoka | 2015-03-05 / 20150062316 - ENDOSCOPE AND ENDOSCOPE SYSTEM | 1 |
Ikuo Kawauchi | JP | Haibara-Gun | 2010-02-25 / 20100047537 - METHOD OF PRODUCING LITHOGRAPHIC PRINTING PLATE | 3 |
Ikuo Kawauchi | JP | Shizuoka-Ken | 2009-10-08 / 20090253071 - PLANOGRAPHIC PRINTING PLATE PRECURSOR | 1 |
Naoto Kawauchi | JP | Takasago-Shi | 2013-01-31 / 20130030758 - SHAPE MEASUREMENT DEVICE FOR MACHINE TOOL WORKPIECE | 1 |
Toshikazu Kawauchi | JP | Kyoto-Shi | 2015-10-15 / 20150296066 - MOBILE TERMINAL DEVICE, LOCK RELEASE METHOD, AND NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM | 3 |
Hiroshi Kawauchi | JP | Okazaki-Shi, | 2009-10-01 / 20090248219 - DEVICE THAT ENABLES A VEHICLE TO BE MOVED WHEN A DISASTER OCCURS | 2 |
Yuki Kawauchi | JP | Chiyoda-Ku | 2015-05-14 / 20150131233 - INVERTER DEVICE | 1 |
Takashi Kawauchi | JP | Yokohama | 2010-11-11 / 20100286864 - VEHICLE COMMUNICATION SYSTEM | 1 |
Takeshi Kawauchi | JP | Okayama-City | 2016-02-11 / 20160041487 - FERRITE PARTICLES AND ELECTROPHOTOGRAPHIC DEVELOPMENT CARRIER USING SAME, ELECTROPHOTOGRAPHIC DEVELOPER AND METHOD OF MANUFACTURING FERRITE PARTICLES | 11 |
Yoshiyuki Kawauchi | JP | Saitama-Shi | 2009-11-19 / 20090285206 - NETWORK SYSTEM AND DATA TRANSFER METHOD | 1 |
Kazuki Kawauchi | JP | Toyonaka-Shi, Osaka | 2016-05-19 / 20160136052 - Coinjection Device And Coinjection Method | 2 |
Hirofumi Kawauchi | JP | Tokyo | 2014-04-03 / 20140090459 - ENGINE STROKE DETERMINATION APPARATUS | 1 |
Masaru Kawauchi | JP | Tsubame-Shi | 2013-06-06 / 20130143690 - GOLF CLUB HEAD AND METHOD FOR MANUFACTURING THE SAME | 1 |
Taketo Kawauchi | JP | Osaka | 2014-01-16 / 20140016287 - DISPLAY DEVICE | 2 |
Takashi Kawauchi | JP | Kodaira | 2011-11-17 / 20110282566 - COMMUNICATION EQUIPMENT, INTER-VEHICLE COMMUNICATION CONTROL METHOD AND INTER-VEHICLE COMMUNICATION SYSTEM | 1 |
Kohei Kawauchi | JP | Minato-Ku | 2012-08-30 / 20120220366 - GAME SYSTEM | 1 |
Toshikazu Kawauchi | JP | Nishinomiya-Shi | 2013-04-25 / 20130100061 - MOBILE TERMINAL AND CONTROLLING METHOD THEREOF | 1 |
Yuji Kawauchi | JP | Yasugi-Shi | 2009-11-19 / 20090286120 - CASING FOR FUEL BATTERY AND FUEL BATTERY USING THE SAME | 1 |
Kazuki Kawauchi | JP | Toyonaka-Shi | 2014-01-23 / 20140020790 - CO-INFUSION APPARATUS | 1 |
Hirokazu Kawauchi | JP | Chiba | 2011-01-13 / 20110008561 - SHEET FOR PACKAGING ELECTRONIC PART | 1 |
Tomohiro Kawauchi | JP | Kyoto-Shi | 2016-03-24 / 20160084908 - SWITCH FAILURE DIAGNOSIS DEVICE AND ELECTRIC STORAGE APPARATUS | 3 |
Masato Kawauchi | JP | Minato-Ku | 2015-07-02 / 20150181920 - METHOD FOR PRODUCING PROCESSED AQUATIC FOOD AND ENZYME PREPARATION FOR MODIFYING PROPERTY OF PROCESSED AQUATIC FOOD | 1 |
Takashi Kawauchi | JP | Kanagawa | 2015-04-23 / 20150112511 - MOBILE TERMINAL | 3 |
Masahiro Kawauchi | JP | Tokyo | 2009-11-26 / 20090292169 - ELECTRONIC ENDOSCOPE APPARATUS | 3 |
Shigekazu Kawauchi | JP | Makinohara-Shi, Shizuoka | 2016-03-17 / 20160076569 - JOINING STRUCTURE | 1 |
Toshihito Kawauchi | JP | Hyogo | 2008-10-02 / 20080242802 - Vinyl Chloride Resin Composition | 1 |
Hiroshi Kawauchi | JP | Kariya-City | 2008-12-18 / 20080312817 - Navigation apparatus and navigation program | 1 |
Yasuhiro Kawauchi | JP | Nagaokakyo-Shi | 2012-01-26 / 20120021551 - THERMOELECTRIC CONVERSION MODULE AND METHOD FOR MANUFACTURING THE SAME | 2 |
Osamu Kawauchi | JP | Shiojiri | 2015-04-30 / 20150116974 - METHOD OF MANUFACTURING ELECTRONIC DEVICE, ELECTRONIC DEVICE, ELECTRONIC APPARATUS, MOVING OBJECT, AND LID BODY | 5 |
Akihisa Kawauchi | JP | Hiroshima | 2012-02-09 / 20120031298 - TRACK-GUIDED VEHICLE WHEEL TRACK | 4 |
Hidetaka Kawauchi | JP | Hitachi-Shi | 2011-11-03 / 20110267784 - TRANSMISSION/RECEPTION OPTICAL MODULE | 1 |
Takeshi Kawauchi | JP | Okayama | 2015-02-12 / 20150044607 - METHOD FOR MANUFACTURING CARRIER CORE PARTICLES FOR ELECTROPHOTOGRAPHIC DEVELOPER, CARRIER CORE PARTICLES FOR ELECTROPHOTOGRAPHIC DEVELOPER, CARRIER FOR ELECTROPHOTOGRAPHIC DEVELOPER, AND ELECTROPHOTOGRAPHIC DEVELOPER | 3 |
Shimako Kawauchi | US | Costa Mesa | 2009-08-27 / 20090215671 - Compositions And Methods For Treatment of Neural Disorders Using Transforming Growth Factor-Beta Superfamily Proteins And Their Antagonists | 1 |
Yasuyuki Kawauchi | JP | Tsurugashima-Shi | 2014-03-06 / 20140062640 - Surface-Mount Inductor | 2 |
Yoshihito Kawauchi | JP | Komatsushima-Shi | 2015-04-30 / 20150115307 - SAPPHIRE SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME AND NITRIDE SEMICONDUCTOR LIGHT EMITTING ELEMENT | 2 |
Shigekazu Kawauchi | JP | Makinohara-Shi | 2015-11-12 / 20150323074 - PRESSURE VESSEL | 1 |
Yoshihiro Kawauchi | JP | Utsunomiya-Shi | 2010-09-30 / 20100248165 - INFORMATION PROCESSING METHOD, EXPOSURE PROCESSING SYSTEM USING SAME, DEVICE MANUFACTURING METHOD, AND INFORMATION PROCESSING APPARATUS | 4 |
Yasunori Kawauchi | JP | Kobe-Shi | 2015-07-09 / 20150190976 - TIRE SHAPING DRUM | 2 |
Kenichi Kawauchi | JP | Kanagawa | 2009-11-26 / 20090290183 - IMAGE PROCESSING DEVICE, IMAGE RECORDING SYSTEM, IMAGE PROCESSING METHOD AND COMPUTER-READABLE MEDIUM | 1 |
Hiroto Kawauchi | JP | Tsurugashima-Shi | 2010-09-09 / 20100228863 - CONTENT DISTRIBUTION SYSTEM AND ITS CONTROL METHOD | 1 |
Hiroyasu Kawauchi | JP | Aichi-Ken | 2010-08-12 / 20100200399 - EXHAUST GAS PURIFICATION SYSTEM | 2 |
Hidetaka Kawauchi | JP | Hitachi | 2014-05-22 / 20140140011 - Signal Transmission Device | 7 |
Yoshikazu Kawauchi | JP | Kanagawa | 2009-11-05 / 20090273703 - AUTO-FOCUSING DEVICE | 1 |
Akiya Kawaue | JP | Kawasaki-Shi | 2015-04-23 / 20150111155 - RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, COMPOUND AND POLYMERIC COMPOUND | 35 |
Akiya Kawaue | JP | Kawasaki | 2010-04-29 / 20100104973 - COMPOUND, ACID GENERATOR, RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN | 1 |
Masakatsu Kawaura | JP | Hatanoshi | 2014-03-27 / 20140088360 - MEDICAL DEVICE | 1 |
Masakatsu Kawaura | US | Sunnyvale | 2016-03-31 / 20160089140 - PUNCTURE DEVICE | 15 |
Takashi Kawaura | JP | Wako | 2014-06-19 / 20140170530 - FUEL CELL VEHICLE | 1 |
Ryosuke Kawaura | JP | Osaka-Shi | 2013-12-05 / 20130324484 - LIVER FUNCTION-IMPROVING AGENT | 2 |
Takashi Kawaura | JP | Sakura-Shi | 2015-10-29 / 20150308998 - GAS MONITORING SYSTEM AND GAS MONITORING METHOD | 1 |
Naoto Kawaura | JP | Tokyo | 2010-03-04 / 20100057817 - ELECTRONIC-DATA DISTRIBUTION SYSTEM | 1 |
Koichi Kawaura | JP | Aichi-Ken | 2012-01-19 / 20120012232 - METHOD AND APPARATUS OF FRICTION WELDING TO INCREASE TENSILE STRENGTH OF WELDED WORKPIECE | 1 |
Shigehiro Kawaura | JP | Tokyo | 2012-04-19 / 20120091489 - SUBSTRATE FOR MOUNTING LIGHT-EMITTING ELEMENTS, LIGHT-EMITTING DEVICE, AND METHOD FOR MANUFACTURING SAME | 2 |
Hirotaka Kawaura | JP | Toyota-Shi | 2016-01-28 / 20160028295 - METHOD OF MANUFACTURING STATOR FOR ROTARY ELECTRIC MACHINE | 5 |
Hisanori Kawaura | JP | Kanagawa | 2015-07-16 / 20150199000 - INFORMATION PROCESSING APPARATUS, CONTROL METHOD, AND RECORDING MEDIUM STORING A CONTROL PROGRAM | 6 |
Masanori Kawaura | JP | Okazaki-City | 2012-05-24 / 20120126965 - Information presentation apparatus and system | 1 |
Masayuki Kawaura | JP | Hachioji-Shi | 2012-01-05 / 20120004503 - TREATMENT ENDOSCOPE | 1 |
Junichi Kawaura | JP | Aichi | 2012-09-20 / 20120237295 - JOINTING STRUCTURE IN VEHICLE TRAVELLING PATH JOINTS AND THE LIKE HAVING EXPANSION FUNCTION AND METHOD OF MOUNTING ELASTIC MEMBER THEREIN | 1 |
Takeo Kawaura | JP | Tokyo | 2011-01-13 / 20110007361 - IMAGE PROCESSING DEVICE AND IMAGE PROCESSING PROGRAM | 1 |
Masakatsu Kawaura | JP | Kanagawa | 2015-03-05 / 20150065791 - PUNCTURE APPARATUS | 7 |
Hisao Kawaura | JP | Tokyo | 2010-06-03 / 20100133501 - SWITCHING ELEMENT AND METHOD FOR MANUFACTURING SWITCHING ELEMENT | 10 |
Toshinori Kawaura | JP | Kawasaki-Shi | 2014-12-18 / 20140368881 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 8 |
Koichi Kawaura | JP | Kariya-Shi | 2009-10-01 / 20090242613 - METHOD AND APPARATUS OF FRICTION WELDING | 3 |
Masanori Kawaura | JP | Nukata-Gun | 2009-06-04 / 20090143933 - Detection device for side collision of vehicle and passenger protection system having the same | 2 |
Hideaki Kawaura | JP | Kanagawa | 2013-03-28 / 20130076994 - LIQUID CRYSTAL DISPLAY DEVICE AND LIQUID CRYSTAL DISPLAY | 3 |
Hiroshi Kawaura | JP | Kanagawa | 2015-10-15 / 20150294866 - PLASMA PROCESSING DEVICE, AND PLASMA PROCESSING METHOD | 6 |
Masakatsu Kawaura | US | Sunnyvale | 2016-03-31 / 20160089140 - PUNCTURE DEVICE | 15 |
Hisao Kawaura | JP | Minato-Ku | 2011-10-20 / 20110253967 - SWITCHING DEVICE, DRIVE AND MANUFACTURING METHODS FOR THE SAME, INTEGRATED CIRCUIT DEVICE AND MEMORY DEVICE | 2 |
Masakatsu Kawaura | JP | Ashigarakami-Gun | 2013-11-21 / 20130310752 - VERESS NEEDLE | 2 |
Masanori Kawaura | JP | Ichinomiya-Shi | 2014-05-22 / 20140138063 - COOLING FIN STRUCTURE | 1 |
Koichi Kawaura | JP | Ohbu-Shi | 2011-03-10 / 20110056629 - FRICTION WELDING APPARATUS | 1 |
Hirotaka Kawaura | JP | Toyota | 2016-02-25 / 20160056697 - METHOD FOR MANUFACTURING A STATOR FOR A ROTATING ELECTRICAL MACHINE | 1 |
Toshinori Kawaura | JP | Kawasaki-Shi | 2014-12-18 / 20140368881 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM | 8 |
Masanori Kawaura | JP | Aichi-Ken | 2011-05-12 / 20110108247 - COOLING APPARATUS FOR SEMICONDUCTOR ELEMENT | 1 |
Baraka Kawawa | US | Bensalem | 2013-11-14 / 20130302210 - CORROSION INHIBITOR COMPOSITIONS, METHODS FOR MAKING AND METHODS FOR USING | 2 |
Fujiya Kawawa | JP | Mito | 2010-09-16 / 20100235699 - FAULT DIAGNOSIS APPARATUS AND FAULT DIAGNOSIS METHOD OF MULTI-CHANNEL ANALOG INPUT/OUTPUT CIRCUIT | 1 |
Tatsuya Kawawa | JP | Nishitama-Gun | 2012-11-01 / 20120276432 - Battery Unit Having Case to Contain Cells | 1 |
Yasuhiro Kawawa | JP | Utsunomiya-Shi | 2015-12-10 / 20150356762 - IMAGE PROCESSING APPARATUS | 2 |
Iwao Kawayama | JP | Suita-Shi | 2014-01-02 / 20140002125 - INSPECTING DEVICE AND INSPECTING METHOD | 2 |
Iwao Kawayama | JP | Osaka | 2016-03-31 / 20160093539 - MODIFICATION PROCESSING DEVICE, MODIFICATION MONITORING DEVICE AND MODIFICATION PROCESSING METHOD | 9 |
Iwao Kawayama | JP | Osaka | 2016-03-31 / 20160093539 - MODIFICATION PROCESSING DEVICE, MODIFICATION MONITORING DEVICE AND MODIFICATION PROCESSING METHOD | 9 |
Tohru Kawaza | JP | Kokubunji | 2011-04-21 / 20110091213 - PASSIVE OPTICAL NETWORK SYSTEM AND WAVELENGTH ASSIGNMENT METHOD | 1 |
Hiroshi Kawazoe | JP | Tsukuba-Shi | 2015-04-23 / 20150111085 - Separator for Electrochemical Element and Fabrication Method for Same | 8 |
Naoki Kawazoe | JP | Kamakura-Shi | 2009-12-03 / 20090297733 - SUBSTRATE FOR LAB-ON-A-CHIP | 1 |
Hiroshi Kawazoe | JP | Aichi-Ken | 2015-06-04 / 20150152828 - FUEL INJECTOR | 1 |
Hiroyuki Kawazoe | JP | Kanagawa | 2009-06-18 / 20090153451 - DISPLAY AND ELECTRONIC APPARATUS | 1 |
Souichirou Kawazoe | JP | Tokyo | 2015-05-21 / 20150141641 - METHOD FOR PRODUCING 4,4,7-TRIFLUORO-1,2,3,4-TETRAHYDRO-5H-1-BENZAZEPINE COMPOUND AND INTERMEDIATE USED IN THE METHOD | 1 |
Masayuki Kawazoe | JP | Kanagawa | 2010-03-11 / 20100062211 - EPOXY RESIN COMPOSITION FOR FIBER REINFORCED COMPOSITE MATERIAL | 1 |
Masaru Kawazoe | JP | Yamato | 2013-01-10 / 20130008241 - HARDNESS TESTER AND TESTING METHOD | 1 |
Tadashi Kawazoe | JP | Bunkyo-Ku | 2013-01-10 / 20130009193 - METHOD OF FABRICATING LIGHT RECEIVING ELEMENT AND APPARATUS FOR FABRICATING LIGHT RECEIVING ELEMENT | 1 |
Hiroshi Kawazoe | JP | Kanagawa | 2013-04-04 / 20130083680 - SERVER, SERVER CONTROL METHOD, AND COMPUTER-READABLE MEDIUM | 8 |
Hiroshi Kawazoe | JP | Tsukuba-Shi | 2015-04-23 / 20150111085 - Separator for Electrochemical Element and Fabrication Method for Same | 8 |
Mitsuyoshi Kawazoe | JP | Shizuoka | 2009-09-24 / 20090236560 - Method for Dust-Preventive Treatment of Material Having Dusting Property | 2 |
Masaru Kawazoe | JP | Kawasaki-Shi | 2013-08-22 / 20130215263 - IMAGE PROCESSING DEVICE AND METHOD OF IMAGE PROCESSING | 3 |
Takayuki Kawazoe | JP | Chiba | 2009-04-16 / 20090098246 - FERMENTED SOY SAUCE WITH LESS SOY SAUCE FLAVOR AND PROCESS FOR PRODUCING THE SAME | 1 |
Shinichi Kawazoe | JP | Kanagawa | 2009-06-11 / 20090145350 - METHOD OF INJECTING DOPANT GAS | 2 |
Hiroshi Kawazoe | JP | Kariya-Shi | 2015-10-01 / 20150276013 - DAMPER | 6 |
Hiroshi Kawazoe | JP | Yokohama-Shi | 2012-10-04 / 20120250756 - COMMUNICATION APPARATUS AND CONTROL METHOD FOR COMMUNICATION APPARATUS | 1 |
Yosuke Kawazoe | JP | Kitakyushu-Shi | 2008-09-25 / 20080231135 - ELECTROMAGNETIC STEEL PLATE FORMING MEMBER, ELECTROMAGNETIC STEEL PLATE LAMINATOR, PERMANENT MAGNET TYPE SYNCHRONOUS ROTATING ELECTRIC MACHINE ROTOR PROVIDED WITH THE SAME, PERMANENT MAGNET TYPE SYNCHRONOUS ROTATING ELECTRIC MACHINE, AND VEHICLE, ELEVATOR, FLUID MACHINE, AND PROCESSING MACHINE USING THE ROTATING ELECTRIC MACHINE | 1 |
Hiroshi Kawazoe | JP | Tokyo | 2011-11-10 / 20110272292 - METAL COLLECTION METHOD AND METAL COLLECTION DEVICE | 1 |
Yuji Kawazoe | JP | Kariya-City | 2013-03-07 / 20130059522 - AIR CONDITIONER FOR VEHICLE | 2 |
Tadashi Kawazoe | JP | Tokyo | 2013-11-28 / 20130313406 - SOLUTION SEARCHING SYSTEM BY QUANTUM DOTS | 4 |
Hiroyuki Kawazoe | JP | Hyogo | 2009-05-28 / 20090134259 - METALLIC FILAMENT END RETAINING CLIP AND TAKE-UP REEL FOR METALLIC FILAMENT | 1 |
Hidechika Kawazoe | JP | Nara | 2009-05-21 / 20090129140 - Nonvolatile Semiconductor Storage Device and Method for Operating Same | 2 |
Yosuke Kawazoe | JP | Fukuoka | 2012-10-11 / 20120256508 - PERMANENT MAGNET SYNCHRONOUS ROTATING ELECTRIC MACHINE AND ROTOR CORE | 4 |
Syozou Kawazoe | JP | Minamikawachi-Gun | 2013-03-07 / 20130056050 - TRANSPARENT CONDUCTIVE SUBSTRATE | 1 |
Hiroshi Kawazoe | JP | Kariya-Shi, Aichi-Ken | 2016-02-11 / 20160040723 - DAMPER DEVICE | 1 |
Satoshi Kawazoe | JP | Toyohashi-Shi | 2010-06-24 / 20100159189 - BASE FABRIC FOR AIRBAG, AIRBAG AND METHOD FOR PRODUCTION OF THE SAME | 1 |
Yuki Kawazoe | JP | Kanagawa | 2015-01-15 / 20150014921 - TRANSPORTING DEVICE, AND IMAGE FORMING APPARATUS | 1 |
Hiroshi Kawazoe | JP | Fujisawa-Shi | 2010-08-26 / 20100217494 - ADAPTIVE SPEED CONTROL DEVICE | 1 |
Hiroshi Kawazoe | JP | Kanagawa | 2013-04-04 / 20130083680 - SERVER, SERVER CONTROL METHOD, AND COMPUTER-READABLE MEDIUM | 8 |
Hiroshi Kawazoe | JP | Kawasaki-Shi | 2014-05-22 / 20140143315 - COMMUNICATION DEVICE AND COMMUNICATION METHOD | 2 |
Hiroshi Kawazoe | JP | Ibaraki | 2009-11-26 / 20090291264 - Microfluid-System-Supporting Unit And Production Method Thereof | 1 |
Hiroshi Kawazoe | JP | Shimodate-Shi | 2009-11-05 / 20090274585 - MICRO FLUID SYSTEM SUPPORT AND MANUFACTURING METHOD THEREOF | 6 |
Hiroshi Kawazoe | JP | Obu-Shi | 2009-01-08 / 20090007887 - FUEL INJECTION VALVE | 1 |
Kenji Kawazoe | JP | Hyogo | 2015-09-03 / 20150247988 - FOCUSING SYSTEM, INTERCHANGEABLE LENS, AND IMAGE-CAPTURING DEVICE | 11 |
Kenji Kawazoe | JP | Osaka | 2011-01-06 / 20110001915 - DISPLAY PANEL, ARRAY SUBSTRATE, COLOR FILTER SUBSTRATE, AND METHOD FOR PRODUCING DISPLAY PANEL | 1 |
Kenji Kawazoe | JP | Yokohama-Shi | 2013-08-15 / 20130207337 - RECORDING MEDIUM SUPPLY DEVICE AND RECORDING APPARATUS | 7 |
Souichirou Kawazoe | JP | Takahagi-Shi | 2008-09-04 / 20080214633 - Alpha-form or beta-form crystal of acetanilide derivative | 1 |
Akira Kawazoe | JP | Sagamihara-Shi | 2016-03-10 / 20160067799 - HEIGHT ADJUSTMENT APPARATUS FOR A CAN BODY | 2 |
Masaru Kawazoe | JP | Yamato-Shi | / - | 1 |
Yumi Kawazoe | JP | Okaya-Shi | 2014-11-27 / 20140349253 - Oral Composition For Removing Tooth Stain | 1 |
Hiroshi Kawazoe | JP | Kawasaki | 2016-05-19 / 20160142477 - CONNECTION CONTROL DEVICE, CONNECTION CONTROL SYSTEM, AND NON-TRANSITORY COMPUTER READABLE MEDIUM | 6 |
Yumiko Kawazoe | JP | Osaka-Shi | 2015-07-02 / 20150185292 - POWER INFORMATION DISPLAY DEVICE, POWER INFORMATION DISPLAY SYSTEM AND POWER INFORMATION DISPLAY METHOD | 2 |
Kenichi Kawazoe | JP | Kurume | 2015-01-22 / 20150022225 - ELECTRONIC DEVICE AND CURRENT MONITORING METHOD | 2 |
Kentaro Kawazoe | JP | Shizuoka | 2012-07-26 / 20120190851 - METHOD FOR PRODUCING 4,6-DIALKOXY-2-CYANOMETHYLPYRIMIDINE AND SYNTHETIC INTERMEDIATE THEREOF | 3 |
Katsuro Kawazoe | JP | Hadano | 2012-06-21 / 20120152457 - HOT PRESS DEVICE AND MULTI-LAYERED PRINTED BOARD PRESS METHOD | 1 |
Kohei Kawazoe | JP | Tokyo | 2015-09-17 / 20150263396 - BATTERY STATE MONITORING DEVICE AND BATTERY MODULE PROVIDED WITH SAME | 1 |
Kenji Kawazoe | JP | Osaka-Shi | 2011-11-10 / 20110274848 - EJECTION DEVICE AND DROPLET DISPOSITION METHOD | 1 |
Hirofumi Kawazoe | JP | Tokyo | 2015-07-23 / 20150203750 - LONG-LASTING PHOSPHOR CERAMICS AND MANUFACTURING METHOD THEREOF | 5 |
Katsuhiko Kawazoe | JP | Kanagawa | 2011-03-10 / 20110061098 - AUTHENTICATION APPARATUS, AUTHENTICATION METHOD, AND AUTHENTICATION PROGRAM IMPLEMENTING THE METHOD | 1 |
Yuji Kawazoe | JP | Toyota-Shi | 2011-02-03 / 20110026016 - LASER WELDING INSPECTION DEVICE | 1 |
Masashi Kawazoe | JP | Tochigi | 2010-12-09 / 20100312217 - STRETCHABLE ABSORBENT CORE | 2 |
Hisao Kawazoe | JP | Yokohama-Shi | 2013-11-21 / 20130309596 - LIQUID COMPOSITION, PROCESS FOR ITS PRODUCTION, AND PROCESS FOR PRODUCING MEMBRANE-ELECTRODE ASSEMBLY FOR POLYMER ELECTROLYTE FUEL CELLS | 4 |
Shoichi Kawazoe | JP | Osaka-Shi | 2011-01-13 / 20110008431 - THERAPEUTIC TABLET FOR POSTHERPETIC NEURALGIA AND METHOD OF TREATING POSTHERPETIC NEURALGIA | 1 |
Yuko Kawazoe | JP | Hiroshima | 2015-12-24 / 20150367738 - CONTROL SYSTEM FOR VEHICLE | 1 |
Masayuki Kawazoe | JP | Hiratsuka City | 2013-01-24 / 20130022780 - THERMOSETTING RESIN COMPOSITION, THERMOSETTING RESIN COMPOSITION FOR FIBER-REINFORCED COMPOSITE MATERIAL, PREPARED USING THE SAME, AND HONEYCOMB SANDWICH PANEL | 1 |
Masataka Kawazoe | JP | Chiyoda-Ku, Tokyo | 2015-10-22 / 20150297920 - AIR BLOWER AND PROTECTIVE SUIT INCLUDING THE SAME | 1 |
Syozou Kawazoe | JP | Osaka | 2011-12-01 / 20110291968 - TRANSPARENT ELECTRICALLY CONDUCTIVE SUBSTRATE | 1 |
Daisuke Kawazoe | JP | Tokyo | 2015-10-08 / 20150288890 - IMAGING UNIT AND IMAGING METHOD | 1 |
Yumi Kawazoe | JP | Nagano | 2014-04-24 / 20140113244 - TOOTH WHITENING COMPOSITION CONTAINING COLLOIDAL PLATINUM | 1 |
Shinichi Kawazoe | JP | Omura-Shi | 2014-02-06 / 20140033967 - METHOD FOR MANUFACTURING SINGLE CRYSTAL | 2 |
Kentaro Kawazoe | JP | Tokyo | 2013-01-24 / 20130023666 - ARYL (1H-1,2,4-TRIAZOL-1-YL) COMPOUND, AND PROCESS FOR PRODUCTION THEREOF | 1 |
Masanobu Kawazoe | JP | Osaka | 2009-08-27 / 20090214907 - SOLID OXIDE FUEL CELL | 1 |
Hirofumi Kawazoe | JP | Echizen-Shi | 2014-06-26 / 20140175968 - YTTRIUM-CERIUM-ALUMINUM GARNET PHOSPHOR AND LIGHT-EMITTING DEVICE | 3 |
Hiroshi Kawazoe | JP | Kanagawa-Ken | 2013-09-26 / 20130249921 - SERVER, SCREEN TRANSFER SYSTEM, AND SCREEN TRANSFER METHOD | 2 |
Yumi Kawazoe | JP | Okaya-Shi Nagano | 2013-07-25 / 20130189200 - Oral Composition For Removing Tooth Stain | 1 |
Shinichi Kawazoe | JP | Nagasaki | 2011-06-16 / 20110140241 - PROCESSES FOR PRODUCTION OF SILICON INGOT, SILICON WAFER AND EPITAXIAL WAFER , AND SILICON INGOT | 12 |
Kohei Kawazoe | JP | Nagasaki | 2012-04-19 / 20120092548 - IMAGE-ACQUISITION APPARATUS AND IMAGE-ACQUISITION METHOD | 10 |
Yuji Kawazoe | JP | Aichi | 2009-11-05 / 20090272725 - LASER WELDING QUALITY EVALUATION METHOD AND APPARATUS | 1 |
Eiichi Kawazoe | JP | Tokyo | 2016-04-21 / 20160108616 - HIGH-RISE ARCHITECTURAL STRUCTURE AND MAINTENANCE METHOD THEREFOR | 1 |
Kohei Kawazoe | JP | Nagasaki | 2012-04-19 / 20120092548 - IMAGE-ACQUISITION APPARATUS AND IMAGE-ACQUISITION METHOD | 10 |
Kenji Kawazoe | JP | Hyogo | 2015-09-03 / 20150247988 - FOCUSING SYSTEM, INTERCHANGEABLE LENS, AND IMAGE-CAPTURING DEVICE | 11 |
Masaru Kawazoe | JP | Kanagawa | 2014-06-26 / 20140177937 - HARDNESS TESTER AND METHOD FOR HARDNESS TEST | 1 |
Meiri Kawazoe | JP | Shizuoka | 2015-10-22 / 20150299313 - ANTIGEN-BINDING MOLECULE FOR PROMOTING CLEARANCE FROM PLASMA OF ANTIGEN COMPRISING SUGER CHAIN RECEPTOR-BINDING DOMAIN | 4 |
Daisuke Kawazoe | JP | Kanagawa | 2014-11-20 / 20140341483 - IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD | 2 |
Shozo Kawazoe | JP | Ibaraki-Shi | 2010-08-12 / 20100203323 - PRESSURE-SENSITIVE ADHESIVE TAPE AND METHOD OF PRODUCING THE TAPE | 1 |
Takayuki Kawazoe | JP | Tokyo | 2014-05-22 / 20140141811 - INFORMATION SHARING SYSTEM USING MAPS | 1 |
Wataru Kawazoe | JP | Tokyo | 2014-07-10 / 20140192096 - LIQUID CRYSTAL DISPLAY DEVICE | 1 |
Ichiro Kawazome | JP | Saitama | 2010-06-17 / 20100153614 - INFORMATION TRANSMISSION SYSTEM, INFORMATION SENDING DEVICE AND INFORMATION RECEIVING DEVICE | 1 |
Takahiro Kawazu | JP | Kumamoto | 2015-08-06 / 20150221530 - SUBSTRATE LIQUID PROCESSING APPARATUS, SUBSTRATE LIQUID PROCESSING METHOD AND COMPUTER READABLE RECORDING MEDIUM HAVING SUBSTRATE LIQUID PROCESSING PROGRAM RECORDED THEREIN | 1 |
Masahiro Kawazu | JP | Kakegawa-Shi | 2009-04-02 / 20090088026 - FUSE PULLER AND ELECTRIC JUNCTION BOX | 2 |
Takao Kawazu | JP | Mishima-Shi | 2011-04-28 / 20110095011 - IMAGE HEATING APPARATUS | 1 |
Hideki Kawazu | JP | Yokohama | 2011-03-17 / 20110066797 - MEMORY SYSTEM | 1 |
Zempei Kawazu | JP | Tokyo | 2015-12-10 / 20150354090 - SIC EPITAXIAL WAFER PRODUCTION METHOD | 5 |
Yukie Kawazu | JP | Kanagawa | 2013-08-22 / 20130216945 - PIGMENT DISPERSION LIQUID, A METHOD FOR PRODUCING THE PIGMENT DISPERSION LIQUID, A PHOTOSENSITIVE COLOR RESIN COMPOSITION COMPRISING THE PIGMENT DISPERSION LIQUID, AN INK-JET INK COMPRISING THE PIGMENT DISPERSION LIQUID, A TONER FOR ELECTROPHOTOGRAPHIC PRINTING COMPRISING THE PIGMENT DISPERSION LIQUID, AND COLOR FILTER | 1 |
Akihiro Kawazu | JP | Numazu | 2015-08-20 / 20150233960 - MONITORING DEVICE AND MONITORING METHOD | 1 |
Yasuyuki Kawazu | JP | Tokyo | 2014-10-02 / 20140293142 - WIRE GRID POLARIZING PLATE AND PROJECTION TYPE IMAGE DISPLAY DEVICE | 2 |
Keiichi Kawazu | JP | Sagamihara-Shi, Kanagawa | 2010-07-01 / 20100165172 - Imaging Device Manufacturing Method and Imaging Device | 1 |
Keiichi Kawazu | JP | Kanagawa | 2010-05-27 / 20100127341 - Imaging Device Manufacturing Method, Imaging Device and Portable Terminal | 1 |
Toru Kawazu | JP | Tokyo | 2010-03-18 / 20100068506 - LIGHT CALCIUM CARBONATE, PROCESS FOR PRODUCING THE SAME, AND PRINTING PAPER CONTAINING THE SAME | 1 |
Shin-Ichiro Kawazu | JP | Obihiro-Shi | 2013-11-07 / 20130296230 - METHOD FOR TREATING MALARIA, METHOD FOR KILLING MALARIA PARASITE, AND USE OF THE METHODS | 1 |
Tomoharu Kawazu | JP | Tokyo | 2010-09-16 / 20100233632 - SILICON-CONTAINING FILM-FORMING COMPOSITION, SILICON-CONTAINING FILM, AND PATTERN FORMING METHOD | 1 |
Yoshito Kawazu | JP | Osaka | 2010-02-25 / 20100049938 - MEMORY MANAGEMENT METHOD, AND MEMORY MANAGEMENT APPARATUS | 1 |
Takao Kawazu | JP | Susono-Shi | 2015-12-31 / 20150380927 - POWER SUPPLY APPARATUS AND IMAGE FORMING APPARATUS | 3 |
Fumihito Kawazu | JP | Kagawa | 2013-04-18 / 20130091998 - DELIVERY DEVICE | 3 |
Toru Kawazu | JP | Tachikawa-Shi | 2010-02-04 / 20100028659 - Method for producing paper coating solution and coated paper coated with the paper coating solution produced by the method | 1 |
Yukio Kawazu | JP | Saitama | 2009-10-08 / 20090251701 - Mounting apparatus, inspecting apparatus, inspecting method, and mounting method | 1 |
Yoshiki Kawazu | JP | Kyoto-Shi | 2016-04-28 / 20160120026 - CIRCUIT BOARD, ELECTRONIC COMPONENT HOUSING PACKAGE, AND ELECTRONIC DEVICE | 2 |
Goshi Kawazu | JP | Kanagawa | 2012-02-02 / 20120025367 - SEMICONDUCTOR DEVICE | 1 |
Shinsuke Kawazu | JP | Toyokawa-Shi | 2014-04-17 / 20140107903 - ENGINE CONTROL SYSTEM DESIGNED TO PREDICT ENGINE SPEED ACCURATELY | 2 |
Youichi Kawazu | JP | Higashihiroshima-City | 2009-06-11 / 20090145149 - HOT WATER SUPPLY AND AIR CONDITIONING SYSTEM USING CO2 HEAT PUMP | 1 |
Kouichi Kawazu | JP | Nara | 2012-12-13 / 20120316158 - OPHTHALMIC SOLUTION FOR TREATING OCULAR INFECTION COMPRISING LEVOFLOXACIN OR SALT THEREOF OR SOLVATE OF THE SAME, METHOD FOR TREATING OCULAR INFECTION, LEVOFLOXACIN OR SALT THEREOF OR SOLVATE OF THE SAME, AND USE THEREOF | 1 |
Shinsuke Kawazu | JP | Kariya-Shi | 2011-08-18 / 20110202263 - CONTROL APPARATUS FOR CONTROLLING ON-VEHICLE STARTER FOR STARTING ENGINE | 1 |
Kenji Kawazu | JP | Aichi | 2009-02-19 / 20090048378 - WATER-BASED ONE-PACK-TYPE COATING COMPOSITION AND COATED ARTICLE | 2 |
Ayuta Kawazu | JP | Yokohama-Shi | 2014-12-25 / 20140376812 - IMAGE PROCESSING APPARATUS AND METHOD THEREFOR | 4 |
Tetsuji Kawazu | JP | Osaka | 2012-02-16 / 20120039717 - CEILING FAN | 4 |
Mitsuhiro Kawazu | JP | Hyogo | 2015-06-25 / 20150177425 - COVER GLASS FOR PHOTOELECTRIC CONVERSION DEVICE | 1 |
Takanori Kawazu | JP | Toyota-Shi | / - | 1 |
Masahito Kawazu | JP | Tokyo | 2015-07-02 / 20150185223 - Compositions Comprising RAC Mutants, and Methods of Use Thereof | 1 |
Mitsuhiro Kawazu | JP | Tokyo | 2012-09-27 / 20120244318 - COVER GLASS FOR PHOTOELECTRIC CONVERSION DEVICES AND METHOD FOR PRODUCING THE SAME | 4 |
Yuji Kawazu | JP | Niwa-Gun | 2011-09-29 / 20110238221 - POSITION CONTROL DEVICE | 1 |
Takeshi Kawazu | JP | Shiraoka-Shi | 2015-03-05 / 20150065705 - TRIAZINONE COMPOUND AND T-TYPE CALCIUM CHANNEL INHIBITOR | 1 |
Mitsuhiro Kawazu | JP | Minato-Ku | 2014-10-09 / 20140300956 - INFRARED CUT FILTER AND IMAGING APPARATUS | 1 |
Hideyuki Kawazu | JP | Tokyo | 2010-05-13 / 20100119430 - CALCIUM PHOSPHATE POROUS MATERIAL WITH SMALL AMOUNT OF REMAINING AROMATIC HYDROCARBON | 1 |
Takeshi Kawazu | JP | Osaka-Shi | 2015-02-05 / 20150034496 - WASHING DEVICE AND WASHING METHOD | 1 |
Naoki Kawazu | JP | Kanagawa | 2015-05-07 / 20150124133 - DRIVE APPARATUS, DRIVE METHOD, AND ELECTRONIC DEVICE | 1 |
Takanori Kawazu | JP | Aichi-Ken | 2010-09-30 / 20100242452 - INTERNAL COMBUSTION ENGINE | 2 |
Shin-Ichiro Kawazu | JP | Hokkaido | 2013-01-03 / 20130005798 - ANTIPROTOZOAL COMPOUND DERIVED FROM COELENTERATA | 1 |
Tetsuji Kawazu | JP | Aichi | 2013-02-21 / 20130045103 - CEILING FAN | 4 |
Kenichi Kawazuishi | JP | Kanagawa | 2011-01-20 / 20110015282 - PRODUCTION METHOD OF LIQUID HYDROCARBONS FROM NATURAL GAS | 3 |
Kenichi Kawazuishi | JP | Yokohama-Shi, Kanagawa | 2016-04-21 / 20160107964 - METHOD FOR CONDENSING WATER-SOLUBLE ORGANIC MATTER AND DEVICE FOR CONDENSING WATER-SOLUBLE ORGANIC MATTER | 1 |
Kenichi Kawazuishi | JP | Yokohama-Shi | 2015-07-09 / 20150191658 - METHOD FOR UPGRADING HYDROCARBON COMPOUNDS AND A HYDROCARBON COMPOUND DISTILLATION SEPARATION APPARATUS | 7 |
Takeji Kawazumi | JP | Wako-Shi | 2011-09-08 / 20110214933 - INTAKE CONFIGURATION FOR A VEHICLE | 1 |
Takeji Kawazumi | JP | Saitama | 2010-03-18 / 20100065362 - Canister-holding structure for supporting a cylindrical canister in a vehicle, combined canister and canister-holding structure, and motorcycle incorporating same | 1 |
Kenichiro Kawazumi | JP | Hyogo | 2008-09-04 / 20080214866 - Distillation Process | 1 |
Tetsuji Kawazura | JP | Hiratsuka-Shi | 2010-03-04 / 20100056723 - THERMOPLASTIC ELASTOMER COMPOSITION | 5 |
Tetsuji Kawazura | JP | Kanagawa | 2013-02-28 / 20130053517 - METHOD FOR PRODUCING MODIFIED POLYMER | 6 |
Tetsuji Kawazura | JP | Hiratsuka | 2011-04-14 / 20110086981 - MANUFACTURING METHOD FOR SURFACE-MODIFIED RUBBER MOLDED BODY | 2 |
Theresa Kawchack | US | Wallawalla | 2009-02-05 / 20090036548 - Mascara and liquid eyeliner enhancer | 1 |
Alex W. Kawczak | US | Dublin | 2015-10-01 / 20150275122 - NOVEL COMPOSITIONS AND INTEGRATED PROCESSES FOR ADVANCED WARM-FORMING OF LIGHT METAL ALLOYS | 7 |
Abdel-Nasser Metwally Aly Kawde | SA | Dhahran | 2015-11-19 / 20150330933 - CATHODIZED GOLD NANOPARTICLE GRAPHITE PENCIL ELECTRODE AND METHOD FOR GLUCOSE DETECTION | 9 |
Abdel-Nasser Kawde | US | Fremont | 2008-12-18 / 20080312518 - ON-DEMAND ANALYTE MONITOR AND METHOD OF USE | 1 |
Abdel-Nasser Metwally Aly Kawde | SA | Dhahran | 2015-11-19 / 20150330933 - CATHODIZED GOLD NANOPARTICLE GRAPHITE PENCIL ELECTRODE AND METHOD FOR GLUCOSE DETECTION | 9 |
David Joseph Kawecki | US | San Francisco | 2015-02-19 / 20150047199 - Sheetmetal Construction Truss and its Method of Continuous Automated Manufacture | 2 |
Walter J. Kawecki, Iii | US | Branford | 2016-02-04 / 20160034524 - SYSTEMS AND METHODS FOR ENHANCING USER DATA DERIVED FROM DIGITAL COMMUNICATIONS | 2 |
George B. Kawell | US | Collierville | 2010-09-30 / 20100243513 - CUSTOM-FIT HEARING DEVICE KIT AND METHOD OF USE | 1 |
Leonard M. Kawell | US | Kirkland | 2012-05-03 / 20120110002 - Data Rendering Optimization | 1 |
Leonard M. Kawell, Jr. | US | Concord | 2009-07-16 / 20090183005 - Distributing Access to a Data Item | 1 |
Jochen Kawerau | DE | Kronberg | 2014-05-22 / 20140138880 - METHOD FOR PRODUCING A TOOTHBRUSH HAVING AN INNER CAVITY | 4 |
Jochen Erich Kawerau | DE | Kronberg | 2014-02-20 / 20140047656 - METHOD FOR PRODUCING A TOOTHBRUSH HANDLE HAVING AN INNER CAVITY | 1 |
Jochen Kawerau | DE | Kronberg/taunus | 2015-09-17 / 20150257520 - HEAD FOR AN ORAL CARE IMPLEMENT | 3 |
Gary M. Kawesch | US | San Jose | 2011-05-26 / 20110119967 - SYSTEM AND METHOD FOR RECORDING CUSTOMIZED AUDIO MESSAGES FOR GREETING CARDS | 1 |
Aram Kawewong | JP | Tokyo | 2013-05-02 / 20130108172 - Position Estimation Device, Position Estimation Method, And Program | 1 |
Dariusz Wlodzimierz Kawka | US | Mildothian | 2015-02-19 / 20150050474 - MULTILAYERED SHEET | 1 |
Richard Dean Kawka | US | Powder Springs | 2009-08-27 / 20090211046 - APPARATUS FOR CLEANING THE HEAD OF A GOLF CLUB | 1 |
Paul Anthony Kawka | US | Kelso Township | 2015-12-31 / 20150374556 - Method and Apparatus for Transferring a Discrete Substrate | 5 |
Christina Kawka | DE | Bietigheim | 2012-01-12 / 20120005929 - ADVERTISING MEDIUM AND ADVERTISING MEDIUM SYSTEM | 1 |
Jakub Kawka | AU | Perth | 2014-12-11 / 20140360283 - PADEYE TESTER | 1 |
Dariusz Wlodzimierz Kawka | US | Midlothian | 2016-04-14 / 20160101604 - COMPOSITE FLAME BARRIER LAMINATE FOR A THERMAL AND ACOUSTIC INSULATION BLANKET | 19 |
Jakub Kawka | AU | West Australia | 2011-06-30 / 20110155860 - FLUID DYNAMIC DEVICE WITH THRUST CONTROL SHROUD | 1 |
Marek Kawka | US | Bolton | 2016-04-28 / 20160118032 - ACOUSTIC DEVICE SUSPENSION | 3 |
Paul Anthony Kawka | US | Guilford | 2014-01-02 / 20140005021 - Method and Apparatus for Attaching Components to Absorbent Articles | 4 |
Dariusz Wlodzmierz Kawka | US | Midlothian | 2015-02-26 / 20150056440 - MULTILAYERED SHEET | 1 |
Dariusz Wlodzimiers Kawka | US | Midlothian | 2011-02-10 / 20110033673 - DURABLE NONWOVEN ALLERGEN BARRIER LAMINATES | 1 |
Dariusz Wlodzimierz Kawka | US | Midlothian | 2016-04-14 / 20160101604 - COMPOSITE FLAME BARRIER LAMINATE FOR A THERMAL AND ACOUSTIC INSULATION BLANKET | 19 |
Ernest A. Kawka | US | Honesdale | 2011-07-21 / 20110176134 - Method and Apparatus for Null-Measurement of Optical Absorption Using Pulse Width Modulation | 1 |
Abhilasha Kawle | IN | Bangalore | 2015-09-24 / 20150270805 - APPARATUS AND METHODS FOR AUTOZERO AMPLIFIERS | 4 |
Raymond P. Kawolics | US | Macedonia | 2012-08-23 / 20120210537 - VACUUM CLEANER DIRT CUP | 1 |
Raymond P. Kawolics, Jr. | US | Macedonia | 2013-06-13 / 20130145574 - DUAL STAGE CYCLONE VACUUM CLEANER | 2 |
Iwan Kawrakow | US | Cleveland | 2015-06-04 / 20150154756 - Single- And Multi-Modality Alignment Of Medical Images In The Presence Of Non-Rigid Deformations Using Phase Correlation | 1 |
Iwan Kawrakow | BG | Ljulin | 2015-07-16 / 20150196781 - Determining an Irradiation Plan for a Particle Irradiation Unit | 3 |
Iwan Kawrykow | BG | Sofia | 2014-09-18 / 20140275696 - Systems And Methods For Isotopic Source External Beam Radiotherapy | 2 |
Fahim Kawsar | BE | Antwerp | 2016-03-10 / 20160073264 - DISTRIBUTED AND MOBILE VIRTUAL FENCES | 4 |
August Kawski | US | Gloucester | 2015-03-19 / 20150080972 - SYSTEM AMD METHOD FOR BONE FUSING IMPLANTS AND IMPLANT INSERTION TOOLS | 1 |
Paul John Kawula | US | Sunnyvale | 2014-08-07 / 20140221740 - WIRELESS ENDOSCOPIC SURGICAL DEVICE | 1 |
Stefanos Kaxiras | SE | Uppsala | 2015-12-03 / 20150347302 - MANAGEMENT OF SHARED PIPELINE RESOURCE USAGE BASED ON LEVEL INFORMATION | 5 |
Gregory J. Kay | US | Midland | 2008-11-27 / 20080292418 - Novel composite tool holders and boring tools | 1 |
Neil E. Kay | US | St. Louis Park | 2014-09-18 / 20140274937 - METHODS OF TREATING HEMATOLOGIC CANCERS | 2 |
Christopher E. Kay | US | Palo Alto | 2014-12-25 / 20140375749 - SYSTEM AND METHOD FOR PROVIDING CUSTOMER SUPPORT ON A USER INTERFACE | 4 |
Stuart Brian William Kay | GB | Melbourn | 2013-05-23 / 20130125889 - Delivery Devices | 2 |
Heidi Kay | US | Wesley Chapel | 2008-11-20 / 20080286316 - Lipid raft, caveolin protein, and caveolar function modulation compounds and associated synthetic and therapeutic methods | 1 |
Peter Kay | GB | Warwick | 2012-01-19 / 20120016379 - Skin Treating Device | 1 |
Bradley William Kay | US | Mequon | 2016-04-07 / 20160096468 - Solid State Steerable Light | 5 |
Steeve Teong Sin Kay | US | Newport Coast | 2015-09-24 / 20150271097 - Systems And Methods For Effective Communications | 4 |
Anthony Kay | ZA | Clifton | 2015-10-22 / 20150298897 - CAPSULE FOR USE IN COFFEE EXTRACTION MACHINES | 1 |
Michael A. Kay | US | Peru | 2008-11-13 / 20080281331 - TARGETING DEVICE | 1 |
Matthew Kay | CA | Vancouver | 2015-08-13 / 20150229751 - SECURELY DETERMINING THE LOCATION OF A USER | 1 |
Donald B. Kay | US | San Diego | 2014-01-30 / 20140032968 - CACHE SELF-TESTING TECHNIQUE TO REDUCE CACHE TEST TIME | 1 |
Marianne Kay | US | Encinitas | 2010-05-06 / 20100107451 - PROTECTIVE COVER FOR A BICYCLE CLEAT | 1 |
Christopher J. Kay | US | Concord | 2014-02-20 / 20140052142 - RETRIEVAL DEVICE | 1 |
Steven M. Kay | US | Middletown | 2011-03-03 / 20110055104 - SYSTEMS AND METHODS FOR DETECTING UNFAIR MANIPULATIONS OF ON-LINE REPUTATION SYSTEMS | 1 |
Albert Kay | US | San Francisco | 2015-01-22 / 20150022666 - SYSTEM AND METHOD FOR SCALABLE VIDEO CLOUD SERVICES | 2 |
Brian K. Kay | US | Chicago | 2015-11-05 / 20150315566 - METHOD FOR GENERATING HIGH AFFINITY, BIVALENT BINDING AGENTS | 2 |
Michael B. Kay | US | Round Lake Beach | 2013-05-02 / 20130108998 - BREATHING APPARATUS SIMULATOR | 1 |
David Kay | GB | Purton | 2015-10-22 / 20150299205 - COMPOUNDS USEFUL AS INHIBITORS OF ATR KINASE | 24 |
Stuart Kay | GB | Cambridgeshire | 2010-11-18 / 20100288278 - FLUID PRODUCT DISPENSING DEVICE | 1 |
Jonathan M. Kay | US | Redmond | 2014-12-18 / 20140370909 - REDUCED POWER LOCATION DETERMINATIONS FOR DETECTING GEO-FENCES | 1 |
David J. Kay | US | Seattle | 2015-12-31 / 20150379989 - VOICE-CONTROLLED INFORMATION EXCHANGE PLATFORM, SUCH AS FOR PROVIDING INFORMATION TO SUPPLEMENT ADVERTISING | 12 |
Alexander Stephen Kay | GB | Hampshire | 2012-05-03 / 20120105056 - APPARATUS FOR SUPPORTING A DISK DRIVE, DISK DRIVE TEST APPARATUS AND METHOD OF TESTING A DISK DRIVE | 1 |
Robert Ellis Kay | US | Easton | 2014-01-23 / 20140024868 - Synthesis and Use of M41S Family Molecular Sieves | 8 |
Steven Kay | US | Middletown | 2014-07-17 / 20140198883 - Systems and Methods for Highly Accurate and Efficient Pulse Prediction | 1 |
Robert M. Kay | US | San Francisco | 2014-06-05 / 20140155580 - TRANSGENIC AVES PRODUCING HUMAN POLYCLONAL ANTIBODIES | 10 |
Melinda Kay | US | Clifton Forge | 2012-01-05 / 20120000161 - CONTINUOUS BAGGING PROCESSES AND SYSTEMS | 1 |
Daniel Kay | US | Columbus | 2012-12-13 / 20120311918 - METHOD FOR PEST CONTROL | 1 |
Rony Kay | US | Cupertino | 2015-08-27 / 20150244594 - Apparatus, System, and Method for Enhanced Monitoring, Searching, and Visualization of Network Data | 9 |
Kendrick Kay | US | Albany | 2013-07-18 / 20130184558 - APPARATUS AND METHOD FOR DECODING SENSORY AND COGNITIVE INFORMATION FROM BRAIN ACTIVITY | 1 |
Martin Kay | US | San Francisco | 2014-05-01 / 20140118915 - Computing Devices | 1 |
Michael S. Kay | US | Salt Lake City | 2014-10-30 / 20140323392 - METHODS AND COMPOSITIONS RELATED TO INHIBITION OF VIRAL ENTRY | 2 |
Jeffrey Kay | US | Redmond | 2009-12-31 / 20090327352 - RECONCILIATION AND REMEDIATION WITH COMMUNICATION ARCHIVES | 1 |
Jonathan Kay | US | Redmond | 2016-03-17 / 20160080911 - ACCOUNTING FOR INDOOR-OUTDOOR TRANSITIONS DURING POSITION DETERMINATION | 2 |
Ek Khoon Hobart Kay | SG | Singapore | 2012-07-19 / 20120181938 - Solar Powered Lamp with Brightness Control | 1 |
Steve A. Kay | US | San Diego | 2012-09-13 / 20120232003 - COMPOSITIONS AND METHODS FOR DIABETES TREATMENT | 3 |
Joshua Tyler Kay | US | Port St. Lucie | 2013-09-19 / 20130244191 - Lighter Eyez | 1 |
Joseph A. Kay | US | Highland | 2013-09-19 / 20130240308 - ADJUSTMENT ASSEMBLY INSTALLATION INTO AUTOMATIC SLACK ADJUSTER HOUSING | 2 |
Stanley Kay | US | Rockville | 2016-02-04 / 20160036518 - HIGH CAPACITY SATELLITE COMMUNICATIONS SYSTEM | 8 |
Jack Kay | US | Kent | 2013-11-07 / 20130292887 - LOAD STABILIZING INSERT FOR VEHICLE SPRINGS | 1 |
Jonathan Nicholas Kay | GB | Worcestershire | 2008-10-09 / 20080249848 - Method of Settling Commercial Indebtedness | 1 |
Steven Samuel Kay | GB | Manchester | 2011-01-20 / 20110014439 - Ink, Process and Use | 1 |
Alan William Kay | GB | Gloucestershire | 2008-10-09 / 20080249848 - Method of Settling Commercial Indebtedness | 1 |
John Michael Kay | US | Rome | 2010-12-30 / 20100326468 - MAGNETIC TOOL CLEANER | 2 |
David Kay | AU | Queensland | 2008-10-23 / 20080257271 - Housing for Animal Feedstuff | 1 |
David Kay | GB | Oxfordshire | 2010-04-22 / 20100099686 - COMPOUNDS USEFUL AS PROTEIN KINASE INHIBITORS | 1 |
Stanley Edward Kay | US | Rockville | 2016-04-14 / 20160105806 - MULTIBEAM COVERAGE FOR A HIGH ALTITUDE PLATFORM | 1 |
Stuart John Kay | AU | Marsfield | 2016-03-03 / 20160059014 - Event Detection In An Implantable Auditory Prosthesis | 1 |
Erik Kay | US | Belmont | 2015-08-06 / 20150222700 - MODE INDICATORS FOR APPLICATIONS, WEB APPLICATIONS, AND BROWSER EXTENSIONS | 17 |
Nils Kay | DE | Oldendorf | 2011-12-22 / 20110308411 - NON-PRINTING REGISTRATION MARKS ON A PRINTING PLATE | 1 |
Howard Kay | US | West Palm Beach | 2015-09-24 / 20150265380 - COMPANION ENGINEERING AND MANUFACTURING PROCESSES (CEMP) TO OPTIMIZE MULTI-LAYERED ZIRCONIA CROWNS | 1 |
David Kay | GB | Purton | 2015-10-22 / 20150299205 - COMPOUNDS USEFUL AS INHIBITORS OF ATR KINASE | 24 |
Norman A. Kay | CA | Ontario | 2013-03-07 / 20130055502 - LONG TERM CARE BED | 1 |
David Kay | GB | Abingdon | 2012-05-17 / 20120122879 - COMPOUNDS USEFUL AS PROTEIN KINASE INHIBITORS | 4 |
David Jon Kay | US | Seattle | 2012-10-11 / 20120259888 - EFFICIENT STORAGE AND SEARCH OF WORD LISTS AND OTHER TEXT | 3 |
Timothy Kay | US | Los Altos Hills | 2012-12-06 / 20120310924 - MULTI-PREFIX INTERACTIVE MOBILE SEARCH | 1 |
Alan Kay | GB | Worcs | 2009-01-22 / 20090024500 - System and Method of Transaction Settlement Using Trade Credit | 1 |
Jeffrey Brian Kay | US | Bellevue | 2014-09-18 / 20140269542 - MEDIA OFFLOAD FOR MOBILE COMMUNICATIONS APPLICATIONS | 4 |
Robert Kay | US | Cinnaminson | 2014-06-05 / 20140155100 - SYSTEM AND METHOD FOR PROVIDING AND LOCATING PUBLIC OR PRIVATE MOBILE DEVICE CHARGING STATIONS | 1 |
Andrew Kay | GB | Oxford | 2015-06-25 / 20150179122 - DISCRIMINATIVE CAPACITIVE TOUCH PANEL | 11 |
David Kay | AU | Roma | 2011-07-28 / 20110180005 - HOUSING FOR ANIMAL FEEDSTUFF | 1 |
Andrew Kay | GB | Oxfordshire | 2009-03-19 / 20090077415 - CONTROL FLOW PROTECTION MECHANISM | 1 |
Stuart Kay | GB | Herts | 2009-04-09 / 20090090360 - MOUTHPIECE FOR A DEVICE FOR DISPENSING A FLUID PRODUCT | 1 |
Peter Kay | GB | Lincoln | 2015-04-16 / 20150104297 - TURBOCHARGER | 5 |
Jordan S. Kay | US | Rancho Palos Verdes | 2015-11-19 / 20150327613 - CAP WITH A BILL HAVING UPPER AND LOWER PORTIONS DISPLAYING INFORMATION WHEN SPACED-APART | 2 |
Stuart Brian William Kay | GB | Cambridge | 2014-12-25 / 20140377849 - ORGAN PERFUSION SYSTEMS | 5 |
Francis Kay | GB | Buckinghamshire | 2012-06-21 / 20120153617 - CONNECTION ASSEMBLY | 1 |
Lawrence C. Kay | US | Sherman Oaks | 2014-07-17 / 20140196572 - SOLDERING PROCESS | 3 |
David Roy Kay | AU | Brighton East | 2015-05-07 / 20150121615 - WALK-IN SHOWER AND TEMPORARY BATH | 1 |
David Kay | GB | Hartlepool | 2012-04-12 / 20120085957 - Gate Valve | 1 |
David Kay | US | Seattle | 2015-10-15 / 20150293602 - MULTIMODAL TEXT INPUT SYSTEM, SUCH AS FOR USE WITH TOUCH SCREENS ON MOBILE PHONES | 9 |
Erik Kay | US | Belmont | 2015-08-06 / 20150222700 - MODE INDICATORS FOR APPLICATIONS, WEB APPLICATIONS, AND BROWSER EXTENSIONS | 17 |
Heidi Kay | US | Nashville | 2014-10-16 / 20140308658 - UTILITIES OF STIMULATED WHOLE BLOOD CULTURE SYSTEMS | 2 |
Jeffrey B. Kay | US | Bellevue | 2014-10-23 / 20140313902 - OPTIMIZATION OF OVER-THE-TOP (OTT) SERVICES ON CARRIER NETWORKS | 10 |
Christopher G. Kay | US | Roseville | 2015-03-26 / 20150082598 - ATTACHMENT FOR MAKING UP OR BREAKING OUT PIPE | 3 |
Thomas A. Kay | US | Bloomington | 2016-05-19 / 20160135827 - SUBINTIMAL CROSSING WIRE GUIDE | 1 |
Michael R. Kay | US | Summerfield | 2016-03-24 / 20160088679 - FAST TRANSITION ENVELOPE TRACKING | 30 |
Justin L. Kay | US | Renton | 2015-12-10 / 20150352549 - FLUIDIC CIRCUITS AND RELATED MANUFACTURING METHODS | 3 |
Alexander Stephen Kay | GB | Milton | 2012-04-12 / 20120086467 - APPARATUS FOR SUPPORTING A DISK DRIVE AND DISK DRIVE TEST APPARATUS | 1 |
Ronald J. Kay | US | Chicago | 2010-10-07 / 20100251627 - SAFETY NOSING COMPONENTS AND MANUFACTURING METHODS | 1 |
Stephen Kay | US | Austin | 2016-05-19 / 20160138194 - SYSTEMS AND METHODS FOR CONTROLLED LAYDOWN OF MATERIALS IN A FIBER PRODUCTION SYSTEM | 15 |
Stuart Kay | GB | Melbourn | 2010-02-11 / 20100031956 - FLUID PRODUCT DISPENSING DEVICE | 1 |
James Kay | GB | Berkshire | 2008-10-16 / 20080256187 - Method and System for Filtering Electronic Messages | 1 |
Norman A. Kay | CA | London | 2014-10-30 / 20140317848 - LONG TERM CARE BED | 1 |
James Stanley Kay | AU | Traralgon | 2014-10-30 / 20140318219 - Method for Accelerated Testing of a Membrane Module | 1 |
Theresa Kay | CA | Stoney Creek | 2014-04-17 / 20140104353 - PHASE CHANGE INKS COMPRISING FATTY ACIDS | 2 |
James J. Kay | US | Chardon | 2015-11-12 / 20150324587 - METHODS FOR FIRMWARE SIGNATURE | 12 |
Michael R. Kay | US | Summerfield | 2016-03-24 / 20160088679 - FAST TRANSITION ENVELOPE TRACKING | 30 |
Norman Kay | US | Sands Point | 2012-08-23 / 20120210885 - FOOD INFUSER DEVICE, SYSTEM AND METHOD | 1 |
James E. Kay | US | St. Peters | 2010-12-02 / 20100306071 - Method to transfer sales tax in real time from point of sale to a collecting government agency | 1 |
Christopher A. Kay | US | Fort Collins | 2010-07-01 / 20100162735 - MIXED-PHASE REGULATOR | 1 |
Cyron Frank Kay | US | Saint Augustine | 2016-05-05 / 20160123238 - SYSTEM AND METHOD FOR TURBOMACHINERY VANE PROGNOSTICS AND DIAGNOSTICS | 1 |
W. Kevin Kay | US | Duluth | 2013-01-10 / 20130010193 - Direct Digital Encoding and Radio Frequency Modulation for Broadcast Television Applications | 3 |
Richard Kay | US | Encinitas | 2010-05-06 / 20100107451 - PROTECTIVE COVER FOR A BICYCLE CLEAT | 1 |
Edmund Kay | GB | Bath | 2012-05-03 / 20120104180 - KRUEGER | 1 |
David B. Kay | US | Akron | 2016-05-05 / 20160120581 - ORTHOPEDIC PLATE FOR USE IN SMALL BONE REPAIR | 20 |
Thomas W. Kay | US | Scott Depot | 2014-09-11 / 20140256883 - HIGH-DENSITY POLYETHYLENE COMPOSITIONS, METHOD OF MAKING THE SAME | 3 |
Matthew W. Kay | US | Kensington | 2015-11-19 / 20150327753 - Systems and Methods for Visualizing Ablated Tissue | 3 |
Joseph Kay | US | Highland | 2013-08-15 / 20130211683 - METHOD OF CONTROLLING A BRAKE SYSTEM OF A VEHICLE | 1 |
Matthew Kay | CA | Waterloo | 2015-10-08 / 20150288698 - EVOLVING RULE BASED CONTACT EXCHANGE | 1 |
Jonathan Kay | US | Newton Centre | 2011-06-09 / 20110136768 - IMATINIB MESYLATE FOR THE TREATMENT OF NEPHROGENIC SYSTEMIC FIBROSIS | 2 |
Timothy L. Kay | US | Los Altos Hills | 2016-05-19 / 20160140127 - Dynamic Menus for Multi-Prefix Interactive Mobile Searches | 10 |
John C. Kay | US | Elgin | 2011-06-16 / 20110143651 - METHOD FOR SELECTING MEDIA FOR DELIVERY TO USERS AT AN INCIDENT | 6 |
Heidi Kay | US | Springfield | 2011-09-29 / 20110236471 - PLATINUM COMPLEXES AND METHODS FOR INHIBITING TUMOR CELL PROLIFERATION | 6 |
Susannah Kay | US | Concord | 2013-10-31 / 20130290031 - Teleradiology System | 4 |
Scott Kay | US | Princeton | 2013-11-28 / 20130318049 - PARTIAL SOURCE VERIFICATION OF EDC DATA | 1 |
Adam G. Kay | US | Harahan | 2011-01-13 / 20110005801 - OCEAN BOTTOM CABLE AND SENSOR UNIT | 1 |
Michael R. Kay | YS | Summerfield | 2014-04-24 / 20140111178 - TRANSITIONING FROM ENVELOPE TRACKING TO AVERAGE POWER TRACKING | 1 |
William Kevin Kay | US | Duluth | 2010-05-13 / 20100122098 - GENERIC NETWORK WAKE-UP CAPABILITIES FOR TERMINAL DEVICES | 1 |
Dennis M. Kay | US | Largo | 2014-07-31 / 20140214008 - Precision Venting Arrangement for Pressure Equilibration to Enhance Drainage of Medical Tubing | 5 |
Mark A. Kay | US | Stanford | 2013-02-07 / 20130034882 - MINICIRCLE DNA VECTOR PREPARATIONS AND METHODS OF MAKING AND USING THE SAME | 2 |
Robert E. Kay | US | Easton | 2013-01-31 / 20130029833 - AGGREGATES OF SMALL CRYSTALLITES OF ZEOLITE Y | 5 |
Stephen Kay | US | Austin | 2016-05-19 / 20160138194 - SYSTEMS AND METHODS FOR CONTROLLED LAYDOWN OF MATERIALS IN A FIBER PRODUCTION SYSTEM | 15 |
Brianna Kay | US | Durham | 2010-01-21 / 20100015295 - METHOD OF PREVENTING STALING IN BAKED GOODS | 1 |
Robert Kay | US | Cambridge | 2008-12-18 / 20080311970 - SYSTEMS AND METHODS FOR REINSTATING A PLAYER WITHIN A RHYTHM-ACTION GAME | 2 |
Robert Kay | US | San Francisco | 2014-11-20 / 20140342830 - Method and system for providing backward compatibility | 8 |
Robert Kay | GB | Macclesfield | 2010-08-19 / 20100210172 - Toy building blocks | 1 |
Robert Kay | US | Easton | 2010-11-04 / 20100280290 - "METHOD OF MAKING M41S FAMILY MOLECULAR SIEVE" | 1 |
Robert Kay | US | Temecula | 2011-01-27 / 20110022792 - SOLID STATE MEMORY DRIVE AND METHOD | 1 |
Robert Kay | GB | Macceslfield | 2011-04-21 / 20110088292 - TOY AND/OR PACKAGING AND / OR OTHER ITEM INCLUDING A LIGHT ILLUMINATION SYSTEM | 1 |
Mark A. Kay | US | Los Altos | 2016-02-25 / 20160053282 - Self-Complementary Parvoviral Vectors, and Methods for Making and Using the Same | 21 |
John William Kay | US | Hopewell Junction | 2011-01-20 / 20110012617 - METHODS AND SYSTEMS FOR TESTING DIGITAL-TO-ANALOG CONVERTER/AMPLIFIER CIRCUITS | 1 |
Mark C. Kay | US | Florissant | 2009-12-24 / 20090319153 - SYSTEM AND METHOD OF FUEL SYSTEM OPTIMIZATION | 1 |
Thomas P. Kay | US | Woodstock | 2011-10-06 / 20110241448 - High Efficiency Magnetohydrodynamic Power Generation Using Ultra-High Magnetic Fields And Novel Cooling | 4 |
Brian K. Kay | US | Chapel Hill | 2009-10-22 / 20090264303 - Polypeptides having a functional domain of interest and methods of identifying and using same | 1 |
Mark Kay | US | Los Altos | 2015-02-26 / 20150057189 - IN VIVO TRANSDUCTION WITH A CHIMERIC AAV CAPSID PROTEIN | 4 |
Christopher Erin Kay | US | Palo Alto | 2013-05-16 / 20130124410 - SYSTEM AND METHOD FOR CONDUCTING A TRANSACTION AT A FINANCIAL TRANSACTION TERMINAL USING A MOBILE DEVICE | 1 |
Albert Kay | US | Wadsworth | 2012-08-02 / 20120193450 - SPRAY NOZZLE ASSEMBLY FOR GAS DYNAMIC COLD SPRAY AND METHOD OF COATING A SUBSTRATE WITH A HIGH TEMPERATURE COATING | 2 |
G. Neal Kay | US | Birmingham | 2013-01-10 / 20130013021 - RECORDABLE MACROS FOR PACEMAKER FOLLOW-UP | 1 |
Michael Kay | US | Peru | 2009-04-30 / 20090112209 - IMPLANTATION SYSTEM FOR INTRAMEDULLARY NAIL AND RELATED METHODS FOR IMPLANTING INTRAMEDULLARY NAILS | 2 |
James J. Kay | US | Chardon | 2015-11-12 / 20150324587 - METHODS FOR FIRMWARE SIGNATURE | 12 |
Donald A. Kay | US | Sharon | 2012-09-06 / 20120226148 - MEDICAL DEVICE POSITION GUIDANCE SYSTEM WITH WIRELESS CONNECTIVITY BETWEEN A NONINVASIVE AND AN INVASIVE DEVICE | 1 |
Timothy L. Kay | US | Los Altos | 2015-06-11 / 20150161221 - Leveraging Collaborative Cloud Services to Build and Share Apps | 6 |
Stan Kay | US | Rockville | 2011-05-05 / 20110103507 - SYSTEM AND METHOD FOR COMBINED PREDISTORTION AND INTERFERENCE CANCELLATION IN A SATELLITE COMMUNICATIONS SYSTEM | 1 |
Brian J. Kay | US | Williamston | 2009-03-19 / 20090071915 - Tire support stand | 1 |
Jason Kay | US | Morristown | 2009-03-19 / 20090076428 - Ambidextrous ankle support | 1 |
Allan M. Kay | US | Santa Clara | 2015-09-24 / 20150268956 - SHARING IDLED PROCESSOR EXECUTION RESOURCES | 1 |
Heather B. Kay | US | Mesa | 2014-11-27 / 20140346188 - LIQUID CONCENTRATE/EXTRACT BEVERAGE DISPENSER WITH REPLACEABLE CONCENTRATE/EXTRACT CARTRIDGE | 3 |
Cyron Frank Kay | US | Simpsonville | 2014-06-19 / 20140169948 - SYSTEM FOR TURBOMACHINE VANE CONTROL | 1 |
Scott A. Kay | US | Salem | 2010-06-10 / 20100144503 - Therapeutic Shoulder Apparatus | 2 |
Gregory James Kay | US | Midland | 2015-04-23 / 20150111993 - NOVEL COMPOSITE COMPOSITIONS AND NEW AND NOVEL MACHINE AND CONTACT TOOLS | 3 |
James R. Kay | US | Uniontown | 2012-07-12 / 20120175415 - Check Accepting and Cash Dispensing Automated Banking Machine System and Method | 7 |
Edward Stuart Kay | US | Beaverdam | 2009-01-15 / 20090014372 - Stormwater bioretention filtration system with overflow/bypass capability | 1 |
Christopher J. Kay | GB | Coventry | 2015-01-08 / 20150011447 - Additives for Fuels and Oils Comprising Functionalised Diblock Copolymers | 1 |
Rony Kay | US | Cupertino | 2015-08-27 / 20150244594 - Apparatus, System, and Method for Enhanced Monitoring, Searching, and Visualization of Network Data | 9 |
Tom Kay | AU | Kew | 2014-03-13 / 20140072567 - Methods Of Treating And Preventing Glucose Toxicity | 2 |
Daniel Kay | US | Woodland Hills | 2009-03-19 / 20090077071 - SYSTEM AND METHOD FOR RESPONDING TO A SEARCH REQUEST | 1 |
David J. Kay | US | Seattle | 2015-12-31 / 20150379989 - VOICE-CONTROLLED INFORMATION EXCHANGE PLATFORM, SUCH AS FOR PROVIDING INFORMATION TO SUPPLEMENT ADVERTISING | 12 |
Randolph R. Kay | US | Albuquerque | 2015-11-05 / 20150319390 - STACKED AND TILED FOCAL PLANE ARRAY | 1 |
Steeve Teong Sin Kay | US | Irvine | 2013-08-15 / 20130211884 - PERFORMANCE EVALUATION IN A PROJECT MANAGEMENT SYSTEM | 3 |
Stephen A. Kay | US | Tomball | 2013-02-14 / 20130037250 - INTELLIGENT AIR MOVING APPARATUS | 4 |
John Kay | US | Scottsdale | 2008-12-04 / 20080298160 - Asphalt Reactor and Blending System | 1 |
Alexander S. Kay | GB | Portsmouth | 2009-05-14 / 20090122443 - Disk Drive Carrier Assembly and Method | 2 |
Jeffrey B. Kay | US | Bellevue | 2014-10-23 / 20140313902 - OPTIMIZATION OF OVER-THE-TOP (OTT) SERVICES ON CARRIER NETWORKS | 10 |
Paul Kay | US | Libertyville | 2012-05-31 / 20120131732 - Ear Protection Device | 1 |
Alexander Kay | GB | Southsea | 2014-10-02 / 20140290023 - APPARATUS AND METHOD FOR SUPPORTING STORAGE DEVICES DURING MANUFACTURE | 1 |
Denis G. Kay | CA | Stratford | 2015-12-10 / 20150352185 - METHOD FOR INCREASING NEPRILYSIN EXPRESSION AND ACTIVITY | 1 |
Edmund Kay | GB | Bristol | 2010-07-29 / 20100187368 - ACTUATION SYSTEM FOR LEADING EDGE HIGH-LIFT DEVICE | 2 |
Timothy Kay | US | Los Altos | 2011-09-29 / 20110235797 - METHODS AND APPARATUS FOR USE IN COMPUTER-TO-HUMAN ESCALATION | 1 |
David B. Kay | US | Akron | 2016-05-05 / 20160120581 - ORTHOPEDIC PLATE FOR USE IN SMALL BONE REPAIR | 20 |
Jeffrey B. Kay | US | Redmond | 2009-01-01 / 20090006851 - CONFIDENTIAL MAIL WITH TRACKING AND AUTHENTICATION | 1 |
Jeremy R. Kay | US | Berkley | 2010-10-28 / 20100270200 - Stashable Storage Tube | 1 |
Anthony Barrington Kay | GB | London | 2016-05-05 / 20160120965 - VACCINE PEPTIDE COMBINATIONS AGAINST CAT ALLERGY | 3 |
Dennis M. Kay | US | Tampa | 2013-08-22 / 20130213415 - Para-meatal/stomal Adhesive Sealing Device | 3 |
Andreas Kay | DE | Waldkirch | 2011-06-09 / 20110136014 - LITHIUM METAL PHOSPHATE/CARBON NANOCOMPOSITES AS CATHODE ACTIVE MATERIALS FOR RECHARGEABLE LITHIUM BATTERIES | 2 |
Andrew Swithin Kay | GB | Eastington | 2013-04-04 / 20130084198 - PUMP WITH CENTRALIZED SPRING FORCES | 1 |
Max Kay | US | Seattle | 2012-05-31 / 20120135826 - BAT HAVING VARIABLE PROPERTIES RELATIVE TO A SWING AXIS | 1 |
Stuart Brian William Kay | GB | Ickleton Cambridgeshire | 2009-07-16 / 20090178677 - FLUID-PRODUCT DISPENSING DEVICE | 1 |
Francis Xavier Kay | GB | Buckinghamshire | 2009-10-29 / 20090266816 - PRESSURISED FLUID CYLINDERS | 1 |
David B. Kay | US | Rochester | 2015-01-22 / 20150022817 - PROFILOMETER WITH PARTIAL COHERENCE INTERFEROMETER ADAPTED FOR AVOIDING... | 3 |
Judy Kay | AU | Dover Heights | 2012-12-27 / 20120331395 - Systems and Methods for Collaborative Interaction | 2 |
Robert L. Kay | US | Thousand Oaks | 2009-02-26 / 20090055024 - Robotic arm and control system | 1 |
David Kay | US | Seattle | 2015-10-15 / 20150293602 - MULTIMODAL TEXT INPUT SYSTEM, SUCH AS FOR USE WITH TOUCH SCREENS ON MOBILE PHONES | 9 |
Peter Kay | US | New York | 2012-04-19 / 20120096011 - SYSTEMS AND METHODS FOR DISCOVERING ARTISTS | 1 |
Gregory L. Kay | US | Chicago | 2010-05-13 / 20100118538 - Adjustable light fixture with lens directed beam | 3 |
Ethan Kay | US | Auburn | 2012-04-12 / 20120084919 - PATIENT POSITIONING APPARATUS | 2 |
Robert Ellis Kay | US | Easton | 2014-01-23 / 20140024868 - Synthesis and Use of M41S Family Molecular Sieves | 8 |
Rex W. Kay | US | Goshen | 2013-06-27 / 20130164491 - Resin Impregnated Multi Orientation Composite Material | 1 |
Jeffrey Kay | US | Bellevue | 2015-07-02 / 20150188727 - TUNNELING VOIP CALL CONTROL ON CELLULAR NETWORKS | 7 |
Mark A. Kay | US | Los Altos | 2016-02-25 / 20160053282 - Self-Complementary Parvoviral Vectors, and Methods for Making and Using the Same | 21 |
Lay K. Kay | US | Pasadena | 2014-08-28 / 20140244310 - AUTOMATED PROCESSING OF ELECTRONIC MEDICAL DATA FOR INSURANCE AND DISABILITY DETERMINATIONS | 10 |
Gregory Kay | US | Chicago | 2010-10-28 / 20100271847 - Field bendable line voltage track lighting system | 1 |
Brian Kay | CA | Calgary | 2015-07-16 / 20150198025 - SYSTEM AND METHOD OF PRODUCING OIL | 1 |
William Wayne Kay | US | Florham Park | 2015-12-03 / 20150343043 - Subunit Immersion Vaccines for Fish | 1 |
Andre Kay | GB | Gwynedd | 2013-11-21 / 20130307687 - Radio Frequency Shield with Proximity Change Alert for a Contactless Data Carrier | 1 |
Heather D. Kay | US | Hoboken | 2011-07-07 / 20110166923 - METHOD AND APPARATUS FOR PROVIDING PRE-EXISTING AND PROSPECTIVE CUSTOMERS WITH AN IMMEDIATELY ACCESSIBLE ACCOUNT | 2 |
Daniel Kay | US | Harbor Springs | 2013-10-10 / 20130263494 - RODENT TRAP MOUNTING MEMBER AND METHOD | 2 |
Stuart Brian William Kay | GB | Ickleton | 2009-11-19 / 20090283095 - FLUID-PRODUCT DISPENSING DEVICE | 1 |
Stuart Brian William Kay | GB | Cambridgeshire | 2016-04-28 / 20160114106 - APPARATUS FOR DELIVERING FOAM | 3 |
Judit Kay | AU | New South Wales | 2012-06-07 / 20120143991 - SYSTEM, METHOD AND SOFTWARE APPLICATION FOR THE CONTROL OF FILE TRANSFER | 1 |
Neil J. Kay | US | Rancho Palos Verdes | 2015-11-19 / 20150327613 - CAP WITH A BILL HAVING UPPER AND LOWER PORTIONS DISPLAYING INFORMATION WHEN SPACED-APART | 3 |
Robert Kay | US | San Francisco | 2014-11-20 / 20140342830 - Method and system for providing backward compatibility | 8 |
Denis George Kay | CA | Stratford | 2011-11-17 / 20110280805 - NEUROTOXIC STEROL GLYCOSIDES | 1 |
Matthew Kay | US | Jasper | 2016-03-24 / 20160086676 - METHOD AND SYSTEM FOR IMPROVING THE RADIATION TOLERANCE OF FLOATING GATE MEMORIES | 3 |
Brian Herbert Kay | AU | West End | 2014-10-02 / 20140298501 - MODIFIED ARTHROPOD AND METHOD OF USE | 1 |
Matthew G. Kay | US | Washington | 2011-09-22 / 20110228634 - METHOD AND APPARATUS FOR CONTROLLING TEMPERATURE OF AN ACOUSTIC TRANSDUCER | 1 |
Timothy L. Kay | US | Los Altos Hills | 2016-05-19 / 20160140127 - Dynamic Menus for Multi-Prefix Interactive Mobile Searches | 10 |
Ronald J. Kay | US | Barrington | 2012-11-29 / 20120297705 - SAFETY NOSING COMPONENTS AND MANUFACTURING METHODS | 7 |
Erik Kay | US | Emerald Hills | 2015-06-25 / 20150180875 - PRIVILEGED STATIC HOSTED WEB APPLICATIONS | 1 |
Robert M. Kay | US | San Francisco | 2014-06-05 / 20140155580 - TRANSGENIC AVES PRODUCING HUMAN POLYCLONAL ANTIBODIES | 10 |
Richard William Kay | US | Troutdale | 2011-10-27 / 20110260364 - TECHNIQUES AND TOOLS FOR ASSEMBLING AND DISASSEMBLING COMPACTABLE MOLDS AND FORMING BUILDING BLOCKS | 1 |
Erik A. Kay | US | Belmont | 2008-12-04 / 20080301672 - Installation of a Software Product on a Device with Minimal User Interaction | 4 |
Peter Hamilton Kay | AU | Frankland | 2011-10-13 / 20110252488 - Method of Identifying Genes which Promote Hybrid Vigour and Hybrid Debility and Uses Thereof | 1 |
Kwang-Yol Kay | KR | Suwon-Si | 2011-02-03 / 20110028716 - Dye for a Dye-Sensitised Solar Cell, and a Solar Cell Comprising the Same | 1 |
Andreas Kay | CH | Lausanne | 2014-10-02 / 20140295275 - LITHIUM MANGANESE PHOSPHATE/CARBON NANOCOMPOSITES AS CATHODE ACTIVE MATERIALS FOR SECONDARY LITHIUM BATTERIES | 2 |
Thomas Albert Kay | US | Bloomington | 2014-07-03 / 20140188005 - WIRE GUIDE AND METHOD OF MAKING THE SAME | 2 |
Allen Steven Kay | US | Woodcliff Lake | 2016-01-07 / 20160007178 - SYSTEM AND METHOD FOR RESPONDING TO SERVICE REQUESTS AND FACILITATING COMMUNICATION BETWEEN RELEVANT PARTIES | 1 |
Martin J. Kay | US | San Francisco | 2014-05-01 / 20140117126 - UNDER-SINK WASTE PROCESSING APPLIANCE | 4 |
Bozwell Kay | GB | Lustleigh | 2014-03-06 / 20140068463 - MEETING MANAGEMENT SYSTEM | 1 |
Denis G. Kay | CA | Stratford, Pei | 2010-12-23 / 20100324127 - TREATING NEURODEGENERATIVE DISEASES WITH PROGRANULIN | 1 |
Judy Kay | AU | New South Wales | 2011-09-29 / 20110239129 - SYSTEMS AND METHODS FOR COLLABORATIVE INTERACTION | 1 |
David Kay | CN | Hong Kong | 2013-11-21 / 20130307232 - BOARD FOR WATER SPORT OR SNOW SPORT AND A METHOD OF MANUFACTURING THEREOF | 1 |
Andrew Kay | GB | Oxford | 2015-06-25 / 20150179122 - DISCRIMINATIVE CAPACITIVE TOUCH PANEL | 11 |
Steven Kay | CA | Halfax | 2015-12-17 / 20150360137 - Mosaic Structure Assembly Kit | 1 |
Elaine Kay | IE | Dublin | 2010-12-02 / 20100304410 - METHOD OF ASSESSING COLORECTAL CANCER STATUS IN AN INDIVIDUAL | 1 |
David Brian Kay | AU | Tenambit | 2010-07-01 / 20100162912 - ELECTRICAL CONDUCTIVE ELEMENT | 1 |
James Kay | GB | Crowthorne | 2014-11-13 / 20140337452 - METHOD AND APPARATUS FOR ELECTRONIC MAIL FILTERING | 4 |
Michael S. Kay | US | Somerville | 2010-08-05 / 20100196397 - Five-helix protein | 1 |
Stephen John Kay | US | Glen Burnie | 2013-06-06 / 20130141253 - METHOD FOR TRAFFIC MONITORING AND SECURE PROCESSING OF TRAFIC VIOLATIONS | 1 |
Peter Hamilton Kay | AU | Floreat | 2010-02-25 / 20100050281 - Identification of Genes and Their Products Which Promote Hybrid Vigour or Hybrid Debility and Uses Thereof | 1 |
Jonathan Francis Sedore Kay | CA | Kitchener | 2010-02-04 / 20100030791 - SYSTEMS AND METHODS FOR POWER AWARE DATA STORAGE | 1 |
Andrew Martin Kay | US | Lake Forest | 2016-04-21 / 20160113116 - SURFACE-MOUNT TECHNOLOGY DEVICES AND RELATED METHODS | 2 |
Judith Kay | AU | Dover Heights | 2014-04-10 / 20140101567 - SYSTEM, METHOD AND COMPUTER PROGRAM FOR INTERACTING WITH DATA | 2 |
Stanley Kay | US | Rockville | 2016-02-04 / 20160036518 - HIGH CAPACITY SATELLITE COMMUNICATIONS SYSTEM | 8 |
Schreiter Kay | DE | Gottingen | 2009-04-23 / 20090104679 - Crystallographic Structure of MNK-1 and MNK-2 Proteins | 1 |
Graham S. Kay | NZ | Whangaparaoa | 2009-03-19 / 20090076217 - ONE COMPONENT POLYSILOXANE COATING COMPOSITIONS AND RELATED COATED SUBSTRATES | 1 |
Ira Kay | US | Warrenton | 2014-07-24 / 20140204566 - Removable Flashlight Body or Storage Container for a Firearm | 1 |
Jenny Kay | US | Fort Lauderdale | 2011-10-20 / 20110253273 - Handbag with interchangeable decorative embellishment | 1 |
Martin J. Kay | US | San Carlos | 2015-12-24 / 20150366312 - PROTECTIVE CASE WITH INTERNAL SUSPENSION SYSTEM | 2 |
Marianne Kay | US | Rancho Santa Fe | 2012-11-15 / 20120285045 - REMOVABLE CLEAT PROTECTOR FOR CLEATED CYCLING SHOES | 5 |
Kelly Q. Kay | US | Santa Cruz | 2009-07-02 / 20090169043 - Microphone Housing | 1 |
James Kay | US | Chardon | 2015-05-28 / 20150149783 - Method and Apparatus for Secure Distribution of Embedded Firmware | 1 |
Richard Keith Kay | US | Encinitas | 2009-11-26 / 20090288314 - COVER FOR CLEATED SHOES | 1 |
Arpansiree Kaya | JP | Kanagawa | 2014-01-30 / 20140030209 - TOPICAL LIQUID AGENT FOR THE TREATMENT OF DERMATOPHYTOSIS | 1 |
Cemalettin Kaya | TR | Istanbul | 2016-01-21 / 20160017565 - EARTHQUAKE PROOF BUILDING SYSTEM | 1 |
Yusuf Kaya | TR | Istanbul | 2010-08-26 / 20100212397 - Method and Apparatus for Forming the Calibration Chart for the Underground Fuel Tanks | 1 |
Suat Kaya | US | Novi | 2015-12-24 / 20150368937 - Retention Mechanism for Insertion Member in Vehicular Door Handle Assembly | 1 |
Alexander Kaya | DE | Griesheim | 2012-01-26 / 20120019258 - ELECTROCHEMICAL SENSOR AND METHOD FOR THE PRODUCTION THEREOF | 1 |
Gürkan Kaya | CH | Geneve | 2013-03-07 / 20130059814 - ANTI-INFLAMMATORY DERMATOLOGICAL COMPOSITION COMPRISING CORTICOSTEROIDS AND HYALURONATE FRAGMENTS, AND USES THEREOF | 1 |
Yoshihiro Kaya | JP | Hadano | 2012-11-15 / 20120287937 - RELAY DEVICE, NETWORK SYSTEM AND COMPUTER PROGRAM PRODUCT | 1 |
Gürkan Kaya | CH | Geneva | 2010-08-05 / 20100197632 - ANTI-INFLAMMATORY DERMATOLOGICAL COMPOSITION COMPRISING CORTICOSTEROIDS AND HYALURONATE FRAGMENTS, AND USES THEREOF | 1 |
Ilke Kaya | US | Marina Del Rey | 2015-12-17 / 20150365729 - Personalized Generation of Watch List of Shows in a Video Delivery System | 1 |
Takaaki Kaya | JP | Suntou-Gun | 2015-12-10 / 20150355563 - TONER | 22 |
Julis F. Kaya | CA | Montreal | 2013-09-12 / 20130233498 - RETRACTABLE COVERING DEVICE | 1 |
Shusuke Kaya | JP | Yokohama-Shi | 2013-11-07 / 20130292699 - NITRIDE SEMICONDUCTOR DEVICE | 1 |
Toshiyuki Kaya | JP | Kanagawa | 2015-04-30 / 20150117549 - MOVING-PICTURE DECODING PROCESSING APPARATUS, MOVING-PICTURE CODING PROCESSING APPARATUS, AND OPERATING METHOD OF THE SAME | 1 |
Ziya Kaya | DE | Heidelberg | 2013-11-14 / 20130303596 - USE OF INTERLEUKIN 10 MRNA TRANSFECTED MACROPHAGES IN ANTI-INFLAMMATORY THERAPIES | 1 |
Akihiro Kaya | JP | Higashihiroshima-Shi | 2012-07-26 / 20120188811 - ASSOCIATIVE MEMORY | 1 |
Takaaki Kaya | JP | Shizuoka-Ken | 2009-01-08 / 20090011353 - Black Toner | 1 |
Sinem Guven Kaya | US | New York | 2015-10-15 / 20150294246 - SELECTING OPTIMAL TRAINING DATA SET FOR SERVICE CONTRACT PREDICTION | 5 |
Gürkan Kaya | CH | Geneve | 2013-03-07 / 20130059814 - ANTI-INFLAMMATORY DERMATOLOGICAL COMPOSITION COMPRISING CORTICOSTEROIDS AND HYALURONATE FRAGMENTS, AND USES THEREOF | 1 |
Mehmet Alpay Kaya | US | Indian Shores | 2016-05-05 / 20160125539 - Multifactorial Leveraged Indexed Investment Product | 2 |
Shinji Kaya | JP | Tokyo | 2013-10-24 / 20130278919 - DEVICE AND METHOD FOR ANALYZING KERNEL COMPONENT | 1 |
Gürkan Kaya | CH | Geneva | 2010-08-05 / 20100197632 - ANTI-INFLAMMATORY DERMATOLOGICAL COMPOSITION COMPRISING CORTICOSTEROIDS AND HYALURONATE FRAGMENTS, AND USES THEREOF | 1 |
Michiko Kaya | JP | Ibaraki | / - | 1 |
Yasuhiro Kaya | JP | Aichi-Ken | 2010-02-25 / 20100043413 - EXHAUST HEAT RECOVERY SYSTEM | 2 |
Tetsudo Kaya | JP | Takatsuki | 2013-05-09 / 20130116240 - INDOLE COMPOUNDS AND PHARMACEUTICAL USE THEREOF | 2 |
Daiki Kaya | JP | Kanagawa | 2010-06-10 / 20100140616 - Electronic device and method for manufacturing the same | 1 |
Koji Kaya | JP | Ishikawa-Ken | 2010-10-14 / 20100258408 - Article carrier apparatus | 2 |
Tetsuhiro Kaya | JP | Hyogo | 2014-05-29 / 20140146640 - INFORMATION TERMINAL DEVICE AND OBJECT IDENTIFICATION DEVICE | 3 |
Zuhal Kaya | DE | Heidelberg | 2009-11-26 / 20090291467 - Near Infrared Fluorophore for the Selective Labelling of Membranes in Cells | 1 |
Aykut Kaya | DE | Bremen | 2015-05-28 / 20150144640 - Cargo Container For An Aircraft | 1 |
Aliye Ozge Kaya | US | Chatham | 2014-11-06 / 20140329485 - Method And Apparatus For Beamforming | 3 |
Takato Kaya | JP | Hiroshima | 2010-06-24 / 20100159247 - SAND MAKING APPARATUS, SAND MAKING METHOD, AND MADE SAND | 1 |
Shusuke Kaya | JP | Tokyo | 2011-12-29 / 20110318913 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 9 |
Yalcin Kaya | AU | Adelaide | 2014-11-27 / 20140350322 - INTENSITY-MODULATED PROTON THERAPY | 1 |
Mehmet Kaya | TR | Istanbul | 2012-07-05 / 20120167404 - LAUNDRY DRYER THE DRYING EFFECTIVENESS OF WHICH IS INCREASED BY USING DIFFERENT HEAT SOURCES | 2 |
Takatoshi Kaya | JP | Inagi-Shi | 2015-09-24 / 20150268167 - ASSAY METHOD USING SURFACE PLASMON-FIELD ENHANCED FLUORESCENCE SPECTROSCOPY | 5 |
Nicolas Kaya | FR | Carpentras | 2013-11-21 / 20130305604 - METHOD FOR PRODUCING AN ORNAMENTAL HORTICULTURAL POT | 1 |
Takaaki Kaya | JP | Suntou-Gun | 2015-12-10 / 20150355563 - TONER | 22 |
Isin Kaya | CA | Waterloo | 2011-05-26 / 20110120945 - Apparatus and Process for Wastewater Treatment and Biological Nutrient Removal in Activated Sludge Systems | 1 |
Yoshinori Kaya | JP | Tokyo | 2016-02-25 / 20160056818 - SEMICONDUCTOR DEVICE, POWER CONTROL DEVICE AND ELECTRONIC SYSTEM | 1 |
Toshiyuki Kaya | JP | Kawasaki-Shi | 2016-01-07 / 20160007025 - PICTURE ENCODING DEVICE, PICTURE DECODING DEVICE, AND PICTURE COMMUNICATION SYSTEM | 2 |
Kunimitsu Kaya | JP | Tsukuba-Shi | 2013-10-31 / 20130288327 - Novel Microorganism Having High Squalene-Producing Ability, and Method For Producing Squalene by Means of Same | 1 |
Jale Kaya | TR | Istanbul | 2012-10-04 / 20120248697 - JIGSAW PUZZLE WITH ALMOST INFINITE SOLUTIONS | 1 |
Takatoshi Kaya | JP | Tokyo | 2012-08-02 / 20120196385 - ASSAY METHOD AND KIT FOR ASSAY EMPLOYING SENSOR CHIP FOR FLUORESCENT MEASURING APPARATUS UTILIZING SURFACE PLASMON-FIELD ENHANCED FLUORESCENCE SPECTROMETRY | 1 |
Iwalani S. Kaya | US | Santa Clara | 2011-06-23 / 20110151590 - APPARATUS AND METHOD FOR LOW-K DIELECTRIC REPAIR | 1 |
Shusuke Kaya | JP | Tokyo | 2011-12-29 / 20110318913 - SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME | 9 |
Kemal Kaya | US | Tallahassee | 2012-11-15 / 20120288940 - DIPEPTIDE ACETYLENE CONJUGATES AND A METHOD FOR PHOTOCLEAVAGE OF DOUBLE STRAND DNA BY DIPEPTIDE ACETYLENE CONJUGATES | 1 |
Yasuhiro Kaya | JP | Toyota-Shi | 2010-08-26 / 20100217496 - Motor vehicle and control method of motor vehicle | 2 |
Ozlem Kaya | TR | Istanbul | 2011-09-22 / 20110226292 - DISHWASHER | 1 |
Cetin Kaya | US | Plano | 2016-01-07 / 20160006402 - EDGE CORRECTION TO MITIGATE TOTAL HARMONIC DISTORTION IN CLASS D AMPLIFIER | 6 |
Kunimitsu Kaya | JP | Ibaraki | 2013-09-26 / 20130252304 - NOVEL STRAIN CLASSIFIED UNDER Botryococcus braunii | 1 |
Yoshinori Kaya | JP | Kanagawa | 2015-09-17 / 20150262990 - SEMICONDUCTOR DEVICE | 3 |
Yoshinori Kaya | JP | Kawasaki-Shi | 2015-09-24 / 20150270390 - Semiconductor Device | 3 |
Mehmet Oktay Kaya | US | Exeter | 2012-03-29 / 20120073460 - VARIABLE CUTOFF PRINTING PRESS AND METHOD FOR DOUBLE PRINTING | 3 |
Bulent Kaya | DE | Berlin | 2010-10-07 / 20100255200 - Method for the production of turbine components | 1 |
Alexander Kaya | DE | Darmstadt | 2009-01-29 / 20090025465 - Miniaturized Spring Element and Method for Producing the Spring Element | 1 |
Mustafa Kaya | NL | Roosendaal | 2009-02-12 / 20090043010 - Polymeric Compound, Method of Preparing a Polymeric Compound, Use of a Polymeric Compound | 1 |
Sinem Guven Kaya | US | Yorktown Heights | 2015-10-15 / 20150294249 - RISK PREDICTION FOR SERVICE CONTRACTS VASED ON CO-OCCURENCE CLUSTERS | 4 |
Takatoshi Kaya | JP | Chiyoda-Ku | 2014-05-01 / 20140117255 - SURFACE PLASMON-FIELD ENHANCED FLUORESCENCE SPECTROSCOPIC MEASUREMENT METHOD AND SURFACE PLASMON-FIELD ENHANCED FLUORESCENCE SPECTROSCOPIC MEASUREMENT DEVICE | 1 |
Mehmet Oktay Kaya | US | Hampton | 2015-06-25 / 20150174890 - REIMAGEABLE AND REUSABLE PRINTING SLEEVE FOR A VARIABLE CUTOFF PRINTING PRESS | 2 |
Mustafa Kaya | LU | Luxembourg | 2014-10-16 / 20140309357 - Hydrophobic Polymers | 2 |
Husseyin Kaya | DE | Krefeld | 2009-05-14 / 20090121535 - STRUCTURAL ELEMENT FOR VEHICLE SEAT | 1 |
Fikret Kaya | US | Wisconsin Rapids | 2009-05-21 / 20090131570 - PAPER AND COATING MEDIUM FOR MULTIFUNCTIONAL PRINTING | 1 |
Emre Kayaalp | US | Tenafly | 2014-09-04 / 20140248656 - ANALYSIS AND SORTING OF MOTILE CELLS | 2 |
Daisuke Kayaba | JP | Oita-Shi | 2012-11-01 / 20120276179 - COSMETIC PRODUCT, NANOPARTICLES FOR COSMETICS, AND POWDER FOR COSMETICS | 2 |
Yasuhisa Kayaba | JP | Sodegaura-Shi, Chiba | 2016-02-18 / 20160049343 - METHOD FOR MANUFACTURING COMPOSITE BODY AND COMPOSITION | 1 |
Noriyoshi Kayaba | JP | Tokyo | 2014-01-23 / 20140024519 - OPTICAL GLASS, PREFORM FOR PRESS-MOLDING AND OPTICAL ELEMENT FORMED FROM PREFORM | 2 |
Zen Kayaba | JP | Tokyo | 2014-08-21 / 20140234056 - POST-PROCESSING APPARATUS AND IMAGE FORMING SYSTEM | 2 |
Zen Kayaba | JP | Tama-Shi | 2012-09-27 / 20120245012 - SHEET PROCESSING APPARATUS | 2 |
Yasuhisa Kayaba | JP | Sodegaura-Shi | 2015-07-02 / 20150187670 - SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING THE SAME, AND RINSING LIQUID | 2 |
Zen Kayaba | JP | Toyohashi-Shi | 2010-07-08 / 20100171257 - SHEET FINISHER AND IMAGE FORMING SYSTEM PROVIDED THEREWITH | 2 |
Seijiro Kayaba | JP | Tokyo | 2015-12-03 / 20150350107 - NETWORK SYSTEM | 1 |
Erdal Kayacan | DE | Frankfurt | 2008-10-23 / 20080263462 - ENTERPRISE USER INTERFACE CUSTOMIZATION | 1 |
Erkan Kayacik | TR | Kadikoy/ Istanbul | 2014-08-07 / 20140217728 - PROFILE CLAMP WITH PRE-POSITIONER | 2 |
Peter G. Kayafas | US | New York | 2008-11-27 / 20080294233 - Proximal protection balloon catheter method and device | 1 |
Hüseyin Kayahan | TR | Tuzla, Istanbul | 2015-11-05 / 20150319391 - LARGE FORMAT SHORT WAVE INFRARED (SWIR) FOCAL PLANE ARRAY (FPA) WITH LOW NOISE AND HIGH DYNAMIC RANGE | 1 |
Hüseyin Kayahan | TR | Istanbul | 2015-01-15 / 20150015759 - SELF-RESET ASYNCHRONOUS PULSE FREQUENCY MODULATED DROIC WITH EXTENDED COUNTING AND HAVING REDUCED QUANTIZATION NOISE | 1 |
Jo Kayahana | JP | Okazaki-Shi | 2012-12-13 / 20120311845 - CAULKING-FASTENED COMPONENT, METHOD OF FASTENING THE CAULKING-FASTENED COMPONENT, AND METHOD OF MANUFACTURING THE CAULKING-FASTENED COMPONENT | 1 |
Shin Kayahara | JP | Yokohama City | 2008-09-11 / 20080219718 - Transfer-fixing device, image forming apparatus including the transfer-fixing device, and transfer-fixing method | 1 |
Yasufumi Kayahara | JP | Saitama-Shi | 2009-04-23 / 20090103945 - TONER CARTRIDGE | 1 |
Masato Kayahara | JP | Izunokuni-Shi | 2009-07-30 / 20090191094 - ANALYZER | 1 |
Naoki Kayahara | JP | Chino-Shi | 2015-01-15 / 20150015626 - DOT RECORDING APPARATUS, DOT RECORDING METHOD AND COMPUTER PROGRAM FOR THE SAME | 7 |
Yasufumi Kayahara | JP | Tokyo | 2012-05-03 / 20120106990 - IMAGE FORMING APPARATUS | 3 |
Naoki Kayahara | JP | Chino | 2016-05-12 / 20160129713 - DOT RECORDING APPARATUS, DOT RECORDING METHOD, COMPUTER PROGRAM THEREFOR, AND METHOD OF MANUFACTURING RECORDING MEDIUM | 3 |
Masayuki Kayahara | JP | Tokyo | 2009-12-03 / 20090296906 - IMAGE SHARING SYSTEM | 1 |
Kousaku Kayahara | JP | Himeji-Shi | 2010-05-13 / 20100121485 - Coin processing unit | 1 |
Masato Kayahara | JP | Shizuoka | 2015-12-03 / 20150343446 - REAGENT BOTTLE WITH ASPIRATION PIPE | 2 |
Katsuyuki Kayahara | JP | Takasaki-Shi | 2013-09-26 / 20130249661 - COMMON MODE NOISE FILTER | 1 |
Takashi Kayahara | JP | Tokyo | 2015-05-07 / 20150126078 - CRIMP TERMINAL, CONNECTION STRUCTURAL BODY AND CONNECTOR | 1 |
Shin Kayahara | JP | Yokohama-Shi | 2009-05-07 / 20090116880 - Image transfer fixation apparatus and image formation apparatus | 4 |
Shin Kayahara | JP | Kanagawa | 2012-03-08 / 20120057891 - IMAGE FORMING APPARATUS | 6 |
Shin Kayahara | JP | Kamaukura-Shi | 2011-02-24 / 20110043589 - IMAGE FORMING DEVICE AND IMAGE FORMING APPARATUS | 1 |
Shin Kayahara | JP | Kamakura-Shi | 2010-11-04 / 20100278558 - ELECTROPHOTOGRAPHIC IMAGE FORMING METHOD AND APPARATUS | 2 |
Naoki Kayahara | JP | Suwa-Shi | 2010-05-13 / 20100118069 - PRINTER AND PRINTING METHOD | 1 |
Katsuyuki Kayahara | JP | Taito-Ku | 2014-06-05 / 20140152398 - MULTILAYER POWER SPLITTER | 1 |
Shun Kayahashi | JP | Ibaraki | 2008-12-11 / 20080305151 - Dipeptide-Comprising Composition for Oral Administration | 1 |
Shun Kayahashi | JP | Tokyo | 2010-02-18 / 20100040715 - COMPOSITION CONTAINING AGARICUS BLAZEI MURILL | 1 |
Shun Kayahashi | JP | Tsukuba-Shi | 2010-12-09 / 20100311837 - METHOD FOR IMPROVING STORAGE STABILITY OF GLUTATHIONE | 1 |
Gary Michael Kayajanian | US | Lake Worth | 2008-09-04 / 20080214680 - Application of arsenic as a cancer prevention agent | 1 |
Subhradeep Kayal | FI | Espoo | 2015-08-06 / 20150220814 - BEHAVIORAL EVENT MEASUREMENT SYSTEM AND RELATED METHOD | 1 |
Matthew Joseph Kayal | US | Franklin | 2016-04-14 / 20160103380 - ELECTRO-OPTIC DISPLAY WITH MEASUREMENT APERTURE | 4 |
Samer Kayal | FR | Paris | 2009-11-05 / 20090275499 - NONSTRUCTURAL PROTEIN NS1 AS A NOVEL THERAPEUTIC TARGET AGAINST FLAVIVIRUSES | 1 |
Maher Kayal | CH | St-Sulpice | 2013-04-18 / 20130093412 - AUTONOMOUSLY CALIBRATED MAGNETIC FIELD SENSOR | 4 |
Partho Kayal | IN | Bangalore | 2016-03-03 / 20160061805 - SYSTEM AND METHOD FOR ESTIMATING ENGINE OIL HEALTH | 1 |
Prasheel Kayal | IN | Bangalore | 2009-10-01 / 20090248586 - MANAGING CONSISTENT INTERFACES FOR BUSINESS OBJECTS ACROSS HETEROGENEOUS SYSTEMS | 1 |
Maher Kayal | CH | Lausanne | 2010-07-01 / 20100164645 - Tunable Impedance Matching Circuit | 1 |
Abdul-Hamid Kayal | CH | Neuchatel | 2009-12-10 / 20090302123 - METHOD OF CODED MARKING OF A PRODUCT OF SMALL SIZE, AND MARKED PRODUCT OBTAINED ACCORDING TO SAID METHOD | 4 |
Masanori Kayama | JP | Kanagawa | 2014-05-29 / 20140145504 - SEMICONDUCTOR INTEGRATED CIRCUIT AND OPERATION METHOD OF THE SAME | 3 |
Ayako Kayama | JP | Mihama-Ku | 2011-09-29 / 20110234698 - LIQUID JET HEAD, LIQUID JET RECORDER AND METHOD FOR FILLING LIQUID JET HEAD WITH LIQUID | 1 |
Shun Kayama | JP | Saitama | 2016-03-31 / 20160091973 - TOUCH-SENSITIVE SHEET MEMBER, INPUT DEVICE AND ELECTRONIC APPARATUS | 20 |
Norio Kayama | JP | Kanagawa | 2011-07-07 / 20110164866 - AUTOFOCUS CONTROL METHOD | 1 |
Hiraku Kayama | JP | Hamamatsu-Shi | 2014-05-15 / 20140136207 - VOICE SYNTHESIZING METHOD AND VOICE SYNTHESIZING APPARATUS | 4 |
Susumu Kayama | JP | Toyama-Shi | 2011-02-24 / 20110044888 - FINE PARTICULATE TITANIUM DIOXIDE, AND PRODUCTION PROCESS AND USE THEREOF | 2 |
Masayo Kayama | JP | Atsugi | 2016-02-04 / 20160035758 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 5 |
Yasunaga Kayama | JP | Tokyo | 2011-01-27 / 20110019170 - PROJECTION EXPOSURE APPARATUS AND STAGE UNIT, AND EXPOSURE METHOD | 1 |
Hiroshi Kayama | JP | Osaka | 2013-12-12 / 20130329539 - OPTICAL READ/WRITE APPARATUS | 11 |
Toshihiro Kayama | JP | Ota-Ku | 2010-12-02 / 20100306201 - NEIGHBOR SEARCHING APPARATUS | 1 |
Hidenori Kayama | JP | Kanagawa | 2010-10-14 / 20100260284 - PILOT TRANSMISSION METHOD, MIMO TRANSMISSION DEVICE, AND MIMO RECEPTION DEVICE | 6 |
Teppei Kayama | JP | Kirishima-Shi | 2010-10-14 / 20100260972 - Protective Member and Protective Body Using the Same | 1 |
Hidenori Kayama | JP | Miyagi | 2010-09-02 / 20100220808 - RADIO TRANSMISSION DEVICE, RADIO RECEPTION DEVICE, RADIO TRANSMISSION METHOD, AND RADIO RECEPTION METHOD | 1 |
Hiroyuki Kayama | JP | Yokohama-Shi | 2015-06-04 / 20150156361 - INFORMATION PROCESSING APPARATUS, SYSTEM, METHOD, AND STORAGE MEDIUM | 10 |
Ryozo Kayama | JP | Kariya-Shi | 2010-02-25 / 20100047133 - Exhaust Gas Purifying Apparatus for Internal Combustion Engine | 1 |
Takeshi Kayama | JP | Hyogo | 2009-12-31 / 20090324984 - METHOD FOR PRODUCING CLAD MATERIAL, AND CLAD MATERIAL | 1 |
Akihiro Kayama | JP | Fujisawa-Shi | 2009-12-10 / 20090305759 - GAME APPARATUS, GAME DATA DELIVERY SYSTEM AND STORAGE MEDIUM | 1 |
Naonori Kayama | JP | Yokohama-Shi | 2015-07-30 / 20150212484 - IMAGE FORMING APPARATUS | 3 |
Tomoyuki Kayama | JP | Kariya-Shi | 2009-08-20 / 20090209415 - Composite material, composite material substrate, composite material dispersed fluid, and manufacturing methods thereof | 1 |
Masanori Kayama | JP | Ukyo-Ku | 2009-07-30 / 20090189693 - OPERATIONAL AMPLIFIER | 1 |
Naonori Kayama | JP | Susono-Shi | 2009-07-23 / 20090185812 - IMAGE FORMING APPARATUS | 1 |
Hiroyuki Kayama | JP | Osaka-Shi | / - | 1 |
Kazuya Kayama | JP | Chofu-Shi | 2013-10-03 / 20130262895 - SEMICONDUCTOR INTEGRATED CIRCUIT, INFORMATION PROCESSING APPARATUS, AND CONTROL METHOD | 1 |
Tomoyuki Kayama | JP | Nagoya-Shi | 2009-04-23 / 20090105069 - Structure Having Strong Contact With Solid Particles, Substrate Having Strong Contact With Solid Particles, And Manufacturing Methods Thereof | 1 |
Hiroyuki Kayama | JP | Osaka | 2016-02-04 / 20160029836 - RECIPE INFORMATION PROCESSING APPARATUS, COOKING APPARATUS, AND RECIPE INFORMATION PROCESSING METHOD | 8 |
Susumu Kayama | JP | Toyama | 2013-01-03 / 20130004771 - TITANIUM OXIDE SOL AND PROCESS FOR PRODUCING SAME, ULTRAFINE PARTICULATE TITANIUM OXIDE, PROCESS FOR PRODUCING SAME, AND USES OF SAME | 2 |
Kenji Kayama | JP | Tokushima | 2008-10-16 / 20080256092 - Data Processing Device and Data Processing Method | 1 |
Teppei Kayama | JP | Kagoshima | 2008-09-18 / 20080227618 - BORON CARBIDE SINTERED BODY AND METHOD OF MANUFACTURING THE SAME AND PROTECTIVE BODY | 1 |
Shun Kayama | JP | Tokyo | 2012-07-12 / 20120178866 - RESIN COMPOSITION | 2 |
Hidetoshi Kayama | CN | Beijing | 2015-10-15 / 20150295671 - Base Station, Communication System and Method Thereof | 11 |
Hidetoshi Kayama | JP | Yokohama-Shi | 2012-08-23 / 20120213102 - WIRELESS STATION, INTERFERENCE AVOIDANCE METHOD, AND SYSTEM FOR INTERFERENCE AVOIDANCE | 5 |
Kazuya Kayama | JP | Tokyo | 2015-05-07 / 20150123719 - SEMICONDUCTOR DEVICE AND METHOD OF CONTROLLING THE SAME | 2 |
Masanori Kayama | JP | Kawasaki-Shi | 2015-08-13 / 20150229158 - WIRELESS CHARGING CIRCUIT, WIRELESS CHARGING SYSTEM AND SEMICONDUCTOR DEVICE | 2 |
Ayako Kayama | JP | Chiba-Shi | 2012-05-31 / 20120133705 - LIQUID JETTING HEAD, LIQUID JETTING RECORDING DEVICE, AND METHOD FOR REFILLING LIQUID JETTING HEAD WITH LIQUID | 1 |
Rumi Kayama | JP | Shizuoka | 2012-01-19 / 20120013068 - AUTOMATIC DOCUMENT FEEDER | 1 |
Ryuji Kayama | JP | Chikusei | 2015-10-01 / 20150280897 - TRANSMISSION SYSTEM, TRANSMISSION APPARATUS, AND CLOCK SYNCHRONIZATION METHOD | 1 |
Kazuhito Kayama | JP | Naruto-Shi | 2014-06-19 / 20140171571 - MAGNESIUM SULFATE-BASED DESICCANT AND METHOD FOR PRODUCING SAME | 1 |
Takahiro Kayama | JP | Aichi | 2015-09-17 / 20150259021 - INVERTED VEHICLE AND ITS CONTROL METHOD | 1 |
Hidenori Kayama | JP | Tokyo | 2015-06-11 / 20150162896 - DIGITAL FILTER CIRCUIT | 1 |
Rokuro Kayama | JP | Yokohama-Shi | 2014-02-13 / 20140043429 - PROGRAM IMAGE CREATION METHOD AND APPARATUS OF THE SAME | 1 |
Shinji Kayama | JP | Tokyo | 2014-07-17 / 20140200709 - Machining Condition Estimating Apparatus and Machining Condition Estimating Method | 1 |
Akemi Kayama | JP | Tachikawa-Shi | 2014-11-27 / 20140351604 - ELECTRONIC DEVICE AND ENCRYPTION CONTROL METHOD | 2 |
Ryozo Kayama | JP | Kariya-City | 2014-12-04 / 20140352637 - ELECTRIC CONTROL DEVICE | 2 |
Maki Kayama | JP | Tokyo | 2014-01-23 / 20140024598 - METHODS AND COMPOSITIONS FOR PRESERVING RETINAL GANGLION CELLS | 1 |
Hiroyuki Kayama | JP | Osaka | 2016-02-04 / 20160029836 - RECIPE INFORMATION PROCESSING APPARATUS, COOKING APPARATUS, AND RECIPE INFORMATION PROCESSING METHOD | 8 |
Shinobu Kayama | JP | Susono-Shi | 2015-05-14 / 20150132993 - TERMINAL-EQUIPPED ELECTRIC WIRE AND WIRE HARNESS USING THE SAME | 1 |
Shinobu Kayama | JP | Shizuoka | 2015-08-06 / 20150218307 - AUTOMOTIVE INJECTION-MOLDED PRODUCT | 1 |
Hiroyuki Kayama | JP | Yokohama-Shi | 2015-06-04 / 20150156361 - INFORMATION PROCESSING APPARATUS, SYSTEM, METHOD, AND STORAGE MEDIUM | 10 |
Ayako Kayama | JP | Chiba | 2011-11-24 / 20110285783 - LIQUID JETTING HEAD, LIQUID JETTING RECORDING APPARATUS, AND METHOD FOR FILLING LIQUID JETTING HEAD WITH LIQUID | 1 |
Yasuyuki Kayama | JP | Yokohama | 2016-03-24 / 20160087194 - MAGNETIC TUNNEL JUNCTION DEVICE AND MAGNETORESISTIVE RANDOM ACCESS MEMORY | 1 |
Hidetoshi Kayama | JP | Chiyoda-Ku | 2015-03-05 / 20150061966 - REFLECTARRAY AND DESIGN METHOD | 5 |
Yuji Kayama | JP | Tokyo | 2012-10-11 / 20120258814 - GOLF GLASSES | 1 |
Hiroyuki Kayama | JP | Tokyo | 2015-08-27 / 20150240788 - METHOD FOR DETECTING DAMAGE OF WIND TURBINE BLADE AND WIND TURBINE | 2 |
Hiroshi Kayama | JP | Osaka | 2013-12-12 / 20130329539 - OPTICAL READ/WRITE APPARATUS | 11 |
Tsuneo Kayama | JP | Fukuoka | 2011-10-06 / 20110241267 - PLATE BRICK PRODUCTION METHOD AND PLATE BRICK | 1 |
Hidetoshi Kayama | CN | Beijing | 2015-10-15 / 20150295671 - Base Station, Communication System and Method Thereof | 11 |
Hidetoshi Kayama | JP | Tokyo | 2014-08-07 / 20140221030 - USER TERMINAL | 2 |
Yuki Kayamori | JP | Tokyo | 2009-10-01 / 20090242536 - METAL-BASED FLUX CORD WIRE FOR AR-CO2 MIXED GAS SHIELDED ARC WELDING | 1 |
Jun Kayamori | JP | Chuo-Ku | 2009-07-23 / 20090184409 - SEMICONDUCTOR DEVICE INCLUDING SEMICONDUCTOR CHIPS WITH DIFFERENT THICKNESS | 1 |
Jun Kayamori | JP | Tokyo | 2012-11-29 / 20120302007 - SEMICONDUCTOR DEVICE INCLUDING SEMICONDUCTOR CHIPS WITH DIFFERENT THICKNESS | 1 |
Satoshi Kayamori | JP | Tokyo | 2015-03-26 / 20150083333 - PLASMA PROCESSOR AND PLASMA PROCESSING METHOD | 4 |
Takanari Kayamori | JP | Kanagawa | 2012-09-06 / 20120225379 - TWO-COMPONENT DEVELOPER | 3 |
Satoshi Kayamori | JP | Kanagawa | 2011-07-07 / 20110163043 - METHOD FOR TREATING FLOOR POLISH STRIPPING WASTEWATER AND WASHING WASTEWATER | 1 |
Takashi Kayamoto | JP | Kanagawa | 2013-11-07 / 20130292152 - CONDUCTIVE MEMBER | 1 |
Kanao Kayamoto | JP | Chiba | 2009-05-21 / 20090130587 - RESIN-COATED FERRITE CARRIER FOR ELECTROPHOTOGRAPHIC DEVELOPER, ITS PRODUCTION METHOD, AND ELECTROPHOTOGRAPHIC DEVELOPER USING THE RESIN-COATED FERRITE CARRIER | 3 |
Takashi Kayamoto | JP | Isehara-Shi | 2013-03-21 / 20130072075 - CONDUCTIVE MEMBER AND METHOD OF MANUFACTURING THE SAME | 3 |
Kanao Kayamoto | JP | Kashiwa-Shi | 2012-03-29 / 20120076551 - RESIN-COATED CARRIER FOR ELECTROPHOTOGRAPHIC DEVELOPER AND PROCESS FOR PRODUCING THE SAME, AND ELECTROPHOTOGRAPHIC DEVELOPER COMPRISING THE RESIN-COATED CARRIER | 1 |
Manabu Kayamoto | JP | Anjo-Shi | 2011-08-25 / 20110203618 - PRODUCTION LINE SYSTEM | 1 |
Keisuke Kayamoto | JP | Kiyosu-Shi | 2013-08-15 / 20130207153 - SEMICONDUCTOR LIGHT EMITTING DEVICE | 1 |
Chikara Kayamuro | JP | Hyogo | 2009-01-01 / 20090005201 - Pulley assembly for a power transmission belt | 1 |
So Ka Yan | HK | Kowloon | 2014-03-20 / 20140078135 - Virtual 3D Paper | 1 |
Ibrahim Kayandan | TR | Balikesir | 2011-02-03 / 20110027158 - Calcined Tincal Production Method by Calcination Autogenic Grinding and Separation (CASG) Method in a Single Step | 1 |
Shigeto Kayane | JP | Tokyo | 2012-10-25 / 20120269741 - Liquid Compositions for Oral Cavity | 3 |
Shigeto Kayane | JP | Sumida-Ku | 2010-09-09 / 20100226866 - SOLID PREPARATION FOR ORAL APPLICATION | 1 |
Sohail Kayani | US | Irving | 2016-01-07 / 20160005252 - BANK NOTE PROCESSING SYSTEM HAVING A COMBINED FLORESCENCE AND PHOSPHORESCENCE DETECTION SYSTEM | 14 |
Sohail Kayani | US | Irving | 2016-01-07 / 20160005252 - BANK NOTE PROCESSING SYSTEM HAVING A COMBINED FLORESCENCE AND PHOSPHORESCENCE DETECTION SYSTEM | 14 |
Takeshi Kayano | JP | Haga-Gun | 2012-10-04 / 20120252589 - CONSTANT VELOCITY JOINT | 1 |
Yoshisada Kayano | JP | Nishinomiya-City | 2012-12-06 / 20120309877 - SURFACE-TREATED CALCIUM CARBONATE AND PASTE-LIKE RESIN COMPOSITION CONTAINING SAME | 1 |
Kenta Kayano | JP | Obu-City | 2016-02-18 / 20160047586 - EJECTOR | 7 |
Hiroyuki Kayano | JP | Tokyo | 2010-11-18 / 20100292878 - DRIVE CONTROL APPARATUS FOR ELECTRIC CAR | 2 |
Yoshisada Kayano | JP | Hyogo | 2012-02-09 / 20120035312 - SURFACE-TREATED CALCIUM CARBONATE AND PASTE RESIN COMPOSITION CONTAINING SAME | 1 |
Toshikazu Kayano | JP | Chuo-Ku | 2011-02-24 / 20110041362 - Athletic Shoes Having an Upper Whose Fitting Property is Improved | 1 |
Satomi Kayano | JP | Kanagawa | 2014-03-06 / 20140062924 - METHOD AND APPARATUS FOR DETECTING AND INTERPRETING PATH OF DESIGNATED POSITION | 2 |
Hiroyuki Kayano | JP | Fujisawa | 2016-03-24 / 20160084981 - SCREENING DEVICE | 8 |
Hiroyuki Kayano | JP | Fujisawa-Shi | 2014-07-10 / 20140194293 - ARRAY ANTENNA APPARATUS | 9 |
Hiroyuki Kayano | JP | Fujisawa | 2016-03-24 / 20160084981 - SCREENING DEVICE | 8 |
Yoshihiro Kayano | JP | Kanagawa | 2015-07-23 / 20150203225 - METHOD OF PACKAGING PARTICLE-LIKE MATERIAL, AND PACKAGING MACHINE FOR A PARTICLE-LIKE MATERIAL | 1 |
Shinsuke Kayano | JP | Chiyoda-Ku | 2015-12-10 / 20150357870 - ROTOR AND ROTARY ELECTRIC MACHINE THAT INCLUDES THAT ROTOR | 4 |
Kenta Kayano | JP | Kariya-City | 2016-04-28 / 20160116195 - EJECTOR | 3 |
Rinzo Kayano | JP | Muroran-Shi, Hokkaido | 2015-12-10 / 20150354039 - FE-NI-BASED ALLOY HAVING EXCELLENT HIGH-TEMPERATURE CHARACTERISTICS AND HYDROGEN EMBRITTLEMENT RESISTANCE CHARACTERISTICS, AND METHOD FOR PRODUCING THE SAME | 1 |
Hironobu Kayano | JP | Saitama-Shi | 2011-01-06 / 20110001940 - LENS BARREL SHIFT MECHANISM AND PROJECTOR | 1 |
Yoshihiro Kayano | JP | Hiratsuka-Shi, | 2009-11-26 / 20090291161 - Mold Assembly | 1 |
Kazuo Kayano | JP | Kariya-Shi | 2012-08-30 / 20120218716 - SEMICONDUCTOR DEVICE | 1 |
Yoshisada Kayano | JP | Amagasaki-City | 2014-05-08 / 20140128529 - IMPREGNATED CALCIUM CARBONATE, METHOD FOR PRODUCING SAME, POLYMER COMPOSITION, AND POLYMER PRECURSOR COMPOSITION | 1 |
Yoshihiro Kayano | JP | Kanagawa-Ken | 2009-12-10 / 20090304970 - PANEL-SHAPED MOLDED PRODUCT | 1 |
Hiroyuki Kayano | JP | Fujisawa-Shi | 2014-07-10 / 20140194293 - ARRAY ANTENNA APPARATUS | 9 |
Morio Kayano | JP | Wako-Shi | 2013-09-19 / 20130244828 - CONTROL APPARATUS FOR ELECTRIC VEHICLE | 1 |
Toshikazu Kayano | JP | Kobe | 2009-05-07 / 20090113758 - Shoe Sole With Reinforcing Structure and Shoe Sole With Shock-Absorbing Structure | 1 |
Kengo Kayano | JP | Toyota-Shi | 2011-07-21 / 20110177604 - METHOD FOR DETECTING LEAD PRESENT IN SPECIMEN | 1 |
Joji Kayano | JP | Wakayama | 2013-01-10 / 20130009628 - Integral Value Measuring Circuit | 1 |
Akio Kayano | JP | Kamisu | 2014-05-22 / 20140142315 - METHOD FOR PRODUCING 1,2-DIHYDROPYRIDINE-2-ONE COMPOUND | 2 |
Shinsuke Kayano | JP | Tokyo | 2016-05-05 / 20160126797 - MOTOR | 4 |
Takahiro Kayano | JP | Mie-Ken | 2011-11-17 / 20110278974 - ELECTRIC POWER DISTRIBUTION MEMBER FOR STATOR AND METHOD OF MANUFACTURING THE SAME | 1 |
Morio Kayano | JP | Utsunomiya-Shi | 2014-03-20 / 20140080024 - ELECTRIC POWER SUPPLY SYSTEM | 3 |
Rinzo Kayano | JP | Hokkaido | 2015-01-22 / 20150023862 - METHOD FOR PRODUCING NITRIDE SINGLE CRYSTAL | 2 |
Hikaru Kayano | JP | Fukuoka | 2011-09-08 / 20110216462 - SUSTAINED-ARC CONTROL SYSTEM ON SOLAR BATTERY ARRAY | 1 |
Masayuki Kayano | JP | Tokyo | 2009-02-19 / 20090048770 - FUEL CONSUMPTION ESTIMATING UNIT OF VEHICLE | 1 |
Hiroyuki Kayano | JP | Kanagawa | 2014-11-06 / 20140327500 - FILTER AND RESONATOR | 6 |
Tohru Kayano | JP | Okayama | 2011-04-21 / 20110091968 - MONOCLONAL ANTIBODY SPECIFIC TO BOTH HUMAN INTERFERON-ALPHA SUBTYPE ALPHA 8 AND ITS MUTANT PROTEINS | 1 |
Akio Kayano | JP | Tsuchiura-Shi | 2009-08-13 / 20090203771 - NOVEL INTERMEDIATE FOR HALICHONDRIN B ANALOG SYNTHESIS AND NOVEL DESULFONYLATION REACTION USED FOR THE INTERMEDIATE | 1 |
Shigeki Kayano | JP | Yokohama-Shi, Kanagawa | 2015-10-22 / 20150303415 - ASSEMBLED BATTERY AND METHOD FOR MANUFACTURING ASSEMBLED BATTERY | 1 |
Hiroyuki Kayano | JP | Kanagawa-Ken | 2013-10-10 / 20130265122 - HEAT INSULATING TRANSMISSION LINE, VACUUM INSULATING CHAMBER, WIRELESS COMMUNICATION SYSTEM | 2 |
Hisayuki Kayanoki | JP | Tokyo | 2010-03-18 / 20100068486 - AUTOMOBILE WINDOW MATERIAL, AUTOMOBILE, ANTIFOG TREATMENT COATING LIQUID AND ANTIFOG ARTICLE | 2 |
Toyohiro Kayanuma | JP | Atsugi-Shi | 2014-03-20 / 20140080424 - DEVICE TO TEST MOBILE TERMINAL AND TESTING METHOD THEREOF | 2 |
Yasuaki Kayanuma | JP | Fujiyoshida-Shi | 2012-03-29 / 20120075870 - LENS MEMBER AND OPTICAL UNIT USING SAID LENS MEMBER | 5 |
Kazuo Kayanuma | JP | Gotemba-Shi | 2013-10-31 / 20130283896 - SENSING DEVICE FOR CANISTERS | 1 |
Yasuaki Kayanuma | JP | Yamanashi-Ken | 2014-07-24 / 20140204592 - LENS MEMBER AND LIGHT-EMITTING DEVICE USING SAME | 2 |
Akio Kayanuma | JP | Kanagawa | 2010-05-06 / 20100112203 - APPARATUS AND METHOD FOR PREPARING COMPOSITE PARTICULATES | 2 |
Ryosuke Kayanuma | JP | Toyota-Shi, Aichi-Ken | 2016-04-14 / 20160102591 - CATALYTIC CONVERTER | 1 |
Kinji Kayanuma | JP | Kanagawa | 2013-05-02 / 20130107689 - HEADER REGION EVALUATION CIRCUIT, OPTICAL DISK APPARATUS, AND HEADER REGION EVALUATION METHOD | 3 |
Hiroshi Kayanuma | JP | Kawasaki-Shi | 2010-08-12 / 20100205306 - GRID COMPUTING SYSTEM, MANAGEMENT APPARATUS, AND METHOD FOR MANAGING A PLURALITY OF NODES | 1 |
Kinji Kayanuma | JP | Tokyo | 2010-12-30 / 20100329088 - DEVICE FOR DETERMINING EXISTENCE OF WOBBLE, MEDIUM DISCRIMINATION DEVICE, METHOD OF DETERMINING EXISTENCE OF WOBBLE, AND MEDIUM DISCRIMINATION METHOD | 2 |
Minori Kayanuma | JP | Tokyo | 2011-11-10 / 20110274798 - DRIED EGG WHITE, PRODUCTION METHOD THEREFOR, AND FOOD CONTAINING IMPROVED DRIED EGG WHITE | 2 |
Tomokazu Kayanuma | JP | Minamitsuru-Gun | 2012-12-06 / 20120310405 - NUMERICAL CONTROLLER WITH FUNCTION TO CORRECT MOVEMENT PATH OF MACHINING PROGRAM | 1 |
Yasunobu Kayanuma | JP | Saitama | 2012-11-08 / 20120280447 - CLAMPING DEVICE AND PRINTER | 1 |
Yasunobu Kayanuma | JP | Kurokawa-Gun | 2010-10-21 / 20100265578 - IMAGE SHEET, ALIGNMENT METHOD AND APPARATUS | 1 |
Hidetaka Kayanuma | JP | Saitama | 2011-01-13 / 20110005253 - ABSORPTION HEAT PUMP UNIT | 2 |
Eiji Kayanuma | JP | Tokyo | 2013-11-21 / 20130311352 - SECURITIES TRADING SIMULATION SYSTEM | 2 |
Ryosuke Kayanuma | JP | Susono-Shi, Shizuoka-Ken | 2016-04-14 / 20160102591 - CATALYTIC CONVERTER | 1 |
Suresh Kayappurath | IN | Kerala | 2012-10-04 / 20120254055 - METHOD AND SYSTEM FOR VERIFICATION AND ACCEPTANCE OF AN ELECTRONIC CONTRACT | 1 |
Naoshi Kayashima | JP | Fukuoka | 2013-06-20 / 20130156263 - VERIFICATION METHOD, VERIFICATION DEVICE, AND COMPUTER PRODUCT | 1 |
Hiroki Kayashima | JP | Osaka | 2012-06-21 / 20120156778 - METHOD FOR EFFICIENT PRODUCTION OF INDUCED PLURIPOTENT STEM CELLS UTILIZING CELLS DERIVED FROM ORAL MUCOSA | 1 |
Mika Kayashima | JP | Tokyo | 2009-03-19 / 20090076530 - SCAFFOLD | 1 |
Daiki Kayashima | JP | Tokyo | 2015-12-31 / 20150377541 - DEFROST SYSTEM FOR REFRIGERATION APPARATUS, AND COOLING UNIT | 1 |
Makoto Kayashima | JP | Yokohama | 2011-11-24 / 20110289589 - UNAUTHORIZED OPERATION DETECTION SYSTEM AND UNAUTHORIZED OPERATION DETECTION METHOD | 3 |
Takashi Kayashima | JP | Fukuoka | 2011-08-25 / 20110204103 - TUNDISH NOZZLE EXCHANGING DEVICE, AND TUNDISH NOZZLE FOR USE IN THE DEVICE | 2 |
Makoto Kayashima | JP | Yamato | 2013-10-17 / 20130275974 - METHOD AND APPARATUS FOR ALLOCATING VIRTUAL COMPUTER | 4 |
Hiroshi Kayashima | JP | Kanagawa | 2015-10-01 / 20150277205 - WHITE PARTICLES FOR DISPLAY, PARTICLE DISPERSION FOR DISPLAY, AND DISPLAY DEVICE | 4 |
Yuji Kayashima | JP | Kawasaki-Shi | 2009-12-03 / 20090294951 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yuuji Kayashima | JP | Kawasaki-Shi | 2009-11-19 / 20090283895 - SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME | 1 |
Kentaro Kayashima | JP | Tokyo | 2013-07-25 / 20130190423 - Rubber Compositions Including Metal Phosphate Esters | 2 |
Satoshi Kayashima | JP | Sapporo | 2012-05-03 / 20120103412 - METHOD FOR FABRICATING A LASER-INDUCED SURFACE NANOARRAY STRUCTURE, AND DEVICE STRUCTURE FABRICATED USING SAID METHOD | 1 |
Hiroshi Kayashima | JP | Minamiashigara-Shi | 2013-08-29 / 20130222886 - DISPERSION LIQUID FOR DISPLAY, DISPLAY MEDIUM, AND DISPLAY DEVICE | 2 |
Takashi Kayashima | JP | Kitakyushu-Shi | 2013-04-11 / 20130087586 - TUNDISH NOZZLE EXCHANGING DEVICE, AND TUNDISH NOZZLE FOR USE IN THE DEVICE | 1 |
Vijaya Kayastha | US | Springfield | 2016-01-28 / 20160025517 - THIN-FILM RESISTIVE-BASED SENSOR | 1 |
Nobuya Kayasuga | JP | Oita-Shi | 2014-11-27 / 20140346869 - EMERGENCY POWER SUPPLY METHOD FOR CONTAINER TERMINAL AND CONTAINER TERMINAL | 2 |
Nobuya Kayasuga | JP | Oita | 2015-02-12 / 20150041618 - QUAY CRANE | 1 |
Zainab Kayat | MY | Selangor | 2011-09-01 / 20110209446 - WET GAS SEPARATOR | 3 |
Rebecca Ann Kayata | US | Williamsburg | 2010-11-18 / 20100287720 - Soap Dispensing Bath Mitt | 1 |
Narendra B. Kayathi | US | Austin | 2015-11-12 / 20150326228 - Current Synthesizer Correction | 2 |
Justin M. Kayatin | US | Baltimore | 2010-03-25 / 20100076880 - Third-Party Billing System and Method | 1 |
Edwin J. Kayda | US | Santa Barbara | 2015-08-27 / 20150238698 - ASPIRATION SYRINGE ACCESSORY | 6 |
Tatiana Kaydanova | CA | Montreal | 2013-01-10 / 20130011957 - METAL INKS | 2 |
Tatiana Kaydanova | US | Lakewood | 2010-07-01 / 20100163810 - METAL INKS | 1 |
Paul Henry Kaye | GB | Hattfield | 2014-01-30 / 20140028998 - Fluid-Borne Particle Detector | 1 |
David Martin Kaye | AU | Victoria | 2009-01-15 / 20090018526 - Devices and Methods for Perfusing an Organ | 2 |
Paul Henry Kaye | GB | Hertfordshire | 2013-09-05 / 20130229655 - Second Generation Low-Cost Particle Counter | 1 |
Allan Kaye | GB | Bristol | 2011-09-15 / 20110220006 - COMPOSITE LAMINATE STRUCTURE | 2 |
Steven S. Kaye | US | San Diego | 2015-10-29 / 20150311527 - LMFP Cathode Materials with Improved Electrochemical Performance | 8 |
Steven S. Kaye | US | San Diego | 2015-10-29 / 20150311527 - LMFP Cathode Materials with Improved Electrochemical Performance | 8 |
Laura Kaye | GB | Cambridge | 2013-08-15 / 20130206142 - INHALER | 1 |
Alan D. Kaye | US | River Ridge | 2011-06-23 / 20110152836 - Method and Apparatus for Arterial and Venous Cannulation | 1 |
Bradley T. Kaye | US | Leonard | 2015-03-26 / 20150086189 - LENS CAP ASSEMBLY WITH INTEGRATED DISPLAY | 2 |
Stanton Kaye | US | Los Angeles | 2014-02-27 / 20140055244 - APPARATUS AND METHOD FOR MONITORING AND COMMUNICATING DATA ASSOCIATED WITH A PRODUCT | 1 |
Nicholas A. Kaye | GB | Berkshire | 2009-02-19 / 20090049006 - METHOD AND SYSTEM FOR PROCESSING KNOWLEDGE | 1 |
Joel J. Kaye | US | Long Grove | 2015-12-31 / 20150375159 - PRESSURE SWING ADSORPTION PROCESSES AND SYSTEMS FOR RECOVERY OF HYDROGEN AND C2+ HYDROCARBONS | 1 |
Stephen T. Kaye | US | Ellicott City | 2012-07-12 / 20120176321 - Touch-Enabled Personal Mobile Whiteboard Tablet | 3 |
Rob Kaye | GB | Macclesfield | 2012-06-28 / 20120165450 - MALLEABLE MATERIAL | 1 |
David Martin Kaye | AU | Beaumaris | 2016-02-04 / 20160030714 - DEVICES AND METHODS FOR PERFUSING AN ORGAN | 9 |
Jonathan Kaye | US | San Diego | 2012-11-29 / 20120304319 - TOX3 AS A BIOMARKER FOR BREAST CANCER | 1 |
Kenneth L. Kaye | US | Fairport | 2014-06-19 / 20140167775 - SYSTEMS AND METHODS FOR MEASURING HIGH FREQUENCY RESISTANCE IN A FUEL CELL SYSTEM | 10 |
Joseph Nathaniel Kaye | US | Mountain View | 2011-09-29 / 20110235851 - Method and Apparatus for Indicating an Analysis Criteria | 1 |
Viktor Kaye | RU | Moscow | 2013-08-29 / 20130225036 - INERTIAL DYNAMIC TOY | 1 |
David M. Kaye | AU | Beaumaris | 2016-04-21 / 20160106915 - Adjustable Medium Diverter | 3 |
Anthony Bresenhan Kaye | US | Fairfax | 2009-10-22 / 20090265386 - Library Generation for Detection and Identification of Shielded Radioisotopes | 1 |
Anthony Bresenhan Kaye | US | Herndon | 2011-12-22 / 20110311234 - OPTICAL MODULATION UTILIZING STRUCTURES INCLUDING METAMATERIALS | 3 |
Yuval Kaye | IL | Moshave Nitzanei Sinai | 2014-04-10 / 20140101789 - Plants Tolerant To Abiotic Stress | 1 |
Brett James Kaye | NZ | Tauranga | 2016-03-03 / 20160057946 - TIMBER-WORKING DEVICE AND METHOD OF OPERATION | 7 |
Jeffrey Kaye | US | Portland | 2015-07-09 / 20150193596 - METHOD FOR CONDUCTING CLINICAL TRIALS BASED ON SUBSTANTIALLY CONTINUOUS MONITORING OF OBJECTIVE QUALITY OF LIFE FUNCTIONS | 1 |
Jonathan Kaye | US | Los Angeles | 2014-10-30 / 20140322223 - TOX3 AS A BIOMARKER FOR BREAST CANCER | 2 |
Lawrence S. Kaye | US | Chatsworth | 2013-08-01 / 20130196828 - Multi Purpose Exercise Apparatus | 1 |
Mathew V. Kaye | GB | West Midlands | 2015-07-23 / 20150201603 - INSECT TRAP | 2 |
Paul Henry Kaye | GB | Herts | 2010-12-30 / 20100328665 - FLUID-BORNE PARTICLE DETECTOR | 1 |
Christopher J. Kaye | US | Concord | 2016-02-18 / 20160045210 - RETRIEVAL DEVICE | 15 |
Ian W. Kaye | US | Livermore | 2013-06-06 / 20130142725 - FUEL PROCESSOR FOR USE WITH PORTABLE FUEL CELLS | 13 |
Evan J. Kaye | US | Short Hills | 2008-09-18 / 20080229225 - Method for Displaying Search Results for Items with Geographic Attributes | 1 |
Thomas Kaye | US | Fallston | 2008-12-11 / 20080305387 - CORDLESS POWER TOOL SYSTEM | 1 |
Randall E. Kaye | US | Aliso Viejo | 2014-10-23 / 20140315941 - METHOD OF REDUCING CNS AND GASTROINTESTINAL SIDE AFFECTS ASSOCIATED WITH LONG-TERM, DEXTROMETHORPHAN/LOW-DOSE QUINIDINE COMBINATION THERAPY | 3 |
Steven Kaye | US | San Diego | 2016-05-19 / 20160141601 - HIGH ENERGY MATERIALS FOR A BATTERY AND METHODS FOR MAKING AND USE | 33 |
Chris Kaye | US | Concord | 2012-10-25 / 20120271241 - MANUAL IRRIGATION PUMP FOR INTRAPROCEDURAL IRRIGATION | 2 |
Eric Kaye | US | Rye Brook | 2014-09-18 / 20140274607 - STRENGTH TRAINING AND STRETCHING SYSTEM | 1 |
Hagen Kaye | CA | Kitchener | 2012-10-11 / 20120260296 - SYSTEM AND METHOD FOR TRANSMISSION OF DATA FROM A WIRELESS MOBILE DEVICE OVER A MULTIPATH WIRELESS ROUTER | 2 |
Joseph Kaye | US | Mountain View | 2015-09-17 / 20150261752 - PERSONALIZED CRITERIA-BASED MEDIA ORGANIZATION | 1 |
Paul Kaye | GB | York | 2009-12-03 / 20090297499 - USE OF CHARCOAL FOR TREATING INFLAMMATORY CONDITIONS | 1 |
Sarah Jane Kaye | GB | Greater Manchester | 2011-04-07 / 20110081348 - FUNGAL SIGNALLING AND METABOLIC ENZYMES | 1 |
Eric A. Kaye | US | Rye Brook | 2015-05-14 / 20150133276 - STRENGTH TRAINING AND STRETCHING SYSTEM AND RESISTANCE BAND ASSEMBLY FOR USE THEREWITH | 6 |
Kenneth L. Kaye | US | Fairport | 2014-06-19 / 20140167775 - SYSTEMS AND METHODS FOR MEASURING HIGH FREQUENCY RESISTANCE IN A FUEL CELL SYSTEM | 10 |
Neil Kaye | AU | Balmain | 2014-02-27 / 20140053939 - FLEXIBLE PLASTIC HOSE AND METHOD FOR MANUFACTURING SAME | 1 |
Christopher J. Kaye | US | Concord | 2016-02-18 / 20160045210 - RETRIEVAL DEVICE | 15 |
Gordon E. Kaye | US | Glens Falls | 2012-02-23 / 20120045292 - VERY HIGH STRENGTH SWIVEL ANCHOR | 2 |
Stanley Kaye | US | Gainesville | 2008-12-11 / 20080304536 - High Intensity Laser or Diode-Based Lighting Apparatus Having Integrated Optics | 1 |
Ken Kaye | US | Saint Petersburg | 2016-02-04 / 20160036999 - System, Method, and Apparatus for Free Printing | 1 |
Alex R. Kaye | US | Atherton | 2014-04-17 / 20140102351 - BRACE FOR FOLDING TRANSOM | 5 |
Marc B. Kaye | US | Davie | 2012-10-11 / 20120257380 - LED Light Assembly and Method for Generating a Beam of Light | 2 |
Evan John Kaye | US | Short Hills | 2016-03-10 / 20160071050 - Delivery Channel Management | 9 |
Kenneth Kaye | US | Richardson | 2011-09-08 / 20110219103 - QUARANTINE TOOL | 2 |
Joel Flaxman Kaye | IL | Netanya | 2016-01-07 / 20160000774 - TREATMENT OF MULTIPLE SCLEROSIS WITH COMBINATION OF LAQUINIMOD AND DIMETHYL FUMARATE | 5 |
William J. Kaye | US | Lake Worth | 2015-10-22 / 20150303044 - Miniaturized Ion Mobility Spectrometer | 2 |
Gordon I. Kaye | US | Troy | 2011-07-14 / 20110171073 - SYSTEM AND METHOD FOR TREATING INFECTIOUS WASTE MATTER | 5 |
David Martin Kaye | AU | Beaumaris | 2016-02-04 / 20160030714 - DEVICES AND METHODS FOR PERFUSING AN ORGAN | 9 |
Daniel A. Kaye | US | Folsom | 2013-02-28 / 20130055055 - SYSTEMS AND METHODS FOR LAYOUT OF GRAPHIC OBJECTS FOR ELECTRONIC DISPLAY, PRINT OR OTHER OUTPUT | 3 |
Cynthia Bertucci Kaye | US | Dacula | 2013-07-04 / 20130171603 - Method and System for Presenting Interactive, Three-Dimensional Learning Tools | 4 |
Neil Anthony Kaye | AU | New South Wales | 2012-10-11 / 20120255550 - BREATHING CIRCUIT SYSTEM | 2 |
Tim Kaye | US | Lakewood | 2010-11-04 / 20100278039 - METHOD TO BLOCK SPLIT PHONE AND GATEWAY REGISTRATION | 1 |
Jonathan A. Kaye | US | Tomball | 2010-12-23 / 20100321507 - Media Source Selection Based On Source Quality | 1 |
Ronald J. Kaye | US | Albuquerque | 2014-03-06 / 20140060508 - Thermal Management of a Propulsion Circuit in an Electromagnetic Munition Launcher | 1 |
Richard G. Kaye | US | Wilton | 2009-04-16 / 20090095276 - Apparatus, Systems and Methods For Facilitating Ignition Of A Solid Fuel | 1 |
Matthew Varghese Kaye | GB | West Midlands | 2011-02-24 / 20110041384 - INSECT TRAP | 1 |
Bryan Thomas Kaye | US | Pacific Palisades | 2013-06-20 / 20130158425 - APPARATUS AND METHODS FOR PERFORMING ELECTROTHERAPY | 1 |
Edward M. Kaye | US | Cambridge | 2014-11-06 / 20140329762 - COMPOSITIONS FOR TREATING MUSCULAR DYSTROPHY | 2 |
Steven Kaye | US | San Diego | 2016-05-19 / 20160141601 - HIGH ENERGY MATERIALS FOR A BATTERY AND METHODS FOR MAKING AND USE | 33 |
Joel Kaye | IL | Netanya | 2015-12-17 / 20150359788 - USE OF LAQUINIMOD FOR TREATING CROHN'S DISEASE PATIENTS WHO FAILED FIRST-LINE ANTI-TNF THERAPY | 10 |
Christopher H. Kaye | US | Buffalo | 2011-11-24 / 20110283578 - COLLATION OF MARKETING SIGNS | 3 |
Robert Kaye | US | Austin | 2009-04-30 / 20090108903 - LEVEL SHIFTER DEVICE | 1 |
Viktor Avgustovich Kaye | RU | Moscow | 2015-02-12 / 20150044937 - Inertial Dynamic Toy | 1 |
Stanton Kaye | US | Oxnard | 2010-10-28 / 20100271184 - Apparatus and Method for Monitoring and Communicating Data Associated with a Product | 1 |
Perry Scott Kaye | US | Cooper City | 2015-12-31 / 20150375100 - DEVICE FOR REVEALING A WINNER | 1 |
Elizabeth S. Kaye | US | Suwanee | 2015-12-24 / 20150371001 - SYSTEMS AND METHODS FOR E-PRESCRIPTION TRANSACTION PRE-DESTINATION EVALUATION, EDITING, REJECTION, AND MESSAGING | 4 |
Frederic J. Kaye | US | Potomac | 2009-12-31 / 20090326054 - COMPOSITIONS AND METHODS FOR INHIBITING TRANSLATION OF A MECT1-MAML2 CHIMERIC GENE | 1 |
Anthony B. Kaye | US | Fairfax | 2010-06-03 / 20100137148 - System and Method for Radioisotope Identification | 1 |
Paul Kaye | GB | Hainault | 2014-05-22 / 20140137475 - MINIATURE DAMPER, VIEWING PANEL UNIT, AND INSTALLATION METHOD | 1 |
Steven Michael Kaye | US | Malibu | 2013-06-20 / 20130158425 - APPARATUS AND METHODS FOR PERFORMING ELECTROTHERAPY | 1 |
Daniel A. Kaye | US | San Francisco | 2011-08-18 / 20110200305 - LOW BANDWIDTH TELEVISION | 1 |
Hagen Kaye | CA | Waterloo | 2015-11-26 / 20150341646 - SYSTEM AND METHOD FOR AUTOMATIC ENCODER ADJUSTMENT BASED ON TRANSPORT DATA | 4 |
James E. Kaye | US | Pleasanton | 2013-04-25 / 20130101052 - Multi-Channel Variable Bit-Rate Video Compression | 1 |
Laura Diane Kaye | US | San Marcos | 2014-05-22 / 20140137758 - Screen Print Jig | 1 |
Evan John Kaye | US | Short Hills | 2016-03-10 / 20160071050 - Delivery Channel Management | 9 |
John E. Kaye | CA | Dugald | 2012-12-06 / 20120308305 - Shore Line Erosion Control | 1 |
Sarah Jane Kaye | GB | London | 2012-08-02 / 20120196763 - ANTIFUNGAL TARGET | 1 |
Michael Kaye | US | Santa Cruz | 2016-04-21 / 20160112575 - Speaker Identification for Use in Multi-Media Conference Call System | 6 |
Joel Kaye | IL | Netanya | 2015-12-17 / 20150359788 - USE OF LAQUINIMOD FOR TREATING CROHN'S DISEASE PATIENTS WHO FAILED FIRST-LINE ANTI-TNF THERAPY | 10 |
Thomas R. Kaye, Jr. | US | Bel Air | 2016-04-07 / 20160096261 - UNIVERSAL ACCESSORIES FOR OSCILLATING POWER TOOLS | 6 |
Thomas R. Kaye, Jr. | US | Fallston | 2015-07-02 / 20150183035 - BEVEL LOCK ASSEMBLY FOR MITER SAWS | 7 |
Thomas R. Kaye, Jr. | US | Baltimore | 2009-10-29 / 20090265943 - Portable Band Saw | 1 |
Joseph W. Kaye, Jr. | US | Rochester Hills | 2013-10-03 / 20130262326 - Intellectual Property Annuity/Maintenance Payment and Mistaken Abandonment Prevention Systems | 2 |
Helle KayerØd | DK | Nærum | 2010-12-09 / 20100306893 - PROTECTIVE FACE MASK | 1 |
Kevin Kayes | US | Colorado Springs | 2011-04-14 / 20110087991 - Methods and Apparatus for Reliable Entry and Enhanced Presentation of IPv6 Addresses | 1 |
Brendan M. Kayes | US | San Francisco | 2013-10-17 / 20130270589 - OPTOELECTRONIC DEVICE WITH NON-CONTINUOUS BACK CONTACTS | 8 |
Brendan M. Kayes | US | San Francisco | 2013-10-17 / 20130270589 - OPTOELECTRONIC DEVICE WITH NON-CONTINUOUS BACK CONTACTS | 8 |
Brendan M. Kayes | US | Los Gatos | 2015-12-31 / 20150380576 - OPTOELECTRONIC DEVICE WITH DIELECTRIC LAYER AND METHOD OF MANUFACTURE | 3 |
Brendan Kayes | US | Santa Clara | 2011-04-14 / 20110083722 - TEXTURED METALLIC BACK REFLECTOR | 1 |
Scott Kayes | CA | Concord | 2011-08-25 / 20110208832 - SUBSCRIPTION BASED CONTENT DELIVERY FOR A DIGITAL SIGNAGE NETWORK | 4 |
Brendan M. Kayes | US | Los Angeles | 2009-01-22 / 20090020853 - STRUCTURES OF AND METHODS FOR FORMING VERTICALLY ALIGNED Si WIRE ARRAYS | 2 |
Penelope Kay-Fedorov | DE | Hannover | 2014-03-20 / 20140079725 - IMMUNE SUPPRESSOR AND ITS USE | 1 |
Anand Kaygee | US | Westlake Village | 2012-01-26 / 20120019915 - DURABLE ANTI-REFLECTION COATINGS | 1 |
Basak Kayhan | TR | Ankara | 2013-03-28 / 20130079390 - NUCLEIC ACID MOLECULES, POLYPEPTIDES, ANTIBODIES AND COMPOSITIONS FOR TREATING AND DETECTING INFLUENZA VIRUS INFECTION | 2 |
Farbod Kayhan | IR | Shiraz | 2015-08-27 / 20150244491 - METHOD AND SYSTEM FOR GENERATING CHANNEL CODES, IN PARTICULAR FOR A FRAME-HEADER | 1 |
Shayan Kayhanian | US | Kirkland | 2015-03-05 / 20150067095 - Generating an Idempotent Workflow | 1 |
Ferhan Kayihan | US | Tacoma | 2015-07-30 / 20150212872 - ERROR DETECTION AND REJECTION FOR A DIAGNOSTIC TESTING SYSTEM | 4 |
Asli Kayihan | TR | Istanbul | 2011-05-05 / 20110100977 - OVEN | 1 |
Ekrem Kayikci | US | Peoria | 2009-01-01 / 20090001911 - Conduction angle control of a switched reluctance generator | 1 |
Paul M. Kayima | US | Strongsville | 2010-06-24 / 20100160551 - MINI-EMULSIONS AND LATEXES THEREFROM | 1 |
Enis Kayis | US | East Palo Alto | 2014-09-18 / 20140278780 - ESTIMATION OF UNOBSERVED DEMAND | 10 |
Enis Kayis | US | East Palo Alto | 2014-09-18 / 20140278780 - ESTIMATION OF UNOBSERVED DEMAND | 10 |
Ben J. Kay, Jr. | US | Williamston | 2009-03-19 / 20090071915 - Tire support stand | 1 |
Stas Kaylan | IL | Rishon Le-Zion | 2010-09-09 / 20100224177 - MODULAR CROSSBOW | 2 |
Tarek Kaylani | US | Irvine | 2011-10-20 / 20110254158 - Mask Programmable Interface Selection | 2 |
Helena Kaylin | US | Huntington | 2013-08-29 / 20130225042 - Garment with Color Coding | 1 |
Clifford Kaylin | US | Los Angeles | 2016-04-21 / 20160110965 - SPORTS EVENT DRIVEN SKILL WAGERING INTERLEAVED GAME | 29 |
Clifford Kaylin | US | Los Angeles | 2016-04-21 / 20160110965 - SPORTS EVENT DRIVEN SKILL WAGERING INTERLEAVED GAME | 29 |
Alan J. Kaylo | US | Glenshaw | 2012-07-05 / 20120171380 - Pre-Conversion Coating Composition | 12 |
Devin Kaylor | US | San Francisco | 2016-04-07 / 20160098683 - SYSTEM AND METHOD FOR JOB AND CAREER DISCOVERY BASED ON USER ATTRIBUTES AND FEEDBACK | 1 |
Jordan Price Kaylor | US | Chicago | 2013-12-19 / 20130338599 - GROOVED CHEST TUBE | 1 |
Mary E. Kaylor | US | Chattanooga | 2015-11-19 / 20150332209 - System for Sensing and Recording Information Regarding Medical Items in a Medical Facility | 2 |
Scott Kaylor | US | Fremont | 2012-08-16 / 20120208160 - Method and system for teaching and testing radiation oncology skills | 1 |
Rosann Marie Mathews Kaylor | US | Cumming | 2011-07-21 / 20110177616 - Diagnostic Test Kits Employing an Internal Calibration System | 1 |
Rosann Marie Matthews Kaylor | US | Cumming | 2011-04-28 / 20110097820 - Swab-Based Diagnostic Systems | 1 |
Stephen J. Kaylor | US | 2012-09-13 / 20120227834 - Retention Apparatus Employing Wedge Element and Engagement Assembly for Use in a Nuclear Application | 1 | |
Rosann M. Kaylor | US | Cumming | 2010-01-21 / 20100015658 - Meter Strip and Method for Lateral Flow Assay Devices | 1 |
Thomas H. Kaylor | US | Mcgregor | 2011-11-10 / 20110272277 - ELECTROLYTIC CELL AND RELATED METHODS OF MAKING AND USE | 1 |
Stephen J. Kaylor | US | San Jose | 2011-11-17 / 20110280360 - WEDGE POSITIONING APPARATUS FOR JET PUMP ASSEMBLIES IN NUCLEAR REACTORS | 1 |
Rosann Kaylor | US | Cumming | 2011-04-14 / 20110086161 - METHOD FOR PRODUCING BIOMOLECULE DIAGNOSTIC DEVICES | 4 |
Jenny L. Kaylor | US | Boise | 2012-03-22 / 20120071301 - ADJUSTABLE INCLINING AND DECLINING EXERCISE BICYCLE | 1 |
Floyd Kaylor | US | Yorba Linda | 2014-11-13 / 20140337880 - Peer-to-Peer Communication Advertising Method | 1 |
Steve Kaylor | US | Valencia | 2016-01-07 / 20160002575 - CHEMICAL SOLUTION AND METHOD OF UNCLOGGING STOPPED TOILETS | 1 |
Rosann Marie Kaylor | US | Cumming | 2009-05-21 / 20090127509 - CROSS-LINKED ENCAPSULATED PHOSPHORSCENT MOLECULES | 2 |
Floyd William Kaylor | US | Yorba Linda | 2012-02-02 / 20120024834 - MELTING PEN | 1 |
Brent M. Kaylor | US | Garden Grove | 2015-01-22 / 20150023731 - METHODS AND APPARATUS FOR SIDEWALK TILES | 2 |
Stephen J. Kaylor | US | Los Gatos | 2014-04-24 / 20140109406 - JET PUMP DIFFUSER STACK REPAIR | 1 |
Floyd W. Kaylor | US | Yorba Linda | 2014-06-26 / 20140180179 - PORTABLE PHYSICAL THERAPY AND EXERCISING DEVICE | 3 |
Larry Kaylor | US | Longmont | 2008-09-11 / 20080216286 - Leaf-Cartwheel Flexure, And Mounting Systems And Methods Utilizing same | 1 |
Brant Kaylor | US | Bozeman | 2015-03-12 / 20150071315 - Precise Broadband Frequency Modulated Laser | 2 |
Zehra Kaymacalan | US | Westborough | 2012-10-11 / 20120258114 - HUMAN ANTIBODIES THAT BIND HUMAN TNFalpha | 1 |
Zehra Kaymakcalan | US | Westborough | 2015-09-17 / 20150259410 - LOW ACIDIC SPECIES COMPOSITIONS AND METHODS FOR PRODUCING AND USING THE SAME | 30 |
Zehra Kaymakcalan | US | Westboro | 2010-01-21 / 20100016557 - HUMAN ANTIBODIES THAT BIND HUMAN TNFalpha | 2 |
Zehra Kaymakcalan | US | Westborough | 2015-09-17 / 20150259410 - LOW ACIDIC SPECIES COMPOSITIONS AND METHODS FOR PRODUCING AND USING THE SAME | 30 |
Mehmet Kaynak | DE | Frankfurt | 2013-01-31 / 20130026659 - MICROELECTRONIC COMPONENT | 1 |
Mustafa Nazmi Kaynak | US | San Diego | 2016-03-31 / 20160094247 - PROGRESSIVE EFFORT DECODER ARCHITECTURE | 2 |
Mustafa N. Kaynak | US | San Diego | 2016-04-14 / 20160104527 - DETERMINING SOFT DATA FOR FRACTIONAL DIGIT MEMORY CELLS | 16 |
Mustafa N. Kaynak | US | San Deigo | 2014-07-24 / 20140208189 - DETERMINING SOFT DATA USING A CLASSIFICATION CODE | 3 |
Mehmet Kaynak | DE | Frankfurt (oder) | 2016-03-10 / 20160072182 - Chip Antenna, Electronic Component, and Method for Producing Same | 2 |
Mustafa N. Kaynak | US | San Diego | 2016-04-14 / 20160104527 - DETERMINING SOFT DATA FOR FRACTIONAL DIGIT MEMORY CELLS | 16 |
Vedat Kaynak | TR | Izmir | 2015-10-22 / 20150305092 - HEATER NANO DYE, SYSTEM INCLUDING SOLID HEATER NANO DYE LAYER, AND METHODS OF USING THE SAME | 1 |
Niv Kaynan | IL | Kibbutz Barkai | 2015-04-02 / 20150093597 - HYBRID METAL AND METAL OXIDE LAYERS WITH ENHANCED ACTIVITY | 1 |
George Campbell Kaynor | US | Melrose | 2015-02-26 / 20150056188 - JCV NEUTRALIZING ANTIBODIES | 1 |
Peter Kaynor | US | Bellingham | 2013-02-28 / 20130047817 - Harmonica Support with Magnetic Cradle | 1 |
Peter Kaynor | US | Cedar | 2015-07-09 / 20150194140 - Harmonica Support with Magnetic Cradle | 2 |
Yoshihide Kayo | JP | Hekinan-City | 2008-08-28 / 20080204278 - Electronic toll collection system, on-board unit, and terminal unit | 1 |
Tomoyoshi Kayou | JP | Tokyo | 2012-04-12 / 20120088765 - PURINE DERIVATIVE AND ANTITUMOR AGENT USING SAME | 1 |
Maya Kayouka | FR | Paris | 2012-02-16 / 20120041037 - COMPOSITION THAT COMPRISES AT LEAST ONE OXIME AND ITS USE IN THERAPEUTICS | 2 |
Eric Kays | US | Olathe | 2014-03-20 / 20140081654 - SMART CLINICAL CARE ROOM | 4 |
Adam Christopher Kays | US | Springfield | 2011-05-26 / 20110119977 - SYSTEM FOR DISPLAYING RIVAL SPORTS TEAM | 1 |
Eric Christian Kays | US | Olathe | 2012-12-20 / 20120323591 - SMART CLINICAL CARE ROOM | 1 |
Robert Wayne Kays | US | Raleigh | 2014-08-21 / 20140230906 - AUTOMATED FLUID REFILL SYSTEM AND USES THEREOF | 1 |
John A. Kays | US | Palymyra | 2010-08-05 / 20100196865 - FLUID DELIVERY SYSTEM FOR PATIENT SIMULATION MANIKIN | 1 |
David Kays | US | Redmond | 2013-06-20 / 20130159500 - DISCOVERY AND MINING OF PERFORMANCE INFORMATION OF A DEVICE FOR ANTICIPATORILY SENDING UPDATES TO THE DEVICE | 3 |
Jennifer Hilary Kays | US | Bellevue | 2010-03-11 / 20100063732 - NAVIGATION COMMUNICATION WITH SELF-IDENTIFYING ELEMENTS | 1 |
Jennifer Erin Kays | US | Mission Viejo | 2010-07-22 / 20100185701 - METHOD AND SYSTEM FOR ENABLING LIFE CYCLE MAINTENANCE OF HIERARCHICAL DATABASE SCHEMAS IN MODELING TOOL | 1 |
Christopher R. Kays | US | San Marcos | 2011-07-14 / 20110172023 - IRON-TYPE GOLF CLUBS | 6 |
Robert J. Kays | US | Burlingame | 2012-08-23 / 20120214705 - BETA-DEFENSIN 2 GENETIC VARIATION PREDICTS H. PYLORI SUSCEPTIBILITY | 1 |
Jeffrey T. Kays | US | Andover | 2011-12-08 / 20110302496 - Network Management System and Graphical User Interface | 1 |
James Howard Kaysen | US | New Orleans | 2010-02-11 / 20100035310 - Production of Functional Proteins: Balance of Shear Stress and Gravity | 1 |
James H. Kaysen | US | Madison | 2009-07-30 / 20090188793 - Method of Error Reduction in Nucleic Acid Populations | 1 |
Andrew Kayser | US | West Newton | 2012-06-14 / 20120150085 - SPINE-ALIGN GARMENT SYSTEMS | 1 |
Andreas Kayser | DE | Wuppertal | 2013-07-11 / 20130177427 - BLADE ARRANGEMENT AND ASSOCIATED GAS TURBINE | 2 |
Voysel Kayser | US | Cambridge | 2012-06-14 / 20120148580 - METHODS FOR IDENTIFICATION OF SITES FOR IGG CONJUGATION | 1 |
Christian Kayser | DE | Munich | 2012-02-09 / 20120036092 - METHOD AND SYSTEM FOR GENERATING A PREDICTION NETWORK | 1 |
Peter Kayser | DE | Dorfmark | 2014-01-30 / 20140026317 - Infant Crib Having Paired Sets of Vertically Hinged Doors for Creating Opening along Entire Side Wall | 1 |
Kurt Kayser | DE | Wendelstein | 2013-07-25 / 20130188478 - Method and a System for Providing a Flexible Secondary Data Path | 1 |
Ursula Kayser | DE | Buchenberg | 2016-05-05 / 20160122682 - POLYMER SLIDING MATERIAL WITH DRY-RUN CAPABILITY AND SLIDE RING SEAL WITH DRY-RUN CAPABILITY | 3 |
Christoph Kayser | DE | Mainz | 2013-01-24 / 20130023453 - Dispersions Of Polymer Oil Additives | 9 |
Veysel Kayser | US | Cambridge | 2015-02-26 / 20150056220 - METHODS FOR IDENTIFICATION OF SITES FOR IGG CONJUGATION | 4 |
Chris Kayser | US | Brightwaters | 2011-11-03 / 20110270741 - UNIVERSAL PAYMENT MODULE SYSTEMS AND METHODS FOR MOBILE COMPUTING DEVICES | 1 |
Scott Kayser | US | San Diego | 2013-03-28 / 20130080681 - EFFICIENT TWO WRITE WOM CODES, CODING METHODS AND DEVICES | 1 |
Stefan Kayser | DE | Trostberg | 2015-06-04 / 20150153115 - HEAT EXCHANGER | 1 |
Thomas Kayser | DE | Leipzig | 2016-03-31 / 20160090319 - METHOD FOR PRODUCING SYNTHETIC QUARTZ GLASS OF SIO2 GRANULATE AND SIO2 GRANULATE SUITED THEREFOR | 11 |
Martin Kayser | DE | Koln | 2009-12-17 / 20090311648 - Medical suction apparatus | 1 |
Oliver Kayser | DE | Monschau | 2013-06-13 / 20130146445 - PROCESS FOR COATING A SUBSTRATE BY MEANS OF AN ARC | 1 |
Thomas Kayser | DE | Leipzig | 2016-03-31 / 20160090319 - METHOD FOR PRODUCING SYNTHETIC QUARTZ GLASS OF SIO2 GRANULATE AND SIO2 GRANULATE SUITED THEREFOR | 11 |
Andreas Kayser | GB | London | 2009-04-23 / 20090103677 - Classification method for sedimentary rocks | 1 |
Frank Kayser | US | San Francisco | 2016-05-19 / 20160137667 - Piperidinone Derivatives as MDM2 Inhibitors for the Treatment of Cancer | 9 |
Bernd Kayser | DE | Munchen | 2010-09-16 / 20100234628 - Process for the Preparation of Iridium Acetate | 1 |
Manfred Heinz Kayser | NL | Rotterdam | 2011-12-22 / 20110312534 - METHOD FOR PREDICTION OF HUMAN IRIS COLOR | 2 |
Roy Kayser | CA | Oakville | 2008-08-21 / 20080197300 - Method of calibrating light delivery systems, light delivery systems and radiometer for use therewith | 1 |
Daniel Jordan Kayser | GB | London | 2014-05-08 / 20140126133 - SUPPORT FOR A FLEXIBLE DISPLAY | 5 |
Frank Kayser | US | San Francisco | 2016-05-19 / 20160137667 - Piperidinone Derivatives as MDM2 Inhibitors for the Treatment of Cancer | 9 |
Ralph Kayser | CH | Luzern | 2011-05-19 / 20110118664 - DISPENSING ASSEMBLY WITH SEPARATE SYRINGES AND SYRINGE HOLDER | 1 |
Joerg Kayser | DE | Waldenbuch | 2014-09-04 / 20140250443 - CODE ANALYSIS FOR SIMULATION EFFICIENCY IMPROVEMENT | 3 |
Kenneth W. Kayser | US | Salem | 2015-05-28 / 20150146018 - CAMERA SYSTEM WITH INDUCTIVE POWERING OF WIRELESS CAMERA TAGS | 4 |
Christoph Kayser | DE | Mainz | 2013-01-24 / 20130023453 - Dispersions Of Polymer Oil Additives | 9 |
Michael R. Kayser | US | Somerville | 2008-09-04 / 20080215309 - Extraction-Empowered machine translation | 1 |
Daniel J. Kayser | US | South San Francisco | 2008-12-25 / 20080319420 - Drug Delivery Catheters That Attach to Tissue and Methods for Their Use | 1 |
Manfred Kayser | NL | Rotterdam | 2015-09-24 / 20150267264 - ANALYSIS OF Y-CHROMOSOME STR MARKERS | 4 |
Kevin Kayser | US | St. Louis | 2014-11-27 / 20140349341 - PRODUCTION OF RECOMBINANT PROTEINS WITH SIMPLE GLYCOFORMS | 1 |
Armin Kayser | DE | Buchenberg | 2015-02-26 / 20150055898 - HYDRODYNAMIC AXIAL BEARING | 4 |
Tyler Joel Kayser | US | Irvine | 2014-03-13 / 20140075411 - Meta-Languages For Creating Integrated Business Applications | 1 |
Ralph Egon Kayser | CH | Luzern | 2013-02-07 / 20130032623 - DEVICE FOR OPENING AN AMPOULE | 7 |
Matt Kayser | US | Highlands Ranch | 2016-02-18 / 20160047139 - REMOVABLY MOUNTABLE ROOF FRAME FOR USE WITH AN EXPANDABLE CANOPY | 2 |
Kevin J. Kayser | US | St. Louis | 2015-06-04 / 20150152463 - CELLS DEFICIENT IN CMP-N-ACETYLNEURAMINIC ACID HYDROXYLASE AND/OR GLYCOPROTEIN ALPHA-1,3-GALACTOSYLTRANSFERASE | 2 |
Daniel Kayser | US | San Carlos | 2016-01-28 / 20160022961 - Devices and Methods for Accessing the Vasculature of a Patient | 6 |
Armin Kayser | DE | Groenenbach | 2016-05-05 / 20160122682 - POLYMER SLIDING MATERIAL WITH DRY-RUN CAPABILITY AND SLIDE RING SEAL WITH DRY-RUN CAPABILITY | 2 |
Christopher Kayser | US | Brightwaters | 2009-10-01 / 20090248579 - Method and System for Accepting and Processing Financial Transactions over a Mobile Computing Device | 1 |
Stefan Kayser | DE | Jonsdorf | 2013-10-03 / 20130258319 - NEEDLE PROBE FOR ANALYSIS OF MULTIPHASE FLOWS, PRODUCTION AND USE OF NEEDLE PROBE | 1 |
Kevin J. Kayser | US | Chesterfield | 2009-10-22 / 20090263871 - Methods and Compositions for Amplification of DNA | 1 |
David J. Kayser | US | Saint Inigoes | 2009-11-05 / 20090273300 - Variable Intensity LED Illumination System | 1 |
Ralph Egon Kayser | CH | Luzem | 2011-05-26 / 20110121035 - CONNECTOR FOR A DISCHARGE DEVICE | 1 |
Kenneth W. Kayser | US | 2014-09-18 / 20140268949 - APPARATUS AND SYSTEM OF POWER CONVERSION | 1 | |
Martin Kayser | DE | Leonberg | 2011-06-23 / 20110148136 - MOTOR VEHICLE | 2 |
Steven L. Kayser | US | Ferndale | 2014-08-07 / 20140217807 - Methods for Making Safety Toothbrushes | 2 |
George Kayser | US | Redwood City | 2011-02-24 / 20110042218 - CARTRIDGE HAVING TEXTURED MEMBRANE | 1 |
David E. Kayser | US | Middlebury | 2011-03-03 / 20110052302 - PRINTER MOUNTING ARRANGEMENT FOR FEED GUIDE MECHANISMS | 1 |
Vincent J. Kayser | US | Lothian | 2014-11-06 / 20140327556 - System and Method for Detecting Vehicle Proximity in an Electric Vehicle Supply Equipment | 2 |
Stefan Kayser | CH | Grenchen | 2016-05-05 / 20160121687 - INCLINATION-ENABLING WHEEL SUSPENSION FOR VEHICLES | 1 |
Michael J. Kayser | US | Peoria | 2011-11-03 / 20110270992 - ENHANCED FLIGHT CREW DISPLAY FOR SUPPORTING MULTIPLE CONTROLLER/PILOT DATA LINK COMMUNICATIONS (CPDLC) VERSIONS | 1 |
Kenneth W. Kayser | US | Catawba | 2015-11-05 / 20150317682 - ADVERTISING BEACON FOR RETAIL ENVIRONMENTS | 5 |
Kenneth W. Kayser | US | Flint | 2011-09-15 / 20110221227 - Truck Bed Tonneau and Method of Manufacturing a Tonneau | 1 |
Daniel Kayser | US | San Francisco | 2008-11-20 / 20080287918 - Steerable Guide Catheters and Methods For Their Use | 1 |
Markus Kayser | US | Cambridge | 2015-10-29 / 20150307385 - Methods and apparatus for additive manufacturing of glass | 1 |
Oliver Kayser | DE | Lohmar | 2010-04-15 / 20100092692 - METHOD AND DEVICE FOR COATING SUBSTRATES | 1 |
Katherine Kayser-Bricker | US | Branford | 2010-01-14 / 20100009397 - SUBSTRATE-MIMETIC AKT INHIBITOR | 1 |
Katherine J. Kayser-Bricker | US | Branford | 2015-08-20 / 20150232465 - BENZOPIPERAZINE COMPOSITIONS AS BET BROMODOMAIN INHIBITORS | 2 |
Isak Kayserman | US | Needham | 2011-05-05 / 20110101967 - ENHANCED PERFORMANCE PROXIMITY SENSOR | 1 |
Hasan Kaytan | DE | Huerth | 2012-08-09 / 20120201987 - PLASTICIZED POLYMERIC COMPOSITIONS | 3 |
Bulent Kaytaz | TR | Istanbul | 2015-10-29 / 20150312132 - METHOD TO CHECK HEALTH OF AUTOMATICALLY DISCOVERED CONTROLLERS IN SOFTWARE DEFINED NETWORKS (SDNs) | 5 |
Clay Kaytis | US | Burbank | 2009-10-08 / 20090251462 - SYSTEM AND METHOD FOR MESH DISTANCE BASED GEOMETRY DEFORMATION | 1 |
Bradley A. Kayton | US | Hollis | 2015-10-22 / 20150301549 - SYSTEMS, METHODS AND APPARATUS FOR ENCOURAGING ENERGY CONSCIOUS BEHAVIOR BASED ON AGGREGATED THIRD PARTY ENERGY CONSUMPTION | 10 |
Bradley A. Kayton | US | Hollis | 2015-10-22 / 20150301549 - SYSTEMS, METHODS AND APPARATUS FOR ENCOURAGING ENERGY CONSCIOUS BEHAVIOR BASED ON AGGREGATED THIRD PARTY ENERGY CONSUMPTION | 10 |
Michael D. Kaytor | US | Maplewood | 2015-10-01 / 20150272887 - SUSPENSION COMPOSITIONS OF PHYSIOLOGICALLY ACTIVE PHENOLIC COMPOUNDS & METHODS OF MAKING AND USING THE SAME | 9 |
Scott R. Kaytor | US | Woodbury | 2016-04-14 / 20160103270 - OPTICAL FILM AND DISPLAY SYSTEM INCORPORATING SAME | 6 |
Mike Kaytor | US | Maplewood | 2015-03-19 / 20150080844 - THERAPY FOR KIDNEY DISEASE AND/OR HEART FAILURE BY INTRADERMAL INFUSION | 1 |
Michael D. Kaytor | US | Maplewood | 2015-10-01 / 20150272887 - SUSPENSION COMPOSITIONS OF PHYSIOLOGICALLY ACTIVE PHENOLIC COMPOUNDS & METHODS OF MAKING AND USING THE SAME | 9 |
Norio Kayukawa | JP | Kounan-Shi | 2014-03-27 / 20140083218 - TRANSMISSION | 2 |
Norio Kayukawa | JP | Konan-Shi | 2014-08-21 / 20140231212 - TRANSMISSION | 2 |
Norio Kayukawa | JP | Aichi-Ken | 2012-04-26 / 20120096968 - TRANSMISSION | 2 |
Akira Kayukawa | JP | Tokyo | 2009-04-09 / 20090091122 - PERSONAL INFORMATION PROTECTING SHEET, METHOD OF MANUFACTURING THE SAME, AND ENVELOPE WITH PERSONAL INFORMATION PROTECTING SHEET | 1 |
Hiroaki Kayukawa | JP | Kariya-Shi | 2014-11-20 / 20140341766 - COMPRESSOR | 5 |
Kimiharu Kayukawa | JP | Nagoya | 2013-01-17 / 20130014381 - METHOD OF MANUFACTURING STATOR COIL FOR ELECTRIC ROTATING MACHINEAANM KAYUKAWA; KimiharuAACI NagoyaAACO JPAAGP KAYUKAWA; Kimiharu Nagoya JPAANM DOBASHI; MasaomiAACI Kariya-shiAACO JPAAGP DOBASHI; Masaomi Kariya-shi JPAANM SHIMAOKA; HidejiAACI Anjo-shiAACO JPAAGP SHIMAOKA; Hideji Anjo-shi JPAANM KAMAKURA; YouichiAACI Anjo-shiAACO JPAAGP KAMAKURA; Youichi Anjo-shi JP | 1 |
Norio Kayukawa | JP | Okazaki-Shi | 2010-01-07 / 20100000361 - SHIFT ACTUATOR ASSEMBLY | 4 |
Yoko Kayukawa | JP | Tokyo | 2016-03-03 / 20160058883 - DRUG CONJUGATE COMPRISING ANTI-CDH3 (P-CADHERIN) ANTIBODY | 5 |
Hiroaki Kayukawa | JP | Aichi-Ken | 2013-07-25 / 20130189121 - SWASH PLATE TYPE VARIABLE DISPLACEMENT COMPRESSOR AND METHOD OF CONTROLLING SOLENOID THEREOF | 1 |
Kimiharu Kayukawa | JP | Nisshin-City | 2009-10-01 / 20090241337 - Bump bonding method | 1 |
Kimiharu Kayukawa | JP | Nagoya-City | 2011-02-24 / 20110042812 - Electronic device and method of manufacturing the same | 1 |
Seiji Kayukawa | JP | Shizuoka | 2011-04-14 / 20110086968 - PLANAR CONNECTOR | 2 |
Shigehiro Kayukawa | JP | Mito | 2012-09-13 / 20120229144 - ELECTRIC ROTATING MACHINE | 1 |
Masaki Kayukawa | JP | Kobe-Shi | 2015-10-29 / 20150307114 - CURVED PLATE FOR RAILCAR BODYSHELL, FRONT CAR BODYSHELL, AND METHOD OF MANUFACTURING CURVED PLATE FOR RAILCAR BODYSHELL | 1 |
Roy Glenn Kaywood | US | Jackson | 2010-09-09 / 20100224147 - CONCENTRIC CAMSHAFT AND METHOD OF ASSEMBLY | 8 |
Lana Jamal Kayyali | JO | Amman | 2015-03-05 / 20150066475 - Method For Detecting Plagiarism In Arabic | 1 |
Usamah S. Kayyali | US | Belmont | 2014-09-11 / 20140256614 - COMPOSITIONS AND METHODS FOR AUGMENTING PERMEABILITY BARRIERS | 1 |
Usamah Kayyali | US | Belmont | 2009-12-31 / 20090325871 - Role of the Mk2 Pathway in Wound Repair | 1 |
Karthik Kayyar | US | Rochester Hills | 2012-05-10 / 20120111691 - BRAKING APPARATUS FOR A VEHICLE AND VEHICLE COMPRISING SAID BRAKING APPARATUS | 1 |
Jon F. Kayyem | US | Pasadena | 2010-02-04 / 20100028984 - DEVICES AND METHODS FOR BIOCHIP MULTIPLEXING | 2 |
Jon Faiz Kayyem | US | Carlsbad | 2016-05-12 / 20160130640 - CARTRIDGE FOR PERFORMING ASSAYS IN A CLOSED SAMPLE PREPARATION AND REACTION SYSTEM | 2 |
Jon Faiz Kayyem | US | Pasadena | 2016-02-25 / 20160054261 - USE OF MICROFLUIDIC SYSTEMS IN THE ELECTROCHEMICAL DETECTION OF TARGET ANALYTES | 17 |
Jon Faiz Kayyem | US | South Pasadena | 2012-05-31 / 20120132527 - Use of microfluidic systems in the electrochemical detection of target analytes | 2 |
Jon Faiz Kayyem | US | Boulder | 2015-11-12 / 20150323555 - INTEGRATED MULTIPLEX TARGET ANALYSIS | 1 |
Karthik Kayyer | US | Canton | 2015-05-28 / 20150143938 - MECHANISM FOR VEHICLE TRANSMISSION DEFAULT TO PARK | 1 |
Sergiy Kaz | US | Los Angeles | 2012-12-27 / 20120331118 - System and method for hosted dynamic case management | 1 |
Till Kaz | DE | Stuttgart | 2016-03-17 / 20160074604 - DEVICE AND METHOD FOR ARTIFICIAL RESPIRATION IN EMERGENCIES | 2 |
Swaroop Kaza | US | Campbell | 2014-10-09 / 20140301146 - MODIFIED LOCAL SEGMENTED SELF-BOOSTING OF MEMORY CELL CHANNELS | 1 |
Sivapriya Kaza | US | Menlo Park | 2016-04-28 / 20160114488 - CUSTOMER SERVICE ROBOT AND RELATED SYSTEMS AND METHODS | 1 |
Akhil Kaza | US | Sammamish | 2012-05-03 / 20120106930 - SHARED SURFACE HARDWARE-SENSITIVE COMPOSITED VIDEO | 1 |
Ramesh Kumar Kaza | US | San Jose | 2015-04-23 / 20150112974 - Unified Communication Audit Tool | 2 |
Vijaya Bharathi Kaza | US | Santa Clara | 2012-10-25 / 20120272058 - TRANSPARENT PROXY OF ENCRYPTED SESSIONS | 1 |
Akhilesh Kaza | US | Sammamish | 2016-03-31 / 20160092565 - Unified Search on a Personal Computing Device | 17 |
Aditya K. Kaza | US | Salt Lake City | 2015-04-30 / 20150119708 - DEVICES AND SYSTEMS FOR FLUORESCENCE IMAGING OF TISSUE | 1 |
Krishna Kaza | US | Fremont | 2016-03-03 / 20160065934 - IMAGING ARCHITECTURE FOR DEPTH CAMERA MODE WITH MODE SWITCHING | 2 |
Anil Kaza | US | Hillsboro | 2013-10-17 / 20130269173 - APPARATUS AND METHOD FOR AUTOMATED SORT PROBE ASSEMBLY AND REPAIR | 1 |
Srinivas Kaza | US | San Francisco | 2015-07-23 / 20150202025 - SYSTEM AND METHOD FOR DIGITAL TOOTH IMAGING | 4 |
Akhilesh Kaza | US | Redmond | 2015-04-30 / 20150116339 - CONTROLLING RUNTIME EXECUTION FROM A HOST TO CONSERVE RESOURCES | 4 |
Srinivas Kaza | US | Santa Clara | 2008-08-28 / 20080206702 - Manipulable dental model system for fabrication of a dental appliance | 1 |
Akhilesh (akhil) Kaza | US | Sammamish | 2016-03-17 / 20160080475 - Cross Device Application Discovery And Control | 1 |
Swaroop Kaza | US | Sunnyvale | 2014-12-04 / 20140357044 - METAL-INSUALTOR-METAL (MIM) DEVICE AND METHOD OF FORMATION THEREROF | 5 |
Akhilesh Kaza | US | Sammamish | 2016-03-31 / 20160092565 - Unified Search on a Personal Computing Device | 17 |
Hemanth Kaza | US | Sammamish | 2016-01-28 / 20160026519 - Application Compatibility Leveraging Successful Resolution of Issues | 3 |
Tetsuji Kazaana | JP | Utsunomiya-Shi | 2015-03-12 / 20150074621 - METHOD OF OBTAINING POSITION, EXPOSURE METHOD, AND METHOD OF MANUFACTURING ARTICLE | 2 |
Itamar Kazachinsky | IL | Netanya | 2016-04-28 / 20160117171 - REAL TIME INSTRUCTION TRACE PROCESSORS, METHODS, AND SYSTEMS | 4 |
Itamar Kazachinsky | IL | Natanya | 2013-02-07 / 20130036317 - Device, System And Method Of Generating An Execution Instruction Based On A Memory-Access Instruction | 1 |
Georgi Kazachki | US | Atlanta | 2013-07-18 / 20130180267 - ICE SUPPLY SYSTEM | 1 |
Andrey V. Kazachkov | RU | Moscow | 2015-07-23 / 20150207821 - SYSTEM AND METHOD FOR RESOLVING CONFLICTS BETWEEN APPLICATION CONTROL RULES | 3 |
Nicholas Michael Kazacos | AU | New South Wales | 2008-11-27 / 20080292964 - Perfluorinated Membranes and Improved Electrolytes for Redox Cells and Batteries | 1 |
Michael Kazacos | AU | New South Wales | 2010-11-18 / 20100291420 - Novel Vanadium Halide Redox Flow Battery | 1 |
Nicholas Kazacos | AU | New South Wales | 2010-11-18 / 20100291420 - Novel Vanadium Halide Redox Flow Battery | 1 |
George Christopher Kazacos | AU | New South Wales | 2008-11-27 / 20080292964 - Perfluorinated Membranes and Improved Electrolytes for Redox Cells and Batteries | 1 |
Sanza Nkashama Tshilobo Kazadi | US | Alahmbra | 2013-06-20 / 20130157208 - Animated candle holder | 1 |
Sanza T. Kazadi | US | Alhambra | 2016-03-31 / 20160091256 - Entrochemical Energy Transfer System and a Process for Obtaining Work from Environmental Thermal Energy | 5 |
Sanza T. Kazadi | US | 2011-06-16 / 20110140819 - Magnetically Levitated Mount | 2 | |
Sanza Kazadi | US | 2011-07-07 / 20110162365 - Thermodynamically Favorable Thermal Gradient-Generating Device | 1 | |
Sanza Kazadi | US | Alhambra | 2013-12-26 / 20130340745 - Membrane-enabled heat pipe | 1 |
Katsuo Kazahaya | JP | Hyogo | 2011-02-10 / 20110034113 - ELECTRODEPOSITED WIRE TOOL AND MANUFACTURING METHOD THEREOF | 1 |
Satoshi Kazahaya | JP | Yokohama-Shi | 2013-07-11 / 20130176636 - OPTICAL DEVICE AND OPTICAL INSTRUMENT | 3 |
Shintaro Kazahaya | JP | Osaka | 2012-07-19 / 20120183754 - DOWN-PROOF WOVEN FABRIC | 1 |
Shingo Kazahaya | JP | Izumi-Shi | 2013-06-20 / 20130152541 - WIRE ROPE | 1 |
Gabriella Kazai | GB | Bishops Stortford | 2015-12-10 / 20150356489 - Behavior-Based Evaluation Of Crowd Worker Quality | 5 |
Andrei Vladimirovich Kazak | RU | Moscow | 2015-07-02 / 20150185064 - METHOD FOR ESTIMATING THERMODYNAMIC EQUILIBRIUM OF A GAS-LIQUID MIXTURE DURING FILTRATION EXPERIMENTS | 1 |
Yuri E. Kazakevich | US | Newton | 2011-10-06 / 20110245599 - Surgical Handpiece For Endoscopic Resection | 1 |
Leonid Kazakevich | US | Plainview | 2014-01-09 / 20140010103 - RADIO FREQUENCY (RF) SAMPLING APPARATUS WITH ARRAYS OF TIME INTERLEAVED SAMPLERS AND SCENARIO BASED DYNAMIC RESOURCE ALLOCATION | 14 |
Yuri Kazakevich | US | Andover | 2013-04-25 / 20130100264 - ENDOSCOPIC IMAGING SYSTEM | 4 |
Yuri Kazakevich | US | Newton | 2016-03-24 / 20160081529 - STEERABLE ELECTRONIC STEREOSCOPIC ENDOSCOPE | 9 |
Yuri Kazakevich | US | Newton | 2016-03-24 / 20160081529 - STEERABLE ELECTRONIC STEREOSCOPIC ENDOSCOPE | 9 |
Leonid L. Kazakevich | US | Plainview | 2015-10-22 / 20150304146 - RESOURCE BLOCK BASED MULTICARRIER MODULATIONS FOR AGILE SPECTRUM | 1 |
Irina Kazakevich | US | Rockaway | 2011-08-25 / 20110207740 - PHARMACEUTICAL COMPOSITIONS | 2 |
Arnis Kazakevics | AU | Melbourne | 2011-01-20 / 20110014460 - Conductive, EMI shielding and static dispersing laminates and method of making same | 1 |
Vassilios Kazakidis | CA | Sudbury | 2012-05-10 / 20120114429 - FROZEN BACLFILL MIX FORMULATIONS AND PROCESS FOR USE THEREOF IN UNDERGROUND MINING APPLICATIONS | 1 |
Michael V. Kazakis | US | Roebuck | 2012-08-02 / 20120192710 - Oil-Free Air Compressor for Rail Vehicles | 1 |
Polly Kazakoff | CA | Vernon | 2010-09-23 / 20100236493 - BIRDCAGE | 3 |
Sergei A. Kazakov | US | Los Gatos | 2012-06-28 / 20120164651 - METHODS AND COMPOSITIONS FOR DETECTION OF SMALL RNAS | 3 |
Sergei A. Kazakov | US | 2012-12-27 / 20120329857 - Short Hairpin RNAs for Inhibition of Gene Expression | 1 | |
Maxim Kazakov | JP | Musashino-Shi | 2013-05-09 / 20130113790 - IMAGE PROCESSING DEVICE | 1 |
Leonid Vasilievich Kazakov | RU | Saint-Petersburg | 2016-02-11 / 20160038979 - METHOD FOR X-RAY LUMINESCENT SEPARATION OF MINERALS AND X-RAY LUMINESCENT SEPARATOR | 3 |
Sergiy Kazakov | US | Los Angeles | 2015-03-19 / 20150081873 - DYNAMIC STATE BASED CASE MANAGEMENT AND TASK TRANSITIONING | 2 |
Sergey Y. Kazakov | US | Batavia | 2011-04-21 / 20110089829 - LOW-VOLTAGE, MULTI-BEAM KLYSTRON | 1 |
S. Y. Kazakov | JP | Ibaraki-Ken | 2010-08-19 / 20100207551 - MULTI-MODE, MULTI-FREQUENCY, TWO-BEAM ACCELERATING DEVICE AND METHOD | 1 |
Evgeny Kazakov | DE | Ulm | 2016-01-07 / 20160004965 - ABSTRACTION REFINEMENT FOR SCALABLE TYPE REASONING IN ONTOLOGY-BASED DATA REPOSITORIES | 1 |
Alexei Kazakov | CA | Calgary | 2012-09-20 / 20120238720 - POLYETHYLENE FILM | 3 |
Sergei A. Kazakov | US | San Jose | 2016-04-28 / 20160115523 - METHODS AND COMPOSITIONS FOR DETECTION OF SMALL RNAS | 3 |
Gera Kazakov | US | Longmont | 2014-09-18 / 20140281606 - DATA STORAGE POWER CONSUMPTION THRESHOLD | 1 |
Dmitri Kazakov | AU | Beecroft Nsw | 2013-08-01 / 20130193645 - PROJECTILE TARGET SYSTEM | 1 |
Sergey Kazakov | US | White Plains | 2010-03-11 / 20100062054 - LIPOBEADS AND THEIR PRODUCTION | 1 |
Irina G. Kazakova | US | Los Gatos | 2015-07-30 / 20150211045 - MICROFLUIDIC METHOD AND SYSTEM FOR ENZYME INHIBITION ACTIVITY SCREENING | 3 |
Sergey M. Kazakyavichyus | RU | Tomsk | 2010-04-22 / 20100095792 - Toothed Wheel Gearing (Variants) and a Planetary Toothed Mechanism Based Thereon (Variants) | 1 |
Richard Marvin Kazala, Jr. | US | San Antonio | 2016-05-05 / 20160120703 - SEE-THROUGH REDUCED-PRESSURE DRESSINGS AND SYSTEMS | 32 |
Richard M. Kazala, Jr. | US | San Antonio | 2014-11-20 / 20140343514 - COMPOSITIONS WITH REACTIVE INGREDIENTS, AND WOUND DRESSINGS, APPARATUSES, AND METHODS | 2 |
Tomasz Kazalski | PL | Krakow | 2016-04-21 / 20160110426 - SELECTIVITY ESTIMATION FOR QUERY EXECUTION PLANNING IN A DATABASE | 12 |
Joshua A. Kazam | US | New York | 2014-01-30 / 20140032246 - DATA PROCESSING SYSTEM FOR IMPLEMENTING FINANCIAL ASSET TRANSACTIONS IN A RETAIL ENVIRONMENT | 1 |
Atsushi Kazama | JP | Moka | 2008-09-11 / 20080217752 - Functional Device Package | 1 |
Junichi Kazama | JP | Tokyo | 2015-02-05 / 20150039296 - PREDICATE TEMPLATE COLLECTING DEVICE, SPECIFIC PHRASE PAIR COLLECTING DEVICE AND COMPUTER PROGRAM THEREFOR | 2 |
Tetsuko Kazama | JP | Takasaki-Shi | 2012-05-10 / 20120115851 - COMPOUND INHIBITING IN VIVO PHOSPHORUS TRANSPORT AND MEDICINE CONTAINING THE SAME | 1 |
Isamu Kazama | JP | Kanagawa | 2015-02-26 / 20150057859 - DEVICE FOR RESTRICTING VEHICLE MOVEMENT DURING CONNECTION WITH CHARGING CABLE | 1 |
Akira Kazama | JP | Tokyo | 2013-02-28 / 20130050470 - SURFACE INSPECTION METHOD AND SURFACE INSPECTION APPARATUS FOR STEEL SHEET COATED WITH RESIN | 1 |
Isamu Kazama | JP | Odawara-Shi | 2013-07-11 / 20130178332 - CONTROL APPARATUS FOR PREVENTING ROLLING BACK OF AN ELECTRICALLY DRIVEN VEHICLE UPON START-UP THEREOF | 6 |
Yoichi Kazama | JP | Nagano-Shi | 2011-11-10 / 20110272773 - METHOD FOR MANUFACTURING SOLID-STATE IMAGE SENSING DEVICE, AND SOLID-STATE IMAGE SENSING DEVICE | 1 |
Masaki Kazama | JP | Kawasaki | 2016-05-19 / 20160140418 - MODELING METHOD AND APPARATUS | 13 |
Shun Kazama | JP | Yokohama-Shi Kanagawa | 2016-03-10 / 20160073202 - ELECTRONIC DEVICE | 5 |
Atsushi Kazama | JP | Tokyo | 2016-01-28 / 20160025581 - PRESSURE SENSOR | 2 |
Takuya Kazama | JP | Tokyo | 2014-09-04 / 20140246686 - OPTICAL SEMICONDUCTOR DEVICE INCLUDING ANTIPARALLEL SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND SCHOTTKY DIODE ELEMENT | 9 |
Shun Kazama | JP | Osaka | 2015-08-06 / 20150222262 - DRIVE DEVICE | 17 |
Kenichi Kazama | JP | Matsumoto-Shi | 2011-02-24 / 20110042816 - SEMICONDUCTOR APPARATUS AND FABRICATION METHOD THEREOF | 1 |
Takuto Kazama | JP | Utsunomiya City | 2011-02-24 / 20110045740 - Methods and Systems For Adjusting Operation Of A Wafer Grinder Using Feedback from Warp Data | 1 |
Yasuhiro Kazama | JP | Yokohama-Shi | 2012-10-25 / 20120268641 - IMAGE APPARATUS | 1 |
Tomoaki Kazama | JP | Kawasaki | 2012-10-25 / 20120271995 - INFORMATION PROCESSING APPARATUS, DRIVE CONTROL PROGRAM, AND DRIVE CONTROL METHOD | 1 |
Kenichi Kazama | JP | Hyogo | 2011-01-27 / 20110018149 - OPTICAL FILM AND METHOD AND APPARATUS FOR MANUFACTURING THE SAME | 1 |
Takeshi Kazama | JP | Tsuchiura-Shi | 2013-10-17 / 20130269817 - PUMP SUCTION PIPE | 1 |
Yoichi Kazama | AU | St Leonards | 2013-06-06 / 20130142396 - ESTIMATION OF SHIFT AND SMALL IMAGE DISTORTION | 1 |
Yoshinori Kazama | JP | Tokyo | 2014-02-06 / 20140038043 - CATHODE ACTIVE MATERIAL, NON-AQUEOUS ELECTROLYTE SECONDARY BATTERY, AND METHOD FOR PRODUCING CATHODE ACTIVE MATERIAL | 1 |
Kentaro Kazama | JP | Tokyo | 2014-03-27 / 20140089110 - TERMINAL APPARATUS, ADVERTISEMENT DISPLAY CONTROL APPARATUS, AND ADVERTISEMENT DISPLAY METHOD | 1 |
Hisashi Kazama | JP | Yokohama-Shi | 2011-09-29 / 20110239252 - Video Contents Display System, Video Contents Display Method, and Program for the Same | 1 |
Masaki Kazama | JP | Kawasaki | 2016-05-19 / 20160140418 - MODELING METHOD AND APPARATUS | 13 |
Masahiro Kazama | JP | Sakura-Shi | 2013-10-17 / 20130272500 - X-RAY IMAGING APPARATUS AND MEDICAL IMAGE PROCESSING APPARATUS | 1 |
Shun Kazama | JP | Yokohama-Shi | 2015-06-04 / 20150156295 - ELECTRONIC APPARATUS | 7 |
Kouichi Kazama | JP | Saitama | 2012-09-27 / 20120245929 - TERMINAL DEVICE, AUDIO OUTPUT METHOD, AND INFORMATION PROCESSING SYSTEM | 3 |
Kenji Kazama | JP | Tokyo | 2011-01-13 / 20110009535 - PLASMA STERILIZATION INDICATOR | 1 |
Masahiro Kazama | JP | Sakura | 2016-04-28 / 20160113604 - NUCLEAR MEDICAL DIAGNOSTIC APPARATUS AND IMAGE PROCESSING METHOD | 1 |
Yoriko Kazama | JP | Tokyo | 2016-01-28 / 20160026186 - Transport Management Apparatus, Transport System, and Transport Management Program | 1 |
Hitoshi Kazama | JP | Saitama | 2015-04-30 / 20150114523 - PROCESS FOR PRODUCING AN ALUMINUM ALLOY SHEET FOR MOTOR VEHICLE | 5 |
Kazuo Kazama | JP | Tochigi | 2010-12-02 / 20100305179 - ORAL SUSTAINED-RELEASE TABLET | 2 |
Shinji Kazama | JP | Aichi | 2010-10-07 / 20100251619 - VEHICLE DOOR OPENING/CLOSING APPARATUS | 1 |
Shun Kazama | JP | Osaka | 2015-08-06 / 20150222262 - DRIVE DEVICE | 17 |
Yoriko Kazama | JP | Hanno | 2013-01-10 / 20130013204 - ROUTE GENERATION SYSTEM, ROUTE GENERATION METHOD, AND PROGRAM | 3 |
Tomiei Kazama | JP | Saitama | 2015-03-19 / 20150079197 - MEDICINE COMPRISING COMBINATION OF GENERAL ANESTHETIC AND HYDROGEN | 1 |
Toshio Kazama | JP | Nagano | 2013-04-25 / 20130099814 - CONTACT PROBE AND PROBE UNIT | 14 |
Takuya Kazama | JP | Kawasaki | 2011-09-29 / 20110233516 - OPTICAL SEMICONDUCTOR DEVICE INCLUDING PROTRUSION STRUCTURE OF PARALLELOGRAM CELLS AND ITS MANUFACTURING METHOD | 2 |
Atsushi Kazama | JP | Kumagaya-Shi | 2010-09-02 / 20100218607 - ACCELERATION SENSOR | 1 |
Hiroaki Kazama | JP | Niigata | 2010-09-02 / 20100218652 - MAIN SPINDLE DEVICE | 2 |
Hideki Kazama | JP | Tokyo | 2013-12-05 / 20130324635 - CURABLE COMPOSITION FOR DENTISTRY | 4 |
Kazunori Kazama | JP | Miyagi | 2014-09-25 / 20140288726 - TEMPERATURE CONTROL METHOD, CONTROL APPARATUS, AND PLASMA PROCESSING APPARATUS | 1 |
Koichi Kazama | JP | Miyagi | 2014-10-02 / 20140291286 - SHOWER HEAD, PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD | 1 |
Takuya Kazama | JP | Nagano-Shi | 2010-07-22 / 20100184257 - METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 3 |
Kazunori Kazama | JP | Nirasaki-Shi | 2010-06-24 / 20100154995 - SUBSTRATE PROCESSING APPARATUS, PROGRAM FOR PERFORMING OPERATION AND CONTROL METHOD THEREOF, AND COMPUTER READABLE STORAGE MEDIUM STORING THE PROGRAM | 1 |
Takahito Kazama | JP | Osaka | 2010-05-27 / 20100128031 - CHAT TERMINAL DEVICE AND CHAT SYSTEM | 1 |
Toshimichi Kazama | JP | Suwa-Gun, Nagano | 2016-05-19 / 20160136819 - HORIZONTAL ARTICULATED ROBOT AND METHOD FOR MANUFACTURING HORIZONTAL ARTICULATED ROBOT | 2 |
Hironobu Kazama | JP | Matsumoto-Shi | 2011-09-15 / 20110219592 - METHOD FOR MANUFACTURING PIEZOELECTRIC ACTUATOR | 4 |
Atsushi Kazama | JP | Mohka | 2009-02-26 / 20090050990 - SEMICONDUCTOR SENSOR DEVICE AND METHOD FOR MANUFACTURING SAME | 1 |
Hironobu Kazama | JP | Nagano-Ken | 2009-02-19 / 20090044390 - METHOD FOR PRODUCING DIELECTRIC FILM, METHOD FOR PRODUCING PIEZOELECTRIC ELEMENT, METHOD FOR PRODUCING LIQUID-JET HEAD, DIELECTRIC FILM, PIEZOELECTRIC ELEMENT, AND LIQUID-JET APPARATUS | 2 |
Satoshi Kazama | JP | Gunma | 2008-12-11 / 20080304202 - MULTI-LAYER CAPACITOR AND INTEGRATED CIRCUIT MODULE | 1 |
Hideki Kazama | JP | Ibaraki | 2013-03-21 / 20130071804 - Adhesive for Teeth-Straightening Members | 2 |
Satoshi Kazama | JP | Tokyo | 2013-09-12 / 20130238264 - MEASUREMENT DEVICE FOR IDENTIFYING ELECTROMAGNETIC INTERFERENCE SOURCE, METHOD FOR ESTIMATING THE SAME, AND COMPUTER READABLE INFORMATION RECORDING MEDIUM ENABLING OPERATIONS THEREOF | 4 |
Satoshi Kazama | JP | Kawasaki | 2014-12-25 / 20140379963 - STORAGE SYSTEM, STORAGE DEVICE, AND CONTROL METHOD OF STORAGE SYSTEM | 10 |
Shinji Kazama | JP | Iruma-Shi | 2009-12-10 / 20090300906 - STATOR MANUFACTURING APPARATUS | 1 |
Masahiro Kazama | JP | Tochigi-Ken | 2009-03-19 / 20090074143 - X-RAY CT APPARATUS, SCAN PLAN ASSISTANCE APPARATUS AND METHOD FOR SCAN PLAN ASSISTANCE | 1 |
Junichi Kazama | JP | Koganei-Shi | 2012-12-27 / 20120330976 - RELATIONSHIP INFORMATION EXPANSION APPARATUS, RELATIONSHIP INFORMATION EXPANSION METHOD, AND PROGRAM | 2 |
Kazuo Kazama | JP | Sumida-Ku | 2009-01-01 / 20090000971 - Cling Wrap Case | 1 |
Takuto Kazama | JP | Tochigi Prefecture | 2011-05-05 / 20110101504 - Methods of Grinding Semiconductor Wafers Having Improved Nanotopology | 1 |
Kenichi Kazama | JP | Matsumoto | 2013-04-18 / 20130092979 - SEMICONDUCTOR DEVICE WITH AN ELECTRODE INCLUDING AN ALUMINUM-SILICON FILM | 3 |
Atsushi Kazama | JP | Hitachinaka | 2014-01-30 / 20140029076 - OPTICAL MODULE AND SCANNING TYPE IMAGE DISPLAY DEVICE | 4 |
Yoriko Kazama | JP | Kokubunji | 2011-03-31 / 20110075882 - GEOSPATIAL INFORMATION CREATING SYSTEM AND GEOSPATIAL INFORMATION CREATING METHOD | 4 |
Toshiyuki Kazama | JP | Ebina-Shi | 2011-03-17 / 20110064425 - DEVICE FOR MEASURING LENGTH OF RECORDING MATERIAL, IMAGE FORMING APPARATUS AND COMPUTER READABLE MEDIUM | 4 |
Toshio Kazama | JP | Kamiina-Gun | 2011-02-03 / 20110025358 - PROBE UNIT | 1 |
Kenichi Kazama | JP | Kobe-Shi | 2013-06-13 / 20130149405 - DEVICE FOR MANUFACTURING OPTICAL FILM | 2 |
Atsushi Kazama | JP | Hitachinaka-Shi | 2010-12-02 / 20100300205 - ACCELERATION SENSOR ELEMENT AND ACCELERATION SENSOR HAVING SAME | 1 |
Junichi Kazama | JP | Kyoto | 2013-11-28 / 20130317933 - DEVICE AND METHOD FOR PROVIDING INFORMATION RETRIEVAL SERVICE, DEVICE FOR BUILDING DATABASE TO BE USED FOR PROVIDING INFORMATION RETRIEVAL SERVICE, AND COMPUTER PROGRAM SIGNAL | 1 |
Kenichi Kazama | JP | Tokyo | 2010-07-29 / 20100190418 - LAPPING PLATE-CONDITIONING GRINDSTONE SEGMENT, LAPPING PLATE-CONDITIONING LAPPING MACHINE, AND METHOD FOR CONDITIONING LAPPING PLATE | 1 |
Yukio Kazama | JP | Shibata-Gun | 2010-04-29 / 20100103239 - OPTICAL SCANNER UNIT AND IMAGE FORMING APPARATUS | 1 |
Takeshi Kazama | JP | Kasumigaura | 2009-10-01 / 20090246030 - IMPELLER | 1 |
Yuji Kazama | JP | Kawasaki-Shi | 2009-08-13 / 20090205020 - INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD AND COMPUTER READABLE MEDIUM | 1 |
Akira Kazama | JP | Yokohama | 2009-04-02 / 20090086209 - Method for marking defect and device therefor | 1 |
Miyuki Kazama | JP | Kawasaki | 2012-03-08 / 20120057094 - Liquid crystal display device | 3 |
Yasuhiro Kazama | JP | Kanagawa | 2015-04-30 / 20150116538 - METHOD AND APPARATUS FOR IMAGING AN OBJECT | 1 |
Toshio Kazama | JP | Ina-Shi | 2009-01-08 / 20090009205 - Microcontactor probe assembly having a plunger and electric probe module using the same | 1 |
Takayuki Kazama | US | Foster City | 2014-09-18 / 20140274380 - CONTROLLER EMULATION FOR CLOUD GAMING | 2 |
Shigenori Kazama | JP | Kanagawa | 2011-12-01 / 20110289790 - METHOD AND APPARATUS FOR DRYING ELECTRODE MATERIAL | 1 |
Sadatsune Kazama | JP | Iwata-Shi | 2011-06-09 / 20110135237 - Retained roller assembly | 1 |
Atsushi Kazama | JP | Kanagawa | 2011-04-07 / 20110082956 - Information processing system and control method thereof | 2 |
Takuya Kazama | JP | Adachi-Ku | 2013-08-22 / 20130214292 - SEMICONDUCTOR ELEMENT AND MANUFACTURING METHOD THEREOF | 1 |
Randall Katsutoshi Kazama | US | Aiea | 2011-03-17 / 20110061240 - Utensil attachment to chopsticks | 1 |
Toshiyuki Kazama | JP | Kanagawa | 2013-09-26 / 20130250316 - PRINTING SYSTEM, IMAGE FORMING APPARATUS, AND PRINTING METHOD | 7 |
Hisashi Kazama | JP | Kanagawa | 2011-01-13 / 20110007975 - Image Display Apparatus and Image Display Method | 2 |
Takeshi Kazama | JP | Tokyo | 2009-01-08 / 20090011632 - Connector | 1 |
Kazunori Kazama | JP | Nirasaki City | 2016-04-21 / 20160111304 - SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD AND STORAGE MEDIUM | 1 |
Yuji Kazama | JP | Mishima-Shi | 2008-08-28 / 20080209055 - DATA COMMUNICATION SYSTEM | 2 |
Toshiaki Kazama | JP | Gunma | 2011-09-01 / 20110211977 - Oil Separator Built-In Compressor | 1 |
Yuji Kazama | JP | Kanagawa | 2015-02-26 / 20150055159 - INFORMATION PROCESSING SYSTEM, INFORMATION PROCESSING METHOD, AND COMPUTER READABLE MEDIUM | 2 |
Osamu Kazama | JP | Tokyo | 2015-11-19 / 20150333582 - COMPRESSOR, HEAT PUMP DEVICE, AIR CONDITIONER, AND FREEZING MACHINE | 2 |
Hideki Kazama | JP | Kanagawa | 2013-01-31 / 20130031311 - INTERFACE APPARATUS, CALCULATION PROCESSING APPARATUS, INTERFACE GENERATION APPARATUS, AND CIRCUIT GENERATION APPARATUS | 3 |
Yoshiaki Kazama | JP | Kanagawa | 2015-07-23 / 20150202947 - SUN VISOR FOR AUTOMOBILE | 2 |
Hiroshi Kazama | JP | Kanagawa | 2009-12-10 / 20090304031 - DIGITAL SIGNAL DEMULTIPLEXING DEVICE AND MULTIPLEXING DEVICE | 1 |
Hiroatsu Kazama | JP | Kanagawa | 2013-01-03 / 20130001862 - MEDIUM FEED DEVICE AND IMAGE FORMING APPARATUS | 4 |
Yoshihiro Kazama | JP | Kanagawa | 2008-10-02 / 20080237023 - MULTIDIRECTIONAL INPUT APPARATUS AND ELECTRONIC DEVICE | 1 |
Wallace Katsuichi Kazama | US | Aiea | 2011-03-17 / 20110061240 - Utensil attachment to chopsticks | 1 |
Atsushi Kazama | JP | Mito | 2011-09-22 / 20110227178 - SEMICONDUCTOR STRAIN SENSOR | 1 |
Shingo Kazama | JP | Kizugawa-Shi | 2012-12-13 / 20120312168 - GAS SEPARATION COMPOSITE MEMBRANE | 1 |
Kikuo Kazama | JP | Kawasaki-Shi | 2011-09-08 / 20110216207 - DISPLAY CONTROL APPARATUS, METHOD THEREOF AND STORAGE MEDIUM | 1 |
Satoshi Kazama | JP | Kawasaki | 2014-12-25 / 20140379963 - STORAGE SYSTEM, STORAGE DEVICE, AND CONTROL METHOD OF STORAGE SYSTEM | 10 |
Kenichi Kazama | JP | Matsumoto City | 2011-06-02 / 20110129989 - SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND DEVICE FOR SAME | 1 |
Yuji Kazama | JP | Shizuoka-Ken | 2011-06-02 / 20110128580 - IMAGE FORMING APPARATUS (PRINTING TIME SETTING FUNCTION) | 1 |
Takuya Kazama | JP | Tokyo | 2014-09-04 / 20140246686 - OPTICAL SEMICONDUCTOR DEVICE INCLUDING ANTIPARALLEL SEMICONDUCTOR LIGHT-EMITTING ELEMENT AND SCHOTTKY DIODE ELEMENT | 9 |
Shun Kazama | JP | Kanagawa | 2015-01-29 / 20150030189 - ELECTRONIC DEVICE | 1 |
Takayasu Kazamatsuri | JP | Tokyo | 2016-03-03 / 20160064654 - SEMICONDUCTOR DEVICE AND A MANUFACTURING METHOD THEREOF | 1 |
Toshio Kazami | JP | Utsunomiya-Shi | 2010-09-09 / 20100227152 - LAMINATED RESIN MOLDING, ITS MANUFACTURING METHOD, AND ITS MANUFACTURING APPARATUS | 1 |
Hiroshi Kazami | JP | Tokyo | 2010-03-25 / 20100074100 - PROXY SERVER, COMMUNICATION SYSTEM, COMMUNICATION METHOD AND PROGRAM | 2 |
Kazuyuki Kazami | JP | Tokyo | 2011-09-22 / 20110228140 - Electronic camera having electronic zoom indicator | 4 |
Yusuke Kazami | JP | Yokohama-Shi | 2015-11-12 / 20150326772 - IMAGE PICKUP APPARATUS, METHOD OF CONTROLLING IMAGE PICKUP APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM | 2 |
Satoru Kazami | JP | Tokyo | 2015-07-23 / 20150206469 - DISPLAY DEVICE, ELECTRONIC APPARATUS, AND ILLUMINATION REGION CONTROL METHOD OF DISPLAY DEVICE | 1 |
Yusuke Kazami | JP | Fujisawa | 2009-04-02 / 20090086594 - Recording Apparatus and Recording Method for Recording Position Information of User Data | 1 |
Wissam S. Kazan | US | Redmond | 2015-09-17 / 20150263911 - Roaming Application Settings Across Multiple Computing Devices | 5 |
Wissam Kazan | LB | Bayada | 2009-12-03 / 20090300015 - AGING AND COMPRESSING MULTIMEDIA CONTENT | 1 |
Ender Kazan | TR | Eskisehir | 2011-09-15 / 20110221282 - MOVING MAGNET TYPE LINEAR MOTOR | 1 |
Wissam Kazan | US | Bellevue | 2013-05-02 / 20130110979 - REMOTE ACCESS FROM MOBILE DEVICES | 3 |
Wissam Kazan | US | Redmond | 2016-02-04 / 20160034182 - TOOLBAR DASHBOARD FUNCTIONALITY | 7 |
Armen Kazanchian | US | Glendale | 2009-12-10 / 20090304808 - Anti-cancer immune-modulating agent | 1 |
Armen E. Kazanchian | US | Hermosa Beach | 2016-02-25 / 20160057270 - Push User Interface | 5 |
Armen E. Kazanchian | US | Montrose | 2009-06-18 / 20090153408 - ANTENNA WITH INTEGRATED RF MODULE | 1 |
Christian C. Kazanecki | US | Martins Creek | 2013-08-15 / 20130209418 - METHODS AND COMPOSITION RELATED TO BROWN ADIPOSE-LIKE CELLS | 5 |
Gerald P. Kazanjian | US | Framingham | 2014-10-09 / 20140300640 - METHOD AND APPARATUS FOR DETERMINING ORIENTATION RELATIVE TO A USER | 1 |
Kirill Kazantsev | RU | Yurlobo | 2015-05-21 / 20150139039 - Communication system and method for making telephone calls over the internet | 1 |
Aleksey G. Kazantsev | US | Brighton | 2016-04-14 / 20160101098 - SMALL MOLECULE ACTIVATORS OF NRF2 PATHWAY | 1 |
Alexei Kazantsev | US | Boulder | 2014-09-18 / 20140273153 - COVALENT MODIFICATION OF BIOLOGICAL MACROMOLECULES | 2 |
Yury Nikolaevich Kazantsev | RU | Moscow | 2009-01-29 / 20090025973 - ELECTROMAGNETIC SCREEN | 1 |
Aleksey G. Kazantsev | US | Boston | 2015-01-22 / 20150025235 - Benzamide Compounds and Related Methods of Use | 2 |
Andrey Nikolaevich Kazantsev | US | 2015-04-16 / 20150104292 - Aero-hydroelectric power station | 1 | |
Aleksey G. Kazantsev | US | Brookline | 2014-11-13 / 20140336178 - COMPOSITIONS AND METHODS FOR MODULATING INTERACTION BETWEEN POLYPEPTIDES | 4 |
Ilya Kazantsev | US | Whitehouse Station | 2015-08-27 / 20150244814 - ADAPTIVE CO-BROWSING | 1 |
Andrey Kazantsev | RU | St. Petersburg | 2015-04-16 / 20150104292 - Aero-hydroelectric power station | 1 |
Sergei Kazantsev | CA | Ontario | 2008-10-23 / 20080263607 - Downloading and Transfer of Audio or Video Data from Video Broadcasts | 1 |
Anna Kazantseva | EE | Tallinn | 2013-04-25 / 20130102542 - CANCER RELATED ISOFORMS OF COMPONENTS OF TRANSCRIPTION FACTOR COMPLEXES AS BIOMARKERS AND DRUG TARGETS | 3 |
Natalia Kazantseva | RU | Moskva | 2013-07-11 / 20130178691 - Electrically lossy magnetic liquid composition for controlled tissue heating | 1 |
Jekaterina Kazantseva | EE | Tallinn | 2013-04-25 / 20130102542 - CANCER RELATED ISOFORMS OF COMPONENTS OF TRANSCRIPTION FACTOR COMPLEXES AS BIOMARKERS AND DRUG TARGETS | 2 |
Peter Kazanzides | US | Lutherville | 2015-08-13 / 20150223903 - METHOD AND SYSTEM FOR TRANSCRANIAL PHOTOACOUSTIC IMAGING FOR GUIDING SKULL BASE SURGERIES | 1 |
Peter Kazanzides | US | Towson | 2016-03-31 / 20160089212 - SURGICAL SYSTEM USER INTERFACE USING COOPERATIVELY-CONTROLLED ROBOT | 3 |
Peter Kazanzides | US | Baltimore | 2014-04-03 / 20140094968 - TELEOPERATIVE-COOPERATIVE ROBOTIC SYSTEM | 2 |
Shinji Kazaoka | JP | Aichi | 2011-01-20 / 20110014078 - OIL PUMP | 1 |
Daisuke Kazaoka | JP | Kitakyushu-Shi | 2013-09-19 / 20130239320 - WATER PASSAGE SWITCHING DEVICE AND HAND SHOWER HEAD COMPRISING THE WATER PASSAGE SWITCHING DEVICE | 1 |
Naoki Kazaoka | JP | Shizuoka | 2010-06-17 / 20100149829 - VEHICLE LAMP | 1 |
Martin Kazaoka | US | San Diego | 2015-09-10 / 20150252341 - AMYLASES, NUCLEIC ACIDS ENCODING THEM AND METHODS FOR MAKING AND USING THEM | 3 |
Shinji Kazaoka | JP | Kariya-Shi | 2013-08-15 / 20130209302 - OIL PUMP | 1 |
Shigehiko Kazaoka | JP | Shizuoka-Shi | 2015-04-23 / 20150109808 - VEHICLE LAMP | 3 |
Shigehiko Kazaoka | JP | Shizuoka | 2014-05-22 / 20140140081 - VEHICULAR LAMP | 3 |
Said Kazaoui | JP | Ibaraki | 2008-09-04 / 20080210907 - Ionic Organic Compound | 1 |
Michael L. Kazar | US | Pittsburgh | 2016-02-11 / 20160042056 - System and Method for Storing Data in Clusters Located Remotely from Each Other | 17 |
Michael Kazar | US | Pittsburgh | 2014-08-21 / 20140237184 - SYSTEM AND METHOD FOR MULTI-TIERED META-DATA CACHING AND DISTRIBUTION IN A CLUSTERED COMPUTER ENVIRONMENT | 2 |
Baris M. Kazar | US | Nashua | 2010-04-15 / 20100091016 - Point in polyhedron | 3 |
Michael Kazar | US | Sunnyvale | 2014-01-09 / 20140013046 - MAINTAINING SNAPSHOT AND ACTIVE FILE SYSTEM METADATA IN AN ON-DISK STRUCTURE OF A FLE SYSTEM | 2 |
Kengo Kazari | JP | Anjo-City | 2015-01-29 / 20150027163 - REFRIGERANT EVAPORATOR | 1 |
Masahide Kazari | JP | Akashi-Shi | 2016-04-28 / 20160115796 - TURBINE BLADE COOLING STRUCTURE | 6 |
Kengo Kazari | JP | Kariya-City | 2016-03-24 / 20160084548 - REFRIGERANT EVAPORATOR | 1 |
Seth Kazarians | US | Northridge | 2015-09-17 / 20150263561 - POWER CONTROL TECHNIQUES FOR AN ELECTRONIC DEVICE | 5 |
Yair Kazarinov | IL | Zichron Yaakov | 2013-02-07 / 20130036317 - Device, System And Method Of Generating An Execution Instruction Based On A Memory-Access Instruction | 1 |
Aram Kazarjan | EE | Tallinn | 2015-11-26 / 20150335287 - Device to measure analytes in the skin | 3 |
Vladimir Alexandrovich Kazarov | RU | Troitsk | 2009-02-19 / 20090044389 - METHOD OF MANUFACTURING A CURRENT COLLECTOR FOR A DOUBLE ELECTRIC LAYER CAPACITOR | 3 |
Andrey Sergeevich Kazarov | RU | Moscow | 2014-06-05 / 20140156246 - SYSTEM FOR AUTOMATED IDENTIFICATION OF SURFACES FOR BUILDING OF GEOLOGIC HYDRODYNAMIC MODEL OF OIL AND GAS DEPOSIT BY SEISMIC DATA | 6 |
Samvel Kazaryan | RU | Troitsk | 2013-11-14 / 20130299748 - SYNTHESIS OF HIGH SPECIFIC CAPACITANCE POROUS CARBON POWDERS FOR USE IN DOUBLE ELECTRIC LAYER ELECTROCHEMICAL CAPACITORS | 2 |
Samvel Avakovich Kazaryan | RU | Troitsk | 2009-02-19 / 20090044389 - METHOD OF MANUFACTURING A CURRENT COLLECTOR FOR A DOUBLE ELECTRIC LAYER CAPACITOR | 4 |
Lianna Kazaryan | US | Glendale | 2011-09-15 / 20110219821 - JEWELRY WITH ROTATING ELEMENT THEREIN | 1 |
Ovakim Kazaryan | US | Duarte | / - | 1 |
Pasha Kazatsker | US | San Francisco | 2014-03-20 / 20140081714 - SYSTEMS AND METHODS OF REWARDING USERS IN AN ON-DEMAND SYSTEM | 1 |
Yusuke Kazawa | JP | Hagagun | 2008-10-02 / 20080237961 - PAD FOR HOLDING BLANK AND DIE ASSEMBLY THEREWITH | 1 |
Satoshi Kazawa | JP | Tokyo | 2009-01-01 / 20090006011 - Electromagnetic Field Distribution Measuring Method, Apparatus for the Method, Computer Program and Information Recording Medium | 1 |
Hiroshi Kazawa | JP | Ome-Shi | 2009-12-31 / 20090327726 - INFORMATION RECORDING/REPRODUCTION APPARATUS AND SYSTEM | 1 |
Hiroshi Kazawa | JP | Saitama | 2013-04-04 / 20130086222 - CONTENT PROCESSING SYSTEM, SERVER DEVICE, AND CONTENT REPRODUCING DEVICE | 1 |
Hiroshi Kazawa | JP | Akishima-Shi | 2014-11-27 / 20140347293 - METHOD FOR CONTROLLING DEVICE, DEVICE CONTROLLER, COMPUTER PROGRAM PRODUCT, AND ELECTRONIC DEVICE | 3 |
Elito Kazawa | JP | Tokyo | 2010-01-28 / 20100023041 - SURGICAL KNIFE, BLADE FOR SURGICAL KNIFE, AND METHOD OF MANUFACTURING THE SAME, AND HANDLE FOR SURGICAL KNIFE | 1 |
Tsunehisa Kazawa | JP | Tokyo | 2012-01-12 / 20120011069 - LICENSE MANAGEMENT METHOD AND CONTENT PROCESSING SYSTEM | 3 |
Tohru Kazawa | JP | Kokubunji-Shi | 2013-06-13 / 20130148972 - PASSIVE OPTICAL NETWORK SYSTEM, OPTICAL LINE TERMINAL, AND OPTICAL NETWORK UNIT | 2 |
Tohru Kazawa | JP | Kokubunji | 2012-12-06 / 20120308228 - OPTICAL LINE TERMINAL, BANDWIDTH CONTROL METHOD AND OPTICAL NETWORK SYSTEM | 41 |
Akira Kazawa | JP | Iwaki | 2014-04-17 / 20140107917 - NAVIGATION DEVICE, METHOD FOR DISPLAYING ICON, AND NAVIGATION PROGRAM | 1 |
Hiroshi Kazawa | JP | Kumagaya-Shi | 2013-02-07 / 20130036205 - Metadata Processing Apparatus, Server, and Metadata Processing Method | 5 |
Shin-Ichi Kazayama | JP | Saitama | 2009-01-08 / 20090012114 - Cyclohepta[B]Pyridine-3-Carbonylguanidine Derivative and Pharmaceutical Product Containing Same | 1 |
Roni Kazaz | IL | Rishon Lezion | 2014-03-20 / 20140081118 - ELECTROCARDIOGRAPHIC MONITORING SYSTEM AND METHOD | 1 |
Yosef Kazaz | IL | Tel Aviv | 2012-06-14 / 20120147988 - ENCODING MODULE, APPARATUS AND METHOD FOR DETERMINING A POSITION OF A DATA BIT WITHIN AN INTERLEAVED DATA STREAM | 2 |
Kara Kazazean | US | Rogers | 2014-10-16 / 20140310084 - SYSTEM AND METHOD FOR FACILITATING A PURCHASE OF SELECTED PRODUCTS OR SERVICES | 2 |
Jean-Jacques Kazazian | FR | Pourcieux | 2009-08-13 / 20090201615 - METHOD AND APPARATUS FOR ESD PROTECTION | 1 |
Harry A. Kazazian | US | Glendale | 2015-03-05 / 20150064999 - PERSONAL FLOTATION DEVICE | 1 |
Sasa Kazazic | HR | Zagreb | 2010-05-06 / 20100112621 - Analytical Method for Protein Mapping Using Hydrogen/Deuterium Exchange | 1 |
Christoforos Kazazis | DE | Ingolstadt | 2011-12-15 / 20110304267 - Noble Gas Short-Arc Discharge Lamp | 1 |
Evgeniy Kazban | UA | Simferepol | 2014-06-12 / 20140162728 - SYSTEM AND METHOD FOR PHONE NUMBER PREFIX ENTRY | 1 |
Cara R. Kazda | US | Stoughton | 2013-08-08 / 20130199143 - Panel Filter with Reduced Restriction | 1 |
Michael A. Kazda | US | Poughkeepsie | 2015-02-05 / 20150040095 - Method of Improving Timing Critical Cells For Physical Design In The Presence Of Local Placement Congestion | 2 |
Michael Anthony Kazda | US | Poughkeepsie | 2013-12-05 / 20130326458 - TIMING REFINEMENT RE-ROUTING | 1 |
Austin J. Kazda | US | Wauwatosa | 2015-08-20 / 20150231713 - RECIPROCATING SAW BLADE | 12 |
Austin J. Kazda | US | Wauwatosa | 2015-08-20 / 20150231713 - RECIPROCATING SAW BLADE | 12 |
Richard H. Kazdin | US | Palm City | 2011-07-14 / 20110172093 - CREATING BACKGROUND COLORS ON THERMAL PRINTING MATERIAL | 1 |
Grzegorz Kazek | PL | Sosnowiec | 2014-05-01 / 20140121216 - INDOLEAMINE DERIVATIVES FOR THE TREATMENT OF CENTRAL NERVOUS SYSTEM DISEASES | 1 |
Firas Kazem | CA | Ottawa | 2009-11-26 / 20090292376 - PLAYLIST EXECUTION IN A SCHEDULED PROGRAMMING ENVIRONMENT | 1 |
Ismail Kazem | US | Tampa | 2011-10-06 / 20110241600 - SYSTEM AND METHOD FOR A SELF-CHARGING BATTERY CELL | 1 |
Kaher Kazem | TH | Pong Banglamung Chonburi | 2011-12-22 / 20110309160 - ROTARY ATOMIZER OR MISTER | 1 |
Bijan Kazem | US | Woodstock | 2010-04-15 / 20100090124 - Method and Apparatus for Irradiating Fluids | 1 |
Bijan Kazem | US | Alpharetta | 2008-11-06 / 20080272056 - Method and Apparatus for Separating Impurities from a Liquid Stream by Electrically Generated Gas Bubbles | 1 |
Rodney Kazem | AU | South Australia | 2011-12-22 / 20110309160 - ROTARY ATOMIZER OR MISTER | 1 |
Bahaa I. Kazem | IQ | Baghdad | 2013-02-28 / 20130047978 - VORTEX-INDUCED CLEANING OF SURFACES | 1 |
Mohammadreza Kazemali | IR | Tehran | 2015-09-17 / 20150259666 - CHIMERIC TISSUE PLASMINOGEN ACTIVATOR (T-PA) RESIATANT TO PLASMINOGEN ACTIVATOR INHIBITOR-1 AND IMPROVED BIOCHEMICAL PROPERTIES | 2 |
Hossein Kazemi | US | San Francisco | 2012-06-21 / 20120158200 - INTEGRATED PERFORMANCE MONITORING FOR A CONCENTRATED PHOTOVOLTAIC (CPV) SYSTEM | 6 |
Mohammad Kazemi | US | Fremont | 2010-10-28 / 20100269365 - System and Method for Alternating Fluid Flow | 1 |
Nader Kazemi | US | North Hollywood | 2008-09-11 / 20080222684 - Media content distribution system and method | 2 |
Mojtaba Kazemi | CA | Calgary | 2015-11-19 / 20150330155 - ELECTROMAGNETIC TELEMETRY GAP SUB ASSEMBLY WITH INSULATING COLLAR | 2 |
Pejman Lotfali Kazemi | US | Sunnyvale | 2016-02-11 / 20160044457 - PROXIMITY FENCE | 9 |
Moslem Kazemi | US | San Diego | 2015-05-07 / 20150127150 - APPARATUS AND METHODS FOR HAPTIC TRAINING OF ROBOTS | 1 |
Mohammad Kazemi | US | San Jose | 2016-04-14 / 20160105930 - Voltage-Leveling Heater Cable | 1 |
Hossein B. Kazemi | US | Florence | 2013-10-10 / 20130268424 - Commodity Futures Index and Methods and Systems of Trading in Futures Contracts that Minimize Turnover and Transaction Costs | 3 |
Pejman Lotfali Kazemi | US | Sunnyvale | 2016-02-11 / 20160044457 - PROXIMITY FENCE | 9 |
Abbass Kazemi | US | Ann Arbor | 2010-01-21 / 20100015447 - MICROPHASIC MICRO-COMPONENTS AND METHODS FOR CONTROLLING MORPHOLOGY VIA ELECTRIFIED JETTING | 1 |
Leyla Kazemi | US | Bellevue | 2014-11-20 / 20140343984 - SPATIAL CROWDSOURCING WITH TRUSTWORTHY QUERY ANSWERING | 1 |
Saied Kazemi | US | Irvine | 2014-11-27 / 20140351522 - STORAGE SYSTEM WITH REDUCED HASH KEY MEMORY | 6 |
Saied Kazemi | US | Mountain View | 2016-04-21 / 20160110251 - METHODS FOR MANAGING FAILURE OF A SOLID STATE DEVICE IN A CACHING STORAGE | 2 |
Vahid Kazemi | US | Mountain View | 2015-04-30 / 20150117794 - GENERATING IMAGE COMPOSITIONS | 1 |
Hooman Kazemi | US | Thousand Oaks | 2016-03-03 / 20160064806 - FLEXIBLE ARTIFICIAL IMPEDANCE SURFACE ANTENNAS FOR AUTOMOTIVE RADAR SENSORS | 3 |
David Kazemi | US | Lee'S Summit | 2014-08-21 / 20140232033 - Porous Membrane | 4 |
Saeid Kazemi | SE | Hassleholm | 2011-06-09 / 20110136090 - METHOD AND A DEVICE FOR PRACTICING DENTAL TREATMENTS | 1 |
Pejman Lotfali Kazemi | US | San Francisco | 2014-09-11 / 20140256306 - Operating Geographic Location Systems | 1 |
Hossein Kazemi | US | Castle Rock | 2016-03-10 / 20160069159 - MATRIX-FRACTURE INTERFACE CLEANUP METHOD FOR TIGHT SANDSTONE, CARBONATE, AND SHALE RESERVOIRS | 3 |
Peyman Kazemian | US | Stanford | 2010-08-19 / 20100211882 - REMOTE CONTROL OF A HOST COMPUTER | 1 |
Peyman Kazemian | US | Redwood City | 2016-02-04 / 20160036636 - SYSTEMS AND METHODS FOR NETWORK MANAGEMENT | 1 |
Omid Kazemifar | SE | Staffanstorp | 2013-12-12 / 20130327837 - CARD HOLDING DEVICE | 1 |
Mojtaba Kazemi Miraki | CA | Calgary | 2016-05-05 / 20160123137 - Method and Apparatus for Detecting Gamma Radiation Downhole | 3 |
Saied Kazeminejad | US | Ashburn | 2014-05-22 / 20140141781 - WIRELESS DEVICE COMMUNICATION | 4 |
Mehdi Kazemi-Nia | US | Los Angeles | 2009-02-12 / 20090041475 - MODULATOR DRIVER CIRCUIT WITH SELECTABLE ON-CHIP TERMINATION | 1 |
Bashir Kazemipur | CA | Calgary | 2015-05-07 / 20150127259 - SYSTEMS AND METHODS FOR OPTICAL SENSOR NAVIGATION | 1 |
Steven Scott Kazemir | CA | Port Coquitlam | 2015-01-15 / 20150016113 - Methods of Changing an Appearance of an Illumination Apparatus String, Cover Apparatuses, Uses of Same, and Kits and Assemblies Comprising Same | 3 |
Mohammad R. Kazemizadeh | US | Blooming Prairie | 2013-08-08 / 20130203907 - EPOXIDIZED COMPOSITION AND METHODS FOR MAKING THE SAME | 1 |
Zahra Kazem-Moussavi | CA | Winnipeg | 2014-11-06 / 20140330095 - SYSTEM AND METHODS FOR ESTIMATING RESPIRATORY AIRFLOW | 1 |
Ebrahim Kazemzadeh | US | Los Angeles | 2016-03-24 / 20160085506 - SYSTEM AND METHOD FOR SPEECH-ENABLED ACCESS TO MEDIA CONTENT | 2 |
Roshan Kazemzadeh | US | Clara City | 2013-01-17 / 20130018244 - Budget Your Weight SystemAANM Kazemzadeh; MassoudAACI Clara CityAAST MNAACO USAAGP Kazemzadeh; Massoud Clara City MN USAANM Kazemzadeh; RoshanAACI Clara CityAAST MNAACO USAAGP Kazemzadeh; Roshan Clara City MN US | 1 |
Farhad Kazemzadeh | US | Bloomington | 2015-01-15 / 20150016648 - ACOUSTICALLY TRANSPARENT BARRIER LAYER TO SEAL AUDIO TRANSDUCERS | 1 |
Massoud Kazemzadeh | US | Clara City | 2013-01-17 / 20130018244 - Budget Your Weight SystemAANM Kazemzadeh; MassoudAACI Clara CityAAST MNAACO USAAGP Kazemzadeh; Massoud Clara City MN USAANM Kazemzadeh; RoshanAACI Clara CityAAST MNAACO USAAGP Kazemzadeh; Roshan Clara City MN US | 2 |
Keith Kazenski | US | Twinsburg | 2011-06-16 / 20110140915 - Distributed Personalized Energy and Carbon Accounting and Feedback System | 1 |
J. Anastasia Kazenski | US | Ithaca | 2009-05-28 / 20090134542 - Preparation and Sterilization of Green State Devices Using a Supercritical Fluid Sterilant | 1 |
Keith D. Kazenski | US | Twinsburg | 2012-02-23 / 20120044666 - Elongated LED Lamp for Replacing a Fluorescent Lamp | 2 |
Dennis B. Kazensky | US | Farmington Hills | 2015-04-23 / 20150109444 - VISION-BASED OBJECT SENSING AND HIGHLIGHTING IN VEHICLE IMAGE DISPLAY SYSTEMS | 4 |
Janette Kazenwadel | AU | South Australia | 2009-12-10 / 20090305294 - METHOD OF ISOLATING NUCLEIC ACIDS FROM STOOL SAMPLES | 1 |
Hayato Kazeoka | JP | Tokai-Shi | 2009-05-28 / 20090133830 - Laminated metal sheet and method of production of same | 1 |
Alex Kazerani | US | Los Angeles | 2012-08-23 / 20120215915 - Global Load Balancing on a Content Delivery Network | 6 |
Moujan Kazerani | US | Santa Monica | 2016-04-28 / 20160117782 - Base-Business Cards | 12 |
Alexander A. Kazerani | US | Santa Monica | 2016-03-17 / 20160080445 - Multi-Tenant Over-The-Top Multicast | 28 |
Mehrdad Kazerani | CA | Waterloo | 2012-07-12 / 20120175965 - System and Method for Reducing Mismatch in a Photovoltaic Structure | 2 |
Alexander A. Kazerani | US | Santa Monica | 2016-03-17 / 20160080445 - Multi-Tenant Over-The-Top Multicast | 28 |
Moujan Kazerani | US | Santa Monica | 2016-04-28 / 20160117782 - Base-Business Cards | 12 |
Homayoon Kazerooni | US | Oakland | 2013-09-12 / 20130237884 - Human Machine Interfaces for Lower Extremity Orthotics | 1 |
Hanif Kazerooni | IR | Tehran | 2014-05-08 / 20140128658 - ENRICHED 10-BORON COMPOSITION FOR CANCER THERAPY AND A METHOD OF SYNTHESIZING THE SAME | 1 |
Maryam Kazerooni | CA | Windsor | 2013-10-31 / 20130285453 - METHOD AND APPARATUS FOR SEAMLESS POWER TRANSFER | 1 |
Homayoon Kazerooni | US | Berkeley | 2015-08-20 / 20150230964 - Trunk Supporting Exoskeleton and Method of Use | 19 |
Vahid Kazerooni | US | Edmond | 2014-09-18 / 20140261086 - PROCESS FOR MANUFACTURING TITANIUM DIOXIDE PIGMENTS USING ULTRASONICATION | 1 |
Sahba Kazeroonizadeh | CA | Toronto | 2012-10-04 / 20120254829 - METHOD AND SYSTEM TO PRODUCE SECURE SOFTWARE APPLICATIONS | 1 |
Babak Kazerouni | US | Irvine | 2012-09-20 / 20120240052 - METHODS AND SYSTEMS FOR USE IN CUSTOMIZING DISPLAYED CONTENT ASSOCIATED WITH A PORTABLE STORAGE MEDIUM | 3 |
Osamu Kazeto | JP | Okayama | 2012-01-12 / 20120009431 - RESIN COMPOSITION AND MULTILAYERED STRUCTURE USING THE SAME | 3 |
Osamu Kazeto | JP | Kurashiki-Shi | 2015-12-31 / 20150376372 - RESIN COMPOSITION, MOLDED ARTICLE, MULTILAYERED PIPE AND METHOD FOR PRODUCING THE SAME | 3 |
Osamu Kazeto | JP | Kurashiki-City | 2009-11-05 / 20090274917 - ETHYLENE-VINYL ALCOHOL COPOLYMER COMPOSITION, AND POWDERY COATING COMPOSITION COMPRISING IT | 1 |
Benjamin H. Kazez | US | Ann Arbor | 2012-03-22 / 20120072249 - SYSTEM AND METHOD FOR SENDING TRAVEL INFORMATION TO A WIRELESS MOBILE DEVICE | 1 |
Sreejith Kazhayil | IN | Bangalore | 2013-05-02 / 20130106871 - DMA CONTROL OF A DYNAMICALLY RECONFIGURABLE PIPELINED PRE-PROCESSOR | 4 |
Irene Kazhdan | US | San Antonio | 2009-06-25 / 20090163431 - COMPOSITIONS AND METHODS FOR MODULATION OF PDX-1 | 1 |
Ramakrishnan Kazhiyur-Mannar | US | Castro Valley | 2009-04-23 / 20090103773 - SMART PICKING IN 3D POINT CLOUDS | 1 |
Ramakrishnan Kazhiyur-Mannar | US | Milpitas | 2013-09-12 / 20130238594 - Related Entities | 2 |
Iqbal Kazi | GB | Hertfordshire | 2011-11-03 / 20110269320 - MEANS FOR SECURING A POWER SUPPLY TO A BUS BAR | 2 |
Imranuddin W. Kazi | IN | Pune | 2012-12-06 / 20120311610 - PROCESS-BASED CMPI PROVIDER MANAGEMENT | 1 |
Asimuddin Kazi | US | Naperville | 2015-11-05 / 20150317196 - ADJUSTING A NUMBER OF DISPERSED STORAGE UNITS | 11 |
Suhail H. Kazi | IN | Kmataka | 2012-10-18 / 20120265833 - Managing Email Disk Usage Based on User Specified Conditions | 1 |
Izzat Wajih Kazi | SA | Dhahran | 2015-05-28 / 20150144556 - ANTISCALANT COMPOUND AND METHODS OF MAKING AND USING THE SAME | 1 |
Rubaiat Habib Kazi | CA | Toronto | 2015-12-24 / 20150370468 - GRAPHICAL INTERFACE FOR EDITING AN INTERACTIVE DYNAMIC ILLUSTRATION | 3 |
M. Shahjahan Kazi | US | Kendall Park | 2014-09-18 / 20140271429 - Zoned Catalyst For Diesel Applications | 3 |
Rousseau Kazi | US | Moreno Valley | 2015-01-15 / 20150019651 - METHODS, SYSTEMS, AND USER INTERFACES FOR COMMUNITY-BASED MAPS | 4 |
Asimuddin Kazi | US | Naperville | 2015-11-05 / 20150317196 - ADJUSTING A NUMBER OF DISPERSED STORAGE UNITS | 11 |
Tauseef Kazi | US | San Diego | 2016-02-04 / 20160036321 - DYNAMIC BYPASS CAPACITANCE | 4 |
Arif Kazi | DE | Aalen | 2013-03-21 / 20130070224 - PROJECTION LENS SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE INSTALLATION | 9 |
Suhail H. Kazi | IN | Karnataka | 2008-11-20 / 20080288598 - METHOD TO MANAGE DISK USAGE BASED ON USER SPECIFIED CONDITIONS | 1 |
Zunaid H. Kazi | US | San Diego | 2012-09-06 / 20120226692 - SYSTEM AND METHOD FOR MATCHING AND ASSEMBLING RECORDS | 1 |
Sabiha Kazi | IN | Mumbai | 2013-10-10 / 20130268420 - Methods and Systems for Interactive Solutioning and Visualization of Working Capital Products | 1 |
Arif Kazi | DE | Aalen | 2013-03-21 / 20130070224 - PROJECTION LENS SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE INSTALLATION | 9 |
Aslamuzzaman Kazi | US | Detroit | 2016-03-10 / 20160068503 - (-)-EPIGALLOCATECHIN GALLATE DERIVATIVES FOR INHIBITING PROTEASOME | 3 |
Rousseau Newaz Kazi | US | San Francisco | 2016-03-10 / 20160070790 - Pivoting Search Results on Online Social Networks | 3 |
Mushtaq Kazi | US | Orlando | 2015-10-22 / 20150300189 - INTERSTAGE SEAL ASSEMBLY FOR GAS TURBINE ENGINE | 1 |
Harold Kazier | US | Channahon | 2009-01-29 / 20090029024 - Composition suitable for use in baking | 1 |
Nahum Kaziev | US | Rego Park | 2016-03-03 / 20160059988 - CAN COVER | 1 |
Kazim Kazim | US | Charlotte | 2015-08-13 / 20150224608 - LOW MELTING POINT BRAZE ALLOY FOR HIGH TEMPERATURE APPLICATIONS | 1 |
Jenan Kazim | GB | St. Albans | 2012-09-27 / 20120240969 - Umbrella Folding Upward and Inside Out | 1 |
Anam Kazim | CA | Toronto | 2010-07-01 / 20100166620 - System and process of biodiesel production | 1 |
Latif Kazim | US | Amherst | 2008-11-27 / 20080293103 - STRESS PROTEIN COMPOSITIONS AND METHODS FOR PREVENTION AND TREATMENT OF CANCER AND INFECTIOUS DISEASE | 1 |
Zygmunt Kazimierczuk | PL | Warszawa | 2009-09-24 / 20090239921 - METHODS FOR TREATING NEOPLASMS WITH DERIVATIVES OF 4,5,6,7-TETRABROMOBENZIMIDAZOLE | 1 |
Thilo Kazimiers | DE | Bahretal | 2013-08-29 / 20130219874 - Hydraulic Arrangement | 2 |
Arkadiusz Kazimierski | US | Old Bridge | 2012-05-24 / 20120129827 - NOVEL DERIVATIVES OF 1-ALKYL-6-OXO-PIPERIDINE-3-CARBOXYLIC ACIDS AND 1-ALKYL-5-OXO-PYRROLIDINE-3-CARBOXYLIC ACIDS AND THEIR USES AS COOLING COMPOUNDS | 5 |
Kyle Kazimir | US | South Plainfield | 2013-08-01 / 20130193297 - SLIDER CLIP AND PHOTOVOLTAIC STRUCTURE MOUNTING SYSTEM | 6 |
Edward S. Kazimir | US | Mantua | 2008-10-23 / 20080257722 - Porous Non-Asbestos Separator and Method of Making Same | 1 |
Erik T. Kazior | US | Sudbury | 2015-01-15 / 20150015356 - COMPACT MAGNETICS ASSEMBLY | 1 |
Benedict Kazirskis | US | Acworth | 2015-03-19 / 20150078904 - REPAIRED TURBINE ROTOR WHEEL DOVETAIL AND RELATED METHOD | 1 |
Andrew James Kaziska | US | Whitehouse Station | 2015-12-31 / 20150376549 - TREATMENT OF HARD SURFACES | 1 |
Elina Kaziyeva | US | Penacook | 2013-01-03 / 20130006798 - Penny Auction With Reserve | 1 |
Peter T. Kazlas | US | Dodge City | 2013-02-14 / 20130037778 - DEVICE INCLUDING QUANTUM DOTS | 1 |
Peter T. Kazlas | US | Sudbury | 2016-04-14 / 20160104813 - METHOD FOR PROCESSING DEVICES INCLUDING QUANTUM DOTS AND DEVICES | 32 |
Egidijus Kazlauskas | LT | Vilnius | 2011-02-24 / 20110046387 - 5-ARYL-4-(5-SUBSTITUTED 2,4-DIHYDROXYPHENYL)-1,2,3-THIADIAZOLES AS INHIBITORS OF HSP90 CHAPERONE AND THE INTERMEDIATES FOR PRODUCTION THEREOF | 1 |
Andrius Kazlauskas | US | Winchester | 2015-11-05 / 20150313893 - Nutlin-3A For Treatment of Proliferative Vitreoretinopathy | 2 |
Michael Kazlauskas | US | Watertown | 2011-11-03 / 20110265650 - ENGINE AIR PARTICLE SEPARATOR | 1 |
William J. Kazlauskas | US | Woodstock | 2010-09-30 / 20100246228 - POLY-PHASE AC/DC ACTIVE POWER CONVERTER | 1 |
Romas J. Kazlauskas | US | Falcon Heights | 2009-05-07 / 20090117628 - Enzymatic processing in deep eutectic solvents | 1 |
Terence Kazlow | US | Webster | 2014-11-20 / 20140343474 - FOOT AILMENT SUPPORT AND CUSHION APPARATUS | 2 |
Bartosz Kazlowski | TW | Keelung City | 2010-12-23 / 20100323407 - Manufacturing method of separating and purifying neoagarooligosaccharides having degrees of polymerization from 2 to 22 | 1 |
Shlomo Kazma | IL | Petah Tikva | 2009-10-22 / 20090261708 - GLASS-PHOSPHOR CAPPING STRUCTURE FOR LEDS | 1 |
Gerrit Simon Kazmaier | DE | Walldorf | 2016-01-07 / 20160005141 - Polygon Simplification | 5 |
Peter M. Kazmaier | CA | Ontario | 2010-09-16 / 20100231639 - VARIABLE DATA IMAGING | 1 |
Gerrit Simon Kazmaier | DE | Heidelberg | 2016-01-07 / 20160005141 - Polygon Simplification | 26 |
Jorg Kazmaier | DE | Neuried-I | 2009-02-19 / 20090045183 - Welding Torch and End Piece as Well as Contact Tube for a Welding Torch | 1 |
Stefan Kazmaier | DE | Kirchheim | 2016-02-11 / 20160043446 - CELL CONTACT-MAKING SYSTEM FOR AN ELECTROCHEMICAL DEVICE | 1 |
Gerrit Simon Kazmaier | DE | Metzingen | 2015-05-28 / 20150149258 - ENTERPRISE PERFORMANCE MANAGEMENT PLANNING OPERATIONS AT AN ENTERPRISE DATABASE | 9 |
Joerg Kazmaier | AT | Thalheim Bei Wels | 2012-03-29 / 20120074115 - METHOD FOR CHANGING A WELDING PROCESS DURING A WELDING OPERATION AND METHOD FOR APPLYING HEAT PRIOR TO A WELDING OPERATION | 3 |
Peter M. Kazmaier | CA | Mississauga | 2012-09-20 / 20120237865 - TONERS WITH FLUORESCENCE AGENT AND TONER SETS INCLUDING THE TONERS | 60 |
Gerrit Simon Kazmaier | DE | Metzingen | 2015-05-28 / 20150149258 - ENTERPRISE PERFORMANCE MANAGEMENT PLANNING OPERATIONS AT AN ENTERPRISE DATABASE | 9 |
Gerrit Simon Kazmaier | DE | Heidelberg | 2016-01-07 / 20160005141 - Polygon Simplification | 26 |
Darren Kazmaier | CA | Mississauga | 2009-12-31 / 20090326087 - METHOD FOR TREATING MICROCAPSULES FOR USE IN IMAGING MEMBER | 1 |
Uli Kazmaier | DE | Homburg | 2015-10-15 / 20150291658 - NOVEL CHONDRAMIDE DERIVATIVES | 2 |
Peter Kazmaier | CA | Mississauga | 2012-02-16 / 20120040199 - ERASABLE MEDIUM WITH UNCOATED SUBSTRATE | 3 |
Kursat Kazmanli | TR | Istanbul | 2013-04-04 / 20130085088 - Method to Produce Catalytically Active Nanocomposite Coatings | 1 |
Kürsat M. Kazmanli | TR | Istanbul | 2010-09-09 / 20100224498 - METHOD FOR BORIDING OF COATINGS USING HIGH SPEED ELECTROLYTIC PROCESS | 1 |
Kürsat M. Kazmanli | TR | Istanbul | 2010-09-09 / 20100224498 - METHOD FOR BORIDING OF COATINGS USING HIGH SPEED ELECTROLYTIC PROCESS | 1 |
James Edward Kazmarek | US | Carlsbad | 2010-05-20 / 20100122500 - Ember/moisture deflector | 1 |
David O. Kazmer | US | North Andover | 2013-01-31 / 20130030723 - Method And System For Multivariate Remote Monitoring Of Polymer Processing | 1 |
Muhammadd Kazmi | SE | Bromma | 2011-05-19 / 20110117952 - System and Method for Resuming Power Control after Interruption | 1 |
Rehan Kazmi | US | Gilbert | 2011-02-10 / 20110032063 - Modular Space Transformer For Fine Pitch Vertical Probing Applications | 2 |
Muhammad A. Kazmi | SE | Bromma | 2012-11-15 / 20120287876 - Method, Radio Network Controller, Radio Base Station and User Equipment for Selecting Downlink Mode | 1 |
Zaigham Kazmi | US | San Marcos | 2016-03-31 / 20160094446 - MULTIPLE RADIO LINK CONTROL (RLC) GROUPS | 1 |
Muhammad Kazmi | US | 2012-11-08 / 20120281775 - METHOD AND ARRANGEMENT IN A CELLULAR COMMUNICATIONS SYSTEM | 1 | |
Hussan Kazmi | US | Vineland | 2015-12-03 / 20150348332 - METHOD AND APPARATUS FOR TAXI CAB FARE COLLECTION | 1 |
Zaigham A. Kazmi | US | San Marcos | 2014-12-11 / 20140362829 - EPS BEARER SPLITTING FOR DUAL CONNECTIVITY DEVICES | 1 |
Mustafa Anwar Kazmi | US | Atlanta | 2010-03-04 / 20100056099 - Preferrential Treatment For Emergency Callback | 8 |
Muhammad Ali Kazmi | US | 2014-05-08 / 20140126380 - Method and Apparatus for Testing Mobile Terminals in an OFDM System | 1 | |
Faraz Kazmi | US | Olathe | 2012-11-29 / 20120301892 - IN VITRO TEST SYSTEM TO EVALUATE XENOBIOTICS AS IMMUNE-MODULATORS OF DRUG TRANSPORT AND METABOLISM IN HUMAN HEPATOCYTES | 1 |
Muhammad Kazmi | SE | Bromma | 2016-05-19 / 20160143055 - SIGNALING ADAPTED CSI-RS PERIODICITIES IN ACTIVE ANTENNA SYSTEMS | 296 |
Muhammad Ali Kazmi | SE | Bromma | 2016-03-10 / 20160073277 - Method and Apparatus for Testing Mobile Terminals in an OFDM System | 46 |
Ali Kazmi | US | San Francisco | 2014-09-18 / 20140275517 - METHODS AND SYSTEMS FOR CONDITIONING OF PARTICULATE CRYSTALLINE MATERIALS | 1 |
Faraz Kazmi | US | Overland Park | 2014-11-20 / 20140342944 - IN VITRO TEST SYSTEM TO EVALUATE XENOBIOTICS AS IMMUNE-MODULATORS OF DRUG TRANSPORT AND METABOLISM IN HUMAN HEPATOCYTES | 1 |
Abbas Mehdi Kazmi | US | Horseheads | 2014-05-08 / 20140126075 - FILMS FOR DISPLAY COVERS AND DISPLAY DEVICES COMPRISING THE SAME | 1 |
Muhammed Kazmi | SE | Bromma | 2014-07-10 / 20140192781 - Mobility State Aware Mobile Relay Operation | 3 |
Syed Zafar Kazmi | US | San Diego | 2009-02-05 / 20090037890 - METHOD AND SYSTEM FOR GENERATING AN APPLICATION | 3 |
Muhammad Kazmi | SE | Bromm | 2011-05-05 / 20110103243 - SIGNALING FOR FLEXIBLE CARRIER AGGREGATION | 1 |
Harald Kazmierczak | DE | Beilstein | 2009-05-21 / 20090128980 - CIRCUIT ARRANGEMENT FOR CONTROLLING ELECTROMAGNETIC VALVES AND VALVE DEVICE WITH A CONTROLLABLE VALVE | 1 |
Andrea L. Kazmierczak | US | Marlborough | 2016-04-21 / 20160107936 - REFRACTORY OBJECT AND PROCESS OF FORMING A GLASS SHEET USING THE REFRACTORY OBJECT | 6 |
Robert A. Kazmierczak | US | Columbia | 2010-06-03 / 20100135973 - Microorganism Strain CRC2631 of Salmonella typhimurium and its Use as a Cancer Therapeutic | 1 |
James K. Kazmierczak | US | Marlborough | 2013-12-26 / 20130342011 - POWER MANAGERS AND METHODS FOR OPERATING POWER MANAGERS | 1 |
Andy Kazmierczak | US | Vista | 2008-08-28 / 20080208095 - Knee brace having a rigid frame and patellofemoral support | 1 |
Tadeusz Kazmierczak | CA | Edmonton | 2010-06-10 / 20100142759 - A SYSTEM AND A METHOD FOR DETECTING A DAMAGED OR MISSING MACHINE PART | 2 |
Steven C. Kazmierczak | US | Portland | 2011-11-10 / 20110276342 - VALIDATION OF POINT-OF-CARE TEST RESULTS BY ASSESSMENT OF EXPECTED ANALYTE RELATIONSHIPS | 1 |
James D. Kazmierczak | US | Marlborough | 2014-04-17 / 20140103720 - POWER MANAGERS AND METHODS FOR OPERATING POWER MANAGERS | 5 |
Andrea Kazmierczak | US | Marlborough | 2012-09-13 / 20120227445 - REFRACTORY OBJECT, GLASS OVERFLOW FORMING BLOCK, AND PROCESS FOR GLASS OBJECT MANUFACTURE | 1 |
Robert Kazmierczak | US | Waukesha | 2015-10-01 / 20150273714 - ADJUSTABLE TRIMMING ASSEMBLY | 3 |
James D. Kazmierczak | US | Berlin | 2014-10-02 / 20140292082 - POWER MANAGER | 2 |
Gregory J. Kazmierczak | US | Belle Mead | 2012-09-13 / 20120233449 - METHODS AND SYSTEMS FOR MEASURING TRUSTWORTHINESS OF A SELF-PROTECTING DRIVE | 2 |
Philip C. Kazmierowicz | US | Estacada | 2009-04-02 / 20090084303 - Marine Vessel | 2 |
Andrei Kazmierski | US | Acton | 2014-07-03 / 20140185290 - VARIABLE BEAM ANGLE ILLUMINATION | 2 |
Wieslaw Mieczyslaw Kazmierski | US | Research Triangle Park | 2015-07-09 / 20150191469 - COMPOUNDS AND METHODS FOR TREATING HIV | 2 |
Christophe Kazmierski | FR | Marcoussis | 2015-11-05 / 20150316829 - DEVICE FOR GENERATING A MODULATION OF AN OPTICAL SIGNAL COMPRISING ELECTRO-ABSORPTION MODULATORS | 3 |
Andrei Stefan Kazmierski | US | San Jose | 2015-06-04 / 20150153023 - MULTI-APERTURE ILLUMINATION LAYER FOR TILEABLE DISPLAY | 1 |
Jörg Kazmierski | DE | Siegen | 2015-10-08 / 20150283590 - Method for Producing a Flat Steel Product with High Reflectivity, Flat Steel Product and Mirror Element for Solar Concentrators | 1 |
Wleslaw Mieczyslaw Kazmierski | US | Durham | 2011-06-23 / 20110152237 - Chemical Compounds | 1 |
Andrei Kazmierski | US | Pleasanton | 2016-04-14 / 20160103263 - LIGHT TRANSMISSION DEVICE WITH INTEGRATION STRUCTURES | 2 |
Andrei S. Kazmierski | US | Pleasanton | 2016-03-31 / 20160091786 - SCREEN CONFIGURATION FOR DISPLAY SYSTEM | 1 |
Wieslaw Mieczylaw Kazmierski | US | Releigh | 2010-05-20 / 20100124543 - SULPHONAMIDE DERIVATIVES AS PRODRUGS OF ASPARTYL PROTEASE INHIBITORS | 1 |
Wieslaw Mieczyslaw Kazmierski | US | Durham | 2013-03-21 / 20130072690 - Chemical Compounds | 5 |
Andrei Kazmierski | JP | Himeji-Shi | 2011-10-20 / 20110255290 - LIGHT EMITTING DEVICE | 2 |
Wieslaw Kazmierski | US | Durham | 2010-08-05 / 20100196321 - COMPOUNDS | 1 |
Andrei Kazmierski | JP | Himeji-Shi (hyogo) | 2010-07-01 / 20100164349 - LIGHT EMITTING DEVICE | 1 |
Wieslaw Kazmierski | US | Research Triangle Park | 2011-09-15 / 20110224211 - Anti-Viral Compounds, Compositions, And Methods Of Use | 1 |
Richard R. Kazmierzak | US | Columbia | 2012-01-12 / 20120007416 - Wheel Mounting Sleeve | 1 |
Dmitri Kazmin | US | Durham | 2010-04-15 / 20100089753 - FLUORESCENT DETECTION OF PROTEINS IN POLYACRYLAMIDE GELS | 1 |
Karl Kazmirski | US | Temperance | 2014-10-30 / 20140318908 - HIGH VELOCITY COMPRESSION DAMPING VALVE | 7 |
Karl C. Kazmirski | US | Temperance | 2016-03-24 / 20160082803 - VALVE SWITCHING CONTROLS FOR ADJUSTABLE DAMPER | 9 |
Karl C. Kazmirski | US | Temperance | 2016-03-24 / 20160082803 - VALVE SWITCHING CONTROLS FOR ADJUSTABLE DAMPER | 9 |
Karen M. Kazmorck | US | Douglassville | 2009-02-26 / 20090053432 - Apparatus for cushioning a child | 1 |
Vladislav Kaznacheev | RU | St. Petersburg | 2013-01-03 / 20130006978 - OBJECTIVE AND SUBJECTIVE RANKING OF COMMENTS | 4 |
Viktor Kaznov | SE | Johanneshov | 2011-03-10 / 20110060492 - UNITARY ROLLING VEHICLE | 1 |
Glenn Kazo | US | New Ipswich | 2015-01-29 / 20150031599 - HEMOGLOBIN COMPOSITIONS | 2 |
Friedericke Kazo | US | New Ipswich | 2015-01-29 / 20150031599 - HEMOGLOBIN COMPOSITIONS | 2 |
Glenn Kazo | US | Lexington | 2014-11-20 / 20140343028 - METHODS OF TREATING ORAL MUCOSITIS | 2 |
Mitsuaki Kazou | JP | Tokyo | 2013-05-23 / 20130129284 - ELECTRICAL-OPTICAL HYBRID CONNECTOR AND RECEPTACLE THEREOF | 2 |
Chafic Kazoun | US | Washington | 2011-05-19 / 20110119317 - APPARATUS, METHOD AND COMPUTER READABLE MEDIUM FOR SIMULATION INTEGRATION | 1 |
Chafic A. Kazoun | US | Washington | 2014-08-07 / 20140222805 - APPARATUS, METHOD AND COMPUTER READABLE MEDIUM FOR TRACKING DATA AND EVENTS | 2 |
Chafic A. Kazoun | US | Chevy Chase | 2008-10-23 / 20080261192 - SYNCHRONOUS MULTI-MEDIA RECORDING AND PLAYBACK WITH END USER CONTROL OF TIME, DATA, AND EVENT VISUALIZATION FOR PLAYBACK CONTROL OVER A NETWORK | 1 |
Adi Kazt | IL | Ramat Gan | 2009-01-01 / 20090006822 - Device and Method for Adding and Subtracting Two Variables and a Constant | 1 |
Dean L. Kazubowski | US | Bradford | 2013-04-25 / 20130102176 - Sealed cable assembly and method of assembly | 1 |
Takahashi Kazue | US | Cambridge | 2015-05-28 / 20150147328 - VACCINE COMPOSITION FOR PREVENTING STAPHYLLOCOCCUS AUREUS INFECTION | 1 |
Kohei Kazuhara | JP | Izumi-Shi | 2010-06-03 / 20100137680 - MEDICAL MANIPULATOR DEVICE AND ACTUATOR SUITABLE THEREFOR | 1 |
Tsuneto Kazuharu | JP | Okayama | 2009-04-23 / 20090102190 - Connecting Mechanism for Thin Stainless Steel Pipe and Joint | 1 |
Mori Kazuhiko | JP | Tokyo | 2013-10-24 / 20130277602 - ETCHING AGENT FOR ALUMINUM OR ALUMINUM ALLOY | 2 |
Yumoto Kazuhiro | JP | Tochigi | 2011-02-10 / 20110034436 - SPIROCYCLIC AMINOQUINOLNES AS GSK-3 INHIBITORS | 1 |
Ito Kazuhiro | GB | Wallington | 2016-04-28 / 20160115152 - Novel Compounds | 1 |
Nagano Kazuhiro | JP | Yokohama | 2008-12-11 / 20080307165 - INFORMATION PROCESSOR, METHOD FOR CONTROLLING CACHE FLASH, AND INFORMATION PROCESSING CONTROLLER | 1 |
Yamamoto Kazuhiro | JP | Tokyo | 2013-11-21 / 20130310020 - COMMUNICATION DEVICE | 1 |
Ito Kazuhiro | KR | Suwon-Si | 2008-11-27 / 20080291130 - PLASMA DISPLAY DEVICE AND DRIVING METHOD THEREOF | 1 |
Ikeda Kazuhiro | JP | Iruma-Gun | 2011-04-07 / 20110081717 - DOUBLE-STRANDED NUCLEIC ACID MOLECULE, CANCER CELL PROLIFERATION INHIBITOR AND PHARMACEUTICAL AGENT SUITABLE FOR PREVENTION OR TREATMENT OF CANCER | 1 |
Katada Kazuhiro | JP | Aichi | 2010-09-09 / 20100228121 - Dual Chamber Syringe | 1 |
Musashi Kazuhiro | JP | Odawara | 2009-01-08 / 20090007883 - Throttle System and Sensor Unit | 1 |
Haraguchi Kazuhiro | KR | Yongin-City | 2014-12-18 / 20140368578 - PRINTING DEVICE | 1 |
Nobuhiko Kazui | JP | Aichi-Ken | 2009-05-21 / 20090127897 - STRUCTURE OF ATTACHING MONITOR PANEL | 1 |
Shinichi Kazui | JP | Tokyo | 2009-01-15 / 20090014502 - METHOD AND APPARATUS FOR PLACING CONDUCTIVE BALLS | 1 |
Shinichi Kazui | JP | Kumagaya-Shi | 2012-06-21 / 20120154084 - SOFT-MAGNETIC, AMORPHOUS ALLOY RIBBON AND ITS PRODUCTION METHOD, AND MAGNETIC CORE CONSTITUTED THEREBY | 1 |
Kimihikko Kazui | JP | Kawasaki | 2009-01-29 / 20090028246 - MOVING-PICTURE CODING DEVICE AND MOVING-PICTURE CODING METHOD | 1 |
Kimihiko Kazui | JP | Kawasaki | 2016-05-12 / 20160134888 - VIDEO ENCODING APPARATUS, VIDEO ENCODING METHOD, VIDEO DECODING APPARATUS, AND VIDEO DECODING METHOD | 38 |
Shinichi Kazui | JP | Kumagaya-Shi, Saitama | 2016-02-04 / 20160035474 - WOUND MAGNETIC CORE AND METHOD OF PRODUCING THE SAME | 1 |
Takashi Kazui | JP | Kanagawa | 2012-11-01 / 20120278664 - MEMORY SYSTEM | 1 |
Masato Kazui | JP | Hitachi | 2011-08-25 / 20110205360 - SUPERVISING SYSTEM FOR IMAGE | 2 |
Masato Kazui | JP | Sapporo | 2010-10-07 / 20100253779 - VIDEO IMAGE MONITORING SYSTEM | 1 |
Shinichi Kazui | JP | Kanagawa | 2014-04-17 / 20140106129 - CERAMIC ASSEMBLED BOARD, METHOD OF MANUFACTURING THE SAME, CERAMIC SUBSTRATE AND CERAMIC CIRCUIT SUBSTRATE | 2 |
Kimihiko Kazui | JP | Kawasaki | 2016-05-12 / 20160134888 - VIDEO ENCODING APPARATUS, VIDEO ENCODING METHOD, VIDEO DECODING APPARATUS, AND VIDEO DECODING METHOD | 38 |
Kimihizo Kazui | JP | Kawasaki | 2009-10-15 / 20090257497 - Moving image processing system, encoding device, and decoding device | 1 |
Yoshihisa Kazui | JP | Wako-Shi | 2015-03-19 / 20150075454 - COOLING STRUCTURE FOR INTERNAL COMBUSTION ENGINE | 2 |
Suzuki Kazuko | JP | Kyoto-Shi | 2010-09-30 / 20100242789 - METHOD FOR PRODUCING WATER-REPELLENT TREATED ALUMINUM PIGMENT DISPERSION, WATER-REPELLENT TREATED ALUMINUM PIGMENT, AND AQUEOUS INK COMPOSITION CONTAINING THE SAME | 1 |
Kuniharu Kazuma | JP | Fukui | 2013-07-25 / 20130186579 - PANEL-TYPE CURTAIN AND COUPLING METHOD OF THE SAME | 1 |
Shingo Kazuma | JP | Tokyo | 2010-02-18 / 20100040306 - IMAGE PROCESSING METHOD AND IMAGE PROCESSING APPARATUS | 2 |
Kohei Kazuma | JP | Aomori-Shi | 2009-11-19 / 20090288225 - Novel Aromatic Acyltransferase Genes | 1 |
Yoshihiro Kazuma | JP | Katsuura | 2008-10-16 / 20080253101 - Liquid crystal display device | 1 |
Kuniharu Kazuma | JP | Fukui City | 2010-06-03 / 20100132896 - LIGHT-TRANSMITTING SHEET MATERIAL AND METHOD FOR MANUFACTURING THE SAME | 1 |
Yoshimura Kazumasa | JP | Yamaguchi-Shi | 2010-09-16 / 20100232135 - PHOTOSYNTHESIS INHIBITING LIGHT SOURCE AND ILLUMINATING DEVICE THAT USES THE SAME | 1 |
Minako Kazume | JP | Kanagawa | 2010-09-16 / 20100231939 - IMAGE FORMING APPARATUS, IMAGE FORMING METHOD, AND COMPUTER-READABLE STORAGE MEDIUM | 5 |
Masahiro Kazumi | JP | Tokyo | 2011-07-14 / 20110173585 - BATTERY CHARACTERISTIC EVALUATOR | 1 |
Hideyuki Kazumi | JP | Tokyo | 2015-12-17 / 20150364290 - CHARGED PARTICLE BEAM APPLICATION DEVICE | 10 |
Hideyuki Kazumi | JP | Hitachinaka-Shi | 2013-12-05 / 20130321610 - PATTERN MEASURING APPARATUS, PATTERN MEASURING METHOD, AND COMPUTER-READABLE RECORDING MEDIUM ON WHICH A PATTERN MEASURING PROGRAM IS RECORDED | 4 |
Hideyuki Kazumi | JP | Hitachinaka | 2014-01-23 / 20140021366 - CHARGED PARTICLE BEAM APPARATUS | 11 |
Hideyuki Kazumi | JP | Tokyo | 2015-12-17 / 20150364290 - CHARGED PARTICLE BEAM APPLICATION DEVICE | 10 |
Hideyuki Kazumi | JP | Hitachinaka | 2014-01-23 / 20140021366 - CHARGED PARTICLE BEAM APPARATUS | 11 |
Noboru Kazumi | JP | Ichikawa-Shi | 2015-07-02 / 20150182341 - ARTIFICIAL HIP JOINT STEM, STEM INSERTER, ARTIFICIAL HIP JOINT SYSTEM INCLUDING THEM, AND ARTIFICIAL HIP JOINT | 1 |
Fukuda Kazumi | JP | Tokyo | 2013-09-26 / 20130253730 - SECONDARY BATTERY STATE DETECTING DEVICE AND SECONDARY BATTERY STATE DETECTING METHOD | 1 |
Osamu Kazumi | JP | Kanagawa | 2009-10-29 / 20090268099 - VIDEO SWITCHER AND SWITCHING OBJECT SWITCHER | 1 |
Eduardo Kazumi Yamakawa | BR | Joinville | 2010-12-02 / 20100300303 - WARNING DEVICE FOR FOOD PREPARATION APPLIANCE | 1 |
Hirofumi Kazumori | JP | Kizugawa-Shi | 2012-02-09 / 20120033187 - VIDEO PROJECTOR | 4 |
Tomomi Kazumori | JP | Hyogo | 2011-04-07 / 20110081402 - Cosmetic base comprising collagen-modified liposome and skin cosmetic containing the same | 1 |
Hirofumi Kazumori | JP | Kizugawa-City | 2010-05-13 / 20100117539 - LAMP OPERATION DEVICE AND IMAGE DISPLAY DEVICE | 2 |
Hiroyoshi Kazumori | JP | Tokyo | 2010-06-10 / 20100140471 - Electron Beam Apparatus And Method of Operating The Same | 1 |
Yasuji Kazumori | JP | Niigata | 2009-09-24 / 20090236063 - FINELY CUT PAPER PRODUCT FOR USE IN MIXING WITH RESIN, ECOLOGICALLY SOUND RESIN COMPOSITION COMPRISING THE SAME, AND ECOLOGICALLY SOUND MOLDED RESIN ARTICLE USING THE COMPOSITION | 1 |
Kimiko Kazumura | JP | Hamamatsu-Shi | 2013-07-11 / 20130177967 - METHOD FOR PREPARATION OF ALGAL CELLS, AND KIT FOR EVALUATION OF TOXICITY OF CHEMICAL SUBSTANCE | 3 |
Ando Kazunari | JP | Aichi | 2011-02-24 / 20110045325 - LEAD STORAGE BATTERY | 1 |
Peter L. Kazunas | US | Mandeville | 2011-11-17 / 20110278871 - DETACHABLE EARS ROPE THIMBLE | 3 |
Hiroki Kazuno | JP | Osaka | 2016-01-07 / 20160004297 - ENERGY MANAGEMENT SYSTEM | 10 |
Atsushi Kazuno | JP | Osaka-Shi | 2015-03-05 / 20150059253 - POLISHING PAD | 10 |
Hiroki Kazuno | JP | Osaka | 2016-01-07 / 20160004297 - ENERGY MANAGEMENT SYSTEM | 10 |
Shuichi Kazuno | JP | Utsunomiya-Shi | 2014-03-20 / 20140080024 - ELECTRIC POWER SUPPLY SYSTEM | 7 |
Muneyasu Kazuno | JP | Nasushiobara | 2015-10-01 / 20150279061 - MEDICAL IMAGE PROCESSING APPARATUS AND MEDICAL IMAGE PROCESSING SYSTEM | 3 |
Keisuke Kazuno | JP | Iwati-Shi | 2015-10-08 / 20150285348 - Electric Linear Actuator | 2 |
Tomoko Kazuno | JP | Nasushiobara-Shi | 2013-09-05 / 20130231946 - DIAGNOSTIC READING REPORT GENERATION SUPPORTING SYSTEM, DIAGNOSTIC READING REPORT GENERATION SUPPORTING APPARATUS, AND DIAGNOSTIC READING REQUESTING APPARATUS | 1 |
Shuichi Kazuno | JP | Wako | 2016-01-07 / 20160001675 - POWER SUPPLY SYSTEM AND FUEL CELL VEHICLE | 4 |
Masataka Kazuno | JP | Kofu-Shi | 2014-03-06 / 20140063999 - NON-VOLATILE MEMORY DEVICE AND ELECTRONIC APPARATUS | 2 |
Masahito Kazuno | JP | Iwaki | 2012-04-19 / 20120096404 - VEHICLE-MOUNTED DEVICE | 1 |
Atsushi Kazuno | JP | Osaka | 2015-10-22 / 20150298285 - MULTILAYER POLISHING PAD | 6 |
Masataka Kazuno | JP | Kofu | 2014-04-24 / 20140115212 - SERIAL COMMUNICATION CIRCUIT, INTEGRATED CIRCUIT DEVICE, PHYSICAL QUANTITY MEASURING DEVICE, ELECTRONIC APPARATUS, MOVING OBJECT, AND SERIAL COMMUNICATION METHOD | 2 |
Masataka Kazuno | JP | Chuo-Shi | 2010-05-27 / 20100127768 - SEMICONDUCTOR DEVICE AND ELECTRONIC INSTRUMENT | 1 |
Hiromi Kazuno | JP | Saitama | 2011-05-19 / 20110118205 - ANTI-TUMOR AGENT COMPRISING CYTIDINE DERIVATIVE AND CARBOPLATIN | 2 |
Muneyasu Kazuno | JP | Nasushiobara-Shi | 2010-05-13 / 20100122206 - IMAGE DISPLAY DEVICE AND IMAGE DISPLAY METHOD | 7 |
Atsushi Kazuno | JP | Osaka-Shi | 2015-03-05 / 20150059253 - POLISHING PAD | 10 |
Masahito Kazuno | JP | Makinohara-Shi | 2009-12-17 / 20090311893 - LEVER-TYPE CONNECTOR | 1 |
Hiroki Kazuno | JP | Tokyo | 2012-03-29 / 20120078573 - BED DEVICE | 3 |
Masahito Kazuno | JP | Shizuoka | 2009-05-07 / 20090117770 - CONNECTOR | 1 |
Shuichi Kazuno | JP | Saitama | 2012-09-06 / 20120225329 - FUEL CELL SYSTEM | 1 |
Keisuke Kazuno | JP | Iwata-Shi | 2015-12-03 / 20150345602 - Electric Linear Actuator | 3 |
Takahisa Kazuno | JP | Kai-Shi | 2008-09-04 / 20080211158 - Sheet post-processing apparatus and image forming system comprising the same | 1 |
Sakai Kazunori | JP | Niigata | 2012-02-09 / 20120032201 - LIGHT-EMITTING DISPLAY APPARATUS | 1 |
Nagayama Kazunori | JP | Yamaguchi | 2010-09-16 / 20100232135 - PHOTOSYNTHESIS INHIBITING LIGHT SOURCE AND ILLUMINATING DEVICE THAT USES THE SAME | 1 |
Hayata Kazunori | JP | Beppu-City | 2014-11-20 / 20140339673 - WAFER PROCESSING | 1 |
Takeda Kazuo | JP | Osaka | 2010-09-02 / 20100219755 - METAL VAPOR DISCHARGE LAMP AND ILLUMINATION APPARATUS | 1 |
Ishihara Kazuo | JP | Chiba | 2010-04-15 / 20100093928 - NOVEL EPOXY PHOSPHOROUS-CONTAINING RESIN, EPOXY RESIN COMPOSITION ESSENTIALLY CONTAINING THE EPOXY RESIN, AND CURED PRODUCT OF THE EPOXY RESIN COMPOSITION | 1 |
Chishima Kazuo | JP | Saitama City | 2009-03-19 / 20090071590 - METHODS AND MANUFACTURING OF A COMPOSITE SHOCK-ABSORBING STRUCTURE THEREOF | 1 |
Kawasumi Kazuo | JP | Chino | 2012-04-05 / 20120082577 - FLUID TRANSPORT SYSTEM WITH ELASTIC TUBE AND DETACHING CAM ARRANGEMENT | 2 |
Shiomi Kazuro | JP | Tokyo | 2016-04-21 / 20160108077 - TYLOSIN DERIVATIVES AND METHOD FOR PREPARATION THEREOF | 2 |
Michiya Kazusawa | JP | Kanagawa | 2015-05-21 / 20150138937 - SELF-CONTROLLED LASER PULSING FOR THERMALLY ASSISTED RECORDING | 2 |
Toriyama Kazushige | JP | Kanagawa | 2013-10-03 / 20130256850 - ELECTRONIC PACKAGE FOR MILLIMETER WAVE SEMICONDUCTOR DIES | 1 |
Hori Kazushige | JP | Osaka | 2015-07-02 / 20150188058 - CARBAZOLE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 1 |
Takaishi Kazushige | JP | Tokyo | 2009-07-23 / 20090186488 - SINGLE WAFER ETCHING APPARATUS | 1 |
Toriyama Kazushige | JP | Yamato-Shi | 2013-10-03 / 20130256849 - HIGH FREQUENCY TRANSITION MATCHING IN AN ELECTRONIC PACKAGE FOR MILLIMETER WAVE SEMICONDUCTOR DIES | 1 |
Satoshi Kazuta | JP | Tokyo | 2008-10-02 / 20080242369 - PORTABLE ELECTRONIC APPARATUS | 1 |
Yuji Kazuta | JP | Tsukuba | 2012-06-28 / 20120165339 - CYCLOPROPANE DERIVATIVES | 2 |
Yuji Kazuta | JP | Tsukuba-Shi | 2015-01-22 / 20150025237 - Methods and Compounds Useful in the Synthesis of Orexin-2 Receptor Antagonists | 3 |
Hisashi Kazuta | JP | Shizuoka | 2011-09-15 / 20110223819 - OUTBOARD MOTOR | 3 |
Maki Kazuya | JP | Nagoya-Shi | 2010-04-29 / 20100105520 - VEHICULAR BRAKING APPARATUS | 1 |
Tominaga Kazuyoshi | JP | Chiba | 2011-09-15 / 20110221824 - LIQUID JETTING HEAD, METHOD OF CHARGING LIQUID FOR LIQUID JETTING HEAD, LIQUID JETTING RECORDING DEVICE, AND METHOD OF USING SAME | 1 |
Takayama Kazuyoshi | JP | Sendai-Shi | 2012-09-13 / 20120232341 - FLUID EJECTION DEVICE | 1 |
Nagayama Kazuyoshi | JP | Yokohama-Shi | 2011-07-28 / 20110183574 - FSS MODE LIQUID CRYSTAL DISPLAY DEVICE WITH VIEWING ANGLE CONTROL REGION AND METHOD OF FABRICATING THE SAME | 2 |
Suzuki Kazuyuki | JP | Fukushima | 2013-01-31 / 20130029942 - COMPOUNDS AND THERAPEUTICAL USES THEREOF | 1 |
Bella Kazwell | US | Menlo Park | 2015-03-19 / 20150081800 - MANAGING MEMBERSHIP IN SOCIAL GROUPINGS OF CONTACTS FOR A PARTICIPANT OF AN E-MAIL CONVERSATION THREAD | 1 |
Thomas V. Kazyaka | US | Gualala | 2010-01-21 / 20100013621 - Illuminated Gear Selection Indicator | 1 |
Jina Kazzaz | US | San Rafael | 2013-08-01 / 20130195923 - MICROEMULSIONS WITH ADSORBED MACROMOLECULES AND MICROPARTICLES | 5 |
Sunil Kumar Kc | US | San Diego | 2016-05-12 / 20160128991 - INDAZOLE INHIBITORS OF THE WNT SIGNAL PATHWAY AND THERAPEUTIC USES THEREOF | 39 |
Sunil Kumar Kc | US | San Diego | 2016-05-12 / 20160128991 - INDAZOLE INHIBITORS OF THE WNT SIGNAL PATHWAY AND THERAPEUTIC USES THEREOF | 39 |
Kci Licensing, Inc. | US | San Antonio | 2013-08-08 / 20130204214 - LOW-PROFILE REDUCED PRESSURE TREATMENT SYSTEM | 23 |
A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
Ka-Kc Kd-Kf Kg-Ki Kj-Kl Km-Ko Kp-Kr Ks-Ku Kv-Kx Ky-Kz